IEEE MTT-V054-I11 (2006-11) [54, 11 ed.]

  • Commentary
  • FANTOMASPING
Citation preview

NOVEMBER 2006

VOLUME 54

NUMBER 11

IETMAB

(ISSN 0018-9480)

PAPERS

Smart Antennas, Phased Arrays, and Radars Design of Ultra-Wideband Monopulse Receiver . ........ ......... ......... . A. E.-C. Tan, M. Y.-W. Chia, and K. Rambabu Active Circuits, Semiconductor Devices, and ICs Design and Optimization of the Extended True Single-Phase Clock-Based Prescaler ... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... X. P. Yu, M. A. Do, W. M. Lim, K. S. Yeo, and J.-G. Ma Optimization and Realization of Planar Isolated GaAs Zero-Biased Planar Doped Barrier Diodes for Microwave/Millimeter-Wave Power Detectors/Sensors ........ ......... ........ ......... ......... ....... V. T. Vo and Z. Hu A Wideband InP DHBT True Logarithmic Amplifier .... ......... ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... Y.-J. Chuang, K. Cimino, M. Stuenkel, M. Feng, M. Le, and R. Milano High-Efficiency Envelope-Tracking W-CDMA Base-Station Amplifier Using GaN HFETs ..... ........ ......... ......... .. .. .. D. F. Kimball, J. Jeong, C. Hsia, P. Draxler, S. Lanfranco, W. Nagy, K. Linthicum, L. E. Larson, and P. M. Asbeck Signal Generation, Frequency Conversion, and Control A 17-GHz Push–Push VCO Based on Output Extraction From a Capacitive Common Node in GaInP/GaAs HBT Technology ..... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... ...... H. Shin and J. Kim New Techniques for the Analysis and Design of Coupled-Oscillator Systems .. ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ...... A. Georgiadis, A. Collado, and A. Suárez Field Analysis and Guided Waves A Spectral Integral Method and Hybrid SIM/FEM for Layered Media .. ......... ......... E. S¸ims¸ek, J. Liu, and Q. H. Liu Efficient Analysis, Design, and Filter Applications of EBG Waveguide With Periodic Resonant Loads ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ... G. Goussetis, A. P. Feresidis, and P. Kosmas Homogenization of 3-D Periodic Bianisotropic Metamaterials .. ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ..... O. Ouchetto, C.-W. Qiu, S. Zouhdi, L.-W. Li, and A. Razek

3821

3828 3836 3843 3848

3857 3864 3878 3885 3893

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Experimental Verification of Phase Retrieval of Quasi-Optical Millimeter-Wave Beams ........ ........ ......... ......... .. .. ........ ......... ......... ........ ......... ... H. Idei, T. Shimozuma, M. A. Shapiro, T. Notake, S. Kubo, and R. J. Temkin

3899

CAD Algorithms and Numerical Techniques Quadratic Programming Approach to Coupled Resonator Filter CAD ... ........ ....... P. Kozakowski and M. Mrozowski

3906

Filters and Multiplexers The Design of Microwave Bandpass Filters Using Resonators With Nonuniform .... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .. A. C. Guyette, I. C. Hunter, and R. D. Pollard Compact Partial -Plane Filters . ......... ......... ........ ... ....... ......... ........ .... D.-W. Kim, D.-J. Kim, and J.-H. Lee Low-Loss 5.15–5.70-GHz RF MEMS Switchable Filter for Wireless LAN Applications ........ ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ . S.-J. Park, K.-Y. Lee, and G. M. Rebeiz Coupling-Matrix Design of Dual and Triple Passband Filters .... ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ...... M. Mokhtaari, J. Bornemann, K. Rambabu, and S. Amari A Method of Synthesizing Microwave Bandpass Filters Constructed With Symmetrical or Asymmetrical Compact Microstrip Resonators .. ........ ......... ......... . ....... ......... ......... .. Y.-C. Chiang, W.-L. Hsieh, and M.-A. Chung A Narrowband Superconducting Filter Using Spirals With a Reversal in Winding Direction .... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... . F. Huang, M. Zhou, and L. Yue Packaging, Interconnects, MCMs, Hybrids, and Passive Circuit Elements A Noise-Free and Jitterless Cavity System to Distribute Clocks Over 10 GHz .. ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... . H. Kato, T. Kohori, E. Kondoh, T. Akitsu, and H. Kato Dual- and Triple-Mode Branch-Line Ring Resonators and Harmonic Suppressed Half-Ring Resonators ....... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... C. S. Cho, J. W. Lee, and J. Kim A New Lossy Substrate Model for Accurate RF CMOS Noise Extraction and Simulation With Frequency and Bias Dependence .... ......... ........ ......... ......... ........ . ......... ......... ........ ......... ......... J.-C. Guo and Y.-M. Lin Design of Compensated Coupled-Stripline 3-dB Directional Couplers, Phase Shifters, and Magic-T’s—Part I: Single-Section Coupled-Line Circuits . ......... ........ .... ...... ......... ..... S. Gruszczynski, K. Wincza, and K. Sachse

3914 3923 3931 3940 3947 3954

3960 3968 3975 3986

Instrumentaion and Measurement Techniques Measurements of Permittivity, Dielectric Loss Tangent, and Resistivity of Float-Zone Silicon at Microwave Frequencies .... ......... ....... .. ......... ........ J. Krupka, J. Breeze, A. Centeno, N. Alford, T. Claussen, and L. Jensen

3995

Microwave Photonics Programmable Photonic Microwave Filters With Arbitrary Ultra-Wideband Phase Response ... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ....... S. Xiao and A. M. Weiner

4002

MEMS and Acoustic Wave Components Design and Development of a Package Using LCP for RF/Microwave MEMS Switches ........ ........ ......... ......... .. .. ..... M. J. Chen, A.-V. H. Pham, N. A. Evers, C. Kapusta, J. Iannotti, W. Kornrumpf, J. J. Maciel, and N. Karabudak

4009

LETTERS

Comments on “ -Band Multiport Substrate-Integrated Waveguide Circuits” .. ......... ......... ........ ......... T. J. Ellis Authors’ Reply ... ......... ........ ......... ......... ........ ......... ......... ........ . E. Moldovan, R. G. Bosisio, and K. Wu Corrections to “Closed-Form Expressions for the Current Density on the Ground Plane of a Microstrip Line, With Application to Ground Plane Loss” .... ......... ........ ......... ......... ........ ....... C. L. Holloway and E. F. Kuester

4016 4017 4018

Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

4020

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $16.00 per year for electronic media only or $32.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE K. VARIAN, President S. M. EL-GHAZALY J. HAUSNER K. ITOH M. HARRIS D. HARVEY

J. S. KENNEY, President Elect L. KATEHI N. KOLIAS J. S. KENNEY T. LEE

W. H. CANTRELL, Secretary J. LIN V. J. NAIR J. MODELSKI B. PERLMAN A. MORTAZAWI

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

D. RUTLEDGE W. SHIROMA

N. KOLIAS, Treasurer R. WEIGEL R. SNYDER K. WU K. VARIAN R. YORK

Distinguished Lecturers K. TOMIYASU L. YOUNG

G. BOECK W. HOEFER T. ITOH

B. KIM J. LASKAR V. LUBECKE

J. C. RAUTIO D. ROOT D. RYTTING

Past Presidents M. SHUR P. SIEGEL A. SUAREZ

K. C. GUPTA (2005) R. J. TREW (2004) F. SCHINDLER (2003)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: A. D. BROWN Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: C. SEABURY Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: K. ALAVI Central & South Italy: S. MACI Central No. Carolina: T. IVANOV Chicago: Z. LUBIN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. EYE Dayton: A. TERZOUOLI, JR. Denver: M. JANEZIC Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: K. O’CONNOR

Foothill: C. ANTONIAK France: P. EUDELINE Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: S. E. WHEATLEY Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: P. WAHID Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorod: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: J. J. SOWERS Seattle: K. POULSON Seoul Coucil: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH Singapore: O. B. LEONG

Editors-In-Chief DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected] AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected]

South Africa: P. W. VAN DER WALT South Australia: H. HANSEN South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West: I. ISAYEV Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI Yugoslavia: B. MILOVANOVIC

Associate Editors

KENJI ITOH STEVEN MARSH ANDREAS CANGELLARIS Univ. of Illinois, Urbana Champaign Mitsubishi Electronics Midas Consulting USA Japan U.K. email:[email protected] email: [email protected] email: [email protected] YOSHIO NIKAWA DAVID LINTON TADEUSZ WYSOCKI Queen’s Univ. Belfast Univ. of Wollongong Kokushikan Univ. Northern Ireland Australia Japan email: [email protected] email: [email protected] email: [email protected] RUEY-BEEI WU MANH ANH DO JOSÉ PEDRO Univ. of Aveiro National Taiwan Univ. Nanyang Technological Univ. Portugal Taiwan, R.O.C. Singapore email: jcp.mtted.av.it.pt email: [email protected] email: [email protected] ZOYA POPOVIC ALESSANDRO CIDRONALI VITTORIO RIZZOLI Univ. of Colorado, Boulder Univ. of Florence Univ. of Bologna USA Italy Italy email: [email protected] email: [email protected] email: [email protected] M. GOLIO, Editor-in-Chief, IEEE Microwave Magazine G. E. PONCHAK, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] JENSHAN LIN Univ. of Florida USA email: [email protected] RICHARD SNYDER RS Microwave Company USA email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers SAIFUR RAHMAN, Vice President, Publication Services and Products MICHAEL R. LIGHTNER, President and CEO LEAH H. JAMIESON, President-Elect PEDRO RAY, Vice President, Regional Activities J. ROBERTO DE MARCA, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association JOSEPH V. LILLIE, Treasurer CELIA L. DESMOND, Vice President, Technical Activities W. CLEON ANDERSON, Past President RALPH W. WYNDRUM, JR., President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $77.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2006 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188.

Digital Object Identifier 10.1109/TMTT.2006.886725

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

3821

Design of Ultra-Wideband Monopulse Receiver Adrian Eng-Choon Tan, Michael Yan-Wah Chia, Member, IEEE, and K. Rambabu

Abstract—In this paper, we propose a novel amplitude-comparison monopulse receiver architecture for ultra-wideband radars. This monopulse receiver consists of four ridged-horn antennas placed in a square-feed configuration, a comparator circuit that generates the monopulse sum and difference signals, cross-correlation receivers that detect the monopulse signals, and an amplitude-comparison monopulse processor that determines the target’s angular position. The derived monopulse sum and difference signals are verified through measurements. The derived sum and difference patterns are compared with measured patterns, and they show good agreements—measured 3-dB beamwidth = 6 4 (derived = 6 ), measured unambiguous tracking range = 5 (derived = 5 ), and measured sum pattern sidelobe level = 6 dB (derived = 8 dB). Index Terms—Monopulse radar, radar receivers, radar tracking, time-domain analysis, ultra-wideband (UWB) radar.

I. INTRODUCTION ONOPULSE IS a radar technique to locate the angular direction of a target by receiving the incident signal simultaneously with two or more antennas [1]. It is used in existing pulsed and continuous-wave radars to track targets, providing guidance information and steering commands for missiles in missile-range instrumentations [2], [3]. Monopulse technique was also proposed for ultra-wideband (UWB) radars in [4] by Harmuth. The monopulse sum and difference patterns of equally spaced dipoles have been derived for receiving short rectangular pulses [4]–[7], and generalized Gaussian pulses [8]. Two methods [7] for finding the target direction were also proposed—slope and linear-regression processors. The processors’ performances were also studied when the sum and difference signals are corrupted by additive thermal noise. In this paper, a monopulse square-feed array [2] of four ridged-horn antennas is considered instead. Since the antenna size is comparable with the electrical length of the incident signal, pulse distortion due to antenna aperture needs to be considered. To do so, we define a continuous spatial aperture function for the monopulse array, and inverse Fourier transform it in spatial domain to derive the time-domain signal [9]. The proposed design for a UWB monopulse receiver is different from [4]–[8]. Firstly, the comparator circuit in [4]–[8] is placed after the sliding correlator and variable delay circuit while in this paper, and the comparator circuit is placed after

M

Manuscript received February 2, 2006; revised August 14, 2006. The authors are with the Institute for Infocomm Research, Agency for Science, Technology and Research (A-STAR), Singapore 117674, and also with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117674 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.884683

Fig. 1. Monopulse receiver coordinate systems and antenna parameters.

the receiving antennas. This avoids amplitude and phase mismatches at the sum and difference channels that may cause performance degradation of the monopulse receiver. Secondly, to find the signal gradient in slope and linear-regression processors [7], [8], a high-speed circuit is required to register the received signal amplitudes at different instances within the pulsewidth. In the proposed method, the monopulse receiver registers the cross-correlation output at the pulse repetition frequency, thus reducing the design requirements of the receiver. Thirdly, the pulse distortion due to antenna aperture of the receiving antennas is considered. The output of this UWB monopulse receiver can be used in a conventional monopulse processor [2] to track targets in UWB (heartbeat and breathing sensing) radar [10]. In this paper, we present an analysis for UWB monopulse square-feed array (Section II) and a design of correlator-based UWB monopulse receiver (Section III). Measurements are presented in Section IV to verify the predicted receiver parameters. II. MONOPULSE SQUARE-FEED IMPULSE RESPONSE A. Square-Feed Configuration A monopulse array of four ridged horns is arranged in a square-feed configuration in the -plane (Fig. 1). are are Cartesian coordinates of the antenna aperture, and polar coordinates of the incident pulse, with and denoting the angle subtending from the and -axes, respectively. The antenna apertures are labeled as – . Each antenna has the and aperture field distributions. same dimensions and . The The distances between adjacent antennas are measured dimensions of the antenna configuration, shown in m, m, m, and Fig. 1, are m. To obtain the monopulse sum and difference signals, the apertures are connected to a comparator circuit [2] consisting of four 180 hybrid couplers (Fig. 2). The outputs of the comparator:

0018-9480/$20.00 © 2006 IEEE

3822

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

axis, i.e., , where

, (2) can be rewritten as and are

(3a)

Fig. 2. Monopulse comparator circuit with four 180 hybrid couplers.

(3b) sum, elevation difference, and azimuth difference, are the primary signals that are used to find the angular position of the target. B. Square-Feed Impulse Response Derivation Reference [9] defines the angle-dependent impulse response . For a signal incident of an electrically large antenna as is a convolution of at an angle, the antenna output with . In this proposal, is the impulse response of the combined antenna array (Fig. 1) and the monopulse comparator (Fig. 2). Since the impulse response is angle dependent, as in , forming the convolution [9], it is better represented as and as relationship between

with and , and and are the antenna dimensions (Fig. 1). Using a similar approach as in [9], we apply a change in the integrand variable . Equations (3a) and (3b) are for changed to (4a) and (4b), shown at the bottom of this is the Fourier transform of the funcpage, where tion , and is a unit step , function. By taking an inverse Fourier transform of we can derive the incident angle dependent impulse response

(1a) This process can be treated in the frequency domain, in which the spectrum of the output signal is the product and the freof the spectrum of the incident signal , where quency response of the antenna and are the Fourier transforms of and

(5) with

and

expressed as

(6a) (1b)

(6b)

is the frequency-dependent radiation patterns of the antenna. Furthermore, it can be shown [2] that, in far-field, is related to the aperture field distribution as

Assuming that the receiving antenna’s aperture field distribuis rectangular in shape, the monopulse sum signal tion , azimuth difference signal , and elevation difference signal can be expressed as

(2)

(7a)

where is the speed of light in free space. Let be the aperture field distribution of the antenna array shown in Fig. 1. and Assuming aperture field orthogonality between the

(7b)

(4a) (4b)

TAN et al.: DESIGN OF UWB MONOPULSE RECEIVER

3823

Fig. 4. Block diagram of UWB monopulse receiver. (Color version available online at http://ieeexplore.ieee.org.)

signal expressed as Fig. 3. Plot of experimental (line) and modeled (dotted line) incident pulse signal antenna parameters.

(9c) and

are delays expressed as (9d) (9e)

(7c) where and

is a rectangle

function. III. MONOPULSE RECEIVER A. Sum and Difference Signal Models A pulse, possibly from a transponder or a radar target reflection, is incident on the monopulse array (Fig. 1) at an angle and . The received signal passes through a comparator circuit (Fig. 2) to generate monopulse sum, azimuth difference, and elebe a second vation difference signals. Let the incident pulse ps, derivative Gaussian function with (8) (dotted line) is compared with the measured In Fig. 3, received signal (line) of a ridged-horn antenna used in Fig. 1. Substituting (8) into the derivations in Section II, the monopulse plane can be sum and difference signals at the azimuth and , and as and at the eleexpressed as plane as follows: vation

B. UWB Monopulse Receiver Architecture The receiver schematic is as shown in Fig. 4. The antennas and comparator circuit receive the incident signal. The sum, azimuth difference, and elevation difference signals (Fig. 4: and ) are then mixed with their reference signals and , which are defined below. An integrator then collects the energy of the mixed signals, which are then sampled at the pulse repetition rate, and processed by an amplitude-comparison monopulse processor [11]. The reference signals can be generated by pulse-forming networks (PFNs) [12]–[14] that are triggered at appropriate times. The time delays can be obtained from a closed-loop target ranging system [2]. It is assumed that the delay acquisition of such a ranging system is able to trigger the PFNs at the correct and ) such that there is a maximum absolute times ( and . value in the received signals and can be The ideal correlating signals found by substituting appropriate angle values to (9a) and (9b). Since the sum signal has maximum amplitude at boresight, the can be found by subideal sum channel reference signal in (9a) as follows: stituting (10a)

(9b)

Both the elevation and azimuth difference channels have maximum amplitudes at a fixed off-boresight angle that can be found by computing the global maximum and global minimum and points of (9b). The fixed off-boresight angles are then substituted back to (9b) to form the ideal difference channel reference signals and as follows:

indicates the azimuth and elevation is the first derivative Gaussian

(10b) (10c)

(9a)

or where subscript planes, respectively.

Equations (9a) and (9b) are even and odd functions of , respectively.

3824

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

as

(12a)

(12b)

Fig. 5. Plot of theoretical, measured, and approximate difference signal at az3:9 . imuth plane for  = 



(12c)

The ideal sum channel reference signal (10a) can be generated since it is a second derivative Gaussian function. The ideal difference channel reference signals (10b) and (10c), however, are complex and difficult to generate. To simplify the pulse generation process, we approximate (10b) and (10c) to first derivaand as follows: tive Gaussian pulses

(12d) (11a) (11b)

and are the second and third derivawhere tives of the Gaussian function (12e)

and that are proportional to the time with pulsewidths difference between the global maximum and global minimum points of (9b). The pulsewidths can be found by

(12f) IV. MEASUREMENTS

(11c) (11d) For example, when the incident signal is (8) and the aperture can be field distributions as described in (4a) and (4b), found as 3.9 . Fig. 5 compares the measured difference signal at the azimuth plane with a theoretical difference signal of (10b) and approximated reference signal of (11a). The time difference between global maximum and global minimum points of (9b) for the azimuth plane is numerically determined as 73 ps. Subps can be found. Substituting stituting it into (11c), into (11a), we can plot , as shown in Fig. 5. Using (11a) and (11b) as a reference signal for cross-correlation is valid only for the incident pulse shape, as described in (8). UWB monopulse radars employing other pulse shapes can derive their own reference signals based on the method proposed here. However, minor variation of the received signal from (8) can still be detected by the monopulse receiver, albeit with degraded performance. By cross-correlating (9a) and (9b) with , (11a) and (11b), we can derive the azimuth sum pattern , the azimuth difference patthe elevation sum pattern tern , and the elevation difference pattern

Measurements are done to validate the sum and difference waveforms given in (9a) and (9b), the sum channel pattern (12a), and the difference channel pattern (12c). A. Measurement Setup Fig. 6 shows the measurement setup. A pulse source with V, MHz, and Jitter ps (rms) is used as the transmitter. The receiver consists of two identical ridged-horn antennas placed side-by-side as a one-dimensional monopulse receiver. This restricts monopulse measurement to the azimuth plane only. The return loss of the ridged-horn antenna is less than 10 dB over 1–18 GHz. The ridged-horns are connected to a 180 hybrid coupler with 3-dB bandwidth from 1 to 12.4 GHz. In the measurements, the hybrid-coupler introduces some signal distortion and amplitude/phase mismatches to the monopulse signals. These imperfections, however, are not modeled in the derivations. The sum and difference signals of the coupler are recorded by a 40-GS/s sampling oscilloscope, averaged at 1024 times. The axis of the rotation is located in the middle of the apertures. The sum and difference signals are measured from 20 to 20 in steps of 1 . To find the sum and difference patterns at

TAN et al.: DESIGN OF UWB MONOPULSE RECEIVER

3825

Fig. 6. Measurement test range. (Color version available online at http://ieeexplore.ieee.org.) Fig. 8. Comparison between simulated (dashed line) and measured (solid line) sum signals for  = 20 ; 10 ; 0 ; 10 ; and 20 .

0

Fig. 7. Comparison between measured radiation pattern of a single ridged horn with the radiation patterns of various theoretical aperture functions.

0

Fig. 9. Comparison between simulated (dashed line) and measured (solid line) difference signals for  = 20 ; 10 ; 0 ; 10 ; and 20 .

0

0

the output of the cross-correlation receiver, the measured signals are mathematical correlated with reference signals (10a), (11a), and (11b) derived in Section III-B. B. Results and Verification The presence of ridges in a horn concentrates the field intensity at the middle of the horn aperture. Hence, a rectangular aperture function may not be a sufficiently accurate approximation of the aperture field distribution. To obtain a better approximation of the aperture field distribution, we model it as a 12th-power half-cosine function. It is observed in Fig. 7 that , the aperture function provides the best fit with when the measured radiation pattern. Numerical results of sum and difference signals for a monopulse receiver consisting of ridge horns with a aperture function are compared with the measured signals in Figs. 8 and 9. The sum and difference signals (Figs. 8 and 9) are cross-correlated with the reference signals (10a), (11a), and (11b) to generate the output signals, which are constant voltage values that

Fig. 10. Theoretical and measured monopulse sum pattern in azimuth plane.

corresponds to a particular target angle. The angle-dependent to are shown in Fig. 10 voltage values for

3826

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

REFERENCES

Fig. 11. Theoretical and measured monopulse difference pattern in azimuth plane. TABLE I COMPARISON BETWEEN MEASURED AND SIMULATED ANGLE

(for sum channel) and Fig. 11 (for difference channel). Figs. 10 and 11 show that the theoretical and simulated voltages closely follow the measured voltages. Using an ideal amplitude-comparison monopulse processor, the measured target angle is compared with the simulated target angle in Table I. The unambiguous tracking range of this monopulse radar is 5 . The radar’s angular resolution, defined as its ability to resolve many targets [4], is dependent on the array beamwidth, which is 6 in this configuration. The model accurately predicts the performance of the UWB monopulse radar in terms of signal shapes, receiver patterns, and angle predictions near the boresight. V. CONCLUSION We have proposed a novel amplitude-comparison monopulse receiver architecture for UWB radars. We have also derived the received sum and difference signals for this architecture. The proposed monopulse radar model has been evaluated by measurements, and good agreement was found between the measurements and theory. ACKNOWLEDGMENT The authors would like to acknowledge the support of the Agency for Science, Technology and Research (A-STAR), Singapore, and the National University of Singapore (NUS), Singapore.

[1] Radar Definitions, IEEE Standard 686-1990, 1990. [2] M. I. Skolnik, Ed., Radar Handbook. New York: McGraw-Hill, 1970, ch. 21. [3] A. I. Leonov, “History of monopulse radar in the USSR,” IEEE Aerosp. Electron. Syst. Mag., pp. 7–13, May 1998. [4] H. F. Harmuth, “Antennas for nonsinusoidal waves: Part: III—Arrays,” IEEE Trans. Electromagn. Compat., vol. EMC-25, no. 3, pp. 346–357, Aug. 1983. [5] M. G. M. Hussain, “Line-array beam-forming and monopulse techniques based on slope patterns of nonsinusoidal waveforms,” IEEE Trans. Electromagn. Compat., vol. EMC-29, no. 3, pp. 143–151, Aug. 1985. [6] ——, “A self-steering arrays for nonsinusoidal waves based on array impulse response measurement,” IEEE Trans. Electromagn. Compat., vol. 30, no. 2, pp. 154–160, May 1988. [7] ——, “Performance analysis and advancement of self-steering arrays for nonsinusoidal waves—I & II,” IEEE Trans. Electromagn. Compat., vol. 30, no. 2, pp. 161–174, May 1988. [8] ——, “Principles of space–time array processing for ultrawide-band impulse radar and radio communications,” IEEE Trans. Veh. Technol., vol. 51, no. 3, pp. 393–403, May 2002. [9] H. D. Griffith and A. L. Cullen, “Sidelobe response of antennas to short pulse signals,” in IEEE Radar Conf., 2003, pp. 85–90. [10] M. Y. W. Chia, S. W. Leong, C. K. Sim, and K. M. Chan, “Through-wall UWB radar operating within FCC’s mask for sensing heart beat and breathing rate,” in 35th Eur. Microw. Conf., Oct. 2005, pp. 1991–1994. [11] S. M. Sherman, Monopulse Principles and Techniques. Norwood, MA: Artech House, 1984. [12] J. R. Andrews, “Picosecond pulse generators for UWB radars,” Picosecond Pulse Labs., Boulder, CO, Applicat. Note AN-9, May 2000. [13] J. Han and C. Nguyen, “On the development of a compact sub-nanosecond tunable monocycle pulse transmitter for UWB applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 285–293, Jan. 2006. [14] A. E.-C. Tan, M. Y.-W. Chia, and S.-W. Leong, “Sub-nanosecond pulse forming network on SiGe BiCMOS for UWB communications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1019–1024, Mar. 2006.

Adrian Eng-Choon Tan was born in Penang, Malaysia, in 1977. He received the B.Eng. degree in electrical engineering from the National University of Singapore (NUS), Singapore, in 2002, and is currently working toward the Ph.D. degree at NUS. In 2002, he was a Research Engineer with the Institute for Infocomm Research (I R), Singapore. His main research areas are microwave circuits and UWB transceiver systems. Mr. Tan was a recipient of an A-STAR Graduate Scholarship (AGS).

Michael Yan-Wah Chia (M’94) was born in Singapore. He received the B.Sc. (first-class honors) and Ph.D. degrees from Loughborough University, Loughborough, U.K., in 1990 and 1994, respectively. In 1994, he joined the Center for Wireless Communications (CWC), Singapore, as a Member of Technical Staff (MTS), and then became a Senior MTS, Principal MTS, and then Senior Principal MTS. He is currently a Principal Scientist and Division Director with the Communications Division, Institute for Infocomm Research, Agency for Science, Technology and Research (A-STAR), Singapore. He holds adjunct positions with the National University of Singapore, Singapore, and the Nanyang Technological University of Singapore, Singapore. In 1999, he began fundamental work on UWB research at I2R. Since then, his team has reported UWB transmission at a data rate of 500 Mb/s in April 2003 and 1 Gb/s in June 2004 conforming to the Federal Communications Commission (FCC)’s mask. In 2002, he also led the development of a direct

TAN et al.: DESIGN OF UWB MONOPULSE RECEIVER

conversion transceiver design for wireless local area network (LAN) in collaboration with IBM. Since April 2004, his team has been invited into the IBM Business Partner Program for UWB–MBOA silicon design. He has authored or coauthored over 120 publications in international journals and conferences. He holds ten patents, some of which have been commercialized. His main research interests are UWB, beamsteering, wireless broadband, RF identification (RFID), antennas, transceivers, radio over fiber, RF integrated circuits (RFICs), amplifier linearization, and communication and radar system architecture. He is listed in the 2007 Edition of Marquis’ Who’s Who in the World. Dr. Chia is a member of the Radio Technical Standards (IDA), Telecommunications Standards Advisory Committee (IDA) and Technical Advisory Member of Rhode & Schwartz Communications & Measurements (Asia). He has been an active member of organizing committees in various international conferences and was program cochair of IWAT 2005. He was a keynote speaker at the International Conference of UWB in 2005. He is general chair of ICUWB 2007. He was the recipient of the Overseas Research Student Award (The Committee of Vice-Chancellor and Principals of the University of U.K.) and Studentship from British Aerospace, U.K.

3827

K. Rambabu received the Ph.D. degree from the University of Victoria, Victoria, BC, Canada, in 2004. He is currently a Research Staff Member with the Institute for Infocomm Research, Singapore. He has authored or coauthored over 40 papers in refereed journals and conferences. He holds a patent for beam shaping of a cellular base-station antenna. His research interests include design and development of miniaturized passive microwave components and antennas for various applications.

3828

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Design and Optimization of the Extended True Single-Phase Clock-Based Prescaler Xiao Peng Yu, Member, IEEE, Manh Anh Do, Senior Member, IEEE, Wei Meng Lim, Kiat Seng Yeo, and Jian-Guo Ma, Senior Member, IEEE

Abstract—The power consumption and operating frequency of the extended true single-phase clock (E-TSPC)-based frequency divider is investigated. The short-circuit power and the switching power in the E-TSPC-based divider are calculated and simulated. A low-power divide-by-2/3 unit of a prescaler is proposed and implemented using a CMOS technology. Compared with the existing design, a 25% reduction of power consumption is achieved. A divide-by-8/9 dual-modulus prescaler implemented with this divide-by-2/3 unit using a 0.18- m CMOS process is capable of operating up to 4 GHz with a low-power consumption. The prescaler is implemented in low-power high-resolution frequency dividers for wireless local area network applications. Index Terms—CMOS integrated circuit, D flip-flop (DFF), frequency divider, frequency synthesizer, high-speed digital circuit, phase-locked loops (PLLs), true single-phase clock (TSPC).

I. INTRODUCTION

true single-phase clock (E-TSPC) logic is proposed to increase the operating frequency. However, this causes additional power consumption. Moreover, the impacts of the modified topology over the operating frequency and power consumption have not been fully investigated. In this paper, the power consumption and operating frequency in the E-TSPC logic style is evaluated. The two major sources of power consumption, namely, the short-circuit power and the switching power, in the E-TSPC divide-by-2 unit is calculated and simulated. Based on the analysis, a new divide-by-2/3 unit is proposed to achieve the lowpower consumption by reducing the switching activities and the short-circuit current in the DFFs of the unit, and a dual-modulus prescaler implemented with the unit is proposed. Finally, the proposed prescalers are implemented in the high-resolution low-power frequency divider. II. KEY CONSIDERATIONS

HE high-speed frequency divider is a key block in frequency synthesis. The prescaler is the most challenging part in the high-speed frequency-divider design because it operates at the highest input frequency. A dual-modulus prescaler usually consists of a divide-by-2/3 (or 4/5) unit followed by several asynchronous divide-by-2 units. The operation of the divide-by-2/3 unit at the highest input frequency makes it the bottleneck of the prescaler design. To achieve the two different division ratios, D flip-flops (DFFs) and additional logic gates, which reduce the operating frequency by introducing an additional propagation delay, are used in the unit. The power consumption of this divide-by-2/3 unit, which is the greatest portion of the total power consumption in the prescaler, significantly increases due to the power consumption of the additional components. In modern wireless communication systems, the power consumption is a key consideration for the longer battery life. The MOS current mode logic (MCML) circuit, which is of high power consumption, is commonly used to achieve the high operating frequency, while a true single-phase clock (TSPC) dynamic circuit, which only consumes power during switching, has a lower operating frequency. In [2], the extended

T

Manuscript received February 3, 2006; revised August 8, 2006. X. P. Yu is with the Institute of VLSI Design, Zhejiang University, Yu Quan, Hangzhou 310027, China (e-mail: [email protected]). M. A. Do, W. M. Lim, and K. S. Yeo are with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (e-mail: [email protected]). J.-G. Ma is with the School of Electronic Engineering, University of Electronic Science and Technology of China, Chengdu 610054, China. Color versions of Figs. 10, 12, 13, and 15 are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.884629

The most important parameters of high-speed digital circuits are the operating frequency and power consumption. The operating frequency is decided by the propagation delay. For the pipelined divide-by-2 unit such as the toggled TSPC divide-by-2 unit, the maximum operating frequency is given by [3] (1) where and are the propagation delays of the low-tohigh and high-to-low transitions, respectively. The power consumption of the CMOS digital circuit is mainly decided by the switching power, which is linearly proportional to the operating frequency [4]. The switching power is given by [4] (2) , , and are the load capacitance, input clock where frequency, and supply voltage, respectively. In a static digital CMOS circuit, if the pMOS and nMOS are simultaneously turned on, there will be a direct path from the supply voltage to ground, which introduces the short-circuit power given by [5] (3) is the short-circuit current. In the CMOS circuit, only where for a very small period within which the pMOS and the nMOS are turned on simultaneously. Thus, the direct path power consumption is considered as negligible in [5]. However, at high frequencies, this short-circuit power consumption can increase significantly.

0018-9480/$20.00 © 2006 IEEE

YU et al.: DESIGN AND OPTIMIZATION OF E-TSPC-BASED PRESCALER

3829

Fig. 1. Dynamic DFF. (a) TSPC. (b) E-TSPC.

Fig. 3. Propagation delay of the TSPC and E-TSPC divide-by-2 unit.

Fig. 2. Operation of divide-by-2 function.

III. TSPC AND E-TSPC DIVIDE-BY-2 UNIT A. Propagation Delay The toggled TSPC DFF is the most popular divide-by-2 unit in the high-speed frequency divider design, while the E-TSPC DFF is proposed to increase the operating frequency. Fig. 1(a) and (b) shows the topology of a TSPC DFF and an E-TSPC DFF, respectively. When performing the divide-by-2 function, the output S3 is fed back to D. The operation of divide-by-2 is shown in Fig. 2. An analysis of propagation delay can be obtained from [4] as the RC delay. The propagation delay of the E-TSPC unit is smaller than that of the TSPC unit because of the reduction of load capacitance. From the method proposed in [4], the manual calculation of the propagation delay for the two units can be obtained. Fig. 3 shows the calculated and simulated propagation delay for the two units using the same MOS transistor’s size. The E-TSPC achieves a higher operating frequency, as reported in [2]. This is based on the schematic level simulation. After layout, the parasitic capacitance cause by interconnection needs to be considered as well. For example, in [1], for a node with a total capacitance of 15 fF, the interconnection may introduce 4.5 fF. This will cause an approximate 20% increase in the propagation delay. B. Power Consumption By reducing the load capacitance during charging and discharging, from (2), the switching power consumption can be reduced as well. However, in the E-TSPC unit, there is a period during which a direct path from supply voltage to ground is established in the operation of divide-by-2. The shaded areas in Fig. 2 mark the transition during which the short circuit takes place.

Fig. 4. Short circuit in the E-TSPC logic style.

Fig. 5. Switching power and short-circuit power.

The behavior of the short circuit in a single stage of the E-TSPC DFF is analyzed in Fig. 4. The short-circuit current of the pMOS and NMOS. Dedepends on the aspect ratio pending on the configuration, the operation region of the pMOS and nMOS can be the triode or saturation region. Fig. 4(b) shows the short-circuit current and the output voltage versus , where and are the widths of the pMOS and the nMOS transistors, respectively. If , the short-circuit current is decided by the PMOS, and its operation region changes from the saturation region to the triode region . When , with with the increase of

3830

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 6. Divide-by-2/3 unit in [1].

the increase of , the nMOS shifts from the triode region increases with the increase of to the saturation region. before the nMOS transistor reaches saturation. As marked in Fig. 2, for all the three stages in the E-TSPC unit, there is a quarter of the period during which the direct path is established from supply to ground. From the above analysis, the two sources of power consumption in the E-TSPC unit exhibit different characteristics. In the E-TSPC unit, the short-circuit current and the power of each stage is decided by the sizes of the MOS transistors only. For the switching power, it is linearly proportional to the input frequency for a fixed size of the MOS transistors. The two types of power consumption can be determined using the process parameters [4]. One stage of the E-TSPC unit, as shown in Fig. 4, is examined. For simplicity, is 2, as proposed in [6], and the channel length for all the transistors are 0.18 m. Here, is 2 m. The input signals of Fig. 4 are logically low for the pMOS and logically high for NMOS. For a comparison, an inverter with the same transistor size, but with the input signal as a square wave ranging from 2 to 5 GHz, is also simulated for the power consumption. To evaluate the different switching powers of the E-TSPC and TSPC due to different capacitive loads, the load of one pMOS for the E-TSPC unit and the load of one pMOS plus one nMOS for the TSPC unit are used in the calculation and simulation. Fig. 5 shows the results of the two sources of power consumption in an E-TSPC and a TSPC unit. The E-TSPC unit has a lower switching power. However, its short-circuit power is much larger than the switching power. Within the operating frequency range, the E-TSPC unit has a larger total power consumption than that of the TSPC unit. IV. E-TSPC-BASED PRESCALER The E-TSPC divide-by-2 unit has the merit of high operating frequency compared with the traditional TSPC divide-by-2 unit. In [2], a simplified topology of the divide-by-4/5 unit is proposed to achieve high operating frequency. To make less components work at full speed, a divide-by-2/3 is used in [1]. Since the divide-by-2/3 unit consists of two toggle DFFs and additional logic gates, one way to effectively reduce the delay and power

consumption is to integrate the logic gates to the divide-by-2/3 unit [7]. In [1], a gate-integrated dual-modulus prescaler based on the dynamic circuit has been proposed to achieve the high operating frequency and low power consumption. This design uses two DFFs, while the divide-by-4/5 unit in [2] uses three DFFs. The divide-by-2/3 unit in [1] is shown in Fig. 6. When the modulus control signal MC is logically low, it performs the divide-by-3 function. If the output of DFF2 is logically low, the node S1 of DFF2 is disabled, thus nodes S2 and S3 of DFF2 will have no switching activities, therefore, no switching power dissipation. DFF1 operates all the time, while DFF2 only operates when the output of DFF2 is logically high. When MC is logically high, the output of DFF1 will be disabled to achieve the divide-by-2 function. However, the nodes S1 and S2 of DFF1 still have switching activities since the output of DFF2 still feeds back to DFF1. Thus, both DFFs switch at half of the input frequency even if DFF1 does not participate in the divide-by-2 function. As a result, the divide-by-2 unit dissipates more power even only if one toggled DFF is needed. Such a topology introduces unnecessary power consumption, which is a significant part of the total power consumption. Moreover, during a quarter of the period, the short-circuit power still exists in DFF1. The difficult of low-power design for the divide-by-2/3 unit is to minimize the overall power consumption. During the divide-by-2 operation, it is not necessary for both DFFs to operate at full speed since only one toggled DFF is needed to perform the divide-by-2 function. If only one DFF is active during the divide-by-2 operation, theoretically a 50% reduction of power consumption is achieved. In [1], the output of DFF1 is manually pull down by the MC-controlled NMOS, but DFF1 still works at full speed. To reduce the unnecessary power consumption, a new divide-by-2/3 unit, which can effectively block the switching activities and the short circuit, is proposed as shown in Fig. 7. Different from [1], in this topology, two AND gates are used instead of one OR gate and one AND gate, as shown in [7], to achieve a symmetrical architecture. By changing the conMC-controlled nMOS at the output of DFF1 to an trolled PMOS, DFF1 is blocked at the input when MC is high. As a result, nodes S1, S2, and S3 of DFF1, which have the logical

YU et al.: DESIGN AND OPTIMIZATION OF E-TSPC-BASED PRESCALER

3831

Fig. 7. Proposed divide-by-2/3 unit.

Fig. 8. Topology of the divide-by-8/9 prescaler.

Fig. 10. Die photographs of the proposed prescalers.

Fig. 9. Power consumption versus operating frequency of the two units.

values of “1,” “0,” and “1,” respectively, are blocked. DFF1 only has the short-circuit path in the first stage, while the following stages have no switching activities or short circuits while DFF2 functions as a toggled divide-by-2 unit. Hence, the proposed divide-by-2/3 unit has a significant power-consumption reduction in the divide-by-2 operation. Even for the divide-by-3 operation, due to the complementary logic type, the power consumption is also slightly reduced due to the reduction of short-circuit power consumption in DFF1. To further verify the advantages of this proposed prescaler, a divide-by-8/9 dual-modulus prescaler using the same architecture in [1], but with the proposed divide-by-2/3 unit, is implemented. In this divide-by-8/9 prescaler, the proposed divide-by-2/3 unit is followed by two stages of the toggled TSPC divide-by-2 units. Fig. 8 shows the

Fig. 11. Power consumption of the proposed prescaler.

topology of the prescaler in [1], but with the new divide-by-2/3 unit proposed in Fig. 7. The power consumption in this prescaler can be determined based on the previous analysis. The power consumption of the , which divide-by-2/3 unit can be expressed as consists of the power consumption in two DFFs and two logic gates. For the divide-by-3 operation, the proposed unit has a similar power consumption with the unit in [1]. However, for the divide-by-2 operation, the proposed unit has a lower power

3832

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 12. Output waveform of the prescaler (divide-by-8/9). TABLE I COMPARISON WITH OTHER STUDIES

consumption, which is the sum of the power consumption in DFF2 and short circuit in the first stage of DFF1

Fig. 13. Die photograph of the proposed dividers.

(4) (5)

where is the load capacitance of the node S1, S2, and S3 and out in DFF2, where the switching frequency is half of the input frequency . The load capacitance of these four nodes is given by [4]. The short-circuit power is the average of the short-circuit current times time period. The input signal is a high-frequency sine wave, and the waveforms will deviate from a square signal. This short-circuit current is modeled as a triangle, as shown in [4]. The average of the short-circuit current is half of the peak value. For the following TSPC divide-by-2 unit, the major power is the and if the switching power. The two units operate at

Fig. 14. Power consumption of the proposed divider.

prescaler performs divide-by-8. Their power consumption can be determined by the above equations as well. The

YU et al.: DESIGN AND OPTIMIZATION OF E-TSPC-BASED PRESCALER

3833

Fig. 15. Output waveform of the frequency divider.

major power consumption, switching power in the two units, , is given by

(6) Here, the load capacitance is higher than that of the divide-by-2/3 unit. It is observed that the divide-by-2/3 unit has the major power consumption for its highest operating speed. If all the DFF are identical, the proposed divide-by-2/3 only takes approximately 50% of the total power consumption. is For the unit in [1], the power consumption (7) Thus, the power consumption of this unit will account for more than 60% of the total power consumption. V. SIMULATION AND SILICON VERIFICATIONS A comparison of the performances of this new divide-by-2/3 unit and the E-TSPC unit in [1] is carried out on the grounds that the design in [1] achieves the best performance in the literature thus far. The simulations are performed by using the Cadence SPECTRE RF for a 0.18- m CMOS process. The pMOS and nMOS devices of the two units are of the same size. Fig. 9 shows the simulation results of the power consumption versus operating frequency of the two units for the operations of divide-by-2 and divide-by-3. In the divide-by-3 operation, the proposed unit has approximately 10% lower power consumption compared with that of the unit in [1]. In the divide-by-2 operation, the proposed unit dissipates less than 40% of the power consumption

of the unit in [1] due to the former’s reduced switching activities and short circuit in DFF1. If the two operations are of equal probabilities in the dual-modulus prescaler, a 25% reduction in power consumption is achieved for the proposed unit. With an input of 4.5 GHz, the power consumption is only 790 W, while the divide-by-8/9 prescaler has a power consumption of 1.5 mW for this configuration. For silicon verification, the proposed prescaler is fabricated using the Chartered 1P6M 0.18- m CMOS process. It has been implemented with two versions: one is with large-size MOS transistors to avoid process variation, while the other is based on the small-size MOS transistors. Their minimum transistor sizes are 16 m/0.18 m and 2 m/0.18 m respectively, instead of 0.5 m/0.2 m, as in [1], to reduce the impact of parasitic and process variations. The operating speed of the proposed design can be increased if the proper transistor sizing is carried out [1], [6]. Fig. 10 shows a die photograph of the two proposed divide-by-8/9 dual-modulus prescaler. On-wafer tests are carried out using an RF probe station. The input signal for the measurement is provided by the Antristu 68347C 10-MHz–20-GHz signal generator, while the output signals are captured by the Lecroy Wavemaster 8600A 6G oscilloscope. The power dissipation for the measured chip is 28 mW with the supply voltage of 1.8 V for a 4.2-GHz input in the first version because of the large size of MOS transistors. In the prescaler with smaller transistor sizes, the power consumption reduced to 3.3 mW for an input of 4 GHz at 1.8-V supply voltage, as shown in Fig. 11. Due to the simplified model in the calculation, the power consumption is lower than the simulated result even if the parasitic capacitor is added. The gap between the post-layout simulation and measurement result is due to the inaccurate model, insufficient extraction of parasitic capacitance, and resistance at high

3834

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

frequency. Here, the post-layout simulation of the prescaler in the literature is presented as well. All the configurations are the same as the proposed one besides the divide-by-2/3 unit. Fig. 12 shows the output waveform of the prescaler with 4-GHz input. Table I compares the performance of this prescaler with that in [1], which achieves the best performance reported thus far.

VI. DESIGN OF HIGH-RESOLUTION FREQUENCY DIVIDER The proposed prescaler is suitable for the applications of a low-power programmable divider. For example, it can be integrated with all-stage programmable counters, as in [9], to form a high-resolution integer- frequency divider. It is based on the conventional pulse-swallow frequency divider, but both and counters are programmable. By this means, there will be more division ratios available. For example, if a divide-by-8/9 prescaler is used, the total division ratios will , where and can be variable from 2 to , be 8 where is an integer and and are the division ratios of the two counters, respectively. If a divide-by-4/5 prescaler is implemented by removing one TSPC divide-by-2 unit, the number of available division ratios is increased since and are fully programmable [9]. The above two frequency dividers have been simulated and fabricated by adding two digital counters to the proposed prescalers. Fig. 13 presents the two dies of the integer- dividers with divide-by-8/9 and divide-by-4/5 prescalers, respectively, but with the same counters. The prescaler can work properly from 1 to 4 GHz. The measured power consumption versus operating frequency with 1.5-V supply voltage is summarized in Fig. 14. Here, the transistor size of the prescaler is optimized to achieve a better tradeoff between the power consumption and robustness against process variations. If the proposed low power prescaler is used, the total power consumption will be less than 5 mW. In these two designs, high-resolution frequency divisions are achieved with relatively low power consumption. Fig. 15 shows the output transient waveform of the frequency divider with 4-GHz input.

VII. CONCLUSIONS The design and optimization of a high-speed E-TSPC-based prescaler has been carried out by investigation of the operating frequency and power consumption of the E-TSPC circuit. A new divide-by-2/3 unit with low power consumption has been proposed. It is suitable for the high-speed CMOS prescaler design. A divide-by-8/9 dual-modulus prescaler implemented with the proposed unit has been implemented to achieve the ultra-low-power consumption. The dual-modulus operation above 4 GHz in the TSPC-based prescaler has first been achieved. The prescaler has been implemented in high-resolution frequency dividers. It is suitable for the wireless communication system below 4 GHz. The operation of this proposed prescaler and frequency divider have also been silicon verified.

REFERENCES [1] S. Pellerano, S. Levantino, C. Samori, and A. L. Lacaita, “A 13.5-mW 5-GHz frequency synthesizer with dynamic-logic frequency divider,” IEEE J. Solid-State Circuits, vol. 39, no. 2, pp. 378–383, Feb. 2004. [2] J. N. Soares, Jr. and W. A. M. Van Noije, “A 1.6-GHz dual modulus prescaler using the extended true-single-phase-clock CMOS circuit technique (E-TSPC),” IEEE J. Solid-State Circuits, vol. 34, no. 1, pp. 97–102, Jan. 1999. [3] R. X. Gu, K. M. Sharaf, and M. I. Elmasry, High-Performance Digital VLSI Circuit Design. Norwell, MA: Kluwer, 1996. [4] J. M. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits, A Design Perspective, ser. Electron. and VLSI, 2nd ed. Upper Saddle River, NJ: Prentice-Hall, 2003. [5] A. P. Chandrakasan and R. W. Brodersen, “Minimizing power consumption in digital CMOS circuits,” Proc. IEEE, vol. 83, no. 4, pp. 498–523, Apr. 1995. [6] R. Rogenmoser, The Design of High-Speed Dynamic CMOS Circuits for VLSI, ser. Microelectron., 1. Aufl ed. Konstanz, Germany: Hartung-Gorre, 1996. [7] C. Lam and B. Razavi, “A 2.6-GHz/5.2-GHz frequency synthesizer in 0.4-m CMOS technology,” IEEE J. Solid-State Circuits, vol. 35, no. 5, pp. 788–794, May 2000. [8] Q. Huang and R. Rogenmoser, “Speed optimization of edge-triggered CMOS circuits for gigahertz single-phase clocks,” IEEE J. Solid-State Circuits, vol. 31, no. 3, pp. 456–465, Mar. 1996. [9] X. P. Yu, M. A. Do, L. Jia, J. G. Ma, and K. S. Yeo, “Design of a low power wideband high resolution programmable frequency divider,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 9, pp. 1098–1103, Sep. 2005. Xiao Peng Yu (S’05–M’06) was born in Zhejiang, China, in 1976. He received the B.Eng. degree in optical engineering from Zhejiang University, Yu Quan, Hangzhou, China, in 1998, and the Ph.D. degree in electrical and electronic engineering from Nanyang Technological University (NTU), Singapore, in 2006. Prior to joining NTU in 2002, he was with the Global Telecom Solution Sector (GTSS), Motorola, Hangzhou, China. From September 2005 to September 2006, he was a member of the research staff with NTU. In September 2006, he joined the Institute of VLSI Design, Zhejiang University, as a faculty member. His research interests include CMOS RF integrated circuits for wireless communication, low-power phase-locked loops, and clock data-recovery circuits for high-speed data communications using submicrometer CMOS technology.

Manh Anh Do (M’05–SM’05) received the B.Sc. degree in physics from University of Saigon, Saigon, Vietnam, in 1969, and the B.E. degree (Hons.) in electronics and Ph.D. degree in electrical engineering from the University of Canterbury, Canterbury, New Zealand, in 1973 and 1977, respectively. From 1977 to 1989, he held various positions including Research and Development Engineer and Production Manager with Radio Engineering Ltd., Research Scientist with the Fisheries Research Centre, Wellington, New Zealand, and Senior Lecturer with the National University of Singapore. In 1989, he joined the School of Electrical and Electronic Engineering, Nanyang Technological University (NTU), as a Senior Lecturer, became an Associate Professorship in 1996, and a Professor in 2001. He has been a consultant for numerous projects in the Singapore electronic industry, and was the principal consultant for the design, testing, and implementation of the $200 million Electronic Road Pricing (ERP) island-wide project in Singapore (1990–2001). From 1995 and 2005, he was Head of the Division of Circuits and Systems, School of Electrical and Electronic Engineering, NTU. He is currently the Director of Centre for Integrated Circuits and Systems (CICS), NTU. He has authored or coauthored over 180 papers in the areas of electronic and communication circuits and systems. His current research concerns digital and mobile communications, RF integrated-circuit (IC) design, mixed-signal circuits, and intelligent transport systems. Prior to that, he specialized in sonar designing, biomedical engineering, and signal processing. Dr. Do is a Fellow of the Institution of Electrical Engineers (IEE), U.K., a Chartered Engineer in the U.K., and a Professional Engineer (Singapore). He

YU et al.: DESIGN AND OPTIMIZATION OF E-TSPC-BASED PRESCALER

was a council member of the IEE from 2001 to 2004. Since April 2005, he has been an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

Wei Meng Lim received the B.E. (Hons.) and M.E. degrees from Nanyang Technology University (NTU), Singapore, in 2002 and 2004, respectively. Upon graduation, he joined NTU as a member of the research staff. His research interests include RF circuit design, RF device characterization, and modeling.

Kiat Seng Yeo received the B.E. (Hons.) degree in electronics and Ph.D. degree in electrical engineering from Nanyang Technological University, Singapore, in 1993 and 1996, respectively. In 1996, he joined the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore, as a member of the academic staff. He is currently the Head of the Division of Circuits and Systems. He provides consulting to statutory boards and multinational corporations in the areas of semiconductor devices and IC design.

3835

His research interests include device characterization and modeling, RF IC design, and low-voltage low-power IC design.

Jian-Guo Ma (M’96–SM’97) received the B.Sc. and M.Sc. degrees (with honors) from the Lanzhou University of China, Lanzhou, China, in 1982 and 1988, respectively, and the Doctoral degree in engineering from the Gerhard-Mercator University, Duisberg, Germany, in 1996. From January 1982 to March 1991, he was with the Lanzhou University of China, where he was involved with RF and microwave engineering. Prior to joining Nanyang Technological University in 1997, he was with the Technical University of Nova Scotia, Halifax, NS, Canada. He was an Associate Professor and Director of the Center for Integrated Circuits and Systems, Nanyang Technological University, Singapore. Since December 2005, he has been with the University of Electronic Science and Technology of China (UESTC), Chengdu, China. He has authored or coauthored over 190 technical papers. He has authored two books. He holds 6 patents in CMOS RFICs. His research interests are RF IC designs for wireless applications, RF characterization and modeling of semiconductor devices, RF interconnects and packaging, system-on-chip (SoC) and applications, electromagnetic compatibility (EMC)/electromagnetic interference (EMI) in RF ICs, and monolithic microwave integrated circuits (MMICs) and applications. Dr. Ma was the associate editor for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS from 2004 to 2005.

3836

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Optimization and Realization of Planar Isolated GaAs Zero-Biased Planar Doped Barrier Diodes for Microwave/Millimeter-Wave Power Detectors/Sensors Van Tuyen Vo and Zhirun Hu, Member, IEEE

Abstract—A high tangential signal sensitivity (TSS) zero-bias GaAs planar doped barrier (PDB) diode for microwave and millimeter-wave power detection applications is presented. The fabricated PDB diodes have shown 4 dB better TSS at 35 GHz than that of reported devices, considerably increasing the minimum detectable power and widening the dynamic range. The high TSS was obtained by optimizing the PDB layer structures, namely, the -doped p++ layer and the two intrinsic layers, and by employing ion bombardment to better define the device and reduce parasitic effects. The isolation properties of ion bombarded epitaxial layers on GaAs substrates were examined and optimized to have a sq. The temperature dependence of the sheet resistivity of 108 barrier height of the PDB diode has been investigated experimentally, showing positive temperature coefficient and, hence, better thermal stability. We have also defined the critical barrier height and derived its analytical expression, which gives the theoretically lowest possible barrier height of a PDB diode.



Index Terms—Ion bombardment, planar doped barrier (PDB) diodes power detectors and sensors, tangential signal sensitivity (TSS).

I. INTRODUCTION N MICROWAVE and millimeter-wave low- and mediumpower measurements, there are mainly two types of detectors and sensors: thermoelectric and diode detectors/sensors. Thermoelectric detectors/sensors have good linearity over their operating power range, and the output voltage is proportional to the input power. However, they are only usable for input powers greater than 30 dBm (1 W) due to their inherent high noise power [1]. On the other hand, diode detectors/sensors, especially those based on Schottky diodes, are able to measure power as low as 70 dBm (100 pW), and operate to 23 dBm (200 mW) with correction techniques [2]. Schottky diodes, however, suffer from inherent drawbacks due to their metal–semiconductor contacts, which are: 1) voltage dependency of Schottky junction capacitance; 2) low temperature stability; 3) high flicker noise; and 4) low pulse power handling and high susceptibility to electrostatic discharge (ESD) [3].

I

Manuscript received February 9, 2006; revised May 22, 2006. This work was supported by the U.K. Engineering and Physical Sciences Research Council, by e2v Technologies Limited, and by IRF Limited. The authors are with the School of Electrical and Electronic Engineering, University of Manchester, Manchester M60 1QD, U.K. (e-mail: z.hu@ manchester.ac.uk). Digital Object Identifier 10.1109/TMTT.2006.884628

A PDB diode, on the other hand, is a majority carrier device with an n -i-p -i-n doping configuration in which the -doped p layer is fully depleted at zero bias and forms a triangular potential profile [4]. The barrier height of the diode is proportional to the density of ionized acceptors and the relative lengths of two intrinsic regions controlling the shape of the barrier. It provides an alternative in all those applications where Schottky barriers are used, but with better contact stability and an extra degree of freedom in tailoring the barrier height to meet specific requirements [3]. PDB diodes have demonstrated that they can offer significant advantages over Schottky diodes for mixer and detector applications [5], a significant increase in pulse power-handling capability [6] and better thermal stability [7], [8]. In addition, PDB diodes have an extremely small noise threshold, probably due to the single crystal structure that is free of surface states [9]. It has been reported that TSS and dynamic range of PDB diodes can significantly exceed those of any comparable Schottky diodes in the microwave/millimeter-wave range [10], [11]. However, the device was only designed and fabricated to prove the concept. In this paper, we present the zero-bias PDB diodes with 4 dB better TSS at 35 GHz than that reported in [10] and [11] by optimizing the layer structure, especially the -doped p layer and two intrinsic layers, and by employing ion bombardment to better define the planar device structure and reduce the parasitic effects. We have derived an analytical expression for the barrier height to guide our device design, especially for power detection applications. II. DEVICE PHYSICS, DESIGN, AND FABRICATION A. Barrier Height To detect as low power as possible, i.e., high tangential signal sensitivity (TSS), the device must be optimized to have as low barrier height as possible without degrading other design specifications. It is worth pointing out that a PDB barrier height cannot be lower than the barrier height of the n -i (undoped) junction on the cathode side due to spillover effect [12]. Otherwise the device can no longer perform as a diode, but a semiconductor resistor. An analytical expression of barrier height, taking into account the spillover effect, is derived here to allow an insight into planar doped barrier (PDB) zero bias detector diode design.

0018-9480/$20.00 © 2006 IEEE

VO AND HU: OPTIMIZATION AND REALIZATION OF PLANAR ISOLATED GaAs ZERO-BIASED PDB DIODES

3837

Integrating (2) within the n undoped layer from 0 to ( ) and rearranging it, we have electric field within this layer as

(3) where

is a constant and equal to

(4) Substituting (3) into (1) and rearranging it, we have

(5) The integration of (5) between the limits 0 and

results in

if and Fig. 1. PDB diode. (a) Layer structure. (b) Electric field distribution. (c) Energy band diagram. The solid curves are with the spillover effect, whereas the dotted ones are without.

Referring to Fig. 1, the -doped p layer is completely depleted, the contact layers are assumed to be uniformly doped, and the charges of any residual dopants in the undoped n and n layers are negligible. The solid curves in Fig. 1(b) and (c) are with the spillover effect, whereas the dotted ones are without. With the notations shown in this figure, the Poisson’s equation in the intrinsic layers becomes

(6)

if To obtain the potential distribution, should be inte). We have, for uniform grated between 0 and ( doping in the contact layer,

if and (1) where n represents n or n , which is the free electron concentration in one of the contact layers, and can be assumed to be for the cathode layer and equal to the donor concentration for the anode layer, and is the potential. Values , , , and give GaAs permittivity, electron charge, Boltzmann constant, and absolute temperature, respectively. Multiplying both and recognizing that , (1) can sides of (1) by be rewritten as

(2) where

represents

or

.

if (7) where

(8) Therefore, the barrier height on the cathode side can be expressed by

(9)

3838

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 2. Calculated barrier height profile distributions with various dc bias.

Fig. 3. Value of the critical barrier height as a function of the extension of the cathode layer.

Electric field and the potential distribution in the n undoped layer can be derived in the same way. However, in a strongly asymmetric diode, the spillover effect in n is negligible due to high electric field, as shown in Fig. 1(b). Hence, the barrier height on the anode side can be approximated as

(10) is the electric field at the n -p junction. As the where charge of the p sheet determines the sum of the electric fields can be expressed as on both sides of the -doped layer,

(11) is the number of ionized acceptors within the -doped where layer. From Fig. 1(c), we have

(12) By setting , the barrier height of the diode can be obtained. Fig. 2 shows calculated barrier height variation with dc bias. To be able to detect low power, one would expect the lower the barrier height the better. However, there is a limitation on the lowest barrier height achievable. As can be seen from Fig. 1, as becomes zero, the total negative acceptor charge in the p sheet becomes equivalent to the positive donor charges in the space charge region, and the cathode-side barrier is formed by the dipoles between the electrons injected into the n layer and the ionized donors left in the region. We defined the barrier height under such a condition as the critical barrier height. . Neglecting Its value can be obtained from (9) with the voltage drop in the depletion region of n contact layer, the critical barrier height can be derived as

(13)

Fig. 4. PDB layer structure and device layout.

The critical barrier heights calculated by (13) are shown in Fig. 3, together with a numerical simulation result from the commercial semiconductor simulator Silvaco [13]. B. Layer Design and Growth The layer design and growth is of crucial importance on the success of PDB diode fabrication. The proposed device structure has been designed to have as practically a lower barrier height as possible without degrading the diode performance. The final barrier height was achieved by optimal design of the sheet density of the -doped p layer and degree of the asymmetry of intrinsic layers. The sheet density of the -doped p layer of 1.2 10 cm with a standard deviation of 2 nm, and the degree of the asymmetry of intrinsic layers of 1 : 20 with the shorter undoped layer of 20 nm were chosen to give 0.3-eV barrier height. The layer structure and device layout are illustrated in Fig. 4. More details on the device layers are listed in Table I. A highly doped In Ga As layer was added on the top of the PDB structure in order to reduce resistance of the anode ohmic As layer in which varies from 0 contact. A graded In Ga to 0.5, was also deposited between the InGaAs and GaAs layers to reduce the stress caused by lattice mismatch between two different semiconductors. Two ion implantations are employed in the device fabrication. One is for isolation between individual devices and their associated circuits. Another one shown on the top of the layer between the anode and cathode has two purposes, which are: 1) to better define the much reduced active area (the smallest area is 18 m in our fabrication, being less

VO AND HU: OPTIMIZATION AND REALIZATION OF PLANAR ISOLATED GaAs ZERO-BIASED PDB DIODES

3839

TABLE I LAYER STRUCTURE OF PDB DIODE

than one-quarter of the area reported in [10] and [11]) so to reduce the junction capacitance and 2) to reduce the series resistance by widening the lower intrinsic layer so as to increase the voltage sensitivity. The wafer was grown on a 2-in semi-insulating GaAs substrate according to the specification shown in Table I by molecular beam epitaxy (MBE) at the University of Manchester, Manchester, U.K. The n layers were formed using a silicon dopant and a p layer by beryllium, respectively. C. Proton Planar Isolation In comparison with MESA techniques, planar isolation by means of ion bombardment can define the device more accurately and significantly reduce parasitic effects such as parasitic capacitance and resistance [14]. In addition, the planar isolation can improve heat spreading capabilities and ease the monolithic-microwave integrated-circuit (MMIC) fabrication process; hence, reducing costs. Two groups of samples were bombarded at room temperature with the proton at 250 keV to the doses of 5 10 cm and 1 10 cm , respectively, with an ion current density 0.5 A cm using a 2-MV high-voltage implanter. Implantation was performed with sample surface tilted by 7 with respect to the beam incidence direction to minimize ion channeling. The depth of implants was designed to be approximately 2 m, intentionally placed away from the device epitaxial layers/semiinsulating GaAs interface. The post implant annealing was performed by rapid thermal annealing (RTA) in the flowing nitrogen ambient. Time-dependant annealing was conducted, and optimum annealing time of 1 min was found [15]. An annealing test was conducted from 50 C RTA to 500 C RTA to determine maximum sheet resistance achievable. Fig. 5 illustrates sheet resistance measurements as a function of temperature. The solid line corresponds to the dose of 1 10 cm , whereas the dotted line is of the dose of 5 10 cm . It can be seen that an opsq was achieved by ion implantatimum isolation of 3 10 tion with the dose of 1 10 cm . It can also been observed -ions requires that the activation of carrier traps created by anneal at temperature above 100 C and the 5 10 cm dose is insufficient for a good isolation. The optimum annealing temperatures range from 150 C to 350 C, corresponding to a maximum sheet resistance. D. Diode Fabrication The fabrication process of the PDB diodes is divided into four main steps and is illustrated in Fig. 6. The first proton bombardment was for device isolation. The projected range of protons at 250 keV was approximately 2 m, intentionally placed away

Fig. 5. Sheet resistance as a function of the RTA annealing temperature for the ions of 250 keV. PDB multiconductive-layer structure bombarded by

H

Fig. 6. Fabrication process for PDB diodes. (a) First proton implantation. (b) Second proton implantation. (c) Anode metallization. (d) Cathode etching and metallization.

from the epitaxial layers/semi-insulating GaAs interface. The second isolation was used to reduce the active area of the anode and, thus, the capacitance of the diode. For this, the energy of protons was reduced to 50 keV, providing only 0.4- m depth of implants that is approximately at the top of cathode-side undoped layer. The proton implantations were designed with the help of TRIM Simulation [19]. After two proton implantations, a liftoff AuGe/Ni/Au metallization was used for forming an

3840

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 7. Fabricated 27-m PDB diode.

Fig. 9. Measured temperature-dependent barrier height of the PDB diode.

Fig. 8.

I –V

characteristics of a fabricated 27-m PDB diode.

anode contact on the top. The cathode etching and metallization of the contact were then carried out using the same mask. Devices with areas as small as 20–30 m for microwave detector applications were fabricated. A fabricated 27- m PDB diode is shown in Fig. 7. III. DEVICE DC CHARACTERIZATION AND EVALUATION A.



Characteristics

On-wafer measurements were carried out using a probe station at room temperature. It can be seen from Fig. 8 that the degree of asymmetry of the – characteristics is 10 : 1 at 20 A, i.e., the forward current is 20 A at 0.14 V, whereas for the same current (reversed), 1.4-V reverse bias is needed. This does not precisely reflect the geometric degree of asymmetry of the intrinsic layers (20 : 1). The reasons are twofold, i.e., effect of spillover [12] and forming of depletion in the contact layers, especially in the anode side. The spillover strongly affects the potential distribution within the diode, as shown in Fig. 1. The forming of depletion in the anode contact layer must be taken into account in such a strongly asymmetric structure as the depleted layer widens the thickness of the shorter undoped layer n , resulting in a lesser degree of asymmetry. The measurement of the barrier height of the diodes was carried out using the technique developed in [8]. It is found that the barrier height and the ideality factor are 0.337 eV and 1.19 at room temperature, respectively. The barrier height is approximately 0.037 eV higher than expected. This discrepancy is caused mainly by 2% tolerance on the thickness of undoped layer, which layers and also 3% on the sheet density of the p occurred during the growth of the layer structure. B. Thermal Stability Thermal stability is an important issue for power detection applications. In contrast to the barrier height of a Schottky diode,

Fig. 10. Small-signal equivalent circuit for PDB diode. The extracted values for the circuit elements can be found in Table II.

the barrier height of a PDB diode increases as temperature rises. Since the current of a PDB diode is controlled by thermionic emission over the barrier and depends exponentially on the barrier height [7], [11], [16], a positive temperature coefficient, i.e., , is highly desirable. With a positive temperature coefficient, the barrier height increases with temperature; hence, partially compensating for the increase of thermionic current due to temperature arising, resulting in better device temperature stability. The temperature-dependent barrier height of the fabricated PDB diodes has been measured, and is shown in Fig. 9. It can be seen that as the temperature increases so does the barrier height of the PDB diode. The temperature coefficient of the measured device is approximately 0.3 meV K in the temperature range from 200 to 360 K. IV. RF CHARACTERISTICS AND EVALUATIONS A. Small-Signal Parameter Extraction On-wafer -parameter measurements were carried out from 45 MHz to 40 GHz. Line-reflect-reflect-match (LRRM) calibration is used to calibrate the network analyzer. To extract the parameters, Agilent Technologies’ ADS2003C simulation software is employed. The small-signal equivalent circuit for a PDB is the diode including probe pads is illustrated in Fig. 10. is the junction resistance. is the total series resistance. junction capacitance, and p , p , and p are the parasitic capacitance. The measured and simulated -parameters of the 27- m diode at zero bias at room temperature are shown in

VO AND HU: OPTIMIZATION AND REALIZATION OF PLANAR ISOLATED GaAs ZERO-BIASED PDB DIODES

3841

TABLE III COMPARISON OF VOLTAGE SENSITIVITY AND TSS

Note: The voltage sensitivity of Agilent HSCH-3486 in Table III is based on 100-k load resistance.

where is the diode saturation current, and is the reflection coefficient. For a low flicker noise diode, the minimum detectable signal can be expressed as [17] Fig. 11. Measured and simulated S -parameters for the 27-m PDB diode at zero bias. TABLE II EXTRACTED PARAMETERS FOR A 27-m DIODE AT ZERO BIAS

Fig. 11 and the extracted diode parameters of the equivalent circuit are listed in Table II. It can be seen from Table II that the extracted capacitance is twice higher than the calculated one that was calculated simply , where is the anode area and is the total thickness by of the undoped layers. This discrepancy is caused by the capacitance of an interdigital capacitor, which is formed by the anode contact and its surrounding U-shaped cathode contact. series resistance was calculated by The (14) and are contact resistances of the where anode and cathode. The resistance of highly doped n layers is small and negligible compared to the contact resistances. Thus, contact resistances contribute mainly to . TLM structures for measurement of sheet and contact resistances were fabricated on the wafer together with PDB diodes. From the measured results, the contact resistance of the anode and cathode were found as cm and 3.4 10 cm , which give as 1.5 10 92 . It is approximately 16% smaller than that extracted from measured -parameters. This discrepancy is caused since the effect of hot electron and velocity saturation [11] were not taken into account when calculating . B. Voltage Sensitivity and TSS A detector diode may be regarded as a video voltage source feeding a load resistance . For , the voltage sensiof a detector without matching circuits is given as [17] tivity

(15)

dBW

(16)

is a video amplifier bandwidth, typically 1 MHz, n where is the equivalent noise resistance, is the ideality factor, and typically 1 k . The TSS is defined as 3 dB above the minimum . detectable signal Table III shows the TSS of Agilent’s HSCH-3486, EEV’s PDBGB322, and our PDB detector diodes. The effects of the 10- M load resistor and reflection loss were taken into account assuming that the PDB diode is terminated by a 50- system. It can be seen that the TSS of EEV PDBGB322 is about the same as our devices at 10 GHz; however, significantly lower (4 dB) than ours at 35 GHz. For the sake of comparison, the TSS of the commercially available Agilent Schottky barrier zero bias detector diode HSCH-3486 is also listed in Table III.

V. CONCLUSION The theoretical study, simulation, design, and fabrication of strongly asymmetric zero-bias PDB diodes for microwave/millimeter-wave power detector/sensor applications have been carried out. The barrier height of 0.337 eV and ideality of 1.19 have been achieved at room temperature. The barrier height is approximately 0.037 eV higher than we designed. This difference could be consistent with small deviations from specification that may have occurred during growth of either the thickness of the layer. PDB shorter intrinsic layer or sheet density of the p diodes fabricated here with a size of 27 m have TSS as high as 57.2 dBm at 35 GHz, outperforming existing PDB diodes from EEV and Agilent’s Schottky detector diodes. Ion implantation was employed in the device fabrication to isolate individual devices and to better define the devices. The sq of the bombarded epitaxial sheet resistivity above 10 layers has been achieved by optimizing the annealing time and temperature. Furthermore, thermal stability of PDB diodes has been studied. The device has shown a positive temperature coefficient of 0.3 meV/K from 200 to 360 K, indicating better thermal stability than the more commonly used Schottky barrier detector diodes.

3842

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

ACKNOWLEDGMENT The authors would like to express their thanks to Prof. A. A. Rezazadeh, University of Manchester, Manchester, U.K., for his kind advise. REFERENCES [1] J. C. Lamy, “Microelectronics enhances thermocouple power measurements,” Hewlett-Packard J., vol. 25, pp. 13–19, Sep. 1974. [2] T. McConnell, “Novel implementation of broadband detector,” in Proc. 22nd Eur. Microw. Conf., Espoo, Finland, Aug. 1992, pp. 1319–1324. [3] A. A. Fraser, “A planar doped barrier detector for general-purpose applications,” Microw. J., pp. 309–314, May 1987. [4] R. J. Malik, T. R. Aucoin, R. L. Ross, K. Board, C. E. C. Wood, and L. F. Eastman, “Planar-doped barriers in GaAs by molecular beam epitaxy,” Electron. Lett., vol. 16, no. 22, pp. 836–838, Oct. 1980. [5] M. J. Kearney, M. J. Kelly, R. A. Davies, T. M. Kerr, P. K. Rees, A. Condie, and I. Dale, “Asymmetric planar doped barrier diodes for mixer and detector applications,” Electron. Lett., vol. 25, no. 21, pp. 1454–1456, Oct. 1989. [6] I. Dale, S. Neylon, A. Condie, and M. J. Kearney, “Planar doped barrier diodes offering improved microwave burnout performance over Si and GaAs Schottky diodes,” in Proc. 19th Eur. Microw. Conf., London, U.K., Sep. 1989, pp. 237–243. [7] M. J. Kearney, M. J. Kelly, A. Condie, and I. Dale, “Temperature dependent barrier heights in bulk unipolar diodes leading to improved temperature stable performance,” Electron. Lett., vol. 26, no. 10, pp. 671–672, May 1990. [8] V. T. Vo, F. Nadia, Z. Hu, and A. A. Rezazadeh, “Experimental verification of barrier height temperature dependence in GaAs planar doped barrier diodes,” Electron Lett., vol. 39, no. 3, pp. 324–326, Feb. 2003. [9] I. Dale, S. Neylon, A. Condie, M. Hobden, and M. J. Kearney, “The 1=f noise performance of GaAs planar doped barrier mixer diodes,” in Proc. 20th Eur. Microw. Conf., Budapest, Hungary, Sep. 1990, pp. 605–611. [10] M. J. Kearney, A. Condie, and I. Dale, “GaAs planar doped barrier diodes for millimeter-wave detector applications,” Electron. Lett., vol. 27, no. 9, pp. 721–722, Apr. 1991. [11] M. J. Kearney and I. Dale, “GaAs planar doped barrier diodes for mixer and detector applications,” GEC J. Res., vol. 8, no. 1, pp. 1–12, 1990. [12] M. Shur, “Spill-over effects in planar doped barrier devices,” Appl. Phys. Lett., vol. 47, no. 8, pp. 869–871, Oct. 1985. [13] ALTLAS. ver. 1.5.0 ed., Silvaco Int., Santa Clara, CA, 1997. [14] S. Hutchinson, M. Carr, R. Gwilliam, M. J. Kelly, and B. J. Sealy, “Effect of proton isolation on DC and RF performance of GaAs planar doped barrier diodes,” Electron. Lett., vol. 31, no. 7, pp. 583–585, Mar. 1995. [15] Z. Hu, V. T. Vo, and A. A. Rezazadeh, “DC isolation and RF dissipation loss of coplanar waveguide on GaAs multiconductive layers bombarded by H and F e ions,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 4, pp. 235–237, Apr. 2005.

[16] S. M. Sze, Physics of Semiconductor Devices. New York: Wiley, 1981. [17] I. Bahl and P. Bhartia, Microwave Solid State Circuit Design. New York: Wiley, 1988, ch. 11. [18] “The zero bias Schottky detector diode,” Agilent Technol., Palo Alto, CA, Applicat. Note 969, 2004. [19] J. F. Ziegler, J. P. Biersack, and U. Littmark, The Stopping and Range of Ions in Solids. Oxford, U.K.: Pergamon, 1985. Van Tuyen Vo was born in Go Cong, Vietnam. He received the Diploma degree in electrical and electronic engineering from the Technical University of Budapest, Budapest, Hungary in 1988, and the Ph.D. degree from the Hungarian Academy of Sciences, Budapest, Hungary, in 1995. In 1988, he joined the Research Institute for Technical Physics, Hungarian Academy of Sciences, where he was involved in research and development on microwave GaAs devices and applications. In 2001, he joined the Department of Electronic Engineering, King’s College, London, U.K., where he was engaged in research and development of zero-bias Si and GaAs PDB diodes for millimeter-wave/microwave detectors/sensors. In 2003, he joined the School of Electrical and Electronic Engineering, University of Manchester, Manchester, U.K. He recent activities focus on three-dimensional (3-D) monolithic microwave integrated circuit (MMIC) design and technology.

Zhirun Hu (M’99) received the B.Eng. degree in telecommunication engineering from Nanjing University of Posts and Telecommunications, Nanjing, China, in 1982, and the Master degree in business administration and Ph.D. degree in electrical and electronic engineering from Queen’s University of Belfast, Belfast, U.K., in 1988 and 1991, respectively. In 1991, he joined the Department of Electrical and Electronic Engineering, University College of Swansea, as a Senior Research Assistant involved with computational semiconductor device simulation. In 1994, he rejoined the Department of Electrical and Electronic Engineering, Queen’s University of Belfast, as a Research Fellow involved with silicon MMIC design, realization, and characterization. In 1996, he joined GEC Marconi, as a Microwave Technologist involved with microwave/millimeter-wave circuit design and characterization. From 1998 to 2003, he was a Lecturer with the Department of Electronic Engineering, King’s College London. In January 2004, he joined the School of Electrical and Electronic Engineering, University of Manchester, Manchester, U.K. His main research interests are high-frequency circuit and semiconductor device modeling, optimization, design, realization, and characterization.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

3843

A Wideband InP DHBT True Logarithmic Amplifier Yu-Ju Chuang, Kurt Cimino, Mark Stuenkel, Milton Feng, Fellow, IEEE, Minh Le, and Raymond Milano

Abstract—A wideband logarithmic amplifier is demonstrated in this paper using InP–InGaAs double heterojunction bipolar transistor technology. The amplifier uses cascaded gain stages including the limiting and unity amplifiers to achieve a piecewise approximation to the ideal logarithmic response. The performance 2-dB log of 43-dB dynamic range, 22-GHz bandwidth, and error is achieved. The integrated circuit consumes 650 mW and has a chip dimension of 1 0.8 mm2 . Index Terms—Heterojunction bipolar transistors (HBTs), highspeed integrated circuits, logarithmic amplifiers.

2

I. INTRODUCTION

L

OGARITHMIC amplifiers are widely used in radar receivers to compress the dynamic range of signals. They enable detectors with limited dynamic range to be used in receiving systems to measure signals with widely varying input levels. In some receivers, the logarithmic amplifiers are developed to replace automatic gain control (AGC) circuits for a wider dynamic range and faster settling time. There are many types of logarithmic amplifiers [1], [2], and their particular applications are determined by the system requirements. They can be classified into three general types, which are: 1) detector-log video amplifiers (DLVAs); 2) successive-detection log IF amplifiers; and 3) true logarithmic amplifiers. In a DLVA, tunnel or Schottky diodes are used to detect the RF input signal and convert it into a low-frequency video signal, which is then logarithmically amplified. The DLVA has the advantage of higher input frequency, but the phase information of the input signal is lost during the process of video detection. The successive-detection log IF amplifier uses cascaded limiting gain stages to achieve the piecewise approximation of the log function. The signals from each stage are detected and summed into video output signals. The successive-detection circuit can be realized by using a lumped [3] or distributed amplifier [4]. The true logarithmic amplifier is a type of logarithmic amplifier that preserves the phase information of the input signal. It is commonly found in radar receivers that are used to detect moving targets since these receivers require that the phase shift through the logarithmic circuit does not vary with the input signal level. Recently it was also shown that a true logarithmic amplifier can be used in a broadband single-sideband fiber optic communication system [2], [5]. Manuscript received April 5, 2006; revised June 29, 2006. This work was supported in part by the Army Research Laboratory under Contract DAAD17-02-C0115 and by the Defense Advanced Research Projects Agency. Y.-J. Chuang, K. Cimino, M. Stuenkel, and M. Feng are with the Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana, IL 61801 USA (e-mail: [email protected]). M. Le and R. Milano are with Vitesse Semiconductor Inc., Camarillo, CA 93012 USA. Digital Object Identifier 10.1109/TMTT.2006.883239

Fig. 1. Modeling results of: (a) dc and (b) f of the 0.5 m 3.0 m VIP2 InP/InGaAs DHBT used in this amplifier design. Solid lines are measured data and markers are model simulation.

One method of achieving the true logarithmic function is to cascade amplifiers consisting of dual-gain stages. Excellent results have been obtained using bipolar transistors [1] and MESFETs [6]. However, all of them have had a limited bandwidth of less than 6 gigahertz. In this paper, a true logarithmic amplifier with bandwidth over 22 GHz is demonstrated. It has achieved the highest bandwidth published to date. To achieve this result, an improved large-signal InP double heterojunction bipolar transistor (DHBT) model was developed for frequencies up to 50 GHz. Two cascaded differential pairs are used as the amplification circuit in each one of the dual-gain stages to reduce distortion and power consumption. The operating speed of this circuit is also enhanced through the use of advanced InP/InGaAs DHBTs with GHz. II. InP DHBT TECHNOLOGY The logarithmic amplifier circuit is fabricated using the Vitesse VIP2 InP/InGaAs DHBT process [7]. In this process, the transistors are mesa isolated on a semi-insulating InP substrate. A new self-aligned process was also developed to reduce lithography-induced errors and limits. The circuit is composed of devices with an emitter area of 0.5 m 3.0 m that have a 310-GHz (unity current gain cutoff frequency) and 337-GHz (maximum oscillation frequency). The peak cutoff frequency occurs at a current density of 500 kA/cm . The device is modeled with our customized large-signal model [8]. This bipolar transistor model is based on the Gummel–Poon integral charge-control relation. The improved model more accurately predicts current blocking effects in type-I DHBTs, which influence the dc, RF, and nonlinear characteristics of the device. Fig. 1 shows the model fitting results of the 0.5 m 3.0 m InP/InGaAs DHBT. Both dc and high-frequency performance are successfully modeled. III. TRUE LOGARITHMIC AMPLIFIER The block diagram of a true logarithmic amplifier is shown in Fig. 2. It is composed of several cascaded dual-gain stages. Each

0018-9480/$20.00 © 2006 IEEE

3844

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 2. Block diagram of the logarithmic amplifier.

Fig. 4. Circuit diagram of a single dual-gain stage.

Fig. 3. Ideal transfer characteristic of the cascaded dual-gain stage logarithmic amplifier.

stage contains a limiting amplifier and a unity-gain amplifier. Since these two paths have different limiting levels, the input signals experience different amplification factors depending on their power levels. At low power levels, the input signal experiences higher gain with less limiting effects, while at high power levels, the gain approaches unity. The theoretical characteristics of a true logarithmic amplifier have been derived by Barber and Brown in [9]. The ideal transfer characteristic of cascading identical stages is shown in Fig. 3. It is calculated that the breakpoints in the response follow the equation:

Fig. 5. Schematics of the: (a) input and (b) output matching circuit.

(1) where and are the output limiting voltage and the small signal gain of the limiting amplifier, respectively. equals the number of identical dual gain stages. Logarithmic error is the deviation of the approximating curve from the best fit straight line. To quantitatively define log error, the single best fit line can be expressed as Fig. 6. Fabricated log amplifier chip.

(2) where is the output voltage and is the input power in dBm, and and are fitting parameters. Therefore, the logarithmic is defined as error (3) (4) Here, is the theoretical input power obtained from the best fit line using the actual output voltage of the circuit and is the actual input power level. Both and are in dBm so is calculated by subtracting these two and is expressed in decibels.

The dynamic range is and can be increased by using more stages or higher small-signal gain of the dual-gain stage. On the other hand, the maximum logarithmic error is also dependent on the gain of a stage. Therefore, to reduce logarithmic error, more stages should be used, each with less gain. In practice, the transitions between each break point are gradual due to the nonlinearity of the gain and to be well matched stages. It is thus essential for and optimized between stages for a good overall logarithmic response. It is also demonstrated in [1] and [6] that the unity gain of each stage has to be defined accurately.

CHUANG et al.: WIDEBAND InP DHBT TRUE LOGARITHMIC AMPLIFIER

3845

Fig. 7. Measured (markers) small-signal return loss and gain compared with simulation results (grey solid lines). Fig. 10. Logarithmic error for separate line fitting.

Fig. 8. Group delay derived from the measured S 21 from 4 to 22 GHz. Fig. 11. Log error for broadband fitting.

Fig. 12. (a) Reference noise level. (b) TSS of signal.

Fig. 9. Measured transfer characteristic of the logarithmic amplifier from 4 to 22 GHz.

IV. CIRCUIT DESIGN In this study, four identical stages were cascaded to achieve the targeted 40-dB dynamic range. The schematic of a single stage is shown in Fig. 4. Three emitter coupled differential pairs are used in a dual-gain stage. The limiting amplifier is composed proof two differential pairs. The first differential pair vides the desired amplification and the second one acts as the summing and limiting circuit. The purpose of separating the amplifying and summing functions is to reduce the

053 dBm using a 10-GHz input

necessary voltage drop across resistor , which is the limiting in (1). Although reducing decreases the log slope voltage of the transfer characteristic, it helps to avoid driving the devices too deeply into the nonlinear region and, thus, maintain the desired log accuracy. However, this comes with the price of lowering the small-signal bandwidth due to the larger Miller effect introduced at the first amplifying differential pair. The bandwidth of each stage is limited by the high gain path. The unity gain amplifier does not dominate the bandwidth performance because it has large current and low gain. and emitter degenThe unity gain stage uses load resistor and to define the unity gain. The coneration resistors straint of the current ratio of (current of the unity amplifier)

3846

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

TABLE I COMPARISON OF MICROWAVE TRUE LOGARITHMIC AMPLIFIERS

to (current of the limiting amplifier) depends on the number of stages used in the logarithmic amplifier. For a four-stage must be satisfied in order to amplifier, the relation maintain unity gain in every stage under all input power levels and only behave as a within the dynamic range. Since summing junction, their bias current can be reduced. As a refor the unity gain stage can sult, the current requirement also be reduced, which lowers the dc supply voltage and power consumption. In addition to the four stages of summing and limiting amplifiers, an output buffer with 50- collector resistance is designed to allow coupling to a 50- measurement system. The inputs of the circuit are matched by the 50- resistors in parallel with the symmetric emitter followers, as shown in Fig. 5. This circuit draws 170-mA current from a 3.8-V supply voltage. Two voltage sources are used to provide the 3.8-V supply, one for the first two stages and the other for the last two stages and output buffer. It is implemented this way due to the current limitation of the routing lines and to reduce undesired coupling between stages. As shown in Fig. 4, each stage is ac coupled to the previous stage by the bypass capacitor . Since the log amplifier is a cascaded amplifier, any dc offset in the previous stage will be amplified by the following stages and will, thus, reduce the total dynamic range. Therefore, the use of bypass capacitor is necessary to allow a more accurate control of the bias condition of each stage and to reduce the nonlinear bias shift of the following stages due to large signal swings. Another off-chip dc voltage source at 0.9 V is also used to bias the base nodes of the emitter follower at each stage. V. MEASUREMENT RESULTS A photograph of the logarithmic amplifier is shown in Fig. 6. The total chip area including dc and RF probe pads is 1 0.8 mm . The area of the core circuit is 0.65 0.3 mm . The small-signal gain and reflection coefficients of the amplifier are measured with the HP8510C network analyzer using Cascade Infinity probes. An on-wafer short-open-load-thru (SOLT) calibration set is first used to calibrate the system. Although the circuit is designed to be fully symmetric, only a single-ended output signal is taken during measurement. Therefore, there is

a 6-dB loss due to the single-ended operation. The measured and modeled -parameters from 0.5 to 50 GHz are shown in Fig. 7. The small-signal gain is 22 dB (single ended) with a 3-dB bandwidth of 26 GHz. Good input and output matching and below 15 dB within the whole is achieved with operation frequency range. Fig. 8 shows the measured group delay of the logarithmic amplifier. The variation is within 30 ps from 6 to 22 GHz. Fig. 9 shows the transfer characteristic of the four-stage true logarithmic amplifier for frequencies from 4 to 22 GHz. The logarithmic error calculated from the measured one-tone response is shown in Fig. 10. For each 6-GHz interval, the log error is computed following the definition shown in Fig. 3. Separate optimal fitting lines are used at each frequency. The logarithmic error is within 2 dB from 4 to 22 GHz with the dynamic range of 43 dB. For a broadband fitting of a single logarithmic line for the whole frequency range, the log error is still kept to be less than 4.5 dB (Fig. 11). The log error comes from the nonlinear behaviors of each stage, including nonlinearity of transistors and layout parasitics. The lower bound of operation is 4 GHz because the stages are ac coupled and the bypass capacitors are designed to have high-pass properties with lower cutoff frequency at 4 GHz. The upper bound of operation frequency is limited by the measurement capability. The network analyzer is not capable of providing input power larger than 8 dBm at frequencies higher than 22 GHz. Tangential signal sensitivity (TSS) is measured to characterize the noise performance of a log amplifier. TSS is defined as the input power level at which the amplitude of output signal is the same as the noise amplitude on the oscilloscope [1]. TSS determines the minimum detectable input signal of the log amplifier. The log amplifier TSS of 53 dBm is shown in Fig. 12. It is limited by the noise level of the oscilloscope. Table I compares this study with other microwave true logarithmic amplifiers. The circuit presented in this paper shows relatively high bandwidth and is the fastest microwave true logarithmic amplifier to date, to the authors’ knowledge. In future implementations, the gain could be increased, while still maintaining the same dynamic range, by increasing the current of each stage.

CHUANG et al.: WIDEBAND InP DHBT TRUE LOGARITHMIC AMPLIFIER

VI. CONCLUSION In this paper, a wideband 4–22-GHz true logarithmic amplifier is presented. This circuit is fabricated with InP/InGaAs and over 300 GHz. A 43-dB dyDHBTs that have namic range with less than 2-dB logarithmic error is achieved. This fully on-chip log amplifier can be a useful element in radar receiver systems and fiber-optic networks. ACKNOWLEDGMENT The authors would like to thank the Defense and Advanced Research Projects Agency (DARPA) Technology for Frequency Agile digitally Synthesized Transmitters (TFAST) Program Manager Dr. S. Pappert and Army Research Laboratory (ARL) Contract Manager Dr. A. Hung for program support. The authors also thank the encouragement and feedback from F. Stroili, R. Elder and J. Feng, all with BAE Systems, Nashua, NH. REFERENCES [1] A. K. Oki, M. E. Kim, G. M. Gorman, and J. B. Camou, “High-performance GaAs heterojunction bipolar transistor monolithic logarithmic IF amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1958–1965, Dec. 1988. [2] C. D. Holdenried, J. W. Haslett, J. G. McRory, R. D. Beards, and A. J. Bergsma, “A DC–4 GHz true logarithmic amplifier: Theory and implementation,” IEEE J. Solid-State Circuits, vol. 37, no. 10, pp. 1290–1299, Oct. 2002. [3] G. M. Goramn, A. K. Oki, E. M. Mrozek, J. B. Camou, D. K. Umemoto, and M. E. Klm, “A GaAs HBT monolithic logarithmic IF (0.5 to 1.5 GHz) amplifier with 60 dB dynamic range and 400 mW power consumption,” in IEEE MTT-S Int. Microw. Symp. Dig., 1989, vol. 2, pp. 537–540. [4] N. Nazoa-Ruiz and C. S. Aitchison, “A logarithmic distributed amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., 1990, vol. 2, pp. 753–756. [5] C. D. Holdenried and J. W. Haslett, “A DC–6 GHz, 50 dB dynamic range, SiGe HBT true logarithmic amplifier,” in Proc. Int. Circuits Syst. Symp., May 2004, vol. 4, pp. 289–292. [6] M. A. Smith, “A 0.5 to 4 GHz true logarithmic amplifier utilizing monolithic GaAs MESFET technology,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1986–1990, Dec. 1988. [7] M. Le, G. He, P. Partyka, B. Li, R. Bryie, S. Rustomji, G. Kim, R. Lee, J. Pepper, M. Helix, R. Milano, R. Elder, D. Jansen, F. Stroili, J. W. Lai, and M. Feng, “Self-aligned InP DHBTs for 150 GHz digital and mixed signal circuits,” in Proc. Int. Indium Phosphide Relat. Mater. Conf., Glasgow,, U.K., May 2005, pp. 325–330. [8] J. W. Lai, D. Caruth, Y. J. Chuang, K. Cimino, R. Elder, D. Jansen, F. Stroili, M. Le, and M. Feng, “300 GHz InP DHBT large signal model including current blocking effect and validated by Gilbert multiplier circuits,” in IEEE Compound Semiconduct. Integrated Circuits Symp. Dig., Palm Springs, CA, Oct. 2005, pp. 61–64. [9] W. L. Barber and E. R. Brown, “A true logarithmic amplifier for radar IF applications,” IEEE J. Solid-State Circuits, vol. SC-15, no. 3, pp. 291–295, Jun. 1980. [10] G. Acciari, F. Giannini, and E. Limiti, “Theory and performance of parabolic true logarithmic amplifier,” Proc. Inst. Elect. Eng.—Circuits Devices Syst., vol. 144, no. 4, pp. 223–228, Aug. 1997.

3847

Yu-Ju Chuang received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2002, the M.S. degree in electrical engineering from the University of Illinois at UrbanaChampaign, in 2004, and is currently working toward the Ph.D. degree in electrical engineering at the University of Illinois at Urbana-Champaign. Her research includes the design of high-frequency circuits such as amplifiers and voltage-controlled oscillators. She has also been involved in the development of large-signal models and noise models for high-speed HBTs. Ms. Chuang was the recipient of the 2006 Gregory E. Stillman Semiconductor Research Award.

Kurt Cimino received the B.S. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 2004, and is currently working toward the M.S. degree in electrical engineering at the University of Illinois at Urbana-Champaign. His research interests include the measurement and modeling of the smallsignal, large-signal, noise, and linearity properties of high-speed transistors, and the design of high-frequency amplifier and mixer circuits.

Mark Stuenkel received the B.S. degree in electrical engineering from North Carolina State University, Raleigh, in 2005, and is currently working toward the M.S. degree in electrical engineering at the University of Illinois at UrbanaChampaign. His current research involves the large-signal modeling of high-speed HBTs.

Milton Feng (SM’82–F’92) received the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 1979. From 1979 to 1983, he was Section Head of the Material and Device Group, Torrance Research Center, Hughes Aircraft Company, Torrance, CA. From 1984 to 1991, he was with Ford Microelectronics Inc., Colorado Springs, CO. He managed the Advanced Digital Integrated Circuit Development Program in 1K SRAM and 500 gate array. Later he was the Director of advanced development and fabrication on both digital and microwave/millimeter-wave development programs and manufacturing technology. Since 1991, he has been a Professor of electrical and computer engineering and a member of the faculty with the Center for Compound Semiconductor Microelectronics, University of Illinois at Urbana-Champaign. He is the cofounder of Xindium, Champaign, IL, and a Board member of Supertex, Sunnyvale, CA (NASDAQ), and Xindium. He has authored or coauthored over 120 journal papers and 130 conference papers. He holds seven patents in the areas of microelectronics, RF microelectromechanical systems (MEMS), and opto-electronics. His research interests include ion-implantation technology in III–V technology, opto-electronics integrated circuits (ICs), high-speed mixed-signal heterojunction bipolar transistor ICs, microwave/millimeter-wave ICs on material, RF MEMS devices, and RF CMOS technology. Dr. Feng was elected a Fellow of the Optical Society of America (OSA) in 2003. He was the recipient of the IEEE David Sarnoff Award in 1997 and the Dr. Pan Wen Yuan Award for outstanding contribution of noise in microelectronics. He was named the first Nick Holonyak, Jr. Professor of Electrical and Computer Engineering in 2000, and the first Nick Holonyak, Jr. Endowed Chair of Electrical and Computer Engineering in 2005.

Minh Le, photograph and biography not available at time of publication.

Raymond Milano, photograph and biography not available at time of publication.

3848

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

High-Efficiency Envelope-Tracking W-CDMA Base-Station Amplifier Using GaN HFETs Donald F. Kimball, Member, IEEE, Jinho Jeong, Member, IEEE, Chin Hsia, Paul Draxler, Member, IEEE, Sandro Lanfranco, Walter Nagy, Kevin Linthicum, Lawrence E. Larson, Fellow, IEEE, and Peter M. Asbeck, Fellow, IEEE

Abstract—A high-efficiency wideband code-division multiple-access (W-CDMA) base-station amplifier is presented using high-performance GaN heterostrucutre field-effect transistors to achieve high gain and efficiency with good linearity. For high efficiency, class J/E operation was employed, which can attain up to 80% efficiency over a wide range of input powers and power supply voltages. For nonconstant envelope input, the average efficiency is further increased by employing the envelope-tracking architecture using a wide-bandwidth high-efficiency envelope amplifier. The linearity of overall system is enhanced by digital pre-distortion. The measured average power-added efficiency of the amplifier is as high as 50.7% for a W-CDMA modulated signal with peak-to-average power ratio of 7.67 dB at an average output power of 37.2 W and gain of 10.0 dB. We believe that this corresponds to the best efficiency performance among reported base-station power amplifiers for W-CDMA. The measured error vector magnitude is as low as 1.74% with adjacent channel leakage ratio of 51.0 dBc at an offset frequency of 5 MHz. Index Terms—Efficiency, envelope elimination and restoration (EER), envelope tracking (ET), power amplifier.

I. INTRODUCTION N ADDITION to excellent linearity, high efficiency is essential for low-cost high reliability wideband code-division multiple-access (W-CDMA) base-station power amplifiers. Si LDMOS has been a popular transistor choice for base-station high-power amplifiers since LDMOS technology can provide reliable and cost-effective solutions [1]. However, in order to obtain better linearity and efficiency for third-generation (3G) wireless base stations, intense research on high-voltage GaAs heterojunction bipolar transistors (HBTs) [2] and field-effect transistors (FETs) [3], as well as GaN heterostructure

I

Manuscript received May 30, 2006; revised August 10, 2006. This work was supported in part by Nokia and by the University of California under the Discovery Grant Program. D. F. Kimball is with the California Institute for Telecommunications and Information Technology, University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]). J. Jeong, C. Hsia, L. E. Larson, and P. M. Asbeck are with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]; [email protected]). P. Draxler is with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92092 USA, and also with Qualcomm Inc., San Diego, CA 92121 USA. S. Lanfranco is with the Nokia Corporation, 90630 Oulu, Finland. W. Nagy and K. Linthicum are with the Nitronex Corporation, Raleigh, NC 27606 USA. Color versions of Figs. 9, 10, 12, and 13 are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.884685

field-effect transistors (HFETs) [4], [5] has been carried out. GaN HFETs can provide higher voltage operation and higher power density at microwave frequencies than other high power devices and thus are attractive for application to commercial high-power base stations. Recently, high-performance GaN HFETs on Si substrates (instead of the more customary sapphire or silicon–carbide substrates) have been reported, showing 150-W output power with high linearity and high reliability for W-CDMA base-station applications [5]. The use of this GaN power amplifier within an envelope-tracking (ET) architecture was recently reported by the authors in [6]. This paper presents performance characteristics of this amplifier system, which employs a dynamic supply voltage for efficiency enhancement. Section II introduces the configuration of the overall ET system. The high-efficiency performance of the RF power amplifier is analyzed by ADS simulations showing class J/E waveforms. Single-tone measurement results are also given in Section II. The design concept for the envelope amplifier is demonstrated in Section II, along with measurement results. In Section III, the measured performance of the overall ET power amplifier is illustrated including an instantaneous measurement of the RF stage efficiency, which demonstrates the essential characteristics of the ET amplifier-high-efficiency operation of the RF amplifier over a wide output power range. The power dissipation reduction within the transistor is also demonstrated resulting from the ET operation, which improves the reliability and longevity of the device. II. ET BASE-STATION AMPLIFIER A. Description of ET Systems The block diagram of the ET amplifier used in this study is shown in Fig. 1. The W-CDMA signal is generated in the digital domain, and consists of an envelope signal as well as in-phase (I) and quadrature (Q) IF signals. After up-conversion, the resultant RF signal provides the input to the RF amplifier, which is time varying (unlike the case for the envelope elimination and restoration (EER) architecture). The supply voltage for the RF amplifier is modulated by the amplified envelope signal through an efficient wideband envelope amplifier so that the RF amplifier keeps operating close to its saturated power region for all envelope amplitudes to improve average efficiency. The average efficiency of an ET power amplifier strongly depends on the peak-to-average power ratio (PAR) and probability density function (PDF) of the modulated input signal since the drain bias voltage varies in proportion to the amplitude of input

0018-9480/$20.00 © 2006 IEEE

KIMBALL et al.: HIGH-EFFICIENCY ENVELOPE-TRACKING W-CDMA BASE-STATION AMPLIFIER USING GaN HFETs

Fig. 1. Block diagram of ET base-station amplifier including signal generation and up/down conversion [6].

signal. It is challenging to maintain high efficiency over a wide range of drain bias due to the dependence of output capacitance and transconductance on drain voltage. As a result, the efficiency of the RF amplifier is usually optimized at the drain bias voltage corresponding to the maximum PDF in order to provide the maximum average efficiency under ET operation [7]. To deal with the high PAR problem, a de-cresting procedure (adjustment of the PAR of the input signal) was employed. This procedure was performed digitally on the envelope of the signal to optimize the efficiency, adjacent channel leakage ratio (ACLR), and error vector magnitude (EVM) performance. The original W-CDMA input signal with 9.8-dB PAR was de-crested to have a PAR of 7.67 dB [7]. This reduction of PAR helps to improve the average efficiency of the RF amplifier and limits the dynamic range of the envelope amplifier. The procedure was carried out in the digital domain as follows. For , where and a baseband input signal represent the amplitude and phase of the th time sample, is calculated from respectively, an error vector if otherwise where is the maximum amplitude of the target PAR. A new error vector corresponding to local envelope peaks is then obtained using

if otherwise.

and

This signal is passed through a low-pass filter to obtain . Finally, de-crested signal is generated by . This procedure can be iterated to achieve the desired PAR. The degradation of EVM and spectral shape due to de-cresting is minimized by optimizing the cutoff frequency of the low-pass filter. Half the chip rate was used for the bandwidth of low-pass filter in the W-CDMA signal. To minimize distortion by the time-delay difference between envelope and RF paths, which is known to be one of the major distortion mechanisms in EER or ET systems [8], synchronization is performed by maximizing the amplitude and phase correlation between the input and down-converted output signals [9]. In addition to the differential delay, there are other sources of nonlinearity in the ET amplifier such as AM–AM and AM–PM

3849

distortion created by the varying drain bias voltage. These are –AM and –PM distortion, respectively [10], and called are a result of the variation of the transconductance and output capacitance of the FET with drain bias. The distortion due to nonlinearity of the envelope amplifier itself can also degrade the linearity of the overall power amplifier. To minimize the distortion caused by the RF amplifier and envelope amplifier, pre-distortion is carried out in the digital domain. The pre-distorted input signal is created by the inverse function of the measured AM–AM and AM–PM characteristics of the overall ET system without separately correcting the –AM and –PM, as well as AM–AM and AM–PM of the envelope amplifier. To avoid gain collapse of the RF amplifier at low drain voltages, the envelope of the signal was also “detroughed” using an exponential function so that the minimum of drain bias is 3 V. B. RF Amplifier Using GaN HEMT An RF amplifier with high efficiency over a wide drain bias range is essential to the ET system. An Si-LDMOSFET amplifier typically exhibits a “peaky” drain efficiency (DE) as a function of the output power in ET applications for W-CDMA input signals due to the high-voltage dependence of the output capacitance, which degrades the average efficiency [7]. On the other hand, the GaN HFET shows a relatively small variation of output capacitance with respect to the drain voltage, as well as a smaller value of capacitance than Si-LDMOS [11]. Thus, the GaN FET is very well suited for ET applications since it can provide a higher efficiency over the full range of output power. In this study, a Nitronex GaN HFET was used for the highefficiency RF power amplifier. The device was fabricated on cm) Si substrate with the device a high-resistivity ( 10 structure shown schematically in Fig. 2(a). Two transistor die with 36-mm gatewidth each (for a total gatewidth of 72 mm) were employed to achieve 150-W peak output power. The gate length was 0.7 m. The implemented linear RF power amplifier with class AB bias showed a 150-W peak output power and maximum PAE of 65% under continuous wave (CW) operation at 2.14 GHz [5]. As will be shown later, maximum DE increased to 80% under ET operation as a result of reduced device temperature and optimized output tuning. Simulations were used to demonstrate how this RF amplifier could obtain that high-efficiency performance. For accurate simulation of the power amplifier, a nonlinear equivalent-circuit model for the GaN HFET was developed using the empirical large-signal model published in [12]. We slightly modified the equations to better describe the I–V curve around the knee voltage. The drain current is given , by is a function of the gate-to-source voltage (refer where to [12]). The parameter of the hyperbolic tangent function corresponds to a third-order polynomial function of the gate voltage , or , in order to better reflect the knee voltage variation with gate voltage. The simulation speed and convergence were also improved by adopting a simple approximate function for the nonlinear gate charge equation. The gate capacitance was represented in the initial model by a hyperbolic tangent function of

3850

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

(a)

(b) Fig. 2. (a) Nitronex GaN HFET device structure. (b) Comparison of I–V characteristics between measurement (circle) and model (solid line) for a 2-mm GaN FET. Gate bias was swept from 2.6 to 1.2 V with 0.2-V step. The I–V curves were measured on a pulsed basis at quiescent drain and gate voltage of 20 and 3 V, respectively.

0

0

0

gate voltage. We used a square root function to express the nonlinear gate charge on the basis that the integral of the hyperbolic can be approximated by . tangent Fig. 2(b) illustrates the excellent agreement between measured pulsed I–V and the predicted curves for a 2-mm GaN FET. Even though switching-mode amplifiers such as classes D, E, and F are known to provide high efficiency at gigahertz frequencies [13], they are often undesirable for ET applications due to their poor linearity performance. Instead, linear class AB amplifiers are usually employed in ET amplifiers. In this study, an amplifier that approximates class AB operation with quiescent current of 2.0 A was initially designed at 2.14 GHz with harmonic impedance tuning, considering the output capacitance of the RF transistor. In general, for class AB amplifiers, the second harmonic voltage component at the output is minimized with a short circuit to reduce the peak-to-peak drain-to-source voltage swing for a given fundamental component [13]. The GaN HFET used in this study has an output capacitance of 0.5 pF/mm. In practice, this output capacitor does not provide a good short circuit at harmonic frequencies, but rather presents a capacitive load. In [11], it was shown that a capacitive load at the second harmonic frequency can lead to a highefficiency waveform with a quasi-half-sine-wave voltage and

Fig. 3. Simulated output power (P out), gain, and DE as a function of input power at drain bias of 28 V and quiescent current of 2.0 A with fundamental load impedance Z of 0:45 + j 1:00 and harmonic open circuit.

half-sine-wave current at the drain of the transistor. The corresponding power amplifier with these ideal waveforms has been named “class J” and promises a maximum efficiency of 87% (even though voltage and current waveforms are both nonzero during 90 ). The class J amplifier is a quasi-linear amplifier with class AB bias condition, and it has the same harmonic load condition as the class E power amplifier. A class J amplifier was investigated with the GaN HFET in order to benefit from its high efficiency and simple harmonic impedance tuning. At the second and higher harmonic frequencies, the load impedance was designed to provide an open circuit so that the current source within the FET sees only the output capacitor (like a class E amplifier). To determine the optimum fundamental load impedance for a 72-mm GaN FET at 2.14 GHz, a harmonic-balance simulation was performed by sweeping the load impedance at a drain bias of 28 V. Fig. 3 shows the simulated DE and output power as a function of input power under . The maxthe optimum load impedance imum DE was 83.5% at an output power of 53.8 dBm. Note that the class J amplifier shows quasi-linear gain until the transistor saturates. The drain voltage and current waveforms are illustrated in Fig. 4 at the input power of 37.0 dBm, or 1.7-dB gain compression point, where the DE was 78.0%. The current shown corresponds only to the drain current source (output capacitance component is omitted). As can be seen, the drain voltage waveform represents a quasi-half-sine-wave and has a substantial overlap with the drain current. The transistor can be assumed to be a switch at this high input power, thus the operation resembles class E. However, the zero-voltage switching (ZVS) condition is not satisfied at the turn-on instant. Generally, it is difficult to satisfy the ZVS condition over a wide drain bias range of the ET system with the constraints of a fixed conduction angle, which is determined by the gate bias, and output capacitance. With the current design (unlike the prototype class J [11]), there is a large drop in the drain current waveform when the voltage goes close to zero. This drop in the current waveform reduces the fundamental current component and, in turn, the output power. However, it does not reduce the efficiency since the drop also decreases the dc component of current. It is found from the simulation that efficiency actually increases due to this

KIMBALL et al.: HIGH-EFFICIENCY ENVELOPE-TRACKING W-CDMA BASE-STATION AMPLIFIER USING GaN HFETs

3851

Fig. 6. Circuit schematic of RF amplifier using a 72-mm GaN HFET [6]. Fig. 4. Simulated drain current and drain voltage waveforms at an input power of 37.0 dBm and DE of 78.0%.

Fig. 7. Power spectrum of W-CDMA envelop signal [14].

Fig. 5. DE at various drain biases as a function of input power. Dots represent the operating points under ET operation. Also shown is the probability density of W-CDMA input signal.

effect. In summary, the class J amplifier with the tuning achieved in this study provides linear performance at low input power due to the class AB bias and high efficiency at high input power due to class E-like operation. As mentioned earlier, for high-efficiency ET operation, the RF power amplifier should provide high efficiency over a wide range of drain bias. Thus, the PDF of the input signal should be taken into account in the design of the RF amplifier. Fig. 5 illustrates the efficiency calculated at various drain biases as a function of input power together with the PDF of the W-CDMA input signal. The dots on the efficiency curves represent the operating point of the ET system obtained from the relationship between input power and drain bias. Note that the maximum drain bias was set to 30 V and the corresponding input power was 30 dBm. For input powers less than 19 dBm, the drain bias voltage was kept constant at 3 V. As shown in this figure, the amplifier provides high efficiency over a wide range of input powers for which the probability is greatest for W-CDMA signals. This relationship results in high average efficiency for ET operation. A higher input power can be used to get higher efficiency, but it results in degraded linearity performance. The operating point represented with dots in this figure corresponds to more than 2-dB gain compression for drain bias higher than 10 V. This combination of input power and drain bias shows a simulated ACLR of 36 dB (without any additional linearization).

Fig. 8. Block diagram of a proposed wideband high-efficiency envelope amplifier [14].

Simulation of the overall ET system was performed using Agilent’s ADS pTolemy. Drain bias was varied according to the input power from 3 to 30 V in a manner similar to the measured results using an ideal envelope amplifier (represented by a behavioral model). A de-crested WCDMA input signal with PAR of 7.67 dB and average input power of 2.0 W was used. The simulated ET power amplifier with an ideal envelope amplifier showed an average output power of 41.7 W and average DE as high as 79.8%. Note that no loss within the output matching circuit was included in the above simulations. Experimentally, an RF power amplifier was built on a 30-mil-thick substrate, as shown in Fig. 6. To provide the proper impedance to both of the input and output of the FET,

3852

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 9. Measured: (a) AM–AM performance [6] and (b) AM–PM performance. (left) Before pre-distortion. (right) After pre-distortion.

internal matching circuits were included in the Cu/W ceramic package. Impedance matching via microstrip lines and shunt capacitors was then used on the input and output to meet 50terminal impedances, as shown in Fig 6. As stated earlier, the amplifier showed a 150-W peak output power and maximum PAE of 65% under CW operation at 2.14 GHz. Note that the device temperature under CW operation will be much higher than in ET measurements, which can degrade the CW performance. When measured with constant drain voltage (and ET is not employed), the DE with fixed drain bias of 28 V was as high as 25% at 39-dBc ACLR with a WCDMA signal input (test model 1 with 64 users, PAR of 8.5 dB at 0.1% probability and 9.8 dB at 0.01% probability). The corresponding output power was 19 W and the gain was 15.0 dB at the center frequency of 2.14 GHz [5]. C. Wideband High-Efficiency Envelope Amplifier Since the envelope signal is related to the I and Q baseband signal in nonlinear fashion, its bandwidth is larger than that of the individual I and Q signals. As a result, conventional dc–dc converters with narrow bandwidth cannot be used for this application. The power spectral density of a W-CDMA envelope

signal is shown in Fig. 7. It illustrates that nearly 85% of envelop power lies between dc and 300 kHz. This spectrum suggests a design methodology for a high-performance envelope amplifier, where dc and low-frequency power is supplied from a very efficient source, and high-frequency power is supplied from a high-fidelity source. Based on this concept, a high-efficiency wideband envelope amplifier was developed, as shown in Fig. 8. It comprises a linear stage to provide a wideband voltage source and, in parallel, a switching stage to provide an efficient current supply based on the buck dc–dc converter topology [14]. The average switching frequency of the nMOS switch is approximately 1.28 MHz with a W-CDMA input signal. This switching frequency was optimized for best efficiency performance by changing the inductor and hysteresis value of the comparator. The output voltage of the envelope amplifier follows the input envelope signal with assistance of a linear operational amplifier with a voltage gain of 11. The current is supplied to the drain of the RF amplifier from both the linear and switching stages through a current feedback element, which senses the current flowing out of the linear stages and turns the switch on and off [14], [15]. The linear stage provides the difference between the

KIMBALL et al.: HIGH-EFFICIENCY ENVELOPE-TRACKING W-CDMA BASE-STATION AMPLIFIER USING GaN HFETs

3853

TABLE I SUMMARIZED PERFORMANCE OF ET POWER AMPLIFIER ACCORDING TO THE DIGITAL PRE-DISTORTION. DE: DRAIN EFFICIENCY, ACLR1 AND ACLR2: ACLR AT 5- AND 10-MHZ OFFSET, RESPECTIVELY

Fig. 10. Measured spectrum of W-CDMA output signal before and after digital pre-distortion [6].

desired output current and the current provided by the switching stage so that the overall error is minimized. Measurement of the high-voltage envelope amplifier used in this study shows efficiency of 76.7% for W-CDMA signals with peak output voltage of 29.5 V and root-mean-square (rms) voltage of 13.8 V and EVM less than 41.2 dB.

Fig. 11. Measurement of instantaneous performance of RF power amplifier.

III. MEASUREMENT RESULTS OF ET AMPLIFIER WITH W-CDMA SIGNALS The overall ET amplifier was measured with single-carrier W-CDMA signals of 3.84-MHz bandwidth. The PAR of the input signals was 7.67 dB. Fig. 9 shows the measured AM–AM and AM–PM performance before and after pre-distortion. The relatively low scatter for the different values of input power indicates a low memory effect in amplitude. The overall excursion in phase (two degrees rms) indicates much lower AM–PM distortion compared to typical results with Si-LDMOS amplifiers, probably as a result of lower drain–source capacitance. As shown in this figure, digital pre-distortion is effective in linearizing the AM–AM and AM–PM characteristics. Its effect is more apparent from the measured output spectrum shown in Fig. 10, where ACLR is improved by 15.0 and 12.0 dB at 5and 10-MHz offset, respectively, through digital pre-distortion. The average power-added efficiency (PAE), including dissipation in the envelope amplifier, was found to be as high as 50.7% with average output power of 37.2 W. This is the highest efficiency among reported single-stage W-CDMA base-station power amplifiers. The gain and EVM were 10.0 dB and 1.74% after pre-distortion, respectively. ACLR was also measured to be 51.0 and 58.0 dBc at 5- and 10-MHz offset frequency. Table I summarizes the measured performance of the ET amplifier. The instantaneous dc voltage and current at the output of the envelope amplifier were probed using a high-speed digitizing oscilloscope, as shown in Fig. 11, under conditions roughly comparable to those used for best efficiency, in order to verify the efficiency of the RF amplifier itself. Parasitics and limitations of the measurement system, however, caused the overall DE to drop to 45.2%. Time-delay differences between

Fig. 12. Measured instantaneous: (a) drain bias voltage V current I versus RF output voltage magnitude.

and (b) drain bias

instantaneous voltage and current waveforms were calibrated to permit accurate calculation of RF power-amplifier characteristics. Fig. 12(a) and (b) illustrates the drain bias voltage and drain bias current versus RF output voltage magnitude, respectively. Bias currents have scatter and occasional negative

3854

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

model may have been inaccurate (since the model was extracted from pulsed I–V measurements at a quiescent drain voltage of 20 V and output capacitance was assumed constant with respect to drain voltage.) The simulation shows the output power of 28.8 W with DE of 73.7% PAE of 68.6%. The dissipated power within the envelope amplifier and RF amplifier are calculated to be 16.8 and 10.8 W, respectively. For constant drain operation, the power dissipation inside the RF amplifier can be calculated to be 57.8 W from the given data in Section II-B. Therefore, the total transistor power dissipation is reduced dramatically resulting from: 1) the high-efficiency operation of the ET amplifier and 2) the fact that the generated heat is distributed between the envelope amplifier and RF amplifier. The reduced junction temperature of GaN FET is expected to improve its performance in terms of output power, as well as reliability and longevity. IV. SUMMARY AND CONCLUSIONS

Fig. 13. (a) Contours of measured instantaneous DE of RF power amplifier as a function of output power. Also shown is a histogram of the output power for the WCDMA signal. (b) Simulated instantaneous DE of RF power amplifier as a function of output power.

In this paper, a W-CDMA base-station power amplifier using GaN HFETs on Si substrates was presented with very high average efficiency of 50.7%, together with average output power of 37.2 W and gain of 10.0 dB. The amplifier also showed good linearity corresponding to EVM of 1.74% and good ACLR. This high efficiency and excellent linearity is attributed to the high performance of both the GaN HFET power amplifier and the envelope amplifier. Detailed waveform analysis showed that a class J/E amplifier could provide linear and high-efficiency performance for the high-power GaN device. By combining a wideband linear stage and a high-efficiency switch stage, the envelope amplifier provided high efficiency with good signal integrity. This study has demonstrated that ET power amplifiers using GaN HFETs are promising candidates for next-generation wireless communications. ACKNOWLEDGMENT

values caused by the displacement current of drain capacitors. It was found that the drain impedance of the RF power amplifier is around 4.45 in the high drain bias range. The measured instantaneous DE of the RF amplifier is shown in Fig. 13(a) as a function of output power. A histogram of output power for the WCDMA signal is also included. The efficiency is presented as a contour plot since the measured instantaneous values of dc and RF input power were not single-valued functions of the output power. The DE reached a maximum above 80% (as expected for a class J/E operation), and remained above 70% over a 10-dB power range, as expected from the use of ET. These measurements were carried out for an average output power of 21.6 W, for which the DE of the RF amplifier, averaged over the W-CDMA output, was calculated to be 71.2%, and corresponding PAE was 64.4%. To further analyze the experimental results, a simulation of the ET amplifier similar to the one reported in Section II-B, including realistic estimates of the matching circuits, was used . to provide the fundamental load impedance of The simulation was found to predict the amplifier characteristics quite well, as shown in Fig. 13(b). There is some discrepancy in the simulated DE at low output power. We believe this occurred because, at the low drain biases involved, the device

The authors thank Dr. D. Root, Agilent Technologies, Santa Clara, CA, for valuable discussions on the nonlinear device model of the GaN FET. REFERENCES [1] H. Brech, W. Brakensiek, D. Burdeaux, W. Burger, C. Dragon, G. Formicone, B. Pryor, and D. Rice, “Record efficiency and gain at 2.1 GHz of high power RF transistors for cellular and 3 G base station,” in IEEE Int. Electron Devices Meeting, Dec. 2003, pp. 359–362. [2] P. Kurpas, F. Brunner, R. Doerner, B. Janke, P. Heymann, A. Maasdorf, W. Doser, P. Auxemery, H. Blanck, D. Pons, J. Wuri, and W. Heinrich, “High-voltage GaAs power-HBTs for base-station amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Dec. 2001, pp. 633–636. [3] M. Nagahara, K. Inoue, S. Sano, H. Takahashi, and S. Takase, “A 28 V 250W GaAs power FET with high gain of 15.5 dB for W-CDMA base stations,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 983–985. [4] K. Joshin, T. Kikkawa, H. Hayashi, T. Maniwa, S. Yokokawa, M. Yokoyama, N. Adachi, and M. Takikawa, “A 174 W high-efficiency GaN HEMT power amplifier for W-CDMA base station applications,” in IEEE Int. Electron Devices Meeting, Dec. 2001, pp. 633–636. [5] W. Nagy, S. Singhal, R. Borges, J. W. Johnson, J. D. Brown, R. Therrien, A. Chaudhari, A. W. Hanson, J. Riddle, S. Booth, P. Rajagopal, E. L. Piner, and K. J. Linthicum, “150 W GaN-on-Si RF power transistor,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 483–486. [6] D. Kimball, P. Draxler, J. Jeong, C. Hsia, S. Lanfranco, W. Nagy, K. Linthicum, L. Larson, and P. Asbeck, “50% PAE WCDMA basestation amplifier implemented with GaN HFETs,” in Compound Semicond. Integr. Circuit Symp., Oct. 2005, pp. 89–92.

KIMBALL et al.: HIGH-EFFICIENCY ENVELOPE-TRACKING W-CDMA BASE-STATION AMPLIFIER USING GaN HFETs

[7] P. Draxler, S. Lanfranco, D. Kimball, C. Hsia, J. Jeong, J. Van de Sluis, and P. M. Asbeck, “High efficiency envelope tracking LDMOS power amplifier for WCDMA,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1534–1537. [8] F. H. Raab, “Intermodulation distortion in Kahn-technique transmitter,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2273–2278, Dec. 1996. [9] F. Wang, A. Yang, D. Kimball, L. Larson, and P. Asbeck, “Design of wide bandwidth envelope tracking power amplifiers for OFDM applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1244–1255, Apr. 2005. [10] A. Diet, C. Berland, M. Villegas, and G. Baudoin, “EER architecture specifications for OFDM transmitter using a class E amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 8, pp. 389–391, Aug. 2004. [11] S. C. Cripps, “RF power amplifiers: New thoughts on an old subject,” presented at the IEEE Radio Wireless Symp., Jan. 2006, short course notes. [12] P. M. Cabral, J. C. Pedro, and N. B. Carvalho, “Nonlinear device model of microwave power GaN HEMTs for high power-amplifier design,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2585–2592, Nov. 2004. [13] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 1999. [14] T. Marra, D. Kimball, J. Archambault, W. Haley, and J. Thoreback, “Envelope tracking efficiency enhancement for CDMA base station high power amplifier,” presented at the IEEE Power Amplifiers for Wireless Commun. Top. Workshop, 2002. [15] F. Wang, D. Kimball, J. Popp, A. Yang, D. Y. Lie, P. M. Asbeck, and L. E. Larson, “Design of wide bandwidth hybrid envelope elimination and restoration power amplifiers for wideband OFDM applications,” IEEE Trans. Microw. Theory Tech., 2006, submitted for publication.

Donald F. Kimball (S’82–M’83) was born in Cleveland, OH, in 1959. He received the B.S.E.E. degree (suma cum laude) with distinction and M.S.E.E. degree from The Ohio State University, Columbus, in 1982 and 1983, respectively. From 1983 to 1986, he was a TEMPEST Engineer with the Data General Corporation. From 1986 to 1994, he was an Electromagnetic Compatibility Engineer/Manager with Data Products New England. From 1994 to 1999, he was a Regulatory Product Approval Engineer/Manager with Qualcomm Inc. From 1999 to 2002, he was a Research and Technology Engineer/Manager with Ericsson Inc. Since 2003, he has been a Principal Development Engineer with Cal(IT)2, University of California at San Diego, La Jolla. He holds four U.S. patents with two patents pending associated with high-power RF amplifiers (HPAs). His research interests include HPA EER techniques, switching HPAs, adaptive digital predistortion, memory-effect inversion, mobile and portable wireless device battery management, and small electric-powered radio-controlled autonomous aircraft.

Jinho Jeong (S’00–M’05) was born in Jinju, Korea, in 1973. He received the B.S., M.S., and Ph.D. degrees in electrical engineering from Seoul National University, Seoul, Korea, in 1997, 1999 and 2004, respectively. Since 2004, he has been a Post-Doctoral Scholar with the University of California at San Diego, La Jolla. His research interests include millimeter-wave power combiners, large-signal modeling of microwave transistors and monolithic microwave integrated circuit (MMIC)/opto-electronic integrated circuit (OEIC) design. He is currently involved with research on high-efficiency/high-linearity power amplifiers for wireless communications such as ET/EER amplifiers, CMOS power amplifiers, and digital transmitters.

3855

Chin Hsia was born in Taipei, Taiwan, R.O.C., in 1975. He received the M.Sc. (Eng.) degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1999, and is currently working toward the Ph.D. degree at the University of California at San Diego (UCSD), La Jolla. In 2001, he joined MBT Corporation, where he was involved in the field of optical microelectromechanical systems (MEMS). From 2002 to 2004, he was with the Industrial Technology Research Institute, Hsinchu, Taiwan, R.O.C., where he was involved with their nanosystem metrology project. Since 2004, he has been a Research Assistant with UCSD. His research interests include RF and analog circuit design for diverse fields such as MEMS, power supply systems, and high-efficiency transmitters for wireless communications.

Paul Draxler (S’81–M’84) received the B.S.E.E. and M.S.E.E. degrees (with a special focus on electromagnetics, RF and microwave circuits, antennas, and plasma physics) from the University of Wisconsin–Madison, in 1984 and 1986, respectively, and is currently working toward the Ph.D. degree at the University of California at San Diego (UCSD), La Jolla. Upon graduation, he designed hybrid and GaAs MMIC power-amplifier circuits with the Hughes Aircraft Company and Avantek. From 1988 to 1995, he held various positions with EEsof and HP-EEsof, where he focused on RF and microwave computer-aided engineering: custom design environments, nonlinear modeling, and electromagnetic simulation. In 1995, he joined Qualcomm Inc., San Diego, CA, to lead a team focused on RF computer-aided engineering. In this role, he has provided consulting to many design teams on system and circuit simulation, electromagnetic modeling, and board- and chip-level design methodologies. He is currently a Principal Engineer in corporate research and development with Qualcomm Inc. He has authored or coauthored numerous symposia and trade journal papers on electromagnetic simulation, circuit simulation, and system simulation. He has three patents pending.

Sandro Lanfranco, photograph and biography not available at time of publication

Walter Nagy, photograph and biography not available at time of publication

Kevin Linthicum, photograph and biography not available at time of publication

Lawrence E. Larson (S’82–M’86–SM’90–F’00) received the B.S. and M. Eng. degrees in electrical engineering from Cornell University, Ithaca, NY, in 1979 and 1980, respectively, and the Ph.D. degree in electrical engineering and Masters of Business Administration (MBA) degree from the University of California at Los Angeles (UCLA), in 1986 and 1996, respectively. From 1980 to 1996, he was with Hughes Research Laboratories, Malibu, CA, where he directed the development of high-frequency microelectronics in GaAs, InP, and Si/SiGe and MEMS technologies. In 1996, he joined the faculty of the University of California at San Diego (UCSD), La Jolla, where he is the Inaugural Holder of the Communications Industry Chair. He is currently Director of the UCSD Center for Wireless Communications. During the 2000–2001 academic years, he was on leave with IBM Research, San Diego, CA, where he directed the development of RF integrated circuits (RFICs) for

3856

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

3G applications. He has authored or coauthored over 225 papers. He holds 31 U.S. patents. Dr. Larson was the recipient of the 1995 Hughes Electronics Sector Patent Award for his work on RF MEMS technology. He was corecipient of the 1996 Lawrence A. Hyland Patent Award of Hughes Electronics for his work on lownoise millimeter-wave high electron-mobility transistors (HEMTs), the 1999 IBM Microelectronics Excellence Award for his work in Si/SiGe HBT technology, and the 2003 Custom Integrated Circuits Best Invited Paper Award.

Peter M. Asbeck (M’75–SM’97–F’00) received the B.S. and Ph.D. degrees from the Massachusetts Institute of Technology (MIT), Cambridge, in 1969 and 1975, respectively. His professional experience includes working with the Sarnoff Research Center, Princeton, NJ, and Philips Laboratory, Briarcliff Manor, NY. In 1978, he joined the Rockwell International Science Center, Thousand Oaks, CA, where he was involved in the development of high-speed devices and circuits using III–V compounds and heterojunctions. He pio-

neered the effort to develop HBTs based on GaAlAs/GaAs and InAlAs/InGaAs materials. In 1991, he joined the University of California at San Diego, La Jolla, as a Professor with the Department of Electrical and Computer Engineering, where he is currently the Skyworks Chair in electrical engineering. He has authored or coauthored over 220 publications. Dr. Asbeck is a Distinguished Lecturer of the IEEE Electron Devices Society and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He was recipient of the 2002 IEEE Sarnoff Award for his pioneering development of GaAs-based HBT technology.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

3857

A 17-GHz Push–Push VCO Based on Output Extraction From a Capacitive Common Node in GaInP/GaAs HBT Technology Hyunchol Shin, Member, IEEE, and Jongsik Kim, Student Member, IEEE

Abstract—This paper presents a new push–push voltage-controlled oscillator (VCO) technique that extracts a second harmonic output signal from a capacitive common node in a negativeoscillator topology. The generation of the second harmonics is accounted for by the nonlinear current–voltage characteristic of the emitter–base junction diode causing: 1) significant voltage clipping and 2) different rise and fall times during the switching operation of the core transistors. Comparative investigations show the technique is more power efficient in the high-frequency region than a conventional push–push technique using an emitter common node. A prototype 17-GHz VCO realized in GaInP/GaAs HBT technology produces an output power of 6 dBm and a phase noise of 110.4 dBc Hz at 1-MHz offset, which is equivalent to a VCO figure-of-merit of 184.3 dBc Hz, while drawing 4.38 mA from a 3.0-V supply. Index Terms—GaInP/GaAs heterojunction bipolar transistors, monolithic microwave integrated circuits (MMICs), voltage-controlled oscillator (VCO).

I. INTRODUCTION

M

ONOLITHIC microwave integrated circuit (MMIC) voltage-controlled oscillators (VCOs) are one of the most essential circuit blocks in microwave integrated transceivers targeting high operating frequency, low power consumption, and small form factor. As the operating frequency of MMIC VCOs increases up to several tens of gigahertz, a number of circuit issues arise, such as: 1) the LC tank must use sub-nanohenry inductors that would suffer from severe process variations and be more susceptible to parasitic effects; 2) high current consumption must be used to compensate rapid degradation of the tank quality factor ( factor); and 3) unwanted coupling of the VCO signal to other parts of transceiver increases. These issues can be mitigated by lowering the operating frequency of the VCO core while extracting a higher frequency output signal. An extra frequency doubler combined with a VCO may be a good candidate to achieve the goal, but this approach increases the circuit complexity, as well as its current

Manuscript received December 29, 2005; revised July 24, 2006. This work was supported by the Korea Ministry of Information and Communication under the University Information Technology Research Center (ITRC) and under Kwangwoon Research Grant 2005. The authors are with the Radio Science and Engineering Department, Kwangwoon University, Seoul 139-701, Korea (e-mail: [email protected]). Color versions of Figs. 11, 12, and 14 are available online at http://ieeexplore. ieee.org. Digital Object Identifier 10.1109/TMTT.2006.885080

consumption [1], [2]. Another attractive architecture for this purpose is a push–push VCO. A push–push VCO is formed by combining two balanced circuits in such a way that the fundamental frequency signals are cancelled out while their second harmonic components are constructively summed and delivered to a load. Due to the fact that the VCO core operates only at half of the output frequency, several advantages are expected such as potentially less power consumption, higher factor, less process variation of the LC tank, and less pulling effects due to the separation of the VCO core and output frequency. Depending on the node at which the balanced signals are summed, three architectural variations can be found in the literature. The extracting node can be the collector common node [3], [4], base common node [5], and emitter common node [6]. differential oscillator with Meanwhile, a negativecross-coupled transistors is one of the most preferred topologies in integrated circuit VCO implementations because it has the advantages of inherent differential signaling and effective common mode noise rejection. This topology can be also used as a push–push oscillator by taking the output signal from the emitter common node [7]. In this paper, we propose a new push–push output extraction technique that takes an output signal from a capacitive common node of the cross-coupled transistors in a negative- oscillator. The operating principles and architectural advantages in terms of power efficiency are extensively investigated. Implementation of a 17-GHz MMIC VCO based on the proposed concept is presented as an enhancement of our previous 12-GHz VCO [8]. II. ARCHITECTURE AND OPERATING PRINCIPLE A. Architecture The circuit schematic of a conventional negative- differential oscillator is shown in Fig. 1. The cross-coupled transistors to compensate the tank loss. ( and ) generate a negative and The capacitive voltage divider, composed of (from ), is designed to attain a loop gain of approximately three in order to maximize the tank swing and simultaneously optimize the signal amplitudes at the base nodes. The VCO’s phase noise degrades rapidly when the base–voltage swing becomes larger than a certain optimum value and, consequently, the transistors enter the deep saturation region [9]. The common node CN of the capacitive voltage dividers can be regarded as a virtual ground for the fundamental frequency ( ) just like the emitter common node EN, but it is thought that

0018-9480/$20.00 © 2006 IEEE

3858

Fig. 1. Circuit schematic of a negative-g

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

differential VCO.

the CN node could be a very effective summing node for the ) of the fundamental signals ( , ) second harmonics ( of the VCO core. Note that the CN node can be regarded as a base common node compared with the conventional emitter or collector common node. This architecture is advantageous since oscillator circuit without it uses the conventional negativeany modifications to the original circuit. In this paper, we will investigate the detailed operating principles and compare the CN node with the more conventional push–push EN node in terms of power efficiency.

Fig. 2. Current and voltage waveforms at the base terminal. An assumed ideal sinusoidal waveform is provided via a dashed line to illustrate the significant distortion at the upper part of the base–emitter voltage waveform.

B. Operating Principle The mechanisms that are responsible for second harmonic generation at the VCO core are investigated to understand the circuit operation. The first mechanism is the nonlinear switching characteristics of the base–emitter junction diode. Fig. 2 shows ) and the simulated waveforms of the base–emitter voltage ( . The base current leads the the base input current ( ) of base–emitter voltage by 90 due to the base input capacitance. Significant clipping can be seen in the upper half period of when compared with an assumed dashed-line ideal waveform. The distortion is due to the exponential current–voltage relationship of the base–emitter junction diode. Fig. 3 illustrates is distorted via conceptually how the upper half-period of voltage clipping when the base current is relatively large. The second mechanism is due to the difference in time constants involved in charging and discharging capacitive elements in the circuit. Although the circuit operates quite nonlinearly, a linear circuit analysis could provide an intuitive picture. The time constant at the base–emitter nodes is given by (1) is the base–emitter where is the base input resistance and is high capacitance. As shown in Fig. 4, in region I, where is small, the corresponding is small, resulting and, thus, in a fast rise time, and vice versa in region II. As a result, becomes apparently asymmetric.

Fig. 3. Distortion generation due to the exponential current–voltage relationship of the base–emitter junction diode.

These two mechanisms together contribute to the second harmonic generation in the base–voltage waveform. At the node CN, the fundamental components of the base voltages are cancelled out due to the 180 phase difference and only the second harmonic components are added constructively. The process can be mathematically expressed if the voltage waveforms at and are given by the base nodes of

(2) where

is the amplitude at the fundamental frequency and is the second harmonic component. The output voltage at the node CN will then be (3) ) at the base Note that the second harmonic component ( nodes must have the same amplitude as that at the collector and . This is because the ’s at nodes of transistors

SHIN AND KIM: 17-GHz PUSH–PUSH VCO BASED ON OUTPUT EXTRACTION FROM CAPACITIVE COMMON NODE IN GaInP/GaAs HBT TECHNOLOGY

3859

Fig. 6. Second harmonic generation mechanism at the EN node.

Fig. 4. Difference in the rise and fall times of the base–voltage waveform.

being turned off depending on the base input voltages. Fig. 6 is configured as an emitter foldepicts this behavior where lower and is turned off. The efficiency of the EN node will , which be determined by the corresponding voltage gain is given by (4)

Fig. 5. Simulated voltage waveforms at the base nodes, CN node, and EN node.

the collector nodes are common mode each other. The amplitudes of the common-mode signals are not divided down by the and . By contrast, the differential-mode capacitive divider and are reduced to by the fundamental signals same capacitive divider. Therefore, the CN node is capable of extracting all the available second harmonic components very efficiently from the tank without any loss. Fig. 5 shows the simulated voltage waveforms at the base nodes, CN node, and EN node. It is clearly illustrated that the CN node effectively extracts the second harmonic output as explained thus far. The EN node also performs the same function, though the second harmonic output amplitude is much smaller than that of the CN node. III. POWER EFFICIENCY SIMULATION COMPARISON The mechanism of second harmonic generation at the EN node is completely different from that at the CN node. For a differential pair composed of two transistors, each transistor alternates between being configured as an “emitter follower” and

is the equivalent output impedance of the current where is the impedance looking into the emitter node. source and is much smaller than . Thus, the gain will increase if While comparing the efficiencies of the second harmonic generation at the CN and EN nodes, the output amplitude at normalized by the fundamental swing amplitude at the tank is chosen here as a figure-of-merit (FoM). In the current-limited operation regime of a negative- cross-coupled oscillator, the tank amplitude at the fundamental frequency ( ) is approx, where is the bias current and is the imated to equivalent parallel resistance of the LC tank [10]. Thus, the fundamental amplitude at the tank is proportional to the dc current consumption under a given tank factor. Therefore, the noramplitude at the output by the amplitude at the malized amplitude tank can equivalently indicate how efficiently the can be obtained at a given dc power consumption. Fig. 7 compares the normalized output amplitudes at the two nodes for three different tank factors (5, 10, 20) at the output frequencies of 10 and 20 GHz. During simulations, no buffer amplifier was used to avoid additional loading, and the tank swing was increased only by increasing the tail current. As can be seen, the CN node creates substantially larger voltage amplitude than the EN node over the entire range of tank swings and factors. The amplitude difference reaches as high as 180% at 10 GHz and 330% at 20 GHz, as shown in Fig. 7(a) and (b), respectively. Efficiencies can be affected when a buffer amplifier imposes extra loading on the EN and CN nodes. In addition, the impedance ( ) of the tail current source also affects the total loading. Thus, the normalized amplitudes are examined for different loading conditions; two buffer–amplifier input imped) and three current–source load impedances ( ). ances (

3860

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 7. Normalized output amplitude versus the tank swing with the tank factors of 5, 10, and 20 at the output frequencies of: (a) 10 and (b) 20 GHz.

Q

The different loading impedances are realized by changing the emitter sizes of the corresponding HBTs. Assuming the use of common emitter buffer amplifiers; a high-impedance buffer ( ) with m amplifier and a low impedance buffer amplifier ( ) with m were used for the simulations (see Fig. 8(a) and (b), respectively). For each case, three were also compared: high different impedances of ( ) with m , medium ( ) with m , and low ( ) with m . The impedances shown above in parenthesis are the equivalent impedances at an output frequency of 20 GHz. Transistors with further smaller emitter sizes are excluded from this comparison because their current densities may exceed a tolerable level. The tank factor and the tank amplitude are fixed at 10 and 650 mV, respectively, for this simulation. Fig. 8 shows that the CN node almost always generates larger amplitude than the condition EN node. The only exception occurs for the highat 10 GHz, even for which the efficiency of the CN and EN nodes are found to be almost equal. The investigations thus far confirm that the CN node is generally more efficient than the EN node under typical loading conditions and becomes even better in the high-frequency region.

Fig. 8. Normalized output amplitude versus the output frequency with two different buffer amplifier loading conditions. (a) Relatively high Z with A = F 1 2 4 m . (b) Relatively low Z with A = F 1 2 10 m . For each case, three different current mirror loading conditions 2 20 m , medium Z with are compared: low Z with A = F 2 A = F 2 2 10 m , and high Z with A = F 1 2 10 m .

2

2 2

2 2

2

2 2

2 2

Similar comparisons using three different tank factors (6, 10, 20) were also examined in Fig. 9. Here, the tank core amis also fixed at a low value plitude is fixed at 650 mV and m ). Three different buffer amplifier loads ( (no loading); were considered, which are: 1) infinite 2) high ( m ); and 3) low ( m ). Although the amplitude difference , it is clear that the CN node shows decreases with smaller superior normalized amplitude compared with the EN node for all factors, output frequencies, and buffer loadings . Figs. 8 and 9 interestingly illustrate that as the output frequency increases, the CN-node efficiency improves whereas the EN-node efficiency degrades. It is because as the frequency in) at the trancreases, the second harmonic component ( sistor core generally increases due to the inherent nonlinearity of transistors at high frequencies whereas the voltage gain [ in (4)] tends to drop due to the intrinsic capacitances involved in . Therefore, the CN node becomes more attractive than the EN node for a push–push VCO in the microwave frequency region.

SHIN AND KIM: 17-GHz PUSH–PUSH VCO BASED ON OUTPUT EXTRACTION FROM CAPACITIVE COMMON NODE IN GaInP/GaAs HBT TECHNOLOGY

3861

Fig. 10. Circuit schematic of the 17-GHz VCO extracting the output signal from the capacitive common node CN.

Fig. 9. Normalized output amplitude versus the output frequency with different with A = loading conditions. (a) No loading. (b) Relatively high Z F 1 2 4 m . (c) Relatively low Z with A = F 1 2 10 m .

2 2

2 2

a collector current of 5 mA. For low phase noise, base–voltage and to swing is optimized at 200 mV by choosing is 1.29 pF. In this condition, the be 404 fF, assuming single-ended voltage swings at the tank ( and ) and the node CN are simulated to be 730 and 120 mV, respectively. The phase noise for an output frequency of 17.5 GHz is also simulated to be 110.1 dBc Hz at an offset frequency of 1 MHz. The second harmonic output is extracted from the CN node ) biased by a conthrough a cascode buffer amplifier ( , bestant current source ( ). As the ac blocking resistor comes larger, the output power increases while the phase noise was chosen to be 1 k . degrades. Thus, an optimal value of For measurements, an external bias tee is used as a load for the buffer amplifier to drive 50 . The buffer amplifier was designed to have a voltage gain of 10.5 dB at 17.5 GHz; however, it actually operates as a voltage limiter delivering an output power of 6.7 dBm. Layout symmetry was carefully maintained in order to suppress any possible direct feed-through of the fundamental tone toward the output port. B. Implementation Results

IV. DESIGN AND IMPLEMENTATION RESULTS A. Circuit Design A 17-GHz MMIC VCO is designed to prove the concept of the new push–push output extraction technique from the capacitive common node CN. Fig. 10 shows the circuit schematic. It differential oscillator and a cascode consists of a negativeoutput signal from the output buffer amplifier to take the node CN. A spiral inductor of 0.42 nH with a factor of 16.35 . Reverse-biased base–collector at 8.5 GHz is used for the m junction capacitance of an HBT with is employed as the varactor diode , whose capacitance varies from 108.2 to 129.4 fF. The LC tank is resonant at around 8.5 GHz. ) with an emitter size of Cross-coupled HBTs ( , generation. The 1 2 4 m are used for the negativeHBT has a peak of 56 GHz and a peak of 105 GHz at

The VCO was fabricated using GaInP/GaAs HBT technology. Fig. 11 shows a die photograph of the MMIC VCO, where the total area is 730 730 m including pads and output buffer. The VCO core and the output buffer draws 4.38 and 10.52 mA from a 3.0-V supply, respectively. The VCO was measured at a wafer level using RF probes. Output spectrum and phase-noise performances were measured using an Agilent E4440A spectrum analyzer with a phase-noise measurement -band operation of the VCO clearly utility. Successful demonstrates the functionality of the new push–push output extraction technique. Fig. 12(a) shows the measured output spectrum at 17.912 GHz. The phase noise is 110.4 and 99.9 dBc Hz at offset frequencies of 1 MHz and 100 kHz, respectively, as shown in Fig. 12(b). Fig. 13 shows the measured tuning characteristics of the VCO. The output frequency is tunable between ) from 3 to 0 V. 17.51–17.93 GHz with a tuning voltage (

3862

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 13. VCO tuning characteristics: output frequency (in gigahertz), output power (in dBm), and phase noise at 1-MHz offset (in dBm/hertz) against the tuning voltage. Fig. 11. Micrograph of the 17-GHz VCO chip.

Fig. 14. Fundamental tone suppression measurement.

Fig. 12. (a) Output spectrum. (b) Phase-noise measurement.

It corresponds to a tuning range of 2.37% with respect to the center frequency. This tuning range is found to be comparable to that of the other VCOs [1], [6] fabricated using the same HBT process technology. Fig. 13 also shows the output power . A cable loss and the phase noise at 1-MHz offset against of 3.5 dB at 17.8 GHz is compensated for the measured output power. The measured phase noise at 1-MHz offset ranges from 110 to 100 dBc Hz across the tuning range. An FoM for the VCO is given by

mW

(5)

where is the measured phase noise, is the output frequency, and is the offset frequency. For this VCO, the FoM is 184.3 and 193.8 dBc Hz at offset frequencies of 1 MHz and 100 kHz, respectively, using the measured phase noise in Fig. 12. These FoMs compares with the best VCOs reported in the literature in this frequency range [4], [6], [11], [12]. Furthermore, note that the power consumption of this VCO is remarkably low, only 13.2 mW, compared with other VCOs realized in similar GaInP/GaAs technology [6], [11], [12]. The fundamental tone suppression against the second-harmonic output signal is measured to be 16 dBc (Fig. 14). The fundamental leakage component can be attributed to the interconnection parasitics and the process-variation-induced mismatches of the devices such as transistors, varactors, metal–insulator–metal (MIM) capacitors, and inductors. To verify these effects, we performed simulations by including some of the possible major parasitics and mismatches. First, all the interconnection lines were modeled as transmission lines according to the layout dimensions, and the inter-metal-layer capacitances between the CN-node routing, the EN-node routing, and the core cross-coupling routing were estimated to be 4 fF based on the overlap area. The inter-metal-layer capacitances between the core cross-coupling routing and the core collector-node routing were estimated to be 1.33 fF, also based on the overlap area. Emitter area mismatch between the two core HBTs was assumed to be 5%. The parasitic inductance

SHIN AND KIM: 17-GHz PUSH–PUSH VCO BASED ON OUTPUT EXTRACTION FROM CAPACITIVE COMMON NODE IN GaInP/GaAs HBT TECHNOLOGY

of 1 nH for the supply line and the substrate via model for the ground line were included. The resulting fundamental leakage was simulated to be 18 dBc, which agreed very well with the measurement result. It implies that the device mismatches and the parasitic capacitances must be minimized to obtain low fundamental leakage. Nonetheless, the rather poor fundamental leakage could be easily improved further in practice if a tuned load is employed at following buffer amplifiers. V. CONCLUSION A new push–push output extraction method from a capacitive common node has been proposed in a conventional negativedifferential oscillator. The common node is generally more efficient than the conventional emitter common node and improves -band even more in high operating frequency. A prototype MMIC VCO, realized using this output extraction method in GaInP/GaAs HBT technology, produced 110.4 dBc Hz of phase noise at 1-MHz offset, 6 dBm of output power, and a tuning range of 2.37% at 17.5-GHz output frequency with 13.2-mW power consumption at the VCO core. ACKNOWLEDGMENT The authors wish to thank Knowledge*on Inc., Iksan, Korea, for the VCO fabrication using its 6-in InGaP/GaAs HBT foundry service. REFERENCES [1] O. Lee, J. Kim, K. Lim, J. Laskar, and S. Hong, “A 60-GHz push–push InGaP HBT VCO with dynamic frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 679–681, Oct. 2005. [2] S. Ko, J. Kim, T. Song, E. Yoon, and S. Hong, “K - and Q-bands CMOS frequency sources with X -band quadrature VCO,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2798–2800, Sep. 2005. [3] J. Kim, D. Baek, S. Jeon, J. Park, and S. Hong, “A 60 GHz InGaP/GaAs HBT push–push MMIC VCO,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 885–888. [4] L. Dussopt and G. M. Rebeiz, “A low phase noise silicon 18-GHz push–push VCO,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 1, pp. 4–6, Jan. 2003. [5] K. W. Kobayashi, A. K. Oki, L. T. Tran, J. C. Cowles, A. GutierrezAitken, F. Yamada, T. Block, and D. C. Streit, “A 108-GHz InP HBT monolithic push–push VCO with low phase noise and wide tuning range,” IEEE J. Solid-State Circuits, vol. 34, no. 9, pp. 1225–1232, Sep. 1999. [6] H. Zirath, R. Kozhuharov, and M. Ferndahl, “Balanced Colpitt oscillator MMICs designed for ultra low phase noise,” IEEE J. Solid-State Circuits, vol. 40, no. 10, pp. 2077–2086, Oct. 2005. [7] C. Lam and B. Razavi, “A 2.6-GHz/5.2-GHz frequency synthesizer in 0.4-m CMOS technology,” IEEE J. Solid-State Circuits, vol. 35, no. 5, pp. 788–794, May 2000.

3863

[8] J. Kim, S. Jeon, S. Moon, N. Y. Kim, and H. Shin, “A 12-GHz GaInP/ GaAs HBT VCO based on push–push output extraction form capacitive common node,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 1705–1708. [9] M. Margarit, J. Tahm, R. Meyer, and M. Deen, “A low-noise lowpower VCO with automatic amplitude control for wireless applications,” IEEE J. Solid-State Circuits, vol. 34, no. 6, pp. 761–771, Dec. 1999. [10] A. Hajimiri and T. H. Lee, “Design issues in CMOS differential LC oscillators,” IEEE J. Solid-State Circuits, vol. 34, no. 5, pp. 717–724, May 1999. [11] D. Baek, J. Kim, and S. Hong, “A Ku band InGaP/GaAs HBT MMIC VCO with a balanced and a differential topologies,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 847–850. [12] Y. Yamauchi, H. Kamitsuna, M. Nakatsugawa, H. Ito, M. Muraguchi, and K. Osafune, “A 15-GHz monolithic low-phase-noise VCO using AlGaAs/GaAs HBT technology,” IEEE J. Solid-State Circuits, vol. 27, no. 10, pp. 1444–1447, Oct. 1992.

Hyunchol Shin (M’97) had received the B.S. (summa cum laude), M.S., and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Taejon, Korea, in 1991, 1993, and 1998, respectively. His graduate research focused on III–V devices and MMIC design. In 1997, he was a Doctoral Research Student with the Daimler Benz Research Center, Ulm, Germany, where he was involved with InP/InGaAs HBT technology. From 1998 to 2000, he was a Senior Engineer with Samsung Electronics, where he was involved with RF/IF chipset development for CDMA/AMPS mobile handsets. In April 2000, he joined the Electrical Engineering Department, University of California at Los Angeles (UCLA), as a Post-Doctoral Research Associate, where he was involved with the design of RF transceivers and their building blocks using CMOS and SiGe BiCMOS technology. He was also a Lecturer with UCLA, teaching analog electronic circuits to undergraduate students. In May 2002, he joined the RF/Analog Integrated Circuit Design Group, Qualcomm, where he was a Senior Engineer involved in the development of a global system for mobile communications (GSM) transmitter. In September 2003, he became an Assistant Professor with Kwangwoon University, Seoul, Korea. His current research interests are RF/analog/microwave integrated circuits and systems.

Jongsik Kim (S’05) was born in Ansan, Korea, in 1978. He received the B.S. degree in electrical engineering from Kwangwoon University, Seoul, Korea, in 2005, and is currently working toward the Master’s degree at Kwangwoon University. His research interests are high-speed microwave VCO and linear power amplifiers in CMOS and GaAs technology.

3864

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

New Techniques for the Analysis and Design of Coupled-Oscillator Systems Apostolos Georgiadis, Member, IEEE, Ana Collado, and Almudena Suárez, Senior Member, IEEE

Abstract—An in-depth analysis of the nonlinear dynamics of coupled-oscillator arrays is presented for a better understanding of their complex autonomous behavior. In one-dimensional arrays, the constant inter-stage phase shift is varied by simultaneously detuning the two outermost oscillators in opposite directions. Thus, the array can be considered as a two-parameter system. Here, a two-parameter stability analysis of the oscillator array is carried out, investigating the phenomena that limit the achievable values of constant inter-stage phase shift under both weak and strong coupling conditions. The gradual evolution of the system behavior with increasing coupling strength is studied. A semianalytical approach is presented for an efficient design of the oscillator array, avoiding the computational expensiveness of harmonic balance (HB) in systems with a high number of oscillator elements. The proposed method, valid for weak coupling, uses a perturbation model of the elementary oscillator obtained with HB so it is of general application to any oscillator topology with accurate descriptions of its linear and nonlinear components. Approaches for the stability and phase-noise analyses based on this formulation are also presented. The new techniques have been applied to the design of a coupled system of three oscillators at 6 GHz. The results have been successfully compared with full HB simulations and with measurements. Index Terms—Bifurcation, coupled oscillator, phase noise, stability.

I. INTRODUCTION

C

OUPLED-OSCILLATOR systems can be used for power combination and beam scanning in phased arrays [1]–[6]. Beam scanning is achieved by synthesizing a constant phaseshift distribution between adjacent oscillators. The beam angle is then changed by varying the inter-stage phase shift. In one-dimensional oscillator arrays, this is done by detuning the two outermost oscillators in opposite direction. This technique allows a smaller system size, compared with the conventional use of phase shifters, which requires individual control of the biasing and wiring of each phase shifter. However, the nonlinear dynamics of coupled oscillator systems is complex, and they may exhibit various instability phenomena, limiting their practical application. In this paper, analysis techniques based on harmonic balance (HB) [7] are applied for an in-depth understanding of the behavior of one-dimensional arrays. The aim is to identify and rigorously analyze the phenomena that, as ob-

Manuscript received April 4, 2006; revised July 28, 2006. This work was supported under the Spanish Comision Interministerial de Ciencia y Tecnologia Project TEC2005-08377-C03-01/TCM. The authors are with the Department of Communications Engineering, Escuela Tecnica Superior de Ingenieros Industriales y de Telecomunicacion, University of Cantabria, 39005 Santander, Spain (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.884686

served in previous works [8], [9], reduce the expected ranges of constant inter-stage phase shift. Since the two-edge oscillators are simultaneously detuned in opposite directions, the oscillator array can be considered as a two-parameter system. Thus, a two-parameter stability analysis is carried out. Entire solution curves, which typically contain several turning points, are traced, and a stability analysis, based on pole-zero identification [10], [11], is applied along these curves. The variations of the system solution and its stability properties versus a continuous increase of the coupling strength are also investigated. A second objective of this study has been the development of an accurate and efficient synthesis technique for the coupled-oscillator system, avoiding the computational expensiveness of HB for a large number of oscillator elements. This technique is based on a new semianalytical formulation that uses a perturbation model of the elementary oscillator about its free-running solution. The derivatives are extracted from commercial HB simulators using auxiliary generators (AGs) [7]. Although this linearization is valid for weak coupling only, the continuity of the equation system will allow general design criteria and an optimum choice of the inter-stage coupling networks. This new methodology eliminates the need to assimilate the elementary oscillator circuit to a single-resonator model and it is directly applicable to circuits based on two-port devices, including termination, feedback, and load. Thus, a realistic description of the elementary oscillator is used, including accurate and complete models for all its linear and nonlinear elements. For a rigorous validation, the results of the semianalytical formulation will be compared with those of a full HB simulation. Approaches for stability and phase-noise analyses based on this formulation are also presented and validated with HB. The techniques will be applied to the efficient design of a practical array of three field-effect transistor (FET)-based oscillators at 6 GHz. This paper is organized as follows. Section II presents the in-depth global-stability analysis of the coupled-oscillator system versus variations in its tuning elements. In Section III, the new semianalytical formulation is introduced and applied to the synthesis of a three FET-based oscillator array at 6 GHz. Section IV presents approaches for the stability and phase-noise analysis based on the proposed semianalytical formulation. For validation, they are applied to the FET-based array. Finally in Section V, the experimental characterization of this array is presented. II. GLOBAL STABILITY ANALYSIS OF THE COUPLED-OSCILLATOR SYSTEM Here, a global-stability analysis of a typical coupled-oscillator system is presented. Three parallel-resonance oscillators

0018-9480/$20.00 © 2006 IEEE

GEORGIADIS et al.: NEW TECHNIQUES FOR ANALYSIS AND DESIGN OF COUPLED-OSCILLATOR SYSTEMS

3865

other methodologies such as continuation techniques versus the system parameters to pass through the possible turning points and pole-zero identification [7], [10], [11]. Two different cases will be considered: weak oscillator coupling and strong oscillator coupling. Fig. 1. System of three parallel-resonance oscillators. The oscillators are coupled through resistively loaded lines.

are considered under both weak and strong coupling conditions. The system is shown in Fig. 1. The coupling network consists of a resistively loaded line. This type of coupling is commonly used as it has the advantage of allowing an easy modification of the coupling strength by simply changing the resistance value. As shown in [1], to achieve a constant-phase distribution along the oscillator array, the two outermost oscillators must be detuned in opposite directions. In the system of Fig. 1, the two of the first oscillator and tuning elements are the capacitance of the third one. Here, the required the capacitance values in order to obtain a given constant phase-shift are determined through HB using the auxiliary-generator technique presented in [7]–[9]. Compared with this previous work, the objective here will be the full understanding of the system behavior with the investigation of the instability phenomena that limit the achievable constant phase-shift range. These limitations were observed in [8] and [9] without a complete explanation. A voltage AG is an ideal voltage generator at the oscillation in series with an ideal bandpass filter at frequency . The AG will have amplitude , frethe same frequency quency , and phase . This AG must have no influence over the oscillatory solution of the circuit, which is guaranteed by the so-called nonperturbation condition. This condition is given by the zero value of the ratio between the AG current . and the delivered voltage , To obtain a solution with constant inter-stage phase shift an AG is connected at each oscillator output. The phases of these AGs are fixed in order to impose the desired constant phase-shift distribution. The phase of the first oscillator is con. sidered as a reference and is arbitrarily set to zero The phases of the other two oscillators are set to and , respectively. The system of the three complex valued equations , enforcing the nonperturbation condition, is then solved through HB in and , the AG voltages terms of the edge tuning elements and , and the frequency . Thus, it is a well-balanced system of six unknowns in six real equations. A two-tier resolution is carried out with the HB analysis constituting the inner loop. The nonperturbation system can also be solved through HB optimization. Its solution provides a con. stant phase-shift distribution with inter-stage phase shift It is also possible to analyze the oscillator array for given and . The phases and then revalues of and as unknowns of the nonperturbation-equation place system , which is now solved for and and . The described technique will be the starting point for the in-depth study of the array behavior, which will also require

A. Weak Coupling For weak oscillator coupling, the transmission line of the . coupling network is loaded with two resistances A constant phase-shift distribution is synthesized using the AG technique. The objective will be to study how this soluis varied between 0 and 360 . The tion evolves when and are calcuunknowns and convergence is obtained for the entire lated versus phase-shift range (0 , 360 ). Note that although the analysis , the actual system parameters are is carried out varying and . The phase sweep constitutes an efficient manner to simultaneously determine the two capacitance values in order to ensure a continuous variation of the constant inter-stage phase shift. The resulting solution curves in terms of the three oscillator amplitudes are represented in Fig. 2(a). As can be observed, the system behaves symmetrically with respect to 0 . The constant phase-shift solution has also been represented versus the two capacitors, acting as parameters. A closed curve is obtained when tracing the amplitude of any of the three osor . Note that these two capacitors cillators versus either are varied simultaneously to get the constant phase-shift solutions of Fig. 2(a). Fig. 2(b) shows the evolution of the fundamental-harmonic amplitude in Oscillator 1 versus . An identical curve, with the same capacitance-variation range, is obtained when representing the amplitude in Oscillator 3 versus . The curves exhibit two turning points, delimiting the range values. As will be shown, in contrast to what happens of in one-parameter systems, the turning points of this two-parameter system do not imply a qualitative change of the solution stability. Additionally, the curve showing the required values of the two tuning capacitance versus the desired inter-stage phase-shift is represented in Fig. 2(c). As can be observed, symmetry is also obtained at approximately . For this stability analysis, the HB equations are linearized about the steady-state solution. To obtain the linearization, a small-signal current generator is connected in parallel at a sensitive circuit node . Since instabilities may occur at any frequency value, the frequency of this small-signal generator is nonrationally related to the oscillation frequency The cirso, at each cuit operates in linear regime with respect to frequency , its solution may be determined with the conversion-matrix approach. The current constitutes an input of the is a particular linearized system and the node voltage output of this system. Thus, the ratio provides a single-input single-output transfer function. All the closed-loop transfer functions that can be defined from a given linear system, for any possible input and any possible output, share the same denominator, given by the system characteristic determinant. The poles of the system are the roots of this denominator so any possible transfer function will contain the information about the system stability, in particular, the pre. In contrast, the zeroes of the viously defined function

3866

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 3. Stability analysis. Evolution of the pair of complex-conjugate poles closest to the imaginary axis versus the imposed constant phase shift. Only positive frequencies are considered for the representation.

Fig. 2. Coupled-oscillator system under weak coupling. (a) Variation of the output-voltage amplitude in the different oscillator elements versus the imposed constant phase shift. (b) Variation of the output-voltage amplitude in the first oscillator versus one of the tuning capacitances. (c) Variation of the tuning capacitances values versus the imposed inter-stage phase shift.

closed-loop transfer function will depend on the particular definition of this function. To extract the stability information, the perturbation frequency is swept, applying pole-zero identifi, which is modeled as a quotient of polynomials cation to [10], [11]. This stability analysis has been applied along the solution , represented in Fig. 2(a). After each HB analcurve versus , the current-source frequency is swept up ysis, for given with the conversion matrix approach. to , calculating

The above technique has been applied to the coupled-oscillator system of Fig. 1. Due to the global autonomy of this at the oscilsystem, a pair of conjugate imaginary poles . Since this oscillation lation frequency is obtained for each , this pair of poles will shift along frequency varies versus the imaginary axis. A second pair of dominant poles is also . The frequency of this pair of poles is detected for each and it can be expressed as not harmonically related with . The evolution of this pair of poles versus is shown in Fig. 3, where the imaginary part, in terms of the , has been represented versus the real difference frequency part . The considered phase-shift range is (0 , 180 ) because the variation is identical for the negative range (0 180 ). The phase shift is indicated at different points of the resulting curve and the required tuning-capacitance values can be obtained from Fig. 2(c). to In order for the solution corresponding to a particular at the oscillation be stable, all its poles (except the pair frequency) must have a negative real part. As shown in Fig. 3, , the solution is stable with all its poles located for on the left-hand side of the complex plane. At , the represented dominant pair of poles crosses the imaginary axis to the right-hand side of the complex plane so the analyzed constant-phase shift solution becomes unstable from this phase-shift value. The pole frequency is nonharmonically reat the crossing point, corlated to the oscillation frequency [7], [12], [13]. At this biresponding to a Hopf bifurcation furcation, a second autonomous fundamental at an incommensurate frequency is generated so the solution becomes quasi-periodic from this phase value. The occurrence of this Hopf bifurcation in the coupled-oscillator system can be explained as follows. In order to achieve , the required difference a constant phase shift larger than and is so large that the osin the tuning capacitances cillator system becomes desynchronized due to the difference in the original free-running frequencies of the two outermost oscillators. Thus, the nonsynchronized quasi-periodic regime arises. The same pole locus is obtained when considering the negative phase-shift range (0 , -180 ). In summary, the constant

GEORGIADIS et al.: NEW TECHNIQUES FOR ANALYSIS AND DESIGN OF COUPLED-OSCILLATOR SYSTEMS

phase-shift solution is stable in the interval ( 80 , 80 ) and unstable in the rest of the phase-shift range. Thus, the largest stable phase-shift range is 160 . The two Hopf bifurcations take place at the phase shift values and . As was already mentioned, the solution curve of Fig. 2(b) exhibits two turning points. In one-parameter systems, turning points are associated with a singularity of the HB system Jacobian matrix and imply the crossing of a real pole of the linearized system across the origin of the complex plane [7]. Therefore, a qualitative variation of the solution stability or bifurcation is obtained. However, the system analyzed here is a two-parameter and system, the parameters being the two capacitance values . This might invalidate the assumption of a qualitative-stability change occurring at every turning point. be the set of HB equations and Let be the vector composed of the harmonic components of the state variables of the three oscillators. If simultaneous variations and are considered, the solution inin the two parameters crement for a given capacitance variation can be predicted from the first-order Taylor series expansion of the HB system (1) The slope of the different variables with respect to calculated from

is then

(2) A similar equation is obtained for the variable slope with respect to . At turning points, this slope will tend to infinity. However, unlike what happens in one-parameter systems, there may be two different reasons for this situation. One of them is the sin[see (2)], which would gularity of the Jacobian matrix imply a qualitative stability change due to the crossing of a real pole through the origin [7]. The second possible reason would be , which does not imply the infinite value of the ratio any special properties of the Jacobian matrix and, thus, does not give rise to a qualitative variation of the solution stability. Note and are vectors and cannot be responthat . Thus, a sible for the infinite value of the derivatives or does turning point of the solution curve versus either not necessarily mean a stability change. are obtained for the caIn Fig. 2(b), turning points and pF and pF. pacitance values Using the representation of Fig. 4 and taking the above considerations into account, they are simply due to a turning point ( and ) of versus with no effect on the solution stability. In agreement with the stability analysis based on pole-zero identification, the limits of the stable range of constant inter-stage and . phase shift are given by the Hopf bifurcations In the applied technique for the variation of the constant interstage phase shift, only two parameters are used, given by the tuning capacitances of the two outermost oscillators. As already seen, since the coupled-oscillator system is autonomous, the oscillation frequency varies when changing these tuning elements. In order to avoid this frequency variation, a different design possibility has also been explored. This consists of introducing an additional condition in the system equations, setting

3867

Fig. 4. Coupled-oscillator system under weak coupling. When using two tuning capacitances, the oscillation frequency varies with the inter-stage phase shift. The required values of the tuning capacitors C and C provides the curve denoted as “Variable frequency.” When using three tuning capacitances, the oscillation frequency can be kept constant while varying the phase shift. The required values of the tuning capacitances of the two outermost oscillators have also been represented and provide the curve denoted as “Fixed frequency.”

the oscillation frequency to the desired constant value for all the phase-shift range. The introduction of this additional condition requires the use of a third circuit parameter, which, in this case, will be given by the tuning capacitance of the central oscillator. This is done by setting the frequency of the three AGs to the deand determining the sired value and and the values of the three tuning capacitances and in order three oscillation amplitudes to fulfill . The resulting required and (the variation of is not values of the capacitances presented due to space limitation) are shown in Fig. 4, where they can be compared with those corresponding to the detuning of the two outermost oscillators only. The fixed-frequency technique is more demanding from the point-of-view of practical implementation. Thus, the technique based on the detuning of the two outermost oscillators is the only one considered in the balance of this paper. B. Strong Coupling As will be shown, the coupling strength influences the behavior of the oscillator system both quantitatively and qualitatively. To see this, the system of three parallel-resonance oscillators has been analyzed for decreasing values of the coupling resistance, which means increasing coupling strength. As in section , a constant phase-shift distribution is imposed in all cases, solving the nonperturbation-equation system versus , in terms of and . Fig. 5(a) shows the variation of the middle oscillator amplitude versus the imposed constant phase shift for five different values of the coupling resistor. As can be seen, the amplitude variation along the phase-shift range increases with the coupling strength. , while for Very small variations are obtained for the variation is so large that the amplitude of this middle oscillator goes to zero at the two phase shift values . It must also be noted that the curves evolve gradually as the coupling strength increases, exhibiting maxima and minima at similar phase-shift values.

3868

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 6. Coupled-oscillator system under strong coupling. Variation of the output-voltage amplitude of the three oscillator circuits versus the imposed constant phase shift.

Fig. 5. Coupled-oscillator system with increasing coupling strength. Different values of the coupling resistor are considered. (a) Variation of the output-voltage amplitude of the middle oscillator circuit versus the imposed constant phase shift . (b) Variation of the oscillation frequency versus . A discontinuity . is obtained for R

1

= 100

1

The oscillation extinction for gives rise to a discontinuity in the required values of the tuning capacitors and in the oscillation frequency. Note that when varying the imposed instead of the two actual parameters and , phase shift a discontinuity may result in the required parameter values and also in the circuit solution. To see this more clearly, the array osin the posicillation frequency has been represented versus , the oscillation tive range (0 , 180 ) in Fig. 5(b). At frequency jumps from 5.7 to 4.85 GHz. If a phase shift larger is imposed, the system jumps to another existing than constant phase-shift solution with lower oscillation frequency, i.e., approximately 4.5 GHz. For an in-depth study of the strong-coupling case, Fig. 6 shows the fundamental-harmonic amplitude variation in the . Only the three oscillators for the resistance value curves corresponding to constant phase-shift solutions have been represented. The fundamental-harmonic amplitude in the middle oscillator is represented via the dashed–dotted line. As already indicated, the oscillation in this middle subcircuit is . Note that in forced oscillators, extinguished at the oscillation extinction is typically obtained for relatively

high injection amplitude of the external signal. In the coupled-oscillator system, this corresponds to a high value of the coupling strength. In [14], a similar phenomenon, encountered in a system of two Van der Pol oscillators, is analyzed in detail. The oscillation extinction divides the total phase range (0 , 360 ) into two sections, one centered at approximately 0 and the other centered at approximately 180 . When varying the 180 , the middle oscilphase-shift from 0 towards 180 lation dies at 90 90 and regenerates again from the same phase-shift value. This corresponds to the pair of unstable complex-conjugate poles of the nonoscillating solution being tan. Besides the discontigent to the imaginary axis at nuity problem [see Fig. 5(b)], the constant phase-shift solution at approximately 6 GHz is not stable for the entire phase-shift range ( 90 , 90 ). This will be shown below. The solutions in Fig. 6 have also been traced versus the two and , which are simultaneously varied to capacitances obtain the constant phase-shift solution. The representation of the fundamental-harmonic amplitude in Oscillator 1 versus is shown in Fig. 7. As in the case of the weak coupling, an identical plot results when representing the amplitude in Os. As can be seen, two solution islands are cillator 3 versus obtained corresponding to the phase-shift intervals and , respectively. Thus, one island (Island 1) is associated to the central solution paths of Fig. 6, while the other (Island 2) is associated to the outer solution paths. Note that the middle oscillation extinction (and regeneration) at is associated to a discontinuity in the capacitance values required to maintain the constant phase shift between the oscillator elements. Pole-zero identification has been applied to the solution curves of Fig. 6. Due to the symmetry of the solution paths, only the positive phase-shift range (0 , 180 ) has been taken into account for this analysis. Fig. 8 shows the corresponding pole locus. The capacitance and frequency jump occurring divides the locus into two sections: one at for approximately 4.5 GHz, corresponding to Island 2, and another one at approximately 6 GHz, corresponding to Island 1. For , all the solution poles are on the left-hand side of , a pair of complex conjugate the complex plane. At

GEORGIADIS et al.: NEW TECHNIQUES FOR ANALYSIS AND DESIGN OF COUPLED-OSCILLATOR SYSTEMS

Fig. 7. Coupled-oscillator system under strong coupling. Variation of the output-voltage amplitude in the first oscillator versus the tuning capacitance C . Two different solution islands are obtained due to the discontinuity versus the imposed .

1

3869

solution stability. In Island 2, the points delimiting the stable , and , correrange are , corresponding to . The solution is stable between these sponding to two phase-shift values. The turning point has no effect on the solution stability. Comparing the system behavior for weak and strong coubepling, the frequency and amplitude variations versus come larger for stronger coupling. For the considered case , there is even a loss of continuity in the required values of the tuning capacitors and in the oscillation frequency. In addition, the stable phase-shift range is smaller than the one resulting for weaker coupling. On the other hand, the stronger coupling should be less sensitive to modeling inaccuracies and discrepancies between the oscillator elements. III. SEMIANALYTICAL APPROACH FOR PRACTICAL DESIGN OF COUPLED-OSCILLATOR SYSTEMS A. Semianalytical Formulation

Fig. 8. Coupled-oscillator system under strong coupling. Variation of the pair of poles closest to the imaginary axis along the constant phase-shift curve of Fig. 6.

poles at crosses the imaginary axis to the right-hand side of the complex plane so the constant phase-shift solution becomes unstable. To understand the effect of these crossing poles, it must be taken into account that an exponential reof the lationship exists between the Floquet multipliers periodic solution and its corresponding poles [15], [16] given with being the solution period. Thus, each by is associated to an infinite set of poles of the form multiplier . If a pair of complex conjugate poles crosses the , a real pole will also cross through zero, imaginary axis at which implies a singularity of the system at the crossing points. , the poles move rightwards, turn, and cross the Increasing imaginary axis to the left-hand side again at so the solution recovers the stability from this phase-shift value. Again, the frequency of the poles agrees with the oscillation frequency, thus a singularity is also obtained at this point. As already stated, the behavior is analogous when consid180 ) with the solution beering the phase-shift interval (0 coming unstable due to a real pole crossing through zero at and getting stable again for . Thus, the two phase-shift values delimiting the stable range in Is, and , correland 1 are , corresponding to . The solution is stable between these sponding to two phase-shift values. The turning point has no effect on the

Here, a semianalytical formulation of the coupled oscillator system is presented. This is motivated by two different facts. One is the high computational expensiveness of the full HB synthesis, such as the one carried out in Section II, for a large number of oscillator elements. The other is the need for realistic models of the linear and nonlinear oscillator elements for a reliable design of the coupled system. In the new technique, the individual oscillator is modeled from a perturbation analysis of the total admittance of the individual oscillator in free-running conditions using HB. The admittance derivatives are numerically determined at the same node that will be used for the oscillator connection to the coupled system. Note that once introduced in the oscillator array, the oscillation amplitude and frequency will change due to the influence of the other oscillators through the coupling network. The coupled system solution is determined with the semianalytical formulation. Inputs to this formulation are the constant admittance derivatives of the elementary oscillator and the coupling networks. Under small coupling, the changes will also be small and this is why they can be calculated from a first- or second-order description of the individual oscillator about the free-running solution. This modeling technique of the individual oscillator is described in the following. As is well known [17], [18], the total admittance of a free-running oscillator is equal to zero at any circuit node. In the AG-based HB, this is equivalent to the ful[7]. If a fillment of the nonperturbation condition two-tier resolution is carried out, with the HB equations as the inner tier, it is possible to consider a total dependence of on the AG amplitude and frequency. It is then possible to write , where the subindex refers to the central free-running oscillation from which the two outermost oscillators are detuned in the opposite directions. If the AG is conagrees with the voltage amplinected at the output node, tude at this particular node. Assuming that all the array elements will be are identical, the same equation and . Of fulfilled by all of them with the same values course, the introduction of the oscillator in the coupled system

3870

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

gives rise to a variation in the amplitude and phase of each oscillator and in the common oscillation frequency, as was shown in Section II. Here for the analysis of the coupled system of oscillators, the coupling network will be described in terms of an admit, globally taking into account all the connectance matrix tions between the array elements. This provides a flexible way to model and optimize arbitrary networks. The coupling network connects the output nodes of the various oscillator circuits. It must be emphasized that the connection node for each oscillator element is the same node at which the AG is introduced in the initial free-running analysis. After the connection of the coupling network, the amplitude and frequency of each array element are assumed to be close to those of the original free-run. It will then be possible to consider a ning oscillator Taylor-series expansion of the admittance functions describing the individual oscillators about the free-running solution. As described later, the derivatives are calculated via HB through increments in the AG amplitude and frequency. In order to derive the equations of the coupled-oscillator system, each oscillator will be described in terms of its amplitude and phase at the output node. As already pointed out, the oscillation frequency will be the same for the entire system of oscillators. As in Section II, a constant phase-shift distribution will be imposed. The phase of the first oscillator will be . The phase values of the taken as an arbitrary reference with to consecutive oscillators will be . Only the edge oscillators will be tuned, with the two tuning and . parameters Assuming that the amplitude and phase of each array element are related to those of the rest of the oscillators through the coupling matrix only, the system equations are given by

.. .

.. .

are identical, the derivatives of the admittance functions will be the same and will agree with the derivatives of the AG cur. Thus, assuming weak coupling, the rent-to-voltage ratio above system can be approached by (4), where the derivatives are calculated about the oscillator free-running solution. The to are the individual oscillator ampliamplitudes tudes corresponding to the steady-state solution of the coupled to are the increments with respect system and at the AG connection node to the free-running amplitude

.. .

.. .

(4) As already pointed out, formulation (4) relies on a perturbation analysis of the free-running oscillator equation . For each oscillator circuit, the perturbation is due to the linear coupling to the oscillators through the admittance matrix . Clearly, the validity of (4) is limited to weak coupling. However, the system evolves gradually versus the coupling strength, as shown in Fig. 5 so the main system properties, in terms of small or large frequency variation or parameter sensitivity, are expected to be preserved for stronger coupling. This first-order model (4) can also be written in compact matrix form

(3) with is the current-to-voltage ratio of where the oscillator at the connection node to the coupled system. Bars over the voltage variables indicate complex numbers. In the considered case of weak coupling, the amplitude and frequency variation in each oscillator circuit will be small with respect to free-running conditions. Thus, it will be possible to about perform a Taylor-series expansion of each function the free-running oscillator solution. In free-running conditions, and agrees with the each admittance function fulfills current-to-voltage ratio at the AG, connected to the same node (after convergence is achieved). Since the individual oscillators

(5) where is the vector of ones, the coupling network admittance matrix,

.. .

..

.

.. .

is

(6)

GEORGIADIS et al.: NEW TECHNIQUES FOR ANALYSIS AND DESIGN OF COUPLED-OSCILLATOR SYSTEMS

and denotes the conjugate transpose matrix of . The on the left-hand side of the voltage amplitudes equal sign in (4) have been approached by the free-running amin the compact expression (5). This approximation plitude will not actually be considered in the different simulations. It has only been used to derive the more meaningful global expression (5). Note that the progressive phase solution results in a similarity . For each transformation of the coupling network matrix constant phase-shift , system (4) is solved for and , which is done externally to the HB simulator, using in-house software. The first-order model (4) is a linear system that can be solved by any matrix inversion algorithm. This enables an optimum synthesis of the coupling network with a realistic description of the oscillator elements and without the computational expensiveness associated to the HB simulation of the multioscillator system. A design goal will be the reduction of the amplitude and frequency variations along the phase-shift range. The sensitivity to the tuning parameters will also be analyzed. As already indicated, the inputs of this semianalytical formulation are the derivatives of the nonlinear admitabout the free-funning solution, obtained in HB, tance and . The admittance matrix associated to the coupling network is locally calculated in the in-house software. This enables a quick test of different coupling-network topologies and, thus, an efficient selection of the optimum network for given oscillator characteristics. The frequency variation of the -matrix can be taken into account, which for resolution simplicity is done by expanding its elements in a Taylor series around . However, it is common to use transmission line coupling networks [1]–[3], which are broadband, thus their -matrix does not appreciably vary over the range of the array frequency values. The admittance derivatives about the free-running oscillator solution are determined through finite differences using the AG technique. The derivative is calculated through increments in , while keeping the AG frequency and the tuning parameter at their free-running values and . In turn, the derivative is calculated through increments in , while keeping the AG amplitude and the tuning parameter constant at and . Finally, the derivatives with respect to the tuning parameter are calculated by keeping the AG amplitude and frequency at the free-running values and , and considering increments in the particular tuning element or . The accuracy of (4) can be increased by considering derivatives of higher order about the free-running oscillation. Each derivative is numerically determined by calculating a central difference [19], after performing a sweep in the independent variable or variables, using the AG. Second-order derivatives like , for instance, are calculated using a double sweep. In this manner, it is possible to include second-order terms in the semianalytical formulation for increased accuracy. However, this way, the system of equations becomes nonlinear and, therefore, its solution requires the use of a numerical algorithm such as Newton–Raphson. For the applications presented in this paper, the semianalytical formulation has been implemented on MATLAB. For the second-order model, the built-in MATLAB nonlinear solver has been used.

3871

Fig. 9. Schematic of the individual oscillator circuit. It is designed using the NE3210S01 NEC HJ-FET and MACOM MA46H070 varactor. The varactor is connected to the drain of the active device and the oscillator output is taken from the source terminal.

Fig. 10. Coupled-oscillator array based on the FET oscillator of Fig. 9. Resistively loaded transmission lines are used to couple the array elements.

Producing solution curves versus some selected system parameter may require parameter switching in order for the algorithm to pass through existing turning points. As a result, the first-order model may be preferred over a second-order one in order to produce design guidelines in a quick and efficient manner. B. Application to a Three-Element Oscillator System The semianalytical approach will be applied to the design of a coupled oscillator array with three transistor-based oscillator elements. Each array element is a 6-GHz varactor-tuned oscillator designed using the NE3210S01 NEC HJ-FET and the MACOM MA46H070 varactor. The schematic of this individual oscillator is shown in Fig. 9. The design methodology is similar to the one described in [20] with the varactor connected to the drain of the active device and the oscillator output taken from the source terminal. The active device is self-biased by dc grounding the gate. An inductive series stub connected at the gate allows one to further tune the center frequency of the voltage-controlled oscillator (VCO). The gate also provides an injection port. The circuit was built on a 31-mil CucLad 217 substrate. The oscillator elements are coupled through the transistor-source terminals. The constant inter-stage phase shift is achieved by detuning the two outermost oscillators in opposite directions. The coupling network is composed of a transmisembedded sion-line section of characteristic impedance between two series resistors , as shown in Fig. 10. This type has been widely used in the of coupling network with

3872

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 11. Variation of the maximum deviations with respect to the free-running values of amplitude, frequency, and tuning voltage versus the coupling-line and V length. For each line length, the maximum values of ! ; V in the entire constant-phase shift range are determined using (4).

1

1

1

literature [1] due to its wideband characteristics and fabrication simplicity. It has the following -matrix representation:

otherwise

(7) where at the array edge elements ( or ), and otherwise. The constant phase-shift distribution is synthesized using the first-order semianalytical approach (4). The objective is to efficiently determine the coupling-line length for an optimum system performance. The required derivatives about the free-running oscillation are extracted from a commercial HB simulator using the AG technique formerly described. In order to avoid the oscillation-extinction risks of strong has been chosen. For coupling, a resistor value and in each line length, the maximum values of the entire constant-phase shift range are determined using (4). These values correspond to the maximum deviations from their free-running values of the oscillation frequency and middleoscillation amplitude. Note that the amplitude in the middle oscillator is chosen for the representation because this is the one that exhibits the biggest variations. These maximum deviand for the entire phaseations shift range are represented in Fig. 11 versus the coupling-line length. They are normalized to the free-running values. The tuning voltage required to obtain each phase-shift value is also corresponding represented, normalized to the tuning voltage to free-running conditions. Note that this free-running tuning voltage is different from zero, as the two outermost oscillators must be detuned in the opposite direction in the coupled oscillator system.

Fig. 12. Coupled array of three FET-based oscillators. HB simulation of the array oscillation frequency versus the inter-stage phase shift for two different values of the coupling-line length.

As shown in Fig. 11, very small amplitude variation is obtained for all the considered line-length values. In order to minimize the frequency deviation, two different values of the elecand trical length may, in principle, be selected, i.e., . At both values, the absolute variation of the amplitude is not significant due to the fact that the individual oscillator has a relatively constant output power with the tuning voltage. The semianalytical design technique must be complemented with a stability analysis of the selected solutions. In this paris ticular case, only the solution corresponding to stable, as has been verified with the stability-analysis technique presented in Section IV-A. Thus, all the remaining simulations, proving the accuracy of the design techniques, will consider the only. solution The performance of the array designed with the semianalytical approach has been evaluated with an HB simulation of the coupled-oscillator system. In Fig. 12, the oscillation frequency is represented versus the imposed phase shift for the line length 360 and the selected value 355 . For 355 , the maximum deviation is approximately one-half the one obtained with 360 , which is in agreement with the results of Fig. 11. Thus, the semianalytical formulation is useful for an optimum selection of the coupling-element values. Note that the optimum determination of these values using HB would have been computationally very expensive. In [21], criteria are also given for an optimum design of the coupled-oscillator system. This work focuses on the couplingnetwork admittance matrix applying Floquet’s theory to relate the coupling network parameters to three critical magnitudes for the design of coupled-oscillator systems, which are: 1) the inter-oscillator coupling strength; 2) the network bandwidth; and 3) the oscillator load-oscillator system. This methodology [21] could be used in combination with the presented semianalytical approach to obtain an efficient design based on realistic descriptions of the oscillator elements. The accuracy of the semianalytical approach is evaluated through comparison with HB simulations. In Fig. 13, the amplitude variation in the three oscillator elements is traced versus the imposed phase shift for the selected line length 355 . The HB results are represented via the solid line, while those

GEORGIADIS et al.: NEW TECHNIQUES FOR ANALYSIS AND DESIGN OF COUPLED-OSCILLATOR SYSTEMS

3873

IV. STABILITY AND PHASE-NOISE ANALYSIS A. Stability Analysis For the stability analysis of a steady-state solution , with to , , obtained with the first-order model (4), small perturbations are considered as follows:

Fig. 13. Coupled array of three FET-based oscillators. Validation of the semianalytical formulation with full HB simulation. The variation of the output voltage of the three oscillator components has been represented versus the imposed phase shift.

(8) where the perturbations are time varying and have been repreand . Use has also been made of the sented as complex frequency increment introduced by Kurokawa [17]. Note that, for the stability analysis, the phases of all the individual oscillator voltages are considered as variables. Due to the overall autonomy of the coupled-oscillator array, this leads to a singular system with one zero eigenvalue. The system is undetermined with respect to the phase origin, which may lead to big values of the absolute phases. However, only the phase differences are meaningful [22]. One can write

(9)

Fig. 14. Variation of the tuning voltages of the two outermost oscillators along the constant phase-shift solution of Fig. 13. Comparison between HB simulations and the first- and second-order models.

obtained with the first-order model are represented via the dashed line. One can see that amplitude distribution obtained by the first-order model matches the full HB result well. The required variation of the tuning voltage along the entire phase-shift range is represented in Fig. 14. As can be seen, the second-order model provides a better approach for solution curves of the coupled-oscillator system. The choice between the first- or second-order approaches is based on a compromise between accuracy and implementation simplicity. In summary, the semianalytical approach allows a good prediction of the coupled-oscillator solution and can be used for an efficient design of the coupled-oscillator system reducing the computation time. For this specific system, a single HB simulation versus the imposed phase shift obtained for particular values of the coupling-network elements takes approximately 0.5 h. The same simulation using the semianalytical approach takes approximately 10 s. In both cases, an additional analysis is necessary to verify the stability of the obtained solutions. In the following, the stability analysis, based on the semianalytical formulation, is presented. It is intended to complement this formulation in the developed in-house software.

By introducing the perturbed variables (8) into (4), a first-order homogeneous differential equation system is obtained as follows:

(10) (11)

(12) where and . Note that the coefficients of the unknowns and and their corresponding time derivatives in (10) are known once the steady state is determined from (4). These derivatives are calculated at the free-running solution, indicated

3874

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 15. Stability analysis based on the semianalytical approach. Evolution of the two critical eigenvalues of the coupled system of FET oscillators versus the imposed phase shift.

by . is the first-order approximation of the admittance function [as defined on the left-hand side of (4)]. The super-index HB indicates that the derivatives are calculated externally to the semianalytical formulation using HB. The above formulation extends the analysis in [1], [17], and [22] to coupled-oscillator systems with any topology or model of the individual oscillator. Stability is determined from the eigenvalues of system (10). Since the coupled oscillator array is an autonomous system, one eigenvalue will be zero. For stability of the steady-state solution, all the rest of the eigenvalues must be located on the left-hand side of the complex plane. The loss of stability will correspond to the crossing of a real eigenvalue or a pair of complex conjugate eigenvalues through the imaginary axis. The proposed stability analysis has been applied to the coupled system of FET-based oscillators considered in Section III-B. The analysis has been carried out versus the . For all the phase-shift values, imposed constant phase shift a zero eigenvalue is obtained, due to the solution autonomy. As , two shown in Fig. 15, increasing the phase shift from real eigenvalues approach each other and merge on the left-hand side of the complex plane and split into two complex-conjugate . The frequency of these complex-conjugate ones at eigenvalues increases with the difference between the tuning (see Fig. 14). The complex-convoltages required for larger jugate eigenvalues move rightwards and cross the imaginary , giving a Hopf-type bifurcation ( ). A axis at quasi-periodic solution, with two autonomous fundamentals, is obtained from this phase value. As explained in Section III, this is due to the fact that the required tuning voltages to provide give rise to a significant difference between the free-running frequencies of the two outermost oscillators so the continues to increase, the system loses synchronization. As difference between the tuning voltages decreases (see Fig. 14) and so does the imaginary part of the complex-conjugate eigenvalues. From a certain phase value, the two unstable complex-conjugate eigenvalues approach each other and, at , merge and split into two real eigenvalues. The 180 ) so it has not behavior is totally symmetrical for (0 been considered in the representation of Fig. 15.

Fig. 16. Validation of the stability analysis of Fig. 15, based on the semianalytical approach. The output-voltage amplitude of the three oscillator components has been traced versus  using HB and envelope-transient simulations. The results of the two analyses agree only in the stable phase ranges (0 , 90 ), (270 , 360 ).

1

The previous stability analysis has been verified with the envelope-transient method [23] using commercial HB software and an initialization technique for the individual oscillations of the coupled system. The choice of the envelope-transient method is justified by its capability to provide both periodic and quasi-periodic solutions, as the circuit variables are expressed in a Fourier series with slowly varying harmonic terms . If the solution is periodic, the harmonic components take constant values. If the solution is quasi-periodic, the magnitudes of the harmonic components oscillate at the difference between the two fundamental frequencies. This frequency approximately agrees with the imaginary part of the unstable complex-conjugate eigenvalues of Fig. 15. For the envelope-transient simulation, the same AGs, used for the previous analyses, are connected to the component osonly. For , the cillators at the initial time instant AGs are disconnected and the system is allowed to evolve according to its own dynamics. The disconnection can be carand ried out with a time-varying resistor, fulfilling . The envelope-transient analysis is carried out . Note that here versus the constant inter-stage phase shift the actual circuit parameters are the tuning voltages required . The HB sofor each value of the inter-stage phase shift is used as initial value for the envelution obtained for each lope-transient simulation. However, the AGs are disconnected so the system might evolve to a different solution from from this time value. of the first-harmonic Fig. 16 shows the evolution versus amplitude in three oscillator elements. Both the results obtained with HB and with the envelope transient, with six harmonic components, have been represented. As already indicated, the HB solution is used as initial value for each envelope-transient simulation. In the case of this method, it is the magnitude of , with , which the first harmonic component has been represented. Provided the integration step is accurately indicate a selected, constant values of the steady-state oscillates, the steady-state solution periodic solution. If is quasi-periodic, and when projecting this magnitude over the

GEORGIADIS et al.: NEW TECHNIQUES FOR ANALYSIS AND DESIGN OF COUPLED-OSCILLATOR SYSTEMS

diagram of Fig. 16, a point distribution is obtained at the particular value of the phase shift. As can be seen in Fig. 16, the HB and envelope-transient simulations provide the same solution in the phase ranges (0 , 90 ) and (270 , 360 ), which agree with the stable range, obtained in the eigenvalue analysis of Fig. 15. In the unstable interval, the solution is initially quasi-periodic, as gathered from the vertical , it becomes periodic point distribution of Fig. 16. At . and this periodicity is maintained up to the value These variations in the nature of the observed solution are in good agreement with the stability analysis of Fig. 15. This anal, two complex-conjugate eigenysis shows that, at values cross the imaginary axis to the right-hand side of the complex plane. This is in good correspondence with the observation in Fig. 16 of a quasi-periodic solution (vertical point dis. In the stability analysis of Fig. 15, tribution) from and become the complex eigenvalues merge at two real eigenvalues This is also in good correspondence with the fact that in the (92 , 268 ), the envelope-transient solution shows no additional oscillation (see Fig. 16), but has a nonconstant inter-stage phase shift. This solution is, of course, different from the constant phase-shift solution obtained with the semianalytical formulation and with HB, which is unstable and, thus, unobservable. In summary, an excellent agreement has been obtained between the stability analysis, based on the semianalytical formulation of Fig. 15, and the envelope transient simulations of Fig. 16. B. Phase-Noise Analysis The phase-noise analysis of the coupled-oscillator system is carried out by introducing noise perturbations in the semianalytical formulation (4). For the noise model of the individual oscillator, the admittance is derived with respect to the internal noise sources. In this manner, it is possible to obtain equivalent , which are added in noise sources parallel with the different oscillator outputs. This provides the system

(13) where the vector . The system (13) is solved for the amplitude and phase perturbations, splitting the different equations into real and imaginary parts. The application of the Fourier transform provides and the multiplication by the corresponding adjoints leads to and amplitude-noise specthe phase-noise spectrum trum of each oscillator. For validation, the phase-noise analysis based on (13) is compared with the results of the HB simulation using the carrier

3875

Fig. 17. Phase-noise analysis of the coupled system of three FET-based oscillators. The results of the semianalytical approach are compared with HB simulations, based on the carrier modulation approach.

modulation approach. The two techniques have been applied to the coupled system of three FET-based oscillators, considered in Section III-B. For comparison, the system (13) has been solved in two different manners: assuming a constant value of the coupling-network admittance matrix and taking its frequency variation into account. The phase-noise spectral density has been in the evaluated versus the imposed constant phase shift stable range of Fig. 16. The phase-noise variation, at 100-kHz offset from the carrier, is represented in Fig. 17. It has been normalized to the free-running value because of the lack of an accurate model of the active device. Furthermore, the main goal of this analysis is to compare the array phase noise with that of the free-running circuit. As can be seen, there is very good agreement between the results of the semianalytical approach and those obtained with full HB simulations. In the case of the frequency-independent admittance matrix, the phase-noise reduction for the in-phase soluwith respect to the free-running value is 10 , tion , in total agreement with [24]. However, if the frewith quency variation of the coupling network is taken into account, through a first-order derivative, the phase-noise decreases more for this in-phase solution. As shown in Fig. 17, than 10 this is in good agreement with the HB simulations through the carrier-modulation approach [25]. The phase noise increases as the edges of the stable ranges are approached. This is because of the system singularity at the Hopf bifurcation for an offset frequency equal to the difference between the two oscillation frequencies: the original array frequency and the one generated at this bifurcation point. V. MEASUREMENTS The three element array was implemented and tested. In order to measure the oscillator phase, it was necessary to injection lock the array to a known reference. The output phase of each oscillator was characterized with a vector signal analyzer (VSA). The measured stable constant phase-shift range was ( 82.5 , 80 ). Fig. 18 shows the experimental tuning voltages required value along this interval. Ideally, the two tuning for each

3876

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 18. Experimental variation of the tuning voltage of the two outermost oscillators versus the measured constant inter-stage phase shift.

better understanding of the behavior of these complex systems. A new semianalytical approach has been proposed for the efficient simulation of coupled-oscillator systems using in-house software. The inputs of this formulation are the derivatives of the free-running oscillator, calculated with HB software, thus the approach has no restriction to a particular oscillator topology. The approach enables an efficient and realistic design of the coupling network in comparison with the computationally expensive HB simulation of the oscillator system. Stability and phase-noise analysis formulations based on this semianalytical approach have also been presented. Both the steady-state solutions and the stability and phase-noise analyses are compared with the results of full HB simulations. The techniques have been successfully applied to the efficient design of a three-element system at 6 GHz. REFERENCES [1] R. A. York, P. Liao, and J. J. Lynch, “Oscillator array dynamics with broadband -port coupling networks,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 11, pp. 2040–2042, Nov. 1994. [2] T. Heath, “Beam steering of nonlinear oscillator arrays through manipulation of coupling phases,” IEEE Trans. Antennas Propag., vol. 52, no. 7, pp. 1833–1842, Jul. 2004. [3] B. K. Meadows, T. H. Heath, J. D. Neff, E. A. Brown, D. W. Fogliatti, M. Gabbay, V. In, P. Hasler, S. P. Deweerth, and W. L. Ditto, “Nonlinear antenna technology,” Proc. IEEE, vol. 90, no. 5, pp. 882–897, May 2002. [4] S. Nogi, J. Lin, and T. Itoh, “Mode analysis and stabilization of a spatial power combining array with strongly coupled oscillators,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 10, pp. 1827–1837, Oct. 1993. [5] U. L. Rohde, A. K. Poddar, and G. Böck, The Design of Modern Microwave Oscillators for Wireless Applications: Theory and Optimization. New York: Wiley, 2005. [6] M. R. Kuhn and E. M. Biebl, “Power combining by means of harmonic injection locking,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 1, pp. 91–94. [7] A. Suárez and R. Quéré, Stability Analysis of Nonlinear Microwave Circuits. Norwood, MA: Artech House, 2003. [8] A. Collado, F. Ramírez, A. Suárez, and J. Pascual, “Harmonic-balance analysis and synthesis of coupled-oscillator arrays,” IEEE. Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 192–194, May 2004. [9] A. Suarez, A. Collado, and F. Ramirez, “Harmonic-balance techniques for the design of coupled-oscillator systems in both unforced and injection-locked operation,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 887–890. [10] A. Anakabe, J. M. Collantes, J. Portilla, J. Jugo, S. Mons, A. Mallet, and L. Lapierre, “Analysis of odd-mode parametric oscillations in HBT multi-stage power amplifiers,” in 11th Eur. Gallium Arsenide and Other Compound Semicond. Applicat. Symp., Munich, Germany, Oct. 2003, pp. 533–536. [11] J. Jugo, J. Portilla, A. Anakabe, A. Suárez, and J. M. Collantes, “Closed-loop stability analysis of microwave amplifiers,” Electron. Lett., vol. 37, pp. 226–228, Feb. 2001. [12] J. Guckenheimer and P. Holmes, Nonlinear Oscillations, Dynamic Systems, and Bifurcations of Vector Fields. New York: Springer-Verlag, 1983. [13] J. M. T. Thompson and H. B. Stewart, Nonlinear Dynamics and Chaos, 2nd ed. New York: Wiley, 2002. [14] J. J. Lynch and R. A. York, “Synchronization of oscillators coupled through narrowband networks,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 237–249, Feb. 2001. [15] F. Bonani and M. Gilli, “Analysis of stability and bifurcations of limit cycles in Chua’s circuit through a harmonic balance approach,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 46, no. 8, pp. 881–890, Aug. 1999. [16] J. M. Collantes, I. Lizarraga, A. Anakabe, and J. Jugo, “Stability verification of microwave circuits through Floquet multiplier analysis,” in Proc. IEEE Asia–Pacific Circuits Syst. Conf., Dec. 2004, vol. 2, pp. 997–1000. [17] K. Kurokawa, “Some basic characteristics of broadband resistance oscillator circuits,” Bell Syst. Tech. J., pp. 1937–1955, Jul.–Aug. 1969.

N

Fig. 19. Experimental spectrum of the FET-oscillator array just after loss of stability. It corresponds to a quasi-periodic solution, in agreement with the Hopf bifurcation predicted by stability analysis of Fig. 15.

voltages should be equal for the in-phase solution. Due to implementation errors and model inaccuracies, the point of equal tuning voltages is slightly shifted from 0 . In the measurements, the loss of stability at both and was due to a Hopf bifurcation, as a quasi-periodic solution was immediately obtained from these phase values. This was in agreement with the stability analysis through the semianalytical approach, shown in Fig. 15, and with the envelope transient simulations of Fig. 16. The measured spectrum of the quasi-periodic solution obtained V and V is shown for the tuning voltages in Fig. 19. This provides an experimental validation of the stability analysis through the semianalytical approach. VI. CONCLUSION This paper has presented an in-depth analysis of the nonlinear-dynamics of one-dimensional coupled-oscillator arrays. As done by previous authors, the constant inter-stage phase shift is varied by detuning the two outermost oscillators in opposite directions. The array can thus be considered a two-parameter system, which requires a careful bifurcation analysis in order to determine the stable-operation ranges. This stability analysis has been presented here for increasing strength of the coupling between the oscillator elements. The full study allows a

GEORGIADIS et al.: NEW TECHNIQUES FOR ANALYSIS AND DESIGN OF COUPLED-OSCILLATOR SYSTEMS

[18] V. Rizzoli and A. Neri, “State of the art and present trends in nonlinear microwave CAD techniques,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 343–365, Feb. 1988. [19] A. Quarteroni, R. Sacco, and F. Saleri, Numerical Mathematics. New York: Springer-Verlag, 2000. [20] R. J. Pogorzelski, “On the design of coupling networks for coupled oscillator arrays,” IEEE Trans. Antennas Propag., vol. 51, no. 4, pp. 794–801, Apr. 2003. [21] R. Goldwasser, D. Donoghue, G. Dawe, S. Nash, C. Fingerman, I. -band Crossley, C. Mason, L. Rafaelli, and R. Tayrani, “Monolithic VCOs,” in IEEE Microw. Millimeter-Wave Monolithic Circuits Symp., 1988, pp. 55–58. [22] K. Kurokawa, “Noise in synchronized oscillators,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 4, pp. 234–240, Apr. 1968. [23] E. Ngoya and R. Larcheveque, “Envelope transient analysis: A new method for the transient and steady state analysis of microwave communication circuits and systems,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 1996, pp. 1365–1368. [24] H.-C. Chang, X. Cao, U. K. Mishra, and R. A. York, “Phase noise in coupled oscillators: Theory and experiment,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 604–615, May 1997. [25] V. Rizzoli, F. Mastri, and D. Masotti, “General noise analysis of nonlinear microwave circuits by the piece-wise harmonic balance technique,” IEEE Trans. Microw. Theory Tech, vol. 42, no. 5, pp. 807–819, May 1994.

Ka

Apostolos Georgiadis (S’94–M’03) was born in Thessaloniki, Greece. He received the B.S. degree in physics and M.S. degree in electronics physics and radioelectrology from the Aristotle University of Thessaloniki, Thessaloniki, Greece, in 1993 and 1996, respectively, and the Ph.D. degree in electrical engineering from the University of Massachusetts at Amherst, in 2002. In 2002, he joined Global Communications Devices (GCD), North Andover, MA, as a Systems Engineer, where he was involved with CMOS transceivers for wireless network applications. In June 2003, he joined Bermai Inc., as an RF/Analog Systems Architect. In 2005, he joined the University of Cantabria, Santander, Spain, as a Researcher. His research interests include nonlinear microwave circuit design, active antenna arrays, and wireless systems.

3877

Dr. Georgiadis was the recipient of a 1996 Fulbright Fellowship, the 1997 and 1998 Outstanding Teaching Assistant Award presented by the University of Massachusetts at Amherst, and the 1999 and 2000 Eugene M. Isenberg Award presented by the Isenberg School of Management, University of Massachusetts at Amherst.

Ana Collado was born in Santander, Spain. She received the Telecommunications Engineering degree from the University of Cantabria, Santander, Spain, in 2002, and is currently working toward the Ph.D. degree at the University of Cantabria. Her research interests include the development of techniques for practical bifurcation control, the investigation of coupled-oscillator systems, and the stability analysis of power amplifiers.

Almudena Suárez (M’96–SM’01) was born in Santander, Spain. She received the Electronic Physics degree and Ph.D. degree from the University of Cantabria, Santander, Spain, in 1987 and 1992, respectively, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1993. In 1987, she joined the Electronics Department, University of Cantabria, where she was involved with nonlinear simulation. From May 1990 to December 1992, she was on leave with the Institute de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges. Since 1993, she has been an Associate Professor (permanent since June 1995) with the University of Cantabria, where she is a member of the Communications Engineering Department. She coauthored Stability Analysis of Microwave Circuits (Artech House, 2003). Her research interests include the nonlinear design of microwave circuits, especially the nonlinear stability and phase-noise analysis and investigation of chaotic regimes. Dr. Suárez is a Distinguished Microwave Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) (2006–2008).

3878

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

A Spectral Integral Method and Hybrid SIM/FEM for Layered Media Ergün S¸ims¸ek, Student Member, IEEE, Jianguo Liu, Student Member, IEEE, and Qing Huo Liu, Fellow, IEEE

Abstract—This paper first presents a spectral integral method (SIM) for electromagnetic scattering from homogeneous dielectric and perfectly electric conducting objects straddling several layers of a multilayered medium. It then uses this SIM as an exact radiation boundary condition to truncate the computational domain in the finite-element method (FEM) to form a hybrid SIM/FEM, which is applicable to arbitrary inhomogeneous objects. Due to the high accuracy of the SIM, the sampling density on the radiation boundary requires less than five points per wavelength to achieve 1% accuracy. The efficiency and accuracy of the developed methods have been demonstrated with several numerical experiments for the TM case. The TE case can be obtained by duality. Index Terms—Boundary-element method (BEM), finite-element method (FEM), layered media, radiation boundary condition (RBC), spectral integral method (SIM), surface integral equation (SIE).

I. INTRODUCTION

E

LECTROMAGNETIC scattering from inhomogeneous objects of arbitrary shape embedded in a layered medium has been a very important research topic because of its wide application in areas such as geophysical exploration, remote sensing, landmine detection, biomedical imaging, interconnect simulations, microstrip antennas, and monolithic microwave integrated circuits. The complex background and the large number of unknowns associated with realistic targets make the problem more challenging. For homogeneous objects embedded in a layered medium, surface integral equations (SIEs) are more appropriate than volume integral equations (VIEs). However, for inhomogeneous objects, the SIE must be combined with other methods such as the finite-element method (FEM) in order to account for the inhomogeneity. In this approach, the computational domain can be truncated by using a radiation boundary condition (RBC). Over the last three decades, several RBCs have been developed [1]–[21]. One of them is the hybrid finite-element method/boundary-element method (FEM/BEM), which uses the SIE as an RBC on the boundary surrounding the scatterer(s), and the FEM in the bounded region [2]–[6], [11], [13]. In this approach, the evaluation of the layered-medium Green’s functions is a time-consuming step of the overall procedure, Manuscript received January 1, 2006; revised May 6, 2006. This work was supported by the National Science Foundation under Grant CCR-00-98140 and Grant IIS-0086075. The authors are with the Department of Electrical and Computer Engineering, Duke University, Durham, NC 27708-0291 USA (e-mail: [email protected]). Color versions of Figs. 2–6 and 11 are available online at http://ieeexplore. ieee.org. Digital Object Identifier 10.1109/TMTT.2006.883647

, where is the number of the samples taken which is on the boundary. The classical methods such as the method of moments (MoM) requires at least ten points per wavelength sampling density to achieve 1% accuracy. If one can reduce this requirement to the half: 1) this time-consuming step takes only a quarter of the classical approach and 2) the matrix size of the problem is reduced. Fortunately, the number of the required samples taken on the boundary can be decreased depending on the accuracy of the SIE. Due to this lower memory requirement and geometrical and material adaptability, the FEM/BEM is a useful and powerful method for analysis of scattering by inhomogeneous objects in layered media. The matrix size of the FEM problem depends on the accuracy of the basis functions used for both the FEM and BEM. Most of the published results use zeroth- and first-order basis functions, thus requiring at least ten points per wavelength sampling density in the discretization. The usage of higher order methods can reduce the minimum required sampling density. A spectral integral method (SIM), which has been developed to solve the SIE for electromagnetic scattering by homogeneous objects with a smooth boundary in a homogeneous background [22] and in layered media [23] is an efficient higher order method. The usage of the fast Fourier transform (FFT) algorithm provides exponentially accurate results, and it has been shown that approximately three points per wavelength guarantees an error less than 1%. As a result, using this exponentially accurate method, i.e., SIM, as an RBC can reduce the minimum required sampling density on the radiation boundary. Recently, Liu and Liu propose that the SIM can be utilized as an efficient RBC to truncate the computational domain in the FEM for a homogeneous background medium [24]. This study is the extension of the SIM/FEM to layered-medium problems. It has two new contributions: first, it extends the SIM for objects completely embedded in a single layer, as described in [23], to objects straddling several layers. To achieve this, we improve the SIM to handle the fields and Green’s function across the layer interfaces so that SIM can now be applied to a scatterer straddling several layers. This new feature is nontrivial and greatly expands the applicability of the SIM. Secondly, the SIM is used as an RBC for the FEM in layered-medium problems. This allows the objects inside the surface to be arbitrarily inhomogeneous. The high-order accuracy of the SIM greatly reduces the number of unknowns on the boundary integral and, hence, greatly reduces CPU time used for the evaluation of the layered-medium Green’s functions. This paper is organized as follows. In Section II, the improved SIM for objects straddling several layers is described.

0018-9480/$20.00 © 2006 IEEE

S¸ IMS¸ EK et al.: SIM AND HYBRID SIM/FEM FOR LAYERED MEDIA

3879

Section III presents the hybrid SIM/FEM for arbitrarily inhomogeneous objects in a layered medium. The SIM and SIM/FEM are validated with several numerical examples in Section IV. II. SIM FOR A HOMOGENEOUS SCATTERER STRADDLING SEVERAL LAYERS Consider a general multilayered medium consisting of layers separated by interfaces parallel to the -axis. Layer exists between and ( and ) and is characterized by relative complex perand relative permeability ; the wavenumber mittivity . Assume that the inside the layer is given by scatterer is a homogeneous object residing in several layers of the background. The boundary of the scatterer is described as or, equivalently, in terms of ). a parameter (in this case, the azimuthal angle An incident wave is assumed and the time dependence of is implied. case, the two-dimensional (2-D) Helmholtz For the is equation for the scalar field (1) where subscript indicates the region outside or inside the object, is the source excitation, , . and For a smooth dielectric object embedded in a layered medium, one boundary integral equation on the outside of surface of the scatterer can be obtained as

(2) , where is assumed zero, is the incident wave for ), is the outward from outside the object (i.e., is the layered-medium Green’s funcunit normal, and tion given by (3) is the spectral-domain counterpart. where written as

can be

(4) where (5) and is the point is and

th layer’s relative permeability where the field . Finally, (3) can be written as

, and is the zerothwhere order Hankel function of the second kind. This formulation is the same as the primary field term subtraction when source and field points are in the same layer [23], [25]–[28]. The important caution is that this subtraction procedure is used even if the source and field points are in different layers. Hence, we can separate the layered media Green’s function into two parts: singular and nonsingular. As described in [29], we can define an infinitely smooth function to handle the singular behavior in terms of as follows:

(7) Similar procedure follows for the derivative of the Green’s func, as described in [23], not only for the prition mary field term, but also for reflection terms. The unknown field and its derivative can be approximated by truncated Fourier series in terms of along the boundary of the scatterer. The two integrations in (2) can then be calculated using the FFT with high accuracy. After collocation at points, (2) can be written in a compact form as follows: (8) where

and

are the Fourier’s coefficients of and , respectively, is the number of discretized Fourier transform points, and , in which , are the indices of basis and testing points on the discrete boundary, and are Fourier transforms of the smooth parts, and and are Fourier transforms of the two nonsmooth terms of the Green’s function and its normal derivative (see [23] for the expressions). Due to the use of singularity subtraction and the FFT, the calculations of these terms are convergent, fast, and have high accuracy. The second boundary integral equation for the interior problem can be discretized in the same way. The final form and its of the equations can be solved for the scalar field normal derivative on the boundary of the scatter. From the solution of these field variables on the boundary, the fields everywhere can be obtained by the Green’s theorem. To sum up, the SIM formulation presented in [23] is developed in such a way that now it can solve scattering problems for homogeneous objects residing in several layers of the layered medium. As mentioned above, the subtraction method described in [28] has been implemented by using the layer parameters where the field point is located even when the source point is not in that same layer. The SIM has a spectral accuracy for smooth homogeneous objects. For objects with corners, the method is still valid, but the expected accuracy decreases from smooth objects. In order to simulate inhomogeneous objects, we next develop a hybrid method combining the SIM with the FEM. III. HYBRID SIM/FEM FOR LAYERED MEDIA

(6)

Consider arbitrary 2-D inhomogeneous objects in a layered medium, as shown in Fig. 1. To solve the electromagnetic wave

3880

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

cretization point for the SIM. Finally, we obtain the following system of equations (see [24] for the details): (11) (12)

Fig. 1. Arbitrary inhomogeneous objects in an N -layer medium where each layer is characterized by relative complex permittivity ~ and relative permeability  . @ 0 is a smooth boundary containing all the scatterers.

scattering problem using the FEM, an RBC is required to truncate the computational domain so that the FEM is used in the interior region (Region I) without having to discretize the lay, the field ered medium. With a correct RBC on the surface in the layered medium, the exterior region (Region II) can be calculated once the field in Region I (including the boundary) is solved. In Region I, the material is inhomogeneous with reland complex relative permittivity ative permeability . There may also exist metallic materials and electric/ magnetic sources in Region I. Region II is a layered medium described in Section II. The goal is to solve for the electromagnetic fields scattered by the inhomogeneous object. waves, the total electric field in Region For ) can be determined from the solution of the I (bounded by scalar wave equation (1). To discretize (1), we multiply the equation by the testing function, and integrate over Region I. For the FEM solution, Region I is discretized into triangular elements and linear pyramid basis functions are used to expand in the interior Region I, and trianthe electric field gular basis functions are used to expand the boundary value on (with nodal points collocated with the nodal points of the pyramid basis function on the boundary). Additional conditions are provided by the RBC. In order to use the SIM as an RBC for the FEM, we need to relate the elec. Since tric field and its normal derivative on the boundary and are the Fourier coefficients of the electric field and its normal derivative on the boundary, the pyramid basis expanand can be obtained by and , sion coefficients which are obtained from (8) through trigonometric interpolation

Combining (11) and (12) with (8), one can solve the linear equations for and , thus obtaining the electric field in and its Region I. Using the inverse FFT, the electric field normal derivative are obtained on the boundary . Due to the high accuracy of SIM and trigonometric interpolation operations, even a small sampling density of approximately five points per wavelength (5 PPWs) can give an accuracy better than 1%. On the other hand, the FEM used for the interior region has a second-order accuracy; thus, the overall accuracy of the hybrid SIM/FEM will depend on the accuracy of the FEM much smaller part. In fact, in all the calculations, we choose than to accomplish SIM and trigonometric interpolation with the same accuracy as the FEM. The system in (8), (11), and (12) equations and is overdetermined because we have unknowns, therefore, an iterative solver would be and preferred to solve this system. Alternatively, multiplying (12) by , the conjugate transpose of the trigonometric interpolation matrix , the system matrix becomes square and, thus, a direct solver can also be used. The advantage of the hybrid SIM/FEM method is the highly accurate RBC with very few discretization points on the boundary. Indeed, the number of unknowns in the original points points for the electric field, FEM is and points for the normal derivative of the electric field on unthe boundary), the SIM/FEM hybrid method has as the sampling density knowns, where the ratio of in FEM and SIM is approximately ten points per wavelength (or more) and 5 PPWs for roughly 1% accuracy. Therefore, the number of unknowns in the SIM/FEM hybrid method is actually smaller than the FEM with a Neumann boundary condition. Furthermore, the time-consuming step of the overall method, which is the evaluation of the layered media Green’s ), which is functions, is reduced by a factor of 4 (for a crucial reduction in terms of CPU time. In Section IV, we will show the numerical results of the hybrid SIM/FEM method. IV. NUMERICAL RESULTS For all the examples presented here, the scatterer is excited plane wave incident normally to the interface with . The case can be easily obtained by using duality. In the figures describing the geometry of the example, an artificial , which is placed at least one element radiation boundary away from the scatterers, is plotted with a dashed line enclosing all the scatterers.

(9) A. Numerical Example for the SIM (10)

where are the positions of the FEM nodal points on the boundary in terms of the parameter , and is the first dis-

First we present an example to show the validity of the SIM for a homogeneous object straddling a layer interface. A circular perfect electric conductor (PEC) cylinder with radius cm is partially buried in a two-layer medium, as shown in Fig. 2(a). The frequency of the incident wave is 300 MHz; the two layers have properties

S¸ IMS¸ EK et al.: SIM AND HYBRID SIM/FEM FOR LAYERED MEDIA

Fig. 2. (a) Partially buried PEC cylinder of radius r = 12:5 cm, whose center is 6.5 cm below the interface.  =  =  = 1;  = 4; f = 300 MHz. (b) Magnitude of current induced on the object. (c) Phase of current (in degree). (d) Convergence of error with the number of discretization points on the surface of the scatterer.

. The center of the object is 6.5 cm below the interface. Fig. 2(b) and (c) compares the magnitude and phase of the current induced on the scatterer obtained with the SIM and magnetic-field integral-equation (MFIE) formulation [30]. There is a very good agreement between these two results. The convergence of error with the number of discretization points per wavelength is obtained by using the case with 82 PPWs as a reference and is plotted in Fig. 2(d). The error decreases exponentially with the number of discretization points, confirming that the SIM has a spectral accuracy. The result shows that even with (or at 5.0 PPWs) on the a small discretization number boundary of the cylinder, the relative error is smaller than 1%. Note that the Green’s functions for the background have been calculated with 10 relative error tolerance, and the minimum relative error that can be obtained is approximately on this level. B. Circular Cylinder/Circular Boundary by SIM/FEM Next, a circular PEC object with radius of 0.5 m is centered on the interface of a two-layer medium. The top layer is air and the bottom layer is soil . The frequency is 300 MHz and the radiation boundary is a circle with radius of 0.6 m. To apply the FEM in the interior region, the interior domain is discretized into triangular elements using NETGEN (NETGEN/NGSolve V4.4, developed at Johannes Kepler University, Linz, Austria). The number of triangular elements is 2102, and the number of FEM nodes is 1257. Fig. 3 shows the scattered field along the radiation boundary obtained in two different ways. First, the SIM (30 PPWs) is used on the surface of the to solve the problem for scatterer, and then the scattered field is calculated by using the SIE. Second, the SIM (30 PPWs) is used as an RBC on the radiation boundary, and the FEM is used for the interior region, as explained. An excellent agreement has been observed between these results. In the same figure, the result that is obtained by using 5 PPWs only is also depicted, which has very good agreement with others.

3881

= 0:6 m) for a Fig. 3. Scattered field along the radiation boundary (r = circular PEC object centered on the interface of a two-layer medium. r 0:5 m,  =  =  = 1;  = 4; f = 300 MHz.

Fig. 4. Convergence of error for Example A: (a) with the number of discretization points along the radiation boundary and (b) with decreasing frequency.

The convergence of the SIM/FEM method is analyzed in two different ways. First, as shown in Fig. 4(a), the number of SIM points on the radiation boundary is changed for the fixed frequency and sampling density for the interior region. Clearly, 5 PPWs guarantees 1% accuracy. Second, the frequency of the problem is changed for the same mesh, the number of the SIM MHz). Fig. 4(b) shows points is 36 (4.77 PPWs for the convergence of error with decreasing frequency. Note that this result shows an overall second-order convergence because of using linear basis and testing functions in the FEM part. Note that there are 224 FEM boundary nodes in this example, which is 6.2 times the number of SIM boundary nodes. The layered-medium Green’s function calculation took a 38.7 times less amount of time then an FEM with a Neumann boundary condition implementation. Moreover, in the SIM/FEM method, the ; by contrast, for total number of unknowns is an FEM with a Neumann boundary condition, the number of un, which is still greater knowns would have been than the number of unknowns in the SIM/FEM method. To further reduce the number of unknowns in the SIM/FEM method, in the future one can ideally combine the SIM with a higher order FEM to improve the efficiency of the overall scheme. We have also modeled a circular PEC object with radius of 0.125 m (same as [30]), which is four times smaller than the above presented example, and the SIM results agree well with [26] and [30], but are not shown here for brevity.

3882

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 7. Inhomogeneous scatterers. (a) Circular and (b) rectangular PEC = 4;  = 1. cylinder surrounded with coating in a two-layer medium, 

Fig. 5. Normalized magnitude of current induced on a half buried rectangular =  =  = 1;  = 4; f = PEC object (12.5 cm 5 cm).  300 MHz.

2

Fig. 6. Scattered field along the radiation boundary (r = (0:2; 0:1) m) for = a rectangular PEC object (12.5 cm 5 cm) in a two-layer medium.  =  = 1;  = 4; f = 300 MHz. 

2

TABLE I CIRCULAR COATING MODELS

Fig. 8. Magnitude and phase of the scattered fields along the radiation = 0:6 m, boundary for a circular PEC object surrounded with coating, r r = 0:55 m, and r = 0:5 m in a two-layer medium. f = 300 MHz.

C. Rectangular Cylinder/Elliptical Boundary The circular PEC object presented in the previous example is then replaced by a rectangular PEC object (12.5 cm 5 cm). In this case, an elliptical radiation boundary with major axis m and minor axis m is used. The number of triangular elements is 2670, and the number of FEM nodes is 1525. Fig. 5 shows the normalized magnitude of current induced on the object, the SIM/FEM result (5 PPWs) agrees very well with the MFIE solution [30]. Fig. 6 shows the scattered field along the radiation boundary obtained with the SIM/FEM method (5 and 45 PPWs), and SIM alone (10 PPWs). Again, an excellent agreement has been observed. The difference between the SIM/FEM results obtained by using 5 and 45 PPWs is less than 1%. D. Circular Scatterer With Coating The previous two examples show that the hybrid SIM/FEM works well for homogeneous objects. In this example, the scatterer, shown in Fig. 7(a), is an inhomogeneous scatterer. The m, m, frequency is 300 MHz, m, and . The number of triangular

elements is 2462, and the number of FEM nodes is 1437. In values, this example, we used four different sets of which are given in Table I. Note that case (d) is the same as the example in Fig. 3. Fig. 8 shows the magnitude and phase of the scattered fields for the four different cases, respectively. The gradual change in the scattered field from (a) through (d) clearly depicts the effect of coating. E. Rectangular Scatterer With Coating Similarly, a rectangular PEC object used in Fig. 6 is coated with different materials, as shown in Fig. 7(b). Again, MHz, cm for the elliptical outer cm, cm; cm, boundary, cm; , and . The center of the object is on the interface. The number of triangular elements is 3376, and the number of FEM nodes is 1878. Four different sets values are used for the coating, which of are given in Table II. Note that case (d) is the same as Fig. 6. Fig. 9 shows the magnitude and phase of the scattered fields for the four different cases, respectively. Similar to the previous

S¸ IMS¸ EK et al.: SIM AND HYBRID SIM/FEM FOR LAYERED MEDIA

3883

TABLE II RECTANGULAR COATING MODELS

Fig. 11. Scattered field along the radiation boundary for an inhomogeneous scatterer residing in four layers.

Fig. 9. Magnitude and phase of the scattered fields along the radiation boundary for a coated rectangular PEC object, w = 12:5 cm, h = 5:0 cm; w = 1:0 cm, w = 1:5 cm;  = 4, and  = 1 in a two-layer medium. f = 300 MHz.

20 cm is used as a radiation boundary, which has a circumfer. The number of triangular elements is 4736, and ence of the number of FEM nodes is 2558. Fig. 11 shows the scattered field along the radiation boundary by using 5.7 PPWs (32 points) and 22.9 PPWs (144 points). A very good agreement has been observed between these two results. As illustrated in this example, the hybrid FEM/SIM method is capable of solving scattering problems with inhomogeneous and composite structures residing in several layers of a layered medium. V. CONCLUSION

Fig. 10. Inhomogeneous scatterer residing in four layers of a six-layer background.

example, we can see the gradual change in the scattered field from (a) through (d).

In this paper, we have extended the SIM to the problems having a scatterer straddling several layers of a multilayered medium. Furthermore, we use the SIM as a novel RBC to truncate the computational domain of the FEM in a layered medium. Once we calculate the layered-medium Green’s function for the RBC, we can use it several times for any type of object inside the artificial boundary. This hybrid SIM/FEM method is suitable for objects with arbitrary boundaries and structures. Numerical results show an overall second-order convergence because of using linear basis and testing functions in the FEM part. The number of points on the radiation boundary is only around 5 PPWs, thus giving substantial saving in memory and CPU time requirements. Applications are also demonstrated for inhomogeneous and composite structures.

F. Inhomogeneous Scatterer Residing in Four Layers Finally, an inhomogeneous scatterer residing in four layers of a six-layer background, shown in Fig. 10, is analyzed. The inhomogeneous scatterer is centered at the origin, which is 30-cm long in the -direction and 20-cm long in the -direction. The middle part of the scatterer is a PEC with a width of 10 cm. There are dielectric coatings on the left and right sides of the and cm thick. The frequency of the scatterer with incident field is 300 MHz. A circular boundary with a radius of

REFERENCES [1] B. Engquist and A. Majda, “Absorbing boundary conditions for the numerical simulation of waves,” Math. Comput., vol. 31, pp. 629–651, 1977. [2] J. M. Jin and V. V. Liepa, “Application of hybrid finite element method to electromagnetic scattering from coated cylinders,” IEEE Trans. Antennas Propag., vol. 36, no. 1, pp. 50–54, Jan. 1988. [3] J. M. Jin and V. V. Liepa, “A note on the hybrid finite element method for solving scattering problems,” IEEE Trans. Antennas Propag., vol. 36, no. 10, pp. 1486–1490, Oct. 1988.

3884

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

[4] J. D. Collins, J. M. Jin, and J. L. Volakis, “A combined finite element—Boundary element formulation for solution of two-dimensional problems via CGFFT,” Electromagnetics, vol. 10, no. 4, pp. 423–437, 1990. [5] J. M. Jin, J. L. Volakis, and J. D. Collins, “A finite element—Boundary integral method for scattering and radiation by two- and three-dimensional structures,” IEEE Antennas Propag. Mag., vol. 33, no. 3, pp. 22–32, Jun. 1991. [6] J. M. Jin and J. L. Volakis, “A hybrid finite element method for scattering and radiation by microstrip patch antennas and arrays residing in a cavity,” IEEE Trans. Antennas Propag., vol. 39, no. 11, pp. 1598–1604, Nov. 1991. [7] J. P. Berenger, “A perfectly matched layer for the absorption of electromagnetic waves,” J. Comput. Phys., vol. 114, pp. 185–200, Oct. 1994. [8] Z. S. Sachs, D. M. Kingsland, R. Lee, and J.-F. Lee, “Performance of an anisotropic artificial absorber for truncating finite element meshes,” IEEE Trans. Antennas Propag., vol. 43, no. 12, pp. 1460–1463, Dec. 1995. [9] W. C. Chew and W. H. Weedon, “A 3-D perfectly matched medium from modified Maxwell’s equations with stretched coordinates,” Microw. Opt. Technol. Lett., vol. 7, pp. 599–604, 1994. [10] S. D. Gedney, “An anistropic perfectly matched layer—Absorbing medium for truncation of FDTD lattices,” IEEE Trans. Antennas Propag., vol. 44, no. 12, pp. 1630–1639, Dec. 1996. [11] M. D. Deshpande, C. R. Cockrell, and C. J. Reddy, “Electromagnetic scattering analysis of arbitrarily shaped material cylinder by FEM-BEM method,” NASA Langley Res. Center, Hampton, VA, NASA Tech. Rep., Jul. 1996. [12] Q. H. Liu, “An FDTD algorithm with perfectly matched layers for conductive media,” Microw. Opt. Technol. Lett., vol. 14, no. 2, pp. 134–137, 1997. [13] M. W. Ali, T. H. Hubing, and J. L. Drewniak, “A hybrid FEM/MoM technique for electromagnetic scattering and radiation from dielectric objects with attached wires,” IEEE Trans. Electromagn. Compat., vol. 39, no. 4, pp. 304–314, Nov. 1997. [14] J. Jin, The Finite Element Method in Electromagnetics. New York: Wiley, 1993. [15] J. L. Volakis, A. Chatterjee, and L. C. Kempel, Finite Element Method for Electromagnetics. Piscataway, NJ: IEEE Press, 1998. [16] A. F. Peterson, A. L. Ray, and R. Mittra, Computational Methods for Electromagnetics. Piscataway, NJ: IEEE Press, 1998, p. 43. [17] A. Musolino and M. Raugi, “A hybrid FEM/MOM formulation for nonlinear electromagnetic analysis,” IEEE Trans. Magn., vol. 34, no. 5, pp. 3292–3295, Sep. 1998. [18] F. L. Teixeira and W. C. Chew, “A general approach to extend Berenger’s absorbing boundary condition to anisotropic and dispersive media,” IEEE Trans. Antennas Propag., vol. 46, no. 9, pp. 1386–1387, Sep. 1998. [19] J. A. Roden and S. D. Gedney, “Convolution PML (CPML): An efficient FDTD implementation of the CFS-PML for arbitrary media,” Microw. Opt. Technol. Lett., vol. 27, no. 5, pp. 334–339, 2000. [20] G. X. Fan and Q. H. Liu, “A strongly well-posed PML in lossy media,” IEEE Antennas Wireless Propag. Lett., vol. 2, no. 7, pp. 97–100, 2003. [21] A. Musolino, “Finite-element method/method of moments formulation for the analysis of current distribution in rail launchers,” IEEE Trans. Magn., vol. 41, no. 1, pp. 387–392, Jan. 2005. [22] J. Liu and Q. H. Liu, “A spectral integral method (SIM) for periodic and nonperiodic structures,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 97–99, Mar. 2004. [23] E. Simsek, J. Liu, and Q. H. Liu, “A spectral integral method (SIM) for layered media,” IEEE Trans. Antennas Propag., vol. 54, no. 6, pp. 1742–1749, Jun. 2006. [24] J. Liu and Q. H. Liu, “A novel radiation boundary condition for finiteelement method,” J. Comput. Phys., submitted for publication. [25] K. A. Michalski and D. Zheng, “Electromagnetic scattering and radiation by surfaces of arbitrary shape in layered media: Part I: Theory,” IEEE Trans. Antennas Propag., vol. 38, no. 3, pp. 335–344, Mar. 1990. [26] K. A. Michalski and J. R. Mosig, “Multilayered media Green’s functions in integral equation formulations,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 508–519, Mar. 1997. [27] W. C. Chew, Waves and Fields in Inhomogeneous Media. Piscataway, NJ: IEEE Press, 1995.

[28] E. Simsek, Q. H. Liu, and B. Wei, “Singularity subtraction for evaluation of Green’s functions for multilayer media,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 216–225, Jan. 2006. [29] F. Q. Hu, “A spectral boundary integral equation method for the 2-D Helmholtz equation,” J. Comput. Phys., vol. 120, pp. 340–347, 1995. [30] X.-B. Xu and C. M. Butler, “Scattering of TM excitation by coupled and partially buried cylinders at the interface between two media,” IEEE Trans. Antennas Propag., vol. AP-35, no. 5, pp. 529–538, May 1987.

Ergün S¸ ims¸ek (S’01) received the B.S. degree in electrical engineering from Bilkent University, Ankara, Turkey, in 2001, the M.S. degree in electrical and computer engineering from the University of Massachusetts at Dartmouth, in 2003, and is currently working toward the Ph.D. degree at Duke University, Durham, NC. Since 2003, he has been a Research Assistant with Duke University. His research interests include numerical methods and computational electromagnetics.

Jianguo Liu (S’05) received the B.S. degree in physics from Northeast Normal University, Changchun, China, in 1995, the M.E. degree in underwater acoustics engineering from Harbin Engineering University, Harbin, China, in 1998, and is currently working toward the Ph.D. degree at Duke University, Durham, NC. From 1998 to 2003, he was with the Institute of Acoustics, Chinese Academy of Sciences. Since 2003, he has been a Research Assistant with Duke University. His research interests are computational acoustics, experimental underwater sound propagation, and computational electromagnetics.

Qing Huo Liu (S’88–M’89–SM’94–F’05) received the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 1989. From September 1986 to December 1988, he was with the Electromagnetics Laboratory, University of Illinois at Urbana-Champaign as a Research Assistant, and from January 1989 to February 1990, he was a Post-Doctoral Research Associate. From 1990 to 1995, he was a Research Scientist and Program Leader with Schlumberger-Doll Research, Ridgefield, CT. From 1996 to May 1999, he was an Associate Professor with New Mexico State University. Since June 1999, he has been with Duke University, Durham, NC, where he is currently a Professor of electrical and computer engineering. He has authored or coauthored over 300 papers in refereed journals and conference proceedings. He is an Associate Editor for Radio Science. His research interests include computational electromagnetics and acoustics, inverse problems, geophysical subsurface sensing, biomedical imaging, electronic packaging, and the simulation of photonic devices and nanodevices. Dr. Liu is a Fellow of the Acoustical Society of America. He is a member of Phi Kappa Phi, and Tau Beta Pi. He is a full member of the U.S. National Committee, URSI Commissions B and F. He is an associate editor for the IEEE TRANSACTIONS ON GEOSCIENCE AND REMOTE SENSING, for which he also served as a guest editor for the “Special Issue on Computational Methods.” He was the recipient of the 1996 Presidential Early Career Award for Scientists and Engineers (PECASE) presented by the White House, the 1996 Early Career Research Award presented by the Environmental Protection Agency, and the 1997 CAREER Award presented by the National Science Foundation (NSF).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

3885

Efficient Analysis, Design, and Filter Applications of EBG Waveguide With Periodic Resonant Loads George Goussetis, Member, IEEE, Alexandros P. Feresidis, Member, IEEE, and Panagiotis Kosmas, Member, IEEE

Abstract—An efficient analysis and design of an electromagnetic-bandgap (EBG) waveguide with resonant loads is presented. Equivalent-circuit analysis is employed to demonstrate the differences between EBG waveguides with resonant and nonresonant loadings. As a result of the resonance, transmission zeros at finite frequencies emerge. The concept is demonstrated in -plane waveguides. A generic fast and efficient formulation is presented, which starts from the generalized scattering matrix of the unit cell and derives the dispersion properties of the infinite structure. Both real and imaginary parts of the propagation constant are derived and discussed. The Floquet wavelength and impedance are also presented. The theoretical results are validated by comparison with simulations of a finite structure and experimental results. The application of the proposed EBG waveguide in the suppression of the spurious passband of a conventional -plane filter is presented by experiment. Index Terms—Electromagnetic bandgap (EBG), waveguide.

Fig. 1. Layout of the E -plane EBG waveguide.

-plane filters,

I. INTRODUCTION LECTROMAGNETIC-BANDGAP (EBG) structures have received increased attention in recent years [1], [2]. EBG structures have a frequency band in which no electromagnetic (EM) mode can propagate. Furthermore, at other frequencies, EBG structures have the property of reducing the phase velocity of EM modes according to the slow wave effect. Typically, the EBG property emerges by virtue of periodic reactive loading of the guiding structure. In the general case and adopting a circuit model, loads can be inductive (L), capacitive (C) or resonant (both L and C) in either series or shunt topology [3]. While the stopband–passband and slow-wave effects that are produced in all cases have similarities [3], [4], some important differences emerge. These can be anticipated using simple equivalent circuits. In practice, periodic loads are often realized by distributed structures, typically leading to configurations with successive discontinuities. According to their geometrical characteristics and spatial properties, EBG structures can be divided into three-dimen-

E

Manuscript received April 17, 2005; revised June 30, 2006. The work of G. Goussetis was supported by the Royal Academy of Engineering under a five-year research fellowship. G. Goussetis is with the School of Engineering and Physical Sciences, HeriotWatt University, Edinburgh EH14 AS, U.K., and also with the Edinburgh Research Partnership, Institute of Integrated Systems, Edinburgh EH14 AS, U.K. (e-mail: [email protected]). A. P. Feresidis is with the Department of Electrical and Electronic Engineering, Loughborough University, Loughborough LE11 3TU, U.K. (e-mail: [email protected]). P. Kosmas is with the Hellenic Navy, Greece (e-mail: [email protected]. edu). Digital Object Identifier 10.1109/TMTT.2006.883648

sional (e.g., [5]), two- dimensional (e.g., [6] and [7]), or one-dimensional (e.g., [8]). Two- and three-dimensional EBGs often find applications as substrates for printed antennas [9], in mobile handsets [10], [11], and other applications [12]. One-dimensional EBGs have been employed as slow wave structures, e.g., in slot array antennas [13]. In waveguide housing, periodically loaded (serrated) waveguides have been demonstrated to provide a variable refractive index [14] and have been employed for low-pass filtering applications [15], [16]. More recently it has been proposed to replace the homogeneous waveguide sections in the resonators of -plane filters [17] with periodically loaded waveguides in order to reduce the physical size and stopband performance. In this study, we present a thorough analysis, as well as design techniques for EBG waveguides with periodic resonant loadings. As an example, we study the rectangular waveguide periodically loaded on the -plane with ridges (Fig. 1). Initially, a qualitative equivalent-circuit analysis is employed in order to demonstrate the effects of the resonant as opposed to pure inductive shunt loading. It is demonstrated that the addition of a series capacitance in the shunt inductive loading leads to a low-pass response with a transmission zero in the stopband. Subsequently, a rigorous and robust full-wave formulation based on the generalized scattering matrix (GSM) of the unit cell is employed for the fast and accurate derivation of the complex wavenumber for an infinite structure. The field distribution of each Floquet mode is derived from the eigenvector corresponding to each eigenvalue. Based on the field distribution, impedance estimations for each mode are subsequently derived. The theoretical results are validated by means of simulating and experimentally assessing a finite low-pass structure. Finally, an application of the proposed EBG waveguide in improving the stopband performance of -plane filters is presented.

0018-9480/$20.00 © 2006 IEEE

3886

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

0

0

Fig. 2. Dispersion diagram ( f and f ) for periodically loaded X -band waveguides from equivalent-circuit unit cell with: (a) inductive load (Lw = 24 mm, L = 0:2 nH) and (b) resonant load (Lw = 8 mm, L = 0:7 nH, C = 0:183 pF).

II. DISPERSION RELATION FROM EQUIVALENT CIRCUITS Two circuit configurations are initially considered here, one periodically loaded with shunt inductors (L) and another periodically loaded with series resonators (LC) in shunt topology. The unit cells are shown as insets in Fig. 2. For the inductive unit cell [see Fig. 2(a)], the periodicity is mm, while mm. In for the resonant unit cell [see Fig. 2(b)], order to account for the waveguide properties of the homogeneous section of the transmission line between successive shunt loads, dispersive lines that follow the properties of the wavemode have been modeled between succesguide -band sive modes. The dispersion properties of the topology can be easily obtained, e.g., following simple circuit analysis to obtain -parameters and subsequently applying Floquet thethe orem, as described in [18]

where is the complex wavenumber. The real and imaginary parts of the Floquet wavenumber are shown in Fig. 2. From the imaginary part of the complex wavenumber, we identify the first passband for the EBG transmission line with shunt inductive loading [see Fig. 2(a)] in the range of 8–9 GHz. At the upper cutoff (9.06 GHz), the unit cell length is equal to a half guided wavelength, and Bragg reflections produce the bandgap. Considering that the dispersion of the -band waveguide only allows for modes above 6.56 GHz, the lower cutoff of the structure of Fig. 2(a) (8.09 GHz) suggests an overall bandpass response for this structure. For the EBG transmission line with shunt resonant loading, the first-order mode is found to be between 6.56–11.48 GHz [see Fig. 2(b)]. The lower cutoff is the cutoff of the -band waveguide, indicating a low-pass response. Due to the smaller periodicity, the Bragg condition is in this case satisfied at 19.86 GHz, which corresponds to the cutoff of the second-order mode. The first bandgap, between 11.39–15.35 GHz in this case, emerges by virtue of the band reject characteristics of the shunt load. The real part of the wavenumber corresponds to the rate of attenuation of the wave as it propagates along . Assuming no ohmic losses, the attenuation in the passband is zero. In

the bandgap, any incident excitation decays exponentially, . Hence, the nonzero values of correspond according to in the bandgap for the to the bandgaps. The variation of circuit of Fig. 2(a) is smooth with values varying well below 100 m . However, for the circuit of Fig. 2(b), the attenuation GHz, constant shows a sharp peak at the frequency raising the values of the attenuation constant at the vicinity to well above 200 m . This is the resonant frequency of the shunt load, at which the load behaves as a short circuit. The peak, therefore, corresponds to the transmission zero, the frequency of which is determined not by the periodicity, but rather by the resonance of the LC circuit. III. DISTRIBUTED STRUCTURE: FULL-WAVE DISPERSION CHARACTERIZATION The inductive loadings in a waveguide are realized in practice by bifurcating -plane metal septa, as shown in the inset of Fig. 3(a) [19]. These discontinuities find application in the well-established -plane filters [19]. When positioned at disapart, and their equivalent length is carefully tances selected, bandpass responses can be produced. In those structures, the passband corresponds to the first-order mode, while the stopband emerges due to the following bandgap. A capacitance in series with the inductance can be introduced by opening a gap in the -plane posts [inset in Fig. 3(b)]. The capacitance is, in this case, formed in the gap between the two ridges. In this manner, a resonant (LC) load is produced, which is expected to perform in a similar manner with the circuit of Fig. 2(b). In order to accurately analyze the distributed structure of Fig. 1, a rigorous full-wave modeling analysis that takes into account higher order mode interaction is necessary. In [20] and the references therein, the determination of Floquet modes is based on an eigenvalue problem for the transmission matrix of the unit cell. This method is, however, potentially unstable due to the exponential terms with positive real arguments that appear in the evanescent modes of a homogeneous waveguide section [21]. In [22], the impedance matrix formulation of the mode-matching technique was employed in order to derive a second-order polynomial eigenvalue equation. A canonical formulation based on the GSM, which can be readily solved using available numerical routines, has been developed [21]. While

GOUSSETIS et al.: EFFICIENT ANALYSIS, DESIGN, AND FILTER APPLICATIONS OF EBG WAVEGUIDE

0

3887

0

Fig. 3. Full-wave dispersion diagram ( f and f ) for periodically loaded X -band waveguides with: (a) bifurcate E -plane septum (Lw = 20 mm, Lr = 5 mm) and (b) ridge waveguide discontinuity (Lw = 6 mm, Lr = 2 mm, s = 1 mm). Thickness of inserts is everywhere t = 0:1 mm.

this method avoids the need for matrix inversions, in practice, it has proven to be numerically unstable for a large number of modes and expansion terms. Reference [23] proposed an alternative canonical eigenvalue admittance matrix formulation, which involves one substitution and a matrix inversion. Here we present a formulation that applies the transformation of [23] in a GSM description of the unit cell and brings the problem into canonical eigenvalue form. The GSM of the unit cell can be obtained upon application of the generalized transverse resonance technique and the mode-matching method [24]. Due to the orthogonality of the modal description in each section of the unit cell, the Floquet condition is applied to each mode separately. Hence, assuming the propagation constant of the Floquet eigenmode to be (1) (2)

and can rearrange (4) in the form (6) Equation (6) is now in the classical canonical form and can be solved fast and accurately using well-established routines. Assuming the ridge waveguide load symmetrically located within the unit cell, we can take advantage of the following symmetry properties:

The real and imaginary parts of the Floquet propagation concan be directly derived from the propagation stant constant by

where the coefficient vectors at the two ends of the unit cell are related through the GSM

(3) Combining (1)–(3), we can obtain (4) where (4a) (4b) (4c) In order to bring (4) in the classical canonical form , we introduce the substitutions

(5)

With this formulation, both real and imaginary parts of the propagation constant can be efficiently determined. Furthermore, using the calculated eigenvectors, it is straightforward to oband , from which we can obtain the field distribution tain of each Floquet mode. The method is an accurate and efficient way of obtaining the dispersion relation of a generic periodic incistructure based on the GSM of the unit cell. For a dence and symmetrical ridges, it is sufficient to analyze only one-quarter of the cross section. In this case, the structure satisand electric wall symfies magnetic wall symmetry at metry at . Even modes along and odd modes along can, in this case, be excluded from the analysis. The use of the GSM ensures instabilities from exponentially growing evanescent modes are prevented. In practice, however, we have noticed that the method is prone to numerical errors when the order of the GSM is large enough to include localized modes, which decay to a negligible level between successive discontinuities. This problem can be fixed by truncating the

3888

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

GSM to those accessible modes, whose amplitude along the homogeneous parts of the unit cell is maintained above a certain threshold. In solving the canonical problem (6), the number of eigenvalues obtained is typically equal to the order of the total matrix in the left-hand side of (6). These eigenvalues come into pairs, corresponding to forward and backward directions of the same mode. For each direction, the solution of (6) yields Floquet modes of the first and higher orders. Higher order modes are, in general, distinguished from their increased attenuation, i.e., higher value of . In the lower frequency range, the firstorder mode is propagating and higher order modes are evanescent. Similarly, within the bandgap, it is typical for higher order modes to attenuate faster. This is, in general, true with the exception of the frequency range around the transmission zero. At those frequencies, it is possible for the attenuation rate of the first Floquet mode to obtain greater values than the next mode. This occurs due to the short circuit experienced by the first-order mode. Therefore, the “lowest real ” criterion for the selection of the fundamental Floquet mode can lead to mistakes regarding the attenuation constant of a mode in the region of the transmission zero. Instead, selection of the Floquet mode of interest is preferably based on inspection of the corresponding field distribution. In practice, one way to do that is to identify the dominant rectangular waveguide TE or TM component of the Floquet mode of interest. At the edge of the unit cell, each Floquet mode is expressed as a linear combination of forward and backward TE and TM modes with projections described by the vectors and . The maximum element within the vector corresponds to the dominant TE or TM component of the Floquet mode, which mode. For the first-order mode, this is the corresponds to either (1) or (1), depending on the assumed direction. Selecting the eigenvector whose maximum absolute value element is either of (1) or (1) is a stable criterion for selecting the first-order mode even in the neighborhood of the transmission zero. In order to give an estimation of the computational time required, we report that a typical dispersion diagram with 100 points, 30 TE and 20 TM modes in each section takes approximately 24 s on a Pentium 4 at 2 GHz with 1-GB RAM. This is many orders of magnitude reduced compared to generic commercially available software packages. IV. EBG RIDGE WAVEGUIDE A full-wave analysis of the EBG waveguides with unit cells shown in Fig. 3 has been carried out. Throughout this study, we have considered -band waveguide housing, while the thickness of the metal insert is 100 m, small enough to be compatible with standard photolithographic techniques. The dispersion relations obtained with the full-wave method of Section III for both the real and imaginary parts of the propagation constant are shown in Fig. 3. For the inductive unit mm and cell of Fig. 3(a), the dimensions are mm (i.e., periodicity mm). As mentioned above, this topology can also be seen as a bandpass -plane filter [17] in the limit of infinite order. The passband will then correspond to the

propagating mode and the stopbands to the bandgaps. For the mm, resonant unit cell of Fig. 3(b), the dimensions are mm (i.e., periodicity mm), and mm. The correspondence between the obtained responses from the equivalent circuits and the full-wave analysis of the distributed structures is evident. This clearly demonstrates the resonant behavior of the ridge waveguide sections, as opposed to the inductive behavior of the bifurcating septa. In the remainder of this section, we present propagation characteristics of the -plane EBG waveguide that assist with the design. Parametric studies of the complex dispersion relation are given, together with theoretical calculation of the Floquet wavelength and impedance. A. Dispersion Relations Fig. 4 shows the dispersion relation of EBG waveguides with mm and variable periodicity. The disfixed load length mode is also superimposed via persion relation of the a light gray dotted line for comparison. At low frequencies, the dispersion characteristics of the EBG waveguides resemble those of the homogeneous case, but the dispersion curves deviate, leading to a bandgap at the edge of the Brillouin zone. Note that for the smallest periodicity and for larger gaps , the bandgap of the first-order mode emerges at higher frequencies than the cutoff frequency of the second-order mode. The lower cutoff frequency of the first-order mode is, in all cases, mode and above the below the cutoff frequency of the cutoff frequency of the corresponding homogenous ridge waveguide, tending to the former for larger unit cells and to the latter for smaller unit cells. The bandgap of the first-order mode (upper cutoff frequency) drops for smaller gaps or larger unit cells and the bandgap width is larger for those cases. B. Attenuation Constant Attenuation constant curves give a good indication of how fast the field decays in the EBG waveguide and are, therefore, particularly useful in determining the order of low-pass filters. Fig. 4 also shows the variation of the attenuation constant of the EBG ridge waveguides with frequency. Since the analysis considers the lossless case, is zero in the passbands and assumes nonzero values in the bandgaps. The transmission zero predicted from the circuit analysis appears as the sharp peaks in Fig. 4. These peaks correspond to the frequency where the ridges themselves resonate and, hence, the EBG waveguide appears short circuited. As the periodicity is reduced, the frequency of the transmission zero increases and the levels of attenuation increase. In order to probe further into the nature and properties of the transmission zero, Fig. 5 shows the variation of the attenuation mm and mm and the constant for the case of gap between the ridges varying between 0.5–2 mm. It is clearly shown that, for narrower gaps, the transmission zero moves towards lower frequencies due to the increased capacitance between the ridges. On the contrary, by increasing this gap, the capacitance is reduced and the transmission zero moves to higher frequencies, moving to the second-order bandgap for the highest values of the gap. There is a gapwidth value where the second mode of the structure is nearly eliminated by the transmission

GOUSSETIS et al.: EFFICIENT ANALYSIS, DESIGN, AND FILTER APPLICATIONS OF EBG WAVEGUIDE

3889

Fig. 4. Dispersion relation (top) and attenuation constant (bottom) for the E -plane EBG waveguide with unit cell: (a) 12, (b) 8, and (c) 4 mm and gaps 1, 3, and 5 mm.

zero. This result can also be predicted from the equivalent-circuit analysis. C. Floquet Wavelength In a frequency range below the bandgap, periodic structures typically support waves whose velocity of propagation is reduced compared to that in the homogeneous host medium. As a result, the wavelength of the Floquet mode is reduced compared to the wavelength of the unperturbed medium, which, in this case, is the rectangular waveguide. In an equivalent medium description, the periodically loaded medium exhibits an increased refractive index [14], [25], which can be calculated from the

ratio of the free space over the Floquet wavelength at the operating frequency. These properties find tangible applications in traveling-wave tubes [3], microwave lenses [14], and miniaturized microwave filters [17]. The Floquet wavelength can be acreadily estimated from the dispersion diagrams cording to

Fig. 6 shows the Floquet wavelength for the structure with mm, and mm and gap values equal to 1, 3,

3890

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 5. Attenuation constant versus frequency for EBG waveguide with unit 2 mm and varying gap between the ridges. cell 12 mm,

Lrid

Lr

D

Fig. 6. Guided wavelength for the EBG waveguide with = 2 and = 4 and gaps 1, 3, and 5 mm. The guided wavelength of the TE10 mode is shown for comparison.

and 5 mm. For comparison, the wavelength of the unperturbed mode is also shown. A wavelength reduction of around and the waveguide with a gap 50% is observed between of 1 mm at around 10 GHz. This can be translated, for example, in reduction of overall dimensions in filter applications [17]. D. Bloch Impedance The characteristic impedance of an infinite periodic structure is typically assumed to be the Bloch impedance at the unit cell terminals [18], and is obtained as the ratio of an equivalent voltage over an equivalent current. Since the unit cell terminals are rectangular waveguides, the equivalent voltage and current are not uniquely defined. Here, we use the voltage/current definition as the line integral of the electric/magnetic field along the plane of magnetic/electric symmetry of the mode

The electric and magnetic fields at the unit cell terminals can be reconstructed for each eigenvalue from the corresponding and the analytically determined rectaneigenvector gular waveguide modes. Fig. 7 shows the calculated Bloch

Fig. 7. Bloch impedance (magnitude and phase) for the EBG waveguide with = 2 mm and = 8 mm (gap = 1 mm). The impedance of the unperturbed TE10 is also shown for comparison.

Lred

D

impedance (magnitude and phase) for the EBG waveguide with mm, mm, and mm. For comparison, the magnitude of the impedance of the unperturbed waveguide is also superimposed. Overall, the effect of the periodic loading is to shift the curve to lower frequencies and lower impedance values. Up to 5.4 GHz, the EBG waveguide is below cutoff and the impedance is imaginary, as is the case with the standard rectangular waveguide below cutoff. The first Floquet mode extends between 5.4–11.6 GHz. Within the range of 5.4–6.6 GHz, the EBG waveguide is, therefore, above cutoff, while the corresponding rectangular waveguide is below cutoff. The propagating Floquet mode can be analyzed in this section of the unit cell as a linear combination of accessible evanescent rectangular waveguide modes, which interact between successive discontinuities. At this frequency range, the impedance is real, but negative. Between 6.6–11.6 GHz the impedance phase is nearly zero, as the case with the rectangular waveguide. In the bandgap, the impedance becomes nearly imaginary, with a phase of approximately 90 . Above the first bandgap, at 15.8 GHz, the impedance again becomes nearly real and positive, only now shifted to higher values. V. FINITE STRUCTURES The dispersion curves derived with the method described in Section II can be used as guidelines for the design of waveguide filters. The propagating modes and bandgaps identified in the dispersion diagrams correspond to passbands and stopbands of finite structures provided those are impedance matched. The EBG ridged waveguide sections have a low-pass mode whose upper cutoff is defined from the unit cell dimensions together with the gap between the two ridges. The order of the low-pass filter, i.e., the number of loads of the finite structure, defines the attenuation in the stopband. This can be estimated taking into account the real part of the propagation constant considering that the waves decay in a finite EBG waveguide according . Hence, the required length for a specified isolation to can be derived. This is subsequently translated to numbers of unit cells. The other consideration for the designer is to match -mode the EBG waveguide impedance to the rectangular impedance. This can be achieved by altering the dimensions of

GOUSSETIS et al.: EFFICIENT ANALYSIS, DESIGN, AND FILTER APPLICATIONS OF EBG WAVEGUIDE

Fig. 8. Simulated mode matching and measured results for a fifth-order lowpass prototype. Dots show S 12 as estimated from the attenuation constant of the infinite structure.

TABLE I DIMENSIONS OF FABRICATED PROTOTYPE

3891

for the complete finite structure. Very good agreement is observed between the two. Furthermore, the estimation of the attenuation from the calculated value of [see Fig. 4(b)] is shown with dots in Fig. 8. The good agreement between the finite structure and the prediction of its response from the infinite structure validates the accuracy of the estimated values of and demonstrates its usefulness in determining the order of the EBG filter. To demonstrate an application of the proposed waveguide, this low-pass filter has been integrated with an -plane bandpass filter in order to suppress the spurious harmonic resonance of the latter. A prototype has been fabricated and tested. The measured response and a photograph of the prototype are shown of the bandpass in Fig. 9. In the same figure, the simulated filter alone is also shown. The stopband of the -plane filter, which originally extended up to approximately 12.5 GHz, now extends to approximately 15 GHz, without any associated increase in the fabrication complexity. VI. CONCLUSION A rigorous study of the -plane EBG waveguide with resonant loads has been presented. Equivalent circuits have been employed to demonstrate the effect of opening a capacitive gap in the otherwise inductive -plane posts. The resulting load, as opposed to the inductive nature of the -plane post, is resonant. A low-pass performance is produced and a transmission zero emerged in the bandgap due to the resonance of the load. An efficient full-wave formulation based on the GSM has been employed for the full-wave characterization of the structure. The location of the transmission zero was shown to be adjusted with the geometry of the capacitive gap. The effective wavelength and impedance have been rigorously calculated. Experimental results on a finite structure have been presented and are in good agreement with the simulated results for the finite and truncated infinite structure, thus validating the analysis. The proposed structure is compatible with standard low-cost photolithographic techniques of -plane technology and can be directly integrated with well-established -plane filters. As an example, an application for the suppression of the spurious passband of a bandpass -plane filter was presented. ACKNOWLEDGMENT

Fig. 9. Measured response and photograph of fabricated third-order E -plane filter integrated with the low-pass structure of Fig. 8 for suppression of spurious passband.

the first and last loadings. As an example of a low-pass filter, Fig. 8 shows the response of a fifth-order filter with cutoff at 11.5 GHz. This filter has been employed for the suppression of the first spurious harmonic passband of a bandpass -plane filter [16]. The designed dimensions are given in Table I. The filter has been fabricated and measured. The experimental results are shown in Fig. 8 together with mode-matching results

The authors would like to thank Dr. R. Tascone, L’Istituto di Elettronica e di Ingegneria dell’Informazione e delle Telecomunicazioni (IEIIT), Consiglio Nazionale delle Ricerche (CNR), Turin, Italy, for the fruitful discussions regarding the algebraic formulation. REFERENCES [1] IEEE Trans. Antennas Propag. (Special Issue), vol. 51, no. 10, Oct. 2003. [2] IEEE Trans. Antennas Propag. (Special Issue), vol. 53, no. 1, Jan. 2005. [3] A. F. Harvey, “Periodic and guiding structures at microwave frequencies,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 1, pp. 30–61, Jan. 1959. [4] R. Collin, Foundations for Microwave Engineering. New York: McGraw-Hill, 1966. [5] E. Yablonovitch, T. J. Gmitter, and K. M. Leung, “Photonic band structure: The face-centered cubic case employing nonspherical atoms,” Phys. Rev. Lett., vol. 67, no. 17, pp. 2295–2298, Oct. 1991.

3892

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

[6] D. Sievenpiper, Z. Lijun, R. F. Broas, N. G. Alexopoulos, and E. Yablonovitch, “High-impedance electromagnetic surfaces with a forbidden frequency band,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2059–2074, Nov. 1999. [7] F.-R. Yang, K.-P. Ma, Y. Qian, and T. Itoh, “A uniplanar compact photonic-bandgap (UC-PBG) structure and its applications for microwave circuit,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1509–1514, Aug. 1999. [8] J.-S. Hong and B. M. Karyamapudi, “A general circuit model for defected ground structures in planar transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 706–708, Oct. 2005. [9] H. Mosallaei, K. Sarabandi, and K. , “Antenna miniaturization and bandwidth enhancement using a reactive impedance substrate,” IEEE Trans. Antennas Propag., vol. 52, no. 9, pp. 2403–2414, Sep. 2004. [10] R. F. Broas, D. Sievenpiper, and E. Yablonovitch, “An Application of high-impedance ground planes to phased array antennas,” IEEE Trans. Antennas Propag., vol. 53, no. 4, pp. 1377–1381, Apr. 2005. [11] G. Goussetis, A. Feresidis, G. Palikaras, M. Kitra, and J. C. Vardaxoglou, “Miniaturised electromagnetic bandgap structures for reducing handset antenna detuning due to human hand,” Radio Sci., vol. 40, Nov. 2005, RS6S04. [12] R. Abhari and G. V. Eleftheriades, “Metallo-dielectric electromagnetic bandgap structures for suppression and isolation of the parallel-plate noise in high-speed circuits,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1629–1639, Jun. 2003. [13] A. J. Sangster, “Radiating apertures in a corrugated rectangular waveguide,” Electron. Lett., vol. 9, no. 15, pp. 329–331, Jul. 1973. [14] H. S. Kirschbaum and R. Tsu, “A study of the serrated ridge waveguide,” IRE Trans. Microw. Theory Tech., vol. MTT-7, no. 1, pp. 142–148, Jan. 1959. [15] A. M. K. Saad, “Novel lowpass harmonic filters for satellite application,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1984, vol. 84, no. 1, pp. 292–294. [16] G. Goussetis and D. Budimir, “Compact ridged waveguide filters with improved stopband performance,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 2, pp. 953–956. [17] G. Goussetis and D. Budimir, “Novel periodically loaded E -plane filters,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 6, pp. 193–195, Jun. 2003. [18] D. Pozar, Microwave Engineering. Reading, MA: Addison-Wesley, 1993. [19] J. Uher, J. Bornemann, and U. Rosenberg, Waveguide Components for Antenna Feed Systems: Theory and CAD. Norwood, MA: Artech House, 1993. [20] R. Orta, R. Tascone, and R. Zich, “Three-dimensional periodic arrays of thin conductors,” Electromagnetics, vol. 7, pp. 185–203, 1987. [21] W. S. Best, R. J. Riegert, and L. C. Goodrich, “Dispersion analysis of the linear vane-type waveguide using the generalized scattering matrix,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2101–2107, Sep. 1995. [22] J. Esteban and J. M. Rebollar, “Characterization of corrugated waveguides by modal analysis,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 6, pp. 937–943, Jun. 1991. [23] S. Amari, R. Vahldieck, J. Bornemann, and P. Leuchtmann, “Spectrum of corrugated and periodically loaded waveguides from classical matrix eigenvalues,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 3, pp. 453–460, Mar. 2000. [24] G. Goussetis and D. Budimir, “Waveguide filters with improved stopband performance,” in 30th Eur. Microw. Conf. Dig., Paris, France, Oct. 2–6, 2000. [25] G. Goussetis, A. P. Feresidis, S. Wang, Y. Guo, and J. C. Vardaxoglou, “Uniplanar left-handed artificial metamaterials,” J. Opt. A (Special Issue), vol. 7, no. 2, pp. 44–50, Feb. 2005.

George Goussetis (M’01) was born in Athens, Greece, in 1976. He received the Electrical and Computer Engineering degree from the National Technical University of Athens, Athens, Greece, in 1998, the Ph.D. degree in waveguide filters from the University of Westminster, Westminster, U.K., in 2002, and the B.Sc. degree in physics from University College London (UCL), London, U.K., in 2002. In 1998, he joined the Space Engineering, Rome, Italy, as Junior RF Engineer. In 1999, he joined the Wireless Communications Research Group, University of Westminster, as a Research Assistant. He is currently a Lecturer with the School of Engineering and Physical Sciences, Heriot-Watt University, Edinburgh, U.K. He has authored or coauthored over 70 peer-reviewed journals and conference papers. His research interests include the modeling and design of microwave filters, frequency-selective surfaces, and EBG structures, as well numerical techniques for electromagnetics. Dr. Goussetis was the recipient of a 2006 five-year research fellowship presented by the Royal Academy of Engineers, U.K.

Alexandros P. Feresidis (S’98–M’01) was born in Thessaloniki, Greece, in 1975. He received the Physics degree from Aristotle University of Thessaloniki, Thessaloniki, Greece, in 1997, the M.Sc.(Eng.) degree in radio communications and high-frequency engineering from The University of Leeds, Leeds, U.K., in 1998, and the Ph.D. degree in electronic and electrical engineering from Loughborough University, Loughborough, U.K., in 2002. During the first half of 2002, he was a Research Associate and in the same year was appointed Lecturer of wireless communications with the Department of Electronic and Electrical Engineering, Loughborough University. He is currently a Senior Lecturer with the same department. He has authored or coauthored over 60 papers in peer-reviewed international journals and conference proceedings. His research interests include analysis and design of artificial periodic metamaterials, EBG structures and frequency-selective surfaces (FSSs), high-gain array antennas, base-station antennas, and numerical techniques in electromagnetics and microwave circuits.

Panagiotis Kosmas (S’03–M’05) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece in 1999, and the M.S. and Ph.D. degrees in electrical engineering from Northeastern University, Boston, MA, in 2002 and 2005, respectively. From January 2000 to February 2005, he was a Research Assistant with the Department of Electrical Engineering and the Center for Subsurface Sensing and Imaging Systems, Northeastern University. From April 2005 to January 2006, he was a Post-Doctoral Research Associate with the Wireless Communications Research Group, Loughborough University, Loughborough, U.K. He is currently serving in the Hellenic Navy, Greece. His current research interests include computational electromagnetics and the finite-difference time-domain method in particular, and periodic structures, as well as inverse problems and signal-processing techniques.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

3893

Homogenization of 3-D Periodic Bianisotropic Metamaterials Ouail Ouchetto, Cheng-Wei Qiu, Student Member, IEEE, Saïd Zouhdi, Senior Member, IEEE, Le-Wei Li, Fellow, IEEE, and Adel Razek, Fellow, IEEE

Abstract—A novel homogenization technique, combining an asymptotic multiscale method with wave-field conception, is proposed for computing the quasi-static effective parameters of three-dimensional lattices of general bianisotropic composite materials. This technique is based on the decomposition of the fields into an averaged nonoscillating part and a corrected term with microoscillation. This paper provides an original and accurate way to model the electromagnetic fields in fine microstructures of bianisotropic particles with complex inclusion shapes when the wavelength is larger than the periodicity of the microstructure. The effects of the interaction between edges and corners of adjacent inclusions on the macroscopic effective parameters have been studied, and numerical results and verifications have been presented. Index Terms—Bianisotropic composites, chiral composites, effective parameters, finite-element method (FEM), homogenization, metamaterials, microstructure.

I. INTRODUCTION OMPOSITE structured materials have attracted growing interest in recent years due to their potential applications such as optical waveguides, high-dielectric thin-film capacitors, captive video disk units, and novel antennas [1]–[3]. Recently, a new class of these structured materials metamaterials with simultaneously negative permittivity and permeability has inspired great interests in their unique physical properties [3]–[6]. They have shown great potential in many applications such as super lenses, filters, subwavelength resonant cavities, waveguides, and antennas. It is of particular interest to consider the bianisotropy [7], [8] of the metamaterials, such as the design of complementary split-ring resonators (SRRs) [9] and extraction of bianisotropic constitutive parameters for SRR-based metamaterials from -parameters [10]. It was recently shown that negative refraction can be achieved by materials with positive parameters provided one of the materials is chiral or gyrotropic [11], [12]. A central problem in the theory of composites is the study of how physical properties of composites such as permittivity and permeability depend on the properties of their constituents. In general, these properties strongly depend on the microstructure. To predict the effective electromagnetic (EM) properties of structured artificial materials, especially when the wavelength is

C

Manuscript received April 23, 2006; revised June 22, 2006. O. Ouchetto, C.-W. Qiu, S. Zouhdi, and A. Razek are with the Laboratoire de Génie Electrique de Paris–Supélec, 91192 Gif-sur-Yvette Cedex, France (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). L.-W. Li is with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore 119260 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.885082

larger than the periodicity, there are analytical formulation such as Maxwell Garnett and Bruggeman mixing formulas [13] and some numerical techniques such as the boundary integral-equation method, method of moments, and finite-element method (FEM) [14], [15]. Note that most of the methods aforementioned, which describe the dielectric responses of each particle and mutual interaction among inclusions, are developed and applicable only for very simple shapes with very weak interaction or simple isotropic or anisotropic material constitutions. This motivates this paper, which proposes a method to compute the effective constitutive parameters for the most general bianisotropic composites with complex shaped inclusions. More importantly, this novel method can also precisely approximate the fields in finite lattices of periodic bianisotropic materials. The fields are computed only in the unit cell and then generalized over the whole volume. Therefore, given a large finite lattice of bianisotropic composites, the time of computation and the memory requirement can be greatly reduced without the loss of accuracy. The proposed methodology for homogenization, which is a development of our previous study devoted to lossy anisotropic periodic microstructures [17], is not based on an averaging operation (e.g., Maxwell-Garnett (M–G) and Bruggeman mixing rules), but stems from a rigorous limit process. The proposed advanced homogenization method can be applied not only to general bianisotropic composite media, but also to arbitrarily shaped inclusions. Hence, this paper goes a step further in the development of the homogenization method for composite metamaterials. This paper is organized as follows. In Section II, a short summary of the asymptotic multiscale theory of homogenization appliedtogeneralbianisotropicisgiven.InSectionIII,variouschiral inclusions with complex shapes (with convex and concave contours) have been numerically studied to understand the influence of corners and edges of the inclusions on the effective parameters. The effective parameters of bianisotropic inclusions embedded in bianisotropic host media are also presented. EM wave propagation in a finite lattice of cubic chiral objects is studied, and good agreement is observed by comparing the current method and direct FEM. Finally, conclusions are drawn in Section IV. II. FORMULATION We consider a periodic structure of identical bianisotropic inclusions immersed in a homogeneous host medium. The constitutive relations of the bianisotropic media are given, in the time , as follows: dependence of

0018-9480/$20.00 © 2006 IEEE

(1)

3894

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

tion over the whole volume is performed:

Fig. 1. (a) Periodic composite material. (b) Same material when the periodicity tends to zero.

where the four material parameter dyadics are permittivity and permeability , and two cross-polarization dyadics and . The with the cell’s periodreference unit cell is characterized by , where is the unit volume of icity and scaled unit cell the cubes in three-dimensional (3-D) spaces. The configuration is shown in Fig. 1(a). It is well known that, for isotropic dielectric materials, sourceincorporated Maxwell equations can be expressed as follows:

(6) Due to the convergence theorem of the periodic function, it can be obtained for the right-hand-side term of (6) as follows:

(7) is independent on the microNote that (since is a rotational scopic variable and operator). Therefore, the right-hand side of (7) is zero, and the integral of the limit (6) becomes

(2) (8) and represent the electric and magnetic fields, where , , and are, respectively, the electric displacement, and magnetic induction, and excitation source. The variable denotes the smooth variation of the field from cell to cell. Spatial functions of , , , and oscillate drastically in the considered structure due to the heterogeneities. These oscillations are difficult to treat numerically. Therefore, homogenization theory can be used to give the macroscopic global properties of the current composite by taking into account the properties of the microis introduced scopic structure. Hence, another variable to describe the fast variation within the cell. We can further rewrite (2) in matrix form

The term of as

and

are then represented

(9a)

(9b) thus

is given by (9c)

(3) is a 6 6 matrix comprised of the material parameters where represents the rotational operator. When of the unit cell and the period of the lattice is quite small compared to the wavelength, the total EM fields can thus be expanded by a function of an average part with a series of corrector terms (4) where only the first two terms (i.e., macroscopic EM field of the cell and the first microscopic corrector ) are required for computation. Strong convergence can be obtained without subsequent high-order corrector potentials [18], [19]. Thus, we obtain by taking the limit of tending to zero in (3) [see Fig. 1(b)]

When we insert (9c) in (8), we obtain that solution of the following equation:

(

) is

(10) in the limit (3) by (9a) and integrating over Replacing the unit cell, we have

(11) is the volume of the unit cell ( where can be expressed as

). Thus, (11)

(12) (5)

where the macroscopic effective parameters in the dyadic form can be expressed as

Scalar-dotting a testing periodic function in its gradient form, we can arrive at the following equation after the integra-

(13)

OUCHETTO et al.: HOMOGENIZATION OF 3-D PERIODIC BIANISOTROPIC METAMATERIALS

where denotes the th column of the 6 6 effective consti, which is comprised of effective permittivity, tutive matrix permeability, and two cross-polarization dyadics. The main advantage of this approach is that it gives the possibility to accurately evaluate the EM field inside finite lattices when the period of the lattice is small compared with that of the wavelength. This field is the sum of the average field and corrector field (9c). To validate this approach, the electric field in a finite periodic composite material with chiral properties is compared to that obtained by the method proposed in [20] combined with the FEM. In that method, a decomposition scheme is used to transform the chiral medium to their isotropic equivalences characterized by four equivalent permittivity/permeability paand as follows: rameters of

3895

Fig. 2. Geometry of the studied two-dimensional inclusions.

(14) where and denote right- and left-hand-side circular polarized eigenwaves inside the chiral medium, respectively. It can be and perverified that the respective equivalent permittivity of the eigenmodes should agree with the following meability relation: (15) The wave fields and satisfy the Maxwell equations for isotropic dielectrics, as shown in (2), and we can obtain

(16)

Fig. 3. Computed effective relative permittivity " clusions 1–4 (" = 10) suspended in free space.

for square lattices of in-

Now the chiral media can be regarded as a summation of effects from two fictional isotropic achiral materials characterized by and , while the same excitation should be imposed for each of these two fictional cases. This method is significantly important to calculate the electric field because it from the Helmholtz equations can remove the term of for chiral media, which greatly simplified the numerical computation. III. NUMERICAL VALIDATION AND RESULTS A. Effective Constitutive Parameters Let us first consider infinite lattices of identical chiral cylinder inclusions of various cross sections (see Fig. 2) with relative and relative chipermittivity and permeability . The host medium is free space. The effects of the rality edges and discontinuities of the considered chiral inclusions are studied, which, originally, cannot be taken into account in the classical theory of homogenization (e.g., M–G formulas). Homogenized effective parameters are plotted against the volume fraction. We find that, for a lattice of square chiral cylinders, our current method surprisingly produces almost the same effective parameters as M–G formulas, which is best suited for smooth canonical shapes (i.e., ellipsoids). It was shown that, for this shape, the interaction of corners between adjacent inclusions becomes strong and enhances the depolarization of the

Fig. 4. Effective relative chirality ( = 1) suspended in free space.



for square lattices of inclusions 1–4

material, which results in the decrease of the effective parameters compared to other shapes [16]. In Figs. 3 and 4, we present the comparison of inclusions with different rounded corners and contours. One can see that, at the same fraction index, the inclusion with rounded concave contours (inclusion 4) gives the biggest effective permittivity and chirality. For a volume fraction bigger than 0.15, the difference between the curve of inclusion 4 and the other three curves of inclusions 1–3 becomes visibly larger and larger, which means the depolarization produced by the corners of inclusion 4 is much

3896

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 5. Effective relative permittivity " for square lattices of inclusions 1, 5, and 6 (" = 10) suspended in free space.

Fig. 6. Computed effective relative chirality  for square lattices of inclusions 1, 5, and 6 ( = 1) suspended in free space.

more decreased and high mutual coupling causes a bigger increase in the polarizability density than the other three inclusions. For each inclusion type, the effective parameters reach the upper limits with the maximum available volume fraction. A tradeoff can be observed between the effective parameters and volume fraction. For instance, when it is required to achieve a higher effective parameter, we need to embed more chiral inclusions per unit volume, or use complex shaped inclusions. If the parameter requirement is not very high, inclusion 4 will be a good choice to save materials. In Figs. 5 and 6, we study the responses of chiral inclusions with different concavities. At a fixed fraction, the effective parameters of the inclusion with the biggest concavity are the largest. By comparison with Figs. 3 and 4, one can observe that the limit values for concave square chiral inclusions with corners are higher than the rounded concave ones. For example, , we have (Fig. 3) and at (Fig. 4) for inclusion 3, but (Fig. 5) and (Fig. 6) for inclusion 5. From Figs. 5 and 6, it can also be found that effective parameters will increase with the etching ratio (for inclusions 1, 5, and 6, the etching ratio is 0, 0.5, and 0.667, respectively).

Fig. 7. Effective relative permittivity " for square lattices of spherical and cubical inclusions (" = 10) suspended in free space.

Fig. 8. Effective relative chirality  for square lattices of spherical and cubical inclusions ( = 1) suspended in free space.

We utilize our method to compute for the 3-D spherical/cubic chiral inclusions, and compare with the results from the M-G formulas. We plot Figs. 7 and 8 over the volume fraction from 0 is reached for the lattice of chiral spheres in to 0.52, where our model. It can be seen that at low volume fraction, the results , of our method are similar with M-G formulas. From the differences become more and more significant. The effect of the material depolarization due to the corners is again visible. Last, but not least, we consider the general bianisotropic inclusions embedded in a bianisotropic environment. and are the relative parameters for the host media and the cubical inclusions, respectively, with

and

OUCHETTO et al.: HOMOGENIZATION OF 3-D PERIODIC BIANISOTROPIC METAMATERIALS

3897

Fig. 9. Finite periodic lattice containing 27 cubical inclusions.

The effective constitutive parameters , are found to be volume fraction

, and

at Fig. 10. Magnitude of the x-component of the electric field as a function of position along z -axis at x = y = L=2 .

and

B. Local Field As a second round of validation of the approach and the numerical codes proposed in this paper, we compare the total electric fields obtained by our method with the results of the classical FEM. We consider a finite lattice of 27 cells made of chiral material and with a vacuum with the parameters cube located at the center of each cell (Fig. 9). The lattice is truncated by metallic walls, except on the front surface ( – ) is imposed. The elecwhere a plane wave with tric field is calculated in the central – -plane inside the lattice at 10 MHz. The sizes of each vacuum cube and basic cell are 0.125 and 1 cm , respectively. The total electric field can be expressed as (17) where the signs “ ” and “ ” correspond to the respective fictional isotropic equivalences in (14). In each equivalent medium, we perform (18) where can be obtained by assuming the whole structure is occupied by a homogenized medium with the previously comcan puted effective constitutive parameters, and be solved in the unit cell of the lattice. Fig. 10 represents the amplitude of the -component of the electric field along the -axis. In this figure, we plot the avand corrected fields , and then by adding up eraged those two portions, we obtain the total field by (18). For comparative purposes, we also calculate the electric field by the classical FEM applied to the whole structure, and it is found that good agreement of the results between our method and the classical FEM is achieved. The stability and validity of our improved homogenization method have been confirmed. From this

figure, it can be seen that the averaged field decreases smoothly along the -direction, while the corrected field varies drastically due to the microscopic heterogeneities, which illustrates the efficiency of the current method compared with the standard homogenization technique (where the field within the microstructure is simply assimilated to averaged field). Therefore, our proposed method provides an effective way to describe the microscopic and macroscopic performances of the composite metamaterials separately and explicitly. It is also shown that only the first-order corrector is required to be taken into account so as to achieve enough good performances. IV. CONCLUSION In this paper, a new asymptotic homogenization approach for 3-D periodic lattices of complex-media inclusions with bianisotropic properties has been proposed. The correctness of our method is verified and the improvement over existing formulas has been shown. The effects of the inclusion shapes and interaction of the edges and corners have been taken into account. The computed effective parameters along with the corrector fields have been used to estimate, in an accurate manner, the EM fields within finite bianisotropic microstructures with complexshaped inclusions. REFERENCES [1] G. A. Niklasson and C. G. Granqvist, “Optical properties and solar selectivity of coevaporated Co–Al O composite films,” J. Appl. Phys., vol. 55, no. 9, pp. 3382–3410, May 1984. [2] W. E. Kock, “Metal-lens antenna design,” Proc. IRE, vol. 34, no. 11, pp. 828–836, Nov. 1946. [3] S. Zouhdi, A. Sihvola, and M. Arsalane, Eds., Advances in Electromagnetics of Complex Media and Metamaterials, ser. NATO Sci. II. Norwell, MA: Kluwer, 2003. [4] V. G. Veselago, “The electrodynamics of substrates with simultaneously negative values of permittivity and permeability,” Sov. Phys.—Usp., vol. 10, no. 4, pp. 509–514, 1968. [5] D. R. Smith, J. B. Pendry, and M. C. K. Wiltshire, “Metamaterials and negative refractive index,” Science, vol. 305, no. 5685, pp. 788–792, 2004. [6] J. B. Pendry, “A chiral route to negative refraction,” Science, vol. 306, no. 5700, pp. 1353–1355, 2004. [7] F. Olyslager and I. V. Lindell, “Electromagnetics and exotic media: A quest for the holy grail,” IEEE Antennas Propag. Mag., vol. 44, no. 2, pp. 48–58, Apr. 2002. [8] J. L. Tsalamengas, “Interaction of electromagnetic waves with general bianisotropic slabs,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 10, pp. 1870–1878, Oct. 1992.

3898

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

[9] R. Marqués, F. Medina, and R. Rafii-El-Idrissi, “Role of bianisotropy in negative permeability and left-handed metamaterials,” Phys. Rev. B, Condens. Matter, vol. 65, 2002, 144440. [10] X. Chen, B. I. Wu, J. A. Kong, and T. M. Grzegorczyk, “Retrieval of the effective constitutive parameters of bianisotropic metamaterials,” Phy. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 71, 2005, 046610. [11] S. Tretyakov, A. Sihvola, and L. Jylhä, “Backward-wave regime and negative refraction in chiral composites,” Photon. Nanostructures—Fundam. Applicat., vol. 3, no. 2-3, pp. 107–115, 2005. [12] J. Pendry, “A chiral route to negative refraction,” Science, vol. 306, pp. 1353–1955, 2004. [13] A. Sihvola, Electromagnetic Mixing Formulas and Applications, ser. Electromagn. Waves. London, U.K.: IEE Press, 1999. [14] B. Sareni, L. Krahenbuhl, A. Beroual, and A. Nicolas, “A boundary integral equation method for the calculation of the effective permittivity of periodic composites,” IEEE Trans. Magn., vol. 33, no. 3, pp. 1580–1583, Mar. 1997. [15] F. Wu and K. W. Whites, “Quasi-static effective permittivity of periodic composites containing complex shaped dielectric particles,” IEEE Trans. Antennas Propag., vol. 49, no. 8, pp. 1174–1182, Aug. 2001. [16] K. W. Whites and F. Wu, “Effects of particle shape on the effective permittivity of composite materials with measurements for lattices of cubes,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1723–1729, Jul. 2002. [17] O. Ouchetto, S. Zouhdi, A. Bossavit, G. Griso, and B. Miara, “Modeling of 3-D periodic multiphase composites by homogenization,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2615–2619, Jun. 2006. [18] D. Cioranescu, A. Damlamian, and G. Griso, “Periodic unfolding and homogenization,” Crit. Rev. Acad. Sci. Paris, ser. I, vol. 335, pp. 99–104, 2002. [19] A. Bossavit, G. Griso, and B. Miara, “Modelling of periodic electromagnetic structures. Bianisotropic materials with memory effects,” J. Math. Pures Appl., vol. 84, pp. 819–50, 2005. [20] I. V. Lindell, A. H. Sihvola, S. A. Tretyakov, and A. J. Viitanen, Electromagnetic Waves in Chiral and Bi-Isotropic Media. Norwood, MA: Artech House, 1994. Ouail Ouchetto was born in Beni Mellal, Morroco, in 1976. He received the Master degree in applied mathematics from the University Paris 6, Paris, France, in 2003, and is currently working toward the Ph.D. degree at the Laboratoire de Génie Électrique de Paris (LGEP), Paris, France. His research interests include numerical computation techniques, EM modeling of complex materials, and periodic structures.

Cheng-Wei Qiu (S’04) was born in Zhejiang, China, on March 9, 1981. He received the B. Eng. degree from the University of Science and Technology of China, Hefei, China, in 2003, and is currently working toward the Ph.D. degree at the National University of Singapore (NUS). He is currently with the Laboratoire de Génie Éectrique de Paris (LGEP)–Supélec, Paris, France, under the NUS–Supélec Joint Ph.D. Programme. His research interests are in the areas of EM wave theory, complex media and metamaterials, and metamaterial antennas. Mr. Qiu was the recipient of the 2005 SUMMA Graduate Fellowship in Advanced Electromagnetics. Saïd Zouhdi (SM’05) was born in Nador, Morocco, on April 24, 1966. He received the Ph.D. degree in electronic engineering from the University Pierre et Marie Curie, Paris, France, in 1994, and the Habilitation in electrical engineering degree from the University Paris Sud, Orsay, France, in 2003. He is currently an Associate Professor with the University Pierre et Marie Curie, and member of the Laboratoire de Génie Electrique de Paris–Supélec, Paris, France. His research interests include artificial EM materials and metamaterials, EM homogenization, and periodic structures and materials.

Le-Wei Li (S’91–M’92–SM’96–F’05) received the Ph.D. degree in electrical engineering from Monash University, Melbourne, Australia, in 1992. In 1992, he was jointly affiliated with the Department of Electrical and Computer Systems Engineering, Monash University, and the Department of Physics, La Trobe University, Melbourne, Australia, where he was a Research Fellow. Since 1992, he has been with the Department of Electrical and Computer Engineering, National University of Singapore (NUS), Singapore, where he is currently a Professor and Director of the NUS Centre for Microwave and Radio Frequency. From 1999 to 2004, he worked part time with the High Performance Computations on Engineered Systems (HPCES) Programme of Singapore–MIT Alliance (SMA) as an SMA Faculty Fellow. His current research interests include EM theory, computational electromagnetics, radio wave propagation and scattering in various media, microwave propagation and scattering in tropical environment, and analysis and design of various antennas. Within these areas, he coauthored Spheroidal Wave Functions in Electromagnetic Theory (Wiley, 2001), 45 book chapters, over 250 international refereed journal papers (of which over 70 papers were published in IEEE journals and the remaining in Physical Review E, Statistical Physics and Plasmas Fluids Related Interdisciplinary Topics, Radio Science, Proceedings of the IEE, and the Journal of Electromagnetic Waves and Applications (JEWA), 31 regional refereed journal papers, and over 260 international conference papers. As a regular reviewer of many archival journals, he is an overseas Editorial Board member of the Chinese Journal of Radio Science, an Associate Editor of JEWA and the EMW Publishing book series Progress In Electromagnetics Research (PIER), and an Associate Editor of Radio Science. He is also on the Editorial Board of the Electromagnetics Journal. Dr. Li has been a member of The Electromagnetics Academy since 1998. He is an Editorial Board member of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the past chairman of the IEEE Singapore Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Joint Chapter during 2002–2003, during which time the 2003 IEEE Antennas and Propagation Society (IEEE AP-S) Best Chapter Award was presented to the Singapore Chapter. He was also the recipient of the 2004 University Excellent Teacher’s Award presented by NUS. He was also the recipient of several other awards.

Adel Razek (SM’82–F’99) was born in Cairo, Egypt. He received the Dip.Eng. and M.Sc. Eng. degrees from Cairo University, Cairo, Egypt, in 1968 and 1971, respectively. Since 1986, he has been a Research Director with the Centre National de la Recherche Scientifique (CNRS), Paris, France. In 1971, he joined the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, where, in 1976, he became Docteur d’État ès Sciences Physiques. In 1977, he was a Post-Doctoral Researcher with the INPG. He then joined the Laboratoire de Génie Électrique de Paris (which is associated with the CNRS, Supélec, and the University of Paris), as a Research Scientist (1978), Senior Research Scientist (1981), Research Director (1986), and Senior Research Director (1997). He has authored or coauthored over 150 scientific papers. His main current research concerns computational electromagnetics [electromagnetic compatibility (EMC), nondestructive testing (NDT), computer-aided design (CAD)] and design of electrical drives and actuators. Dr. Razek is a Fellow of the Institution of Electrical Engineers (IEE), U.K. He is a Membre Émérite of the Société des Ingénieurs Électriciens SEE (France). He was the recipient of the 1985 André Blondel Medal.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

3899

Experimental Verification of Phase Retrieval of Quasi-Optical Millimeter-Wave Beams Hiroshi Idei, Takashi Shimozuma, Michael A. Shapiro, Member, IEEE, Takashi Notake, Shin Kubo, and Richard J. Temkin, Fellow, IEEE

Abstract—The accuracy of a phase-retrieval procedure for microwave beams was analyzed and verified using an 84-GHz quasi-optical beam transmitted by a corrugated waveguide. The output intensity and phase profiles of the beam were directly measured with a microwave receiver. The beam intensity was also measured at several planes and the data were used with a phase-retrieval algorithm to yield an independent estimate of the phase. Moment and matching coefficient methods were used to analyze the retrieved profiles. In the higher order moment analysis, the intensity profiles, which were calculated from the retrieved phase profiles, coincided well with the measured profiles in terms of the beam size, asymmetric property (skewness), and flatness/peakedness (kurtosis) over the entire profile. Analysis indicated that the mode content of the beam obtained by phase retrieval matched that of the direct measurement with a matching coefficient of 0.97 using both the intensity and phase profiles. Index Terms—Electron cyclotron heating (ECH), Gaussian beam, gyrotron, phase measurement, phase retrieval, quasioptical mirror.

I. INTRODUCTION

E

LECTRON cyclotron heating (ECH) using high-power millimeter waves is an attractive method for plasma production, auxiliary heating, and current drive in a nuclear fusion research. In planned fusion experiments at the International Thermonuclear Experimental Reactor, Cadarache, France, the injected ECH will have a total power of 20 MW. To couple into oversized circular corrugated waveguides in the ECH system, an output beam from a high-power gyrotron oscillator leads to a matching-optics unit (MOU), which is transmitted to the mode launcher by the waveguide transmission line in the of the waveguide. The output beam from the gyrotron is then converted into a pure Gaussian beam to attain a high coupling efficiency between the MOU and waveguide. The phase-correcting mirror array at the MOU for the large helical device (LHD) project at the National Institute for Fusion Science, Manuscript received April 17, 2006; revised July 6, 2006. This work was supported in part by the Japan–U.S. Collaboration in Nuclear Fusion Research under the Personal Exchange Program. The work of M. A. Shapiro and R. J. Temkin was supported by the U.S. Department of Energy, Office of Fusion Energy Sciences, Washington, DC. H. Idei is with the Advanced Fusion Research Center, Research Institute for Applied Mechanics, Kyushu University, Kasuga 816-8580, Japan (e-mail: [email protected]). T. Shimozuma, T. Notake, and S. Kubo are with the Radio Frequency Plasma Heating Research Division, National Institute for Fusion Science, Toki 5095292, Japan. M. A. Shapiro and R. J. Temkin are with the Plasma Science and Fusion Center, Massachusetts Institute of Technology, Cambridge, MA 02139 USA. Digital Object Identifier 10.1109/TMTT.2006.884632

Toki, Japan, was designed at the Massachusetts Institute of Technology (MIT), Cambridge [1]. The phase profiles were retrieved from the intensity profiles using the iteration methods explained in [2]–[4] because precise phase measurements are unavailable in high-power applications. The retrieval process is necessary to design phase-correcting mirrors. The mirror array designed for the LHD project has been evaluated at the low-power test facilities [5]. To obtain a high transmission efficiency in the corrugated waveguide line, the beam center position and tilt must be aligned mode within tolerable limits to provide coupling into the [6]. Precise quantifications of the beam center and tilt angle are required to investigate their effects on the transmission/coupling efficiency. In this coupling study, a Gaussian-like beam was coupled into a corrugated waveguide at the tilted injection in the - and -directions, which were perpendicular to the propagating -axis [7]. The tilted injection excites the unwanted coupling modes, which causes high transmission losses and arcing events in the high-power transmission. The intensity profiles of the output beam from the waveguide after coupling were offset from the waveguide axis and sidelobes appeared in the - and -directions due to the tilted injection. The beam center and tilt were analyzed from the first moments of the moment theory of a quasi-optical beam [8]. The desired Gaussian content in the output beam was analyzed using the matching-coefficient method. The output beam profile after coupling in the tilted injection is used to compare the retrieved profiles to the measured profiles. The distorted intensity profiles are measured along the propagation. The phase profiles are retrieved from the measured intensity profiles by the iteration method [9]. In this paper, the intensity profiles, which are calculated with the retrieved phase profiles, are compared to the measured profiles. The intensity profiles are analyzed by the moment theory (using higher order moments). Recently, a new approach using the higher order moments to retrieve the phase has been proposed and developed [10], [11]. This paper also evaluates the matching coefficients from both of the intensity and phase profiles measured and calculated in the phase retrieval. Finally, the phase-retrieval process is experimentally tested with the direct intensity and phase measurements at a low power level. This paper is organized as follows. Section II describes the experimental setup and results at the low-power level. The intensity and phase profiles calculated in the phase retrieval are shown in Section III. Section IV discusses the intensity and phase profiles based on the moment theory and matchingcoefficient method, while Section V presents a conclusion.

0018-9480/$20.00 © 2006 IEEE

3900

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 1. Experimental setup for the low-power test measurements.

Fig. 2. Measured intensity and phase profiles of the input beam in the tilted injection. Data from [9].

II. EXPERIMENTS A. Low-Power Test Facilities The low-power test facilities were used to directly measure the phase profiles of the propagating waves, as well as the intensity profiles with a high resolution and stability of the measuring frequency. The operating frequency was 84 GHz. One synthesizer, which was a microwave ( 20 GHz) source for the multiplier, generated a millimeter wave, while the other was a local oscillator (LO) for the harmonic mixers on both the launcher and receiver sides. Since the conversion losses at the harmonic mixers were not low (typically 35 dB), additional IF amplifiers were prepared. The frequency of the IF signal was 20 MHz. The intensity ratio and phase difference between the IF signals on the two sides were detected at a vector network analyzer. To operate the harmonic mixers, an LO pumped amplifier was also prepared. In this system, the dynamic range was more than 90 dB for the intensity measurement. The error in the phase measurement was approximately 5 at a power level of 80 dBm [12]. The power level at the launcher was more than 0 dBm, and this study used the precise phase measurement. A three-dimensional stage system controlled the detector-stage position. The setting resolution at the – -plane perpendicular to the propagating -axis was 0.010 mm, while the resolution for the -axis was 0.025 mm. The setting resolutions of the detector-stage position were on the order of 1/100 for the wavelength at the frequency. The test components were set up by the position control of the three-dimensional stage. A helium–neon laser was used to align the components. B. Experimental Setup A corrugated horn antenna and two quasi-optical mirrors prepared the Gaussian beam, which was injected into a 1-m-long circular corrugated waveguide with an 88.9-mm diameter. As shown in Fig. 1, the beam was injected into the waveguide at a tilt angle of 1 with respect to the waveguide axis in the -direction. A small WR-06 waveguide was used for probing the beam in order to avoid the field disturbance. A microwave absorber was used to prevent wave scattering at the probing. Fig. 2 shows the intensity and phase profiles of the injected beam measured in the - and -directions. A

Fig. 3. (a) Intensity I (x; y ) and (b) phase patterns 8(x; y ) of the output beam at z = 150 mm. I (x; y ) and 8(x; y ) are plotted by 2 dB and 0.5-rad steps, respectively. From [9].

mm and a phase Gaussian beam with a beam size, mm explains the beam. The 23-mm beam curvature, is slightly smaller than the optimum size (29 mm) to couple into the 88.9-mm-diameter waveguide, but the phase profiles were flat with a large phase curvature (2356 mm) in the main beam part. The beam size and phase curvature evolution along the propagation direction are expressed by Gaussian optics, as explained in [5]. The intensity and phase patterns of the output beam from the waveguide were measured at the propagating and mm, where positions of the origin of the coordinate is the output aperture of the waveguide. C. Measured Intensity and Phase Profiles Fig. 3(a) and (b) shows the intensity and phase patterns and , respectively, of the output beam from the mm. Since waveguide at the propagating position of the input beam was tilted with respect to the waveguide axis, various modes of the waveguide, including a Gaussian-like mode, were excited. The intensity pattern of the output beam was off center in the coordinate. Thus, the intensity pattern was deformed with a sidelobe. The phase front of the beam was not parabolic and contained some aberrations. The tilt in the -direction mainly distorted the beam in the -direction. Fig. 4(a) and (b) shows the measured intensity and phase mm. At distributions, respectively, in the -direction at mm, the intensity sidelobe was large and the peak of an intensity main lobe had a negative coordinate. The main lobe shifted to the positive -direction and the sidelobe became smaller along the propagation direction. The beam power density center positions can be expressed by the first

IDEI et al.: EXPERIMENTAL VERIFICATION OF PHASE RETRIEVAL OF QUASI-OPTICAL MILLIMETER-WAVE BEAMS

3901

Fig. 5. First moment evolution along the propagation directions hxi(z ) and hy i(z ) of the output beam from the waveguide. Dashed lines show the tilted propagation axes, which are defined by the normalized integrals at z = 150 mm. Data from [9].

(1)

distribution. The moment at mm was at approximately mm, but the peak of the intensity distribution was at approximately 19 mm. The sidelobe affected the beam . Along the propagation direction, center or the moment the center of the beam moved toward the positive -direction and the sidelobe was expanded. The dashed lines show the tilted propagation axes defined by the normalized integrals at mm. The first moment evolutions were explained well by the tilted propagation axes. The first moments at various positions were in the slope of the tilted propagation axes, indicating that the – -plane in the experimental setup was well aligned with the propagating -axis.

(2)

III. PHASE RETRIEVAL

Fig. 4. (a) Measured intensity and (b) phase distributions in the x-direction at y = 0 mm. From [9].

moments. Here, the th moments, with the intensity distribution

and

are defined

as

The first moment evolutions along the propagation direction and are written in terms of the quasi-optical moment theory as follows [8]:

(3)

(4) The gradients of the phase in the - and -directions determine local values of the wavenumber. The integrals of the gradients in the – -plane with an intensity weight, which were normalized by the total intensity and wavenumber of the beam, expressed the propagating directions of the beam. In the phase distribution shown in Fig. 4(b), the local minimum near the main lobe peak is located at a more negative coordinate than that of the main lobe peak. Thus, there was a phase gradient at the main lobe peak. The phase rapidly changes near the sidelobe. These results were consistent with the intensity profiles along the propagation direction, indicating that the main part of the beam was tilted and the sidelobe part might be expanding. Fig. 5 shows the first and moment evolution along the propagation directions . The first moment is defined as the center of the intensity

The phase profiles were retrieved from three intensity profiles along the propagation direction. Two data sets at mm and at mm were used for the phase retrieval. Interpolating converted the measured 49 49 array intensity data into a 128 128 array. In the phase-retrieval process, the calculated intensity profiles were compared to the measured intensity profiles at the reference plane. Thus, the intensity profiles were calculated with the retrieved phase profiles along the propagation direction. Figs. 6 and 7 show the intensity distributions measured and calculated in the retrievals with the data sets in the - and -directions, mm and mm. The distributions respectively, at were at the beam center lines, which were and The intensity distributions in the retrievals agreed well with the measured distributions down to a level of 15 20 dB below the central peak. Figs. 8 and 9 show the retrieved and measured phase distrimm and mm in the - and -directions, butions at respectively. The profiles were also at the beam center lines, and The retrieved and which were measured phase data were interpolated in the intensity dynamic range of 30 dB. The phase profiles were normalized at the beam centers. The figures also show the measured intensity distributions. Fig. 8 shows an excellent agreement between the retrieved and measured phase profiles in the intensity dynamic range of 15 dB, even near the sidelobe. In Fig. 6, the intensity distributions in the retrieval also coincide with the measured distribution in the dynamic range. The profiles away from the sidelobe,

3902

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 6. Intensity distributions in the x-direction measured and calculated in the retrieval at: (a) z = 150 mm and (b) 350 mm. Distributions in the retrieval are obtained from two data sets of the measured intensity profiles at z = 150=250=350 mm and at z = 200=300=400 mm. Fig. 8. Retrieved and measured phase distributions in the x-direction at: (a) z = 150 mm and (b) 350 mm. Retrieved phases are obtained from two data sets of the measured intensity profiles at z = 150=250=350 mm and at z = 200=300=400 mm. Measured intensity distributions are also shown.

with a basis of the phase retrieval may collect a sidelobe in the dynamic range when the intensity profiles, which are calculated in the retrievals, reproduce the measured profiles. IV. DISCUSSION

Fig. 7. Intensity distributions in the y -direction measured and calculated in the retrieval at: (a) z = 150 mm and (b) 350 mm. Distributions in the retrieval are obtained from two data sets of the measured intensity profiles at z = 150=250=350 mm and at z = 200=300=400 mm.

The intensity and phase profiles in the retrievals were compared to the measured profiles at the beam center lines in Figs. 6–9. To discuss the validity of the phase retrieval, the similarities of the intensity and phase profiles measured and calculated in the retrieval should be quantified throughout the beam. First, the intensity profiles calculated in the retrievals are discussed with the measured intensity profiles using higher order moments. In [10] and [11], th moments of were used in the analysis. Howthe ever, the intensity profiles in this study are mainly deformed in the -direction due to the tilted injection in the – -plane as shown in Fig. 3. The th moments, which are uncoupled in and , are used to compare the the - and -directions, calculated and measured intensity profiles. Higher order th moments around the beam centers are defined as follows: (5)

shown in Figs. 8 and 9, agree well in the dynamic range of 22 dB. The phase profiles retrieved from the two intensity data sets are consistent. It is noteworthy that a quasi-optical mirror designed

(6)

IDEI et al.: EXPERIMENTAL VERIFICATION OF PHASE RETRIEVAL OF QUASI-OPTICAL MILLIMETER-WAVE BEAMS

Fig. 9. Retrieved and measured phase distributions in the y -direction at: (a) z = 150 mm and (b) 350 mm. Retrieved phases are obtained from two data sets of the measured intensity profiles at z = 150=250=350 mm and at z = 200=300=400 mm. Measured intensity distributions are also shown.

Fig. 10. Evolution of the effective beam radii along the propagation direction a (z) of the intensity profiles measured and calculated in the phase retrievals.

The effective beam radii in the - and -directions are, as respectively, expressed with the second moment (7) (8) The effective beam radii are defined for the intensity distribution. Twice the effective radius corresponds to the beam size

3903

Fig. 11. Evolution of the skewness along the propagation direction S the intensity profiles measured and calculated in the phase retrievals.

(z ) of

Fig. 12. Evolution of the kurtosis along the propagation direction K the intensity profiles measured and calculated in the phase retrievals.

(z ) of

in Gaussian optics. Fig. 10 shows the evolution of the effective beam radii along the propagation direction . The evolution of the effective radii in the measured intensity profiles are well explained by those in the calculated profiles. The third moare used to discuss the asymmetric properties of ments the intensity distributions. The skewness , which is a measure of the degree of the asymmetry, is defined from the third as . A positive or negative skewmoment ness expresses the shape of the distribution when the tail expands in the positive or negative direction, respectively. Fig. 11 shows the evolution of the skewness along the propagation direction . The skewness in the -direction is positively larger than that in the -direction due to the sidelobe in the positive -direction. The sidelobe expands along the propagation direction, but a tail with a relative intensity level of more than 20 dB is perpetuated, as shown in Fig. 6(b). The skewness due to the sidelobe roughly maintains a constant value along the propagation in the -direction. The fourth moments give the flatness/peakedness of the distribution. The kurtosis to express the flatness of the distribution are defined from as . The kurtosis of the fourth moments a Gaussian distribution is 3. A distribution that has a kurtosis greater than 3 is termed leptokuritic, while a value smaller than 3 is termed platykuritic. Fig. 12 shows the evolution of the kur. The kurtosis in tosis along the propagation direction

3904

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

The phase-retrieval process was experimentally confirmed to be a robust solution for designing phase-correcting mirrors. ACKNOWLEDGMENT Author H. Idei would like to thank the staff of the TRIAM Experimental Group, Kyushu University, Kasuga, Japan, for their continuous support. The authors express their gratitude to Prof. O. Motojima and Prof. N. Noda, both with the National Institute for Fusion Science, Toki, Japan, for promoting the Japan–U.S. Collaboration in Nuclear Fusion Research. Fig. 13. Matching coefficient between complex amplitudes measured and calculated in the retrievals along the propagation direction C (z ).

the retrieval was evaluated at the output aperture of the wave, at the aperture is guide. The kurtosis in the -direction, i.e., smaller than a Gaussian kurtosis of 3. Thus, the intensity distribution in the -direction is flatter than a Gaussian beam and is mode-like at the waveguide aperture. The intensity distributions calculated in the retrievals agree with the measured distributions in the higher order moments. To discuss both the retrieved phase distribution and intensity distribution, the matching coefficients were evaluated. The compares two complex amplitudes matching coefficient and , and is written as (9) where the complex amplitude is defined from the intensity and . Fig. 13 shows the phase profiles as matching coefficient between the complex amplitudes, which were measured and calculated in the retrievals along the propa. The matching coefficients are approxigation direction mately 0.97 along the propagation direction. The high matching coefficient indicates that both the intensity and phase profiles calculated in the phase retrievals closely resemble the measured profiles. Precise measurements at the low-power level experimentally verified the intensity and phase profiles calculated in the retrieval. V. CONCLUSION The intensity and phase profiles were precisely measured to compare to the profiles calculated in the phase retrievals at the low power level. The retrieved phase profiles agreed well with the measured phase profiles in the intensity dynamic range of 15 dB as the intensity profiles calculated in the retrieval reproduced the measured profiles. From higher order moment analysis, the intensity profiles calculated in the retrieval coincided well with the measured intensity profiles in terms of the beam size, asymmetric property, and flatness/peakedness over the entire distribution. The mode content of the beam in the retrieval to that in the measurement, which was expressed by the matching coefficient, was 0.97. This high matching coefficient indicated that both the intensity and phase profiles calculated in the phase retrievals agreed very well with the measured profiles.

REFERENCES [1] M. A. Shapiro, T. S. Chu, D. R. Denison, M. Sato, T. Shimozuma, and R. J. Temkin, “Design of correcting mirrors for a gyrotron used at large helical device,” Fusion Eng. Des., vol. 53, pp. 537–544, 2001. [2] A. P. Anderson and S. Sali, “New possibilities for phaseless microwave diagnostics. Part I: Error reduction techniques,” Proc. Inst. Elect. Eng., vol. 132, pp. 291–298, Aug. 1985. [3] A. V. Chirkov, G. G. Denisov, and N. L. Aleksandrov, “3D wavebeam field reconstruction from intensity measurements in a few cross sections,” Opt. Commun., vol. 115, pp. 449–452, 1995. [4] D. R. Denison, T. S. Chu, M. A. Shapiro, and R. J. Temkin, “Gyrotron internal mode converter reflector shaping from measured field intensity,” IEEE Trans. Plasma Sci., vol. 27, no. 2, pp. 512–519, Feb. 1999. [5] T. Notake, H. Idei, T. Shimozuma, M. Sato, S. Kubo, S. Ito, Y. Takita, K. Ohkubo, Y. Yoshimura, S. Kobayashi, Y. Mizuno, T. Watari, R. Kumazawa, M. A. Shapiro, and R. J. Temkin, “Evaluation of phase correcting mirrors for an 84 GHz gyrotron based on direct phase measurements at low-power level,” Fusion Eng. Des., vol. 73, pp. 9–18, 2005. [6] K. Ohkubo, S. Kubo, H. Idei, M. Sato, T. Shimozuma, and Y. Takita, “Coupling of tilting Gaussian beam with hybrid mode in the corrugated waveguide,” Int. J. Infrared Millim. Waves, vol. 18, pp. 23–41, 1997. [7] H. Idei, T. Shimozuma, T. Notake, S. Ito, S. Kubo, M. A. Shapiro, J. P. Anderson, R. J. Temkin, and K. Ohkubo, “Beam alignment of ECH transmission line using moment method,” in Proc. 27th Int. Infrared Millim. Waves Conf., 2002, pp. 151–152. [8] S. N. Vlasov, V. A. Petrishchev, and V. I. Talanov, “Averaged description of wave beams in linear and nonlinear media (method of moments),” Izv. Vyssh. Uchebn. Zaved. Radiofiz., vol. 14, pp. 1353–1363, 1971. [9] H. Idei, T. Shimozuma, M. A. Shapiro, T. Notake, S. Kubo, R. J. Temkin, and K. Ohkubo, “Comparison between retrieved and measured phase profiles of quasi-optical beams,” in Proc. 28th Int. Infrared Millim. Waves Conf., 2003, pp. 351–352. [10] J. P. Anderson, M. A. Shapiro, R. J. Temkin, and D. R. Denison, “Phase retrieval of gyrotron beams based on irradiance moments,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1526–1535, Jun. 2002. [11] M. A. Shapiro, J. P. Anderson, and R. J. Temkin, “Synthesis of gyrotron phase-correcting mirrors using irradiance moments,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2610–2615, Aug. 2005. [12] T. Notake, H. Idei, S. Kubo, T. Shimozuma, Y. Yoshimura, S. Kobayashi, Y. Mizuno, S. Ito, Y. Takita, K. Ohkubo, W. Kasparek, T. Watari, and R. Kumazawa, “Real time polarization monitor developed for high power electron cyclotron resonance heating and current drive experiments in large helical device,” Rev. Sci. Instrum., vol. 76, 2005, 023504. Hiroshi Idei received the B.S. degree in physics from Shizuoka University, Shizuoka, Japan, in 1988, and the M.S. and Ph.D. degrees in physics from the Nagoya University, Nagoya, Japan, in 1990 and 1995, respectively. From 1993 to 2003, he was a Research Associate with the Plasma Heating Division, National Institute for Fusion Science. Since 2003, he has been an Associate Professor with the Advanced Fusion Research Center, Research Institute for Applied Mechanics, Kyushu University, Kasuga, Japan. His research interests include plasma confinement research, plasma heating/current drive using microwaves and millimeter waves, plasma diagnostics using microwaves and millimeter waves, and microwave and millimeter-wave components.

IDEI et al.: EXPERIMENTAL VERIFICATION OF PHASE RETRIEVAL OF QUASI-OPTICAL MILLIMETER-WAVE BEAMS

Takashi Shimozuma was born in Sanjo, Japan, in 1956. He received the B.Sc. (with honors) and Ph.D. degrees in physics from Kyoto University, Kyoto, Japan, in 1979 and 1985, respectively. From 1984 to 1993, he was with the Central Research Laboratory, Mitsubishi Electric Corporation, Amagasaki, Japan, as a Gyrotron and Plasma Researcher. Since January 1994, he has been with the National Institute for Fusion Science, Toki, Japan. His research interests are the research and development of gyrotrons, ECH systems, and ECH experiments.

Michael A. Shapiro (M’01) received the Ph.D. degree in radio physics from the University of Gorky, Gorky, Russia, in 1990. In 1995, he joined the Plasma Science and Fusion Center, Massachusetts Institute of Technology (MIT), Cambridge, where he is currently Head of the Gyrotron Research Group. His research interests include vacuum microwave electron devices, high-power gyrotrons, dynamic nuclear polarization spectroscopy, high gradient linear accelerator structures, quasi-optical millimeter-wave components, and photonic-bandgap structures.

Takashi Notake received the B.S. degree from Ritsumeikan University, Kyoto, Japan, in 1999, and the M.S. and Ph.D. degrees from Nagoya University, Nagoya, Japan, in 2001 and 2005, respectively. He is currently a Post-Doctoral Fellow with the Radio Frequency Plasma Heating Research Division, National Institute for Fusion Science. His current interests are pressure and current profiles control of magnetically confined plasmas by use of electron cyclotron resonance heating and current drive (ECRH/ECCD).

3905

Shin Kubo was born in Matsuyama, Japan, in 1956. He received the B.Sc. and Ph.D. degrees in physics from Kyoto University, Kyoto, Japan, in 1978 and 1984, respectively. Since 1984, he has been a Member of the Scientific Staff with the Institute of Plasma Physics, Nagoya University, Nagoya, Japan, which, in 1990, was reorganized as the National Institute for Fusion Science, Toki, Japan, where he is currently an Associate Professor with the Radio Frequency Plasma Heating Division. His research interests are wave processes in plasma, particularly in the microwave region, including microwave-heating systems.

Richard J. Temkin (F’94) received the B.A. degree in physics from Harvard University, Cambridge, MA, in 1966, and the Ph.D. degree in physics from the Massachusetts Institute of Technology (MIT), Cambridge, in 1971. From 1971 to 1974, he was a Research Fellow with the Division of Engineering and Applied Physics, Harvard University. From 1974 to 1979, he was a Staff Member and an Assistant Group Leader with the National Magnet Laboratory, MIT. In 1980, he became Group Leader of the Gyrotron and Advanced Millimeter Sources Group, Plasma Fusion Center, MIT. Since 1985, he has been a Senior Research Scientist with the Physics Department, MIT. Since 1986, he has been Head of the Waves and Beams Division, Plasma Science and Fusion Center, MIT, where he currently serves as the Associate Director.

3906

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Quadratic Programming Approach to Coupled Resonator Filter CAD Piotr Kozakowski, Member, IEEE, and Michal Mrozowski, Senior Member, IEEE

Abstract—A computer-aided design technique for coupled resonator filters is presented. The technique is formulated as a bound constrained quadratic programming (QP) problem and predicated upon coupling matrix identification. The identification relies on the derivation of a rational model of the filter response and is formulated as a bound constrained QP problem. The definition of the optimization procedure in the form of a QP problem with an assumption of linear dependence of the coupling coefficients on filter geometrical dimensions leads to a significant reduction of the number of the full-wave electromagnetic simulations required to satisfy specifications. The proposed procedure is verified by designing and fabricating three microstrip filters. Index Terms—Coupling matrix, microwave filters.

I. INTRODUCTION

T

HE DESIGN of coupled resonator filters starts with the synthesis of the rational functions satisfying filter specifications. This step is followed by the synthesis of the coupling matrix, whose elements correspond to the couplings to be realized to provide the required filter response [1]. In order to determine geometrical dimensions of the structure, each element of the filter is analyzed separately. This analysis provides the initial dimensions of the filter. Unfortunately, the technique, in most cases, is not accurate enough for determining the dimensions of the filter to satisfy specifications. This results from the inability of the method to account for the loading effects of adjacent resonators on the coupling values. Hence, once the initial dimensions of the filter are found, a full-wave optimization of the entire structure needs to be performed. Optimization can involve various cost functions. Examples include functions based on the scattering parameters computed at many [2], [3] or few [4] frequency points, an extracted coupling matrix [5]–[7], as well as zeros and poles extracted from and [8]. Since the full-wave electrorational models of magnetic (EM) simulation is the most time-consuming step in the process of filter design, the challenge is to reduce the number of required EM simulations. Perhaps the most promising technique is based on identifying the coupling matrix after each full-wave analysis followed by the minimization of the discrepancy occurring between the coupling values and a prior synthesized prototype [5]–[7]. The efficiency of the approach relies Manuscript received September 23, 2005; revised March 3, 2006. This work was supported by the Foundation for Polish Science under the Senior Scholar Grants Scheme and Young Scientist Grants Scheme and by the Polish State Committee for Scientific Research under Contract 4T11D 019 24. The authors are with the Department of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 80-952 Gdansk, Poland (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.883650

on the assumption of linear dependence of the coupling matrix elements on the geometrical design parameters. This, in turn, allows for approximating with high accuracy Jacobi matrices using the Broyden update method [9], which significantly reduces the computational intensity. Unfortunately, the application of this approach has, thus far, been restricted due to the difficulties in identifying the coupling matrix. The identification techniques are based either on direct optimization [10] or on the derivation of rational models of scattering parameters [1], [11]. The identification technique relying on direct fitting of the filter frequency response to the assumed coupling scheme in the process of optimization is time consuming and its convergence depends on initial coupling values. On the other hand, the coupling matrix identification through the derivation of the rational models of the scattering parameters followed by the application of a sequence of similarity transformations to obtain the coupling matrix, corresponding to the desired coupling scheme, is restricted to a specific class of structures. This is due to the fact that, in general, the angles of rotations leading to elimination of unwanted couplings are not known in advance. This paper focuses on the filter full-wave EM optimization technique based on a concept of the coupling coefficients identification. In contrast to [6], this approach is formulated as a bound constraints quadratic programming (QP) problem, which leads to the significant reduction of the EM full-wave simulations required to satisfy the imposed specifications. Furthermore, the coupling matrix identification technique, which constitutes an integral part of the described technique, relies on the modified Cauchy method to provide the coefficients of the polynomials of the scattering parameters, which are further utilized to calculate the elements of the admittance matrix and finally the transversal coupling matrix [11]. The transversal coupling matrix is converted to the coupling matrix of the desired topology also by solving a band constrained QP problem, which allows one to ensure the desired sign of the couplings. The proposed modification of the Cauchy method also allows one to identify the loss matrix [10] associated with a structure. Moreover, since the Cauchy method allows one to obtain relatively wideband filter response, by processing the filter’s scattering parameters calculated at few frequency points, the number of discrete EM full-wave simulations is further significantly reduced. All of these features distinguish this method from the one described in [6]. It should be emphasized that although the idea of optimization based on network (coupling matrix) representation of the circuit is well known [5]–[7], the proposed formulation of the problem together with the accompanying technique of the coupling matrix identification constitute a comprehensive solution to the filter computer-aided design (CAD).

0018-9480/$20.00 © 2006 IEEE

KOZAKOWSKI AND MROZOWSKI: QP APPROACH TO COUPLED RESONATOR FILTER CAD

The flexibility and efficiency of the method is demonstrated by applying the technique to lossless and lossy design of coupled resonator filters fabricated in microstrip technology. It is worth noting that the method is not restricted to any specific type of coupled resonator filter. II. OPTIMIZATION PROBLEM FORMULATION QP is a technique for solving problems of the form [12] (1) In order to define the filter CAD as a QP problem, let us commence with a classical optimization problem with the cost function defined as follows: (2) where is a vector of nonzero elements of the coupling matrix is a vector corresponding to the current filter response and of nonzero elements of reference coupling matrix. Now let us consider a small perturbation of the elements of the coupling matrix in (2), which leads to the following expression: (3) where is a vector of perturbations, i.e., small changes of the coupling matrix values. Substituting and multiplying the subsequent terms of (3), one gets

3907

important fact is that it can be done at low numerical cost by using the Broyden update method [9], which can be used as long as function (2) decreases at a subsequent iteration. If the mentioned condition is not satisfied, i.e., the value of function (2) increases, then a Jacobi matrix is recalculated using the finite-difference scheme (6). Since the elements of the coupling matrix depend nearly linearly on the geometrical parameters, the recalculation of the Jacobi matrix is done very rarely and the whole procedure requires very few full-wave simulations. The process is terminated when the value of function (2) reaches a selected threshold. It should be noted that, in general, the reason for the increase of the function (2) value can be twofold. The first reason is that the condition of linear dependence of the coupling values on the geometrical parameters is not satisfied. The other reason results from the too large space of feasible solutions (the feasible reis specified by setting lower and upper bounds congion for straints). Hence, before the Jacobi matrix is recalculated using a costly finite-difference scheme, the bounds constraints should be reduced, limiting the space of feasible changes of . The bounds are limited at each iteration the function (2) value increases until they cannot be further reduced due to technological limitations. Obviously restricting the space of feasible solutions deteriorates the rate of convergence of the optimization method, however, in most cases, it is better to slow the convergence than to recompute the Jacobi matrix. III. IDENTIFICATION OF THE COUPLING MATRIX

(4) The vector

can be expressed as follows: (5)

where is a vector of corrections of the geometrical dimenis a Jacobi matrix. In order to calsions of the filter and culate the Jacobi matrix, one has to find sensitivities of the coupling values to the small changes of the filter dimensions. This can be obtained applying a forward finite-difference scheme (6) where is an th finite-difference step length. Substituting (5) into (4), one obtains the following expression:

subject to

(7)

where and are lower and upper bounds imposed on changes of the design variables. It is seen that (7) has the form of (1) with (8) The solution to the problem (7) provides the corrections of the filter dimensions that minimize the value of the function (2). Since the Jacobi matrix depends on , one has to recalculate for the corrected filter geometrical dimensions. The

In order to use the formulation of the optimization problem outlined in Section II, an accurate method for coupling matrix identification is required. The method described below is split into two parts. The first part involves the identification of the coefficients of and obtained the polynomials of the rational functions form the filter full-wave EM simulations, and identification of the circuit elements of the transversal array network. The other part deals with the method of transforming the transversal coupling matrix to the form corresponding to the prior assumed coupling scheme. The method is formulated again as a bound constrained QP problem. A. Rational Model Since the transmission and reflection functions of any twoport lossless filtering structure composed of a series of intercoupled resonators are rational with the common denominator (9) they can be interpolated applying the univariate Cauchy method [8], [13], [14] by solving a set of equations involving both and simultaneously [14]. To ensure high accuracy of the and are evaluated at the set interpolation, the values of of frequency points restricted only to the bandpass and the set of frequency points including the transmission zeros, respectively. This way, the proposed approach differs from the one described

3908

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

in [7], where the values of and have to be calculated at the same set of frequency points. Another important aspect of the interpolation problem is the and choice of the degree of the polynomials of functions . It is obvious that in the case of interpolation of the ideal and , the degree of the numerator and denomfunctions should be equal to , where is a filter order, inator of and the degree of the numerator and denominator of should and , respectively, where is the number of be equal to transmission zeros. Theoretically, if the filter order is known, it can be anticipated that a sufficient polynomial orders should correspond to the order of the filter and/or the number of transmission zeros. However, when the optimization process proceeds, the aforementioned assumption does not hold. The solution is to create a model of the order higher than the order resulting from the filter specifications. Hence, applying the Cauchy method, one obtains (10)

and are used to Finally, the polynomial synthesize the transversal coupling matrix , as described in [11]. B. Rational Model of Lossy Structure It is obvious that the loss may lead to the significant differences between the responses of the assembled filter and the design. The lower values of the resonators, the more significant difference between the filter response and design. The identification of the loss follows almost the same line as finding a rational model of the lossless structures. Once the zeros and poles of the model are found [see (11)], one can extract the resonator loss . To this end, it is sufficient to consider only . However, since now the structure consists of lossy resand subsequent polynomials onators, in order to distinguish and denominator of corresponding to of numerator the lossless structure from the ones corresponding to the lossy as and as and , circuit, let us denote respectively. Finally, (11) can be rewritten in the form (14)

where and are increased orders of polynomials. , Due to a higher orders of polynomials, once the zeros of i.e., , and , i.e., and common poles are computed, and of them have to be discarded to construct the transversal coupling matrix. The criterion for selection of zeros and poles (roots of the numerators and denominator) is the distance between zeros and poles of the prototype and zeros and poles of the model response—the most distant zeros and poles are eliminated. This approach ensures the appropriate location and in case the scattering paof poles and zeros of rameters are inexpressible by rational functions of degree corresponding to the number of transmission zeros and filter order. Finally, one gets (11) where are the polynomials normalized to their highest degree coefficients. The scaling term where

and , where with and and are roots of and , respectively. Assuming that unloaded quality ( ) factors of the resonators are equal, losses modify only the real parts of and . Calculating the loss as a mean value of the real parts of (15) of corresponding to the the roots of the numerator lossless structure can be calculated as follows: (16) corresponding to the lossless structure, Having obtained one can calculate the common denominator of applying condition (13). The synthesis of the transversal coupling matrix is carried out in compliance with [11]. Keeping in mind that, in general, the transmission and reflection scattering parameters of the models are given by

(17) (12) denotes imaginary parts of the reflection zeros. where The term is calculated only for the full canonical filtering functions and it is equal to the quotient of the maximum absoand calculated at , where lute value of denotes imaginary parts of the transmission zeros, assuming that . Since functions and usually do not satisfy the passivity relation, the coefficients of the polyare found applying the condition nomial (13)

where is a identity matrix, is a transversal matrix coupling matrix of the same size, and is a for whose only nonzero elements are and , the lossless case and where for lossy structures, one can split the response of the model into the lossless and lossy cases. C. Coupling Matrix Identification Formulated as QP Problem Once the elements of the transversal coupling matrix have been obtained, one has to find the values of the coupling matrix corresponding to the assumed coupling scheme. To this end, we propose to use the eigenvalue approach similar to the one

KOZAKOWSKI AND MROZOWSKI: QP APPROACH TO COUPLED RESONATOR FILTER CAD

described in [15] and [16]. In both techniques, one solves the optimization problem with the cost function of the form (18) where is a vector consisting of the eigenvalues of the coupling matrix and the eigenvalues of its upper and lower principal submatrices identified in the course of optimization. The lower and upper principal submatrices are obtained by deleting the last row and column and the first row and column of the coupling matrix, respectively. The vector consists of the same sets of eigenvalues of the transversal coupling matrix synthesized analytically [11]. When the source and load of the filter is coupled only to the first and last resonators, respectively, and can be formed form zeros or poles of the two-port circuit admittance functions. Since the approach based on the identification of the transversal coupling matrix is a more general case, this version of the identification procedure will be discussed further on. Moreover, rather than using the unconstrained least squares approach described in [15] and [16], we shall formulate (2) as a QP problem. To this end, let us consider a small perturbation of the function (18) (19) substituting

and multiplying terms in (19), one gets (20)

can be expressed as , The perturbation term is a vector of changes of nonzero elements of upper where triangular part of the symmetric coupling matrix. The elements are ordered according to their appearance in of the vector the subsequent columns of the upper triangular submatrix of the is a Jacobi matrix defined as follows coupling matrix. The [15], [16]: (21) where is a symmetric matrix with all if entries set to zero, except for the . If is a symmetric matrix describing the coupling scheme (topology matrix), then the following relation holds:

3909

than one combination of the coupling values can be found satisfying filter specifications. In other words, limiting the scope of changes of the coupling values, one can ensure the coupling to have the required sign, negative or positive. Keeping in mind that the quadratic programing problem has a general form given by (1), the subsequent terms of (1) are expressed as follows: (24) Each time the QP problem is solved, the elements of the coupling matrix are modified by adding the values of corrections to the elements of the matrix obtained form the previous iteration. On commencement of the optimization process, the values of corrections are added to the initial coupling matrix. The process is terminated when the value of function (18) satisfies the termination criteria. D. Modified Formulation The formulation of the quadratic programing problem for the synthesis of the coupling matrix outlined in Section III-C is valid only for the coupling schemes including all direct couplings. This results from the inability of the proposed formulation to eliminate nonzero elements of the initial coupling matrix. Here has a form of it is assumed that the initial coupling matrix the traditional matrix and, hence, it owns all direct couplings. Since the initial coupling matrix is obtained by solving the Jacobi inverse eigenvalue problem, it preserves two sets of eigenvalues with respect to the eigenvalues of the transversal coupling matrix obtained analytically [11], namely, the eigenvalues and the eigenvalues of its lower prinof the core matrix of cipal submatrix [16]. A simple elimination of entries of matrix in order to obtain the initial coupling matrix without selected direct couplings leads to the loss of its vital properties, namely, the eigenvalues of the core matrix and the lower prinare no longer the same as their transversal cipal submatrix of coupling matrix eigenvalues counterparts. This, in turn, entails serious convergence problems. In order to eliminate the couplings that do not coincide with the coupling scheme, function (18) and the corresponding QP problem have to be modified. The modified cost function has a form (25)

(22) where is a number of nonzero entries of the coupling matrix. The vector is an th eigenvector of the coupling matrix corresponding to the th eigenvalue. in (20), the following expression Substituting is obtained:

subject to

(23)

and are vectors of lower and upper bound conwhere straints. In case the coupling matrix is not unique, setting the , where , more constraints on the elements

where all symbols have the same meaning as in the case of the general formulation (18), except for the vector whose norm-square serves as a penalty term. The length of the vector is equal to the number of all couplings including those direct couplings that do not occur in the assumed coupling scheme, but exist in the initial coupling matrix (only upper triangular submatrices are considered). In general, all elements of the vector are set to zeros, except for the ones that are to be eliminated. The are strictly associated positions of those elements in vector with their positions in the coupling matrix, and they are determined by moving through the nonzeros elements of the upper triangular part of the coupling matrix in columnwise fashion. If there is only one coupling to be eliminated, the norm of the vector matches the absolute value of this coupling. Obviously

3910

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 1. Layout of the fifth-order microstrip open-loop resonator filter with a transmission zero in upper stopband (feed-line width: 1.1 mm, resonator-line width: 1.4 mm).

this value changes during the optimization process. The QP formulation of (25) can be obtained in a manner similar to the one described above. To account for the penalty term, the matrix and the vector [see (1)] have to be modified as follows (see Appendix):

Fig. 2. Frequency response of the open-loop resonator filter corresponding to the initial geometrical dimensions (solid line), optimal geometrical dimensions (dashed line), coupling matrix corresponding to the filter response satisfying specifications in the inset.

(26) where denotes a square matrix with 1’s on the main diagonal placed at the same position as in the vector and 0’s elsewhere. The optimization of the structures for which the modified formulation of the quadratic programing problem has to be used is carried out in the same manner as for the general formulation. IV. NUMERICAL RESULTS In order to verify the efficiency of the proposed CAD method, various filters have been designed. In general, the technique is not restricted to any specific class of filters, however, because of the ease of fabrication, only circuits realized in microstrip technology were selected to exemplify the viability of the proposed technique.

Fig. 3. Convergence analysis for the open-loop resonator filter.

A. Open-Loop Microstrip Filter First, a microstrip filter composed of open-loop resonators was designed. A five-pole general Chebyshev prototype with 20-dB return loss and the transmission zero placed on the right was chosen. The topology of the side of the passband at filter is illustrated in Fig. 1. The filter was designed to have a bandwidth 50 MHz at a midband frequency of 0.925 GHz. The geometrical dimensions of the filter were obtained assuming its fabrication on the substrate with the dielectric constant of 10.8 and the thickness of 1.27 mm. The initial geometrical parameters were obtained applying the classical parameter-extraction technique [1]. The coupling coefficients and external factor were determined from the full-wave simulations carried out using commercial Sonnet software [18]. The filter response corresponding to the initial geometrical dimensions is shown in Fig. 2 via the solid line. In this case, 14 independent variables were optimized. Starting with the initial dimensions, only 18 iterations involving 46 full-wave simulations (frequency sweeps)

were required to obtain the design satisfying imposed specifications. The convergence analysis is depicted in Fig. 3. The Jacobi matrix was calculated twice including the initial calculation, and the range of feasible solutions was also reduced two times at iterations 9 and 10. Since the frequency response of filters created in the process of optimization is interpolated by means of the univariate Cauchy method, at least 11 discrete simulations are required (analysis at 11 frequency points). This number can, however, be reduced since the solver features adaptive band synthesis (ABS). Processing few frequency points, in the considered case only 4–6, the ABS technique was able to provide a broadband filter response. The rational models were then extracted from the selected frequency points form the mentioned broadband response computed with ABS. The optimal geometrical dimensions of the filter are shown in Fig. 1 and the scattering parameters of the filter as a result of optimization are depicted in Fig. 2 via the dashed line.

KOZAKOWSKI AND MROZOWSKI: QP APPROACH TO COUPLED RESONATOR FILTER CAD

3911

Fig. 5. Frequency response of the hairpin-line resonator filter with transmission zero in the lower stopband corresponding to the initial geometrical dimension (solid line) and optimal geometrical dimensions (dashed line), coupling matrix corresponding to the filter response satisfying specifications in the inset.

Fig. 4. (a) Layout of the fifth-pole hairpin-line resonator filter with a transmission zero in upper stopband. (b) Layout of the fifth-pole hairpin-line resonator filter with a transmission zero in lower stopband (feed-line width: 1.7 mm, coupled-line width: 0.6 mm, resonator-line width: 1.5 mm).

B. Hairpin-Line Microstrip Filter Fig. 4(a) shows the layout of a hairpin-line bandpass filter that serves as the next example. The five-pole general Chebyshev prototype with 21-dB return loss and a transmission zero lowas selected for cated at the left side of the passband at the design purpose. The filter was optimized assuming the bandwidth of 100 MHz at a center frequency of 2.5 GHz and was fabricated on the substrate with a relative dielectric constant of 3.5 and a thickness of 0.762 mm. The initial geometrical dimensions of the filter were found applying full-wave EM simulation to extract the desired coupling coefficients and external factor. The response of the filter corresponding to the determined initial dimensions is shown in Fig. 5 via a solid line. The formulation of the optimization problem allowed one to obtain the dimensions of the filter satisfying the specifications only in six iterations involving 20 full-wave simulations (this number includes the full-wave simulations required to the calculate Jacobi matrix). In the considered case, 14 independent variables were optimized. Since there is no coupling between resonators 2 and 3, the modified formulation of the QP problem (26) was used. . Again, the ABS Matrix in (26) has a nonzero value at method was used (4–6 frequency points) to compute the broadband response of the filter. The insertion and return losses of

Fig. 6. Measured frequency response of the hairpin-line resonator filter with the transmission zero in the lower stopband (solid line) and simulated frequency response of the filter with dielectric and conducting losses included (dashed line).

the filter obtained using EM simulation are plotted in Fig. 5 via the dashed line. The filter was also analyzed assuming dielectric loss (loss tangent equal to 0.0018) and conducting loss of the 35- m-thick copper metallization. The simulated response of the circuits is shown in Fig. 6 via the dashed line. The structure was fabricated and measured. The scattering parameters are depicted in Fig. 6 via the solid line. The minimum in-band insertion is 3.2 dB, which is mainly caused by the conducting loss. As can be seen, the characteristics of the filter are shifted downward in frequency by approximately 20 MHz. This is the result of the deviation of dielectric constant whose relative value is 3.53 rather than the assumed 3.5. The same coupling scheme as in the previous example was used to design the filter satisfying the same specifications, except for the location of the transmission zero, which was moved . The layout of the on the other side of the passband at filter is shown in Fig. 4(b). The same substrate for the design and

3912

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 7. Frequency response of the hairpin-line resonator filter with transmission zero in the upper stopband corresponding to the initial geometrical dimension (solid line) and optimal geometrical dimensions (dashed line), coupling matrix corresponding to the filter response satisfying specifications in the inset.

fabrication, as in the case of the hairpin-line filter with the transmission zero on the left side of the passband, was used. Starting with the initial geometrical dimensions (see Fig. 7, solid line), 20 iterations including numerically computed gradients were again required to obtain geometrical parameters of the filter satisfying the specifications. Figs. 4(b) and 7 (dashed line) show the optimized dimensions and the corresponding filter response obtained by means of the full-wave EM simulation, respectively. It is worth noting that, for the discussed case, it is possible to use the Jacobi matrix obtained during the process of optimization of the hairpin-line filter with the transmission zero placed on the left side of the passband (previous example). Utilizing the Jacobi matrix from the previous example and commencing the optimization with the same set of initial geometrical dimensions as previously discussed, only six full-wave EM simulations were required to move the transmission zero to the other side of the passband. The performance of the fabricated filter is excellent (see Fig. 8), except for the downward center frequency shift caused by the deviation of the dielectric constant of the substrate. The results can be compared with the scattering parameters of the lossy structure (Fig. 8 dashed line) assuming the same material parameters as in the previous example. In order to illustrate the applicability of the method to design filters composed of the lossy resonators, the hairpin-line microstrip filter with the transmission zero on the right side of the passband was designed (Fig. 4) and fabricated. The specifications of the filter are the same as for the lossless case. However, the filter was designed assuming a relative dielectric constant and a thickness of the substrate equal to 3.53 and 0.762 mm, respectively. The tangent loss of the substrate was 0.0018 and conducting loss was equivalent to the 35- m-thick copper metallization. The response of the filter corresponding to the initial dimensions is depicted in Fig. 9 via the solid line. In order to compute the Jacobi matrix again, 14 full-wave simulations were preformed and only eight iterations were required to find the response of the filter satisfying the specifications (Fig. 9 dashed line). The filter satisfying the same requirements, but consisting

Fig. 8. Measured frequency response of the hairpin-line resonator filter with the transmission zero in the upper stopband (solid line) and simulated frequency response of the filter with dielectric and conducting losses included (dashed line).

Fig. 9. Frequency response of the hairpin-line resonator filter, designed as a lossy structure, corresponding to the initial geometrical dimensions (solid line) and optimal geometrical dimensions (dashed line), layout of the filter is in the inset (feed-line width: 1.7 mm, coupled-line width: 0.6 mm, resonator-line width: 1.5 mm).

of lossless resonators was also designed assuming the same substrate parameters. The frequency response of the filter designed as a lossless circuit and analyzed with loss included, shown in Fig. 10 via the dashed line, is slightly shifted downward due to the relatively low factor of the resonators constituting the was taken structure. The fact that the resonator are of low into account during the process of design of the lossy structure, which benefits via no frequency shift and expected return loss in the passband (Fig. 10 solid line). V. CONCLUSION A efficient full-wave optimization method for CAD of coupled resonator filters has been proposed. The technique was formulated as a bound constrained QP problem and predicated upon the coupling matrix identification technique followed by the minimization of the norm square error between the identified coupling values and analytically synthesized prototype. The

KOZAKOWSKI AND MROZOWSKI: QP APPROACH TO COUPLED RESONATOR FILTER CAD

The terms

3913

and in QP formulation (1) are expressed as (31)

REFERENCES

Fig. 10. Measured frequency response of the hairpin-line resonator filter design as a lossy structure (solid line) and frequency response of the filter designed as a lossless structure and simulated with dielectric and conducting losses included (dashed line), the coupling matrix corresponding to the lossless filter response is shown in the inset.

coupling matrix is identified by means of the modified Cauchy method, which allows one not only to identify coupling elements, but also identify the loss of resonators assuming the unloaded factors of the resonators are equal. The QP approach is efficient for the coupling matrix identification and the EM optimization and leads to the significant reduction of the number of full-wave simulations required to satisfy filter specifications. APPENDIX In order to formulate the QP problem suitable for eliminating direct couplings, one should consider a small perturbation of the in (25) as follows: vector

(27) where multiplying subsequent terms in (27), one gets

(28) Equation (28) can be recast as follows:

(29) where is a square matrix with all elements set to zero, except for the selected elements in the main diagonal, for which values are set to 1. The nonzero entries in the main diagonal are placed at the same positions as in the vector . Collecting vectors and , one gets

(30)

[1] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [2] F. Arndt, R. Beyer, J. M. Reiter, T. Sieverding, and T. Wolf, “Automated design of waveguide components using hybrid mode-matching/numerical EM building-blocks in optimization-oriented CAD frameworks-state of the art and recent advances,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 747–760, May 1997. [3] J. W. Bandler, R. M. Biernacki, S. H. Chen, D. G. Swanson, Jr., and S. Ye, “Microstrip filter design using direct EM field simulation,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1353–1359, Jul. 1994. [4] S. Tao, H. H. Tung, K. A. Zaki, A. E. Atia, and T. G. Dolan, “Full-wave design of canonical waveguide filters by optimization,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 504–511, Feb. 2003. [5] S. Bila, D. Baillargeat, M. Aubourg, S. Verdeyme, P. Guillon, F. Seyfert, J. Grimm, L. Baratchart, C. Zanchi, and J. Sombrin, “Direct electromagnetic optimization of microwave filters,” IEEE Micro, vol. 2, pp. 46–51, Mar. 2001. [6] M. A. Ismail, D. Smith, A. Panariello, Y. Wang, and M. Yu, “EMbased design of large-scale dielectric-resonator filters and multiplexers by space mapping,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 386–392, Jan. 2004. [7] A. G. Lamperez, S. L. Romano, M. S. Palma, and T. K. Sarkar, “Efficient electromagnetic optimization of microwave filters and multiplexers using rational models,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 508–521, Feb. 2004. [8] P. Kozakowski and M. Mrozowski, “Automated CAD of coupled resonator filters,” IEEE Microw. Wireless Compon. Lett., vol. 12, pp. 470–472, Dec. 2002. [9] C. G. Broyden, “A class of methods for solving nonlinear simultaneous equations,” Math. Comput., vol. 19, pp. 577–593, Oct. 1965. [10] P. Harscher, R. Vahldieck, and S. Amari, “Automated filter tuning using generalized low-pass prototype networks and gradient-based parameter extraction,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2532–2538, Dec. 2001. [11] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [12] Optimization Toolbox User’s Guide. Natick, MA: The MathWorks Inc., 2002. [13] R. S. Adve, T. K. Sarkar, S. M. Rao, E. K. Miller, and D. R. Pflug, “Application of the Cauchy method for extrapolating/interpolating narrowband system responses,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 837–845, May 1997. [14] A. G. Lamperez, S. L. Romano, M. S. Palma, and T. K. Sarkar, “Fast direct electromagnetic optimization of a microwave filter without diagonal cross-couplings through model extraction,” in 33rd Eur. Microw. Conf., Oct. 2003, vol. 3, pp. 1361–1364. [15] P. Kozakowski, A. Lamecki, P. Sypek, and M. Mrozowski, “Eigenvalue approach to synthesis of prototype filters with source/load coupling,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 98–100, Feb. 2005. [16] A. Lamecki, P. Kozakowski, and M. Mrozowski, “Fast synthesis of coupled-resonator filters,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 4, pp. 174–176, Apr. 2004. [17] S. F. Peik, R. R. Mansour, and Y. L. Chow, “Multidimensional Cauchy method and adaptive sampling for an accurate microwave circuit modeling,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2364–2371, Dec. 1998. [18] “Sonnet User’s Guide,” Sonnet Software Inc., North Syracuse, NY, 2003. Piotr Kozakowski (S’00–A’01–M’03), photograph and biography not available at time of publication.

Michal Mrozowski (S’88–M’90–SM’02), photograph and biography not available at time of publication.

3914

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

The Design of Microwave Bandpass Filters Using Resonators With Nonuniform Q Andrew C. Guyette, Ian C. Hunter, Senior Member, IEEE, and Roger D. Pollard, Fellow, IEEE

Abstract—With the exception of predistortion, most design methods for microwave filters are based on lossless prototype networks, with the effects of loss generally being considered afterwards, by analysis rather than synthesis. This paper presents new techniques, which either utilize existing losses, or add losses, to improve the performance of microwave bandpass filters. Unlike predistortion, these new techniques result in networks with nonuniform dissipation. Experimental prototype filters have been designed and the measured results demonstrate improved performance compared with that previously reported. Index Terms—Bandpass, loss, microwave filters, predistortion.

I. INTRODUCTION ILTERS PLAY an important role in many microwave systems where they serve to suppress unwanted signals. As the characteristics of a single filter can have a significant impact on the overall system performance, it is desirable to achieve the most ideal response possible. One of the major performance limitations is the unloaded quality factor ( ) of the resonators. High-performance filters generally require high- resonators, which are often physically large and may necessitate the use of an expensive technology such as dielectric resonators. Losses have the effect of shifting the poles and zeroes of the transfer function to the left in the complex plane. Assuming a filter network with uniform resonator and a cutoff frequency , the increase in insertion loss can be estimated as [1] of

F

complex plane. A lossless filter is then synthesized. The addiof the network tion of uniform dissipation loss results in having an ideal response other than for increased absolute insertion loss. This technique is useful for applications where the increased passband insertion loss can tolerated, such as in a satellite IMUX [3] or alternative receiver architectures [4], [5]. The disadvantage of predistortion is that the selectivity increase is realized by reflecting power in the passband, which results in decreased in-band return loss. As a result, in practice, predistorted filters usually require the use of an isolator. It should be noted that since the dissipation loss of a network with uniform dissipation (i.e., constant resonator ) is proportional to its group delay, then the only way to compensate for losses in such a network is to differentially reflect energy at certain frequencies. In this paper, new methods of designing filters with nonuniform dissipation will be discussed. These methods produce more selective filter transfer functions than either conventional designs or uniform- predistorted filters. In contrast to predistortion, power is largely absorbed to increase selectivity rather than reflected. In Section II, the theory of the design techniques will be outlined. In Section III, the design of a prototype filter, realized in microstrip, is presented as a demonstration of the new design techniques. Experimental results for the filter are given, showing significant improvements compared to other approaches. II. BASIC THEORY

(1) A. Loss Distribution where is the increase in insertion loss, is the group delay, is the unloaded of the resonators. The effects of loss and become more significant at frequencies where the group delay is large. This is seen as a rounding of the passband edges and a decrease in maximum stopband attenuation manifests. These effects become more pronounced in narrowband filters, as group delay is inversely proportional to bandwidth. Design methods are needed to help design filters that meet the increasingly stringent demands of modern communication systems. In order to realize optimum performance, resonator losses cannot be ignored. Unfortunately, most conventional design techniques do not take losses into account. One exception is the classical method of predistortion [2]. With this technique, the poles of the transfer function are shifted to the right of the Manuscript received November 25, 2005; revised April 11, 2006. This work was supported by Agilent Technologies and by the British Ministry of Defense. The authors are with the School of Electronic and Electrical Engineering, The University of Leeds, Leeds LS2 9JT, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.884627

Consider the transfer function of an ideal third-order Butterworth filter multiplied by a constant (2) and (3) , this is a lossy transfer function exhibiting finite For and passband loss and the unitary condition relating for lossless networks does not apply. Thus, it is initially difficult to understand how to form the reflection function and, hence, the input impedance, in order to synthesize the network. This problem is overcome using the now classical technique of predistortion [2]. Since adding uniform loss shifts the poles and zeros towards the left-half complex plane, i.e.,

0018-9480/$20.00 © 2006 IEEE

(4)

GUYETTE et al.: DESIGN OF MICROWAVE BANDPASS FILTERS USING RESONATORS WITH NONUNIFORM

3915

Fig. 1. Symmetrical network with even- and odd-mode admittances Y and Y .

then the inverse procedure is taken, where (5) and a lossless predistorted network is synthesized and a flat lossy response is recovered when uniform dissipation is added. However, in addition to being asymmetric, as we have already stated, the resulting network gives poor return loss and higher passband attenuation than is theoretically necessary. It should be noted that predistortion techniques have also been applied to one-port reflection-mode networks [6], [7], which result in an optimum transfer function, but require nonreciprocal realizations and suffer from limited stopband attenuation levels. With the exception of reflection-mode realizations, predistorted filters have uniform dissipation. This is not necessarily the optimum solution. The problem then is how to design, or hopefully synthesize, networks with nonuniform . In general, it is not known how to synthesize a two-port network with nonuniform dissipation from its transfer function. However, the situation is somewhat simpler if we assume that the network is symmetrical. Consider the symmetrical two-port in Fig. 1. The -parameters can be written in terms of the evenand [8] and odd-mode admittances

Fig. 2. (a) Network resulting from the direct synthesis of a third-order lossy Butterworth transfer function. Loss is distributed to the outer resonators only. (b) Transfer response that is identical to ideal, shifted down 6 dB.

(6)

(12)

(7) and (8) (13)

(9) where and are the even- and odd-mode reflection coeffican be found cients. The cancellation of factors implies that even if the network is lossy. from Consider again the trivial case of a third-order Butterworth filter with

Solving (12) and (13) gives Thus,

,

, and

. (14) (15)

(10) and from (8) and (9), Now we know that

has the same denominator as

. Thus, (16) (11)

Now forcing , then . The rest of the coefficients are found by substituting the zeroes of the denominator between the numerators of and of

This reflection function can then be synthesized using conventional methods to give the ladder network shown in Fig. 2(a). The center resonator is lossless, while the outside resonators possess a of 2. The response is shown in Fig. 2(b). The transfer

3916

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 4. Responses of lossy third-order Butterworth filters with resonant and nonresonant resistive cross couplings. Fig. 3. (a) Lossy third-order Butterworth with resonant resistive cross coupling. (b) Nonresonant approximation of the resistive cross coupling.

response is that of an ideal third-order Butterworth with a 6-dB passband attenuation, while the return loss has degraded to 6 dB. It should be noted that having low- input and output resonators has no effect on the selectivity. The synthesis has also failed to place resistive loss in the central element of the filter. Essentially what has been synthesized is a lossless bandpass filter with attenuation at the input and output. In general, it can be inferred from the above analysis that when designing filters with nonuniform , it is preferable to place the lower elements towards the outside of the filter. B. Resistive Cross Coupling The technique just described failed to introduce finite dissipation into the central element of the prototype network. This can be achieved using the method of resistive cross coupling. This technique is based on work described in [9], which demonstrated that by adding a complementary pole-zero pair , to a lossy transfer function where (17) The amplitude response remains unchanged, but allows loss to be distributed when synthesized using the technique demonstrated in Section II-A. The return loss is also improved. The added pole/zero pair creates a new transmission path in the form of a low- cross-coupled resonator. This method becomes mathematically very complex for higher order filters, and a simplified method utilizing computer optimization is given in [4]. In the simplified method, a transmission zero on the real axis is added to the transfer function (18) This real axis transmission zero effectively flattens out the passband, and is realized by simply placing a resistor across any

Fig. 5. Simulated response of third-order Butterworth with S multiplied by 0.5. Both S and S are equivalent to ideal responses shifted down 6 dB.

three consecutive resonators. This gives a response almost identical to that of (17), the difference being a slightly reduced selectivity. A lossless filter is first synthesized, then the resonator loss and coupling resistors are added. Component values are then optimized. The network shown in Fig. 3(a) is the result of the synthesis of (17) with (19) (a third-order Butterworth transfer characteristic), , and . Loss has successfully been introduced into the central resonator. The of the outer resonators is 5.3 and the of the central resonator is 4.9. The of the cross-coupling resonator is 0.11. Shown in Fig. 3(b) is the same network with the nonresonant approximation of (18). Shown in Fig. 4 is a comparison of the responses of the two networks. The network with a resonant cross coupling gives an ideal Butterworth response shifted down 6 dB with 12-dB return loss. The response of the network

GUYETTE et al.: DESIGN OF MICROWAVE BANDPASS FILTERS USING RESONATORS WITH NONUNIFORM

Fig. 6. (a) Third-order Butterworth synthesized with S

3917

multiplied by K = 0:5. (b) Admittance matrix.

with the nonresonant cross coupling is virtually identical, save for a slightly lower selectivity.

Now, in addition to trigonometric rotations, hyperbolic rotations . For a hyperbolic rotation, the transmay also be used on form matrix is of the form

C. Matrix Rotations Matrix rotations can be used to change the physical topology of a network without affecting the electrical response. Normally these rotations are applied to a coupling matrix [10], and the rotations are trigonometric in form. Given a coupling matrix , the new matrix after a rotation is given by (20) where is the transform matrix containing a single rotation of the th row and column with respect to the th row and column. is of the form For a trigonometric rotation, (21) (22) (23) (24) Trigonometric rotations are usually used under the assumption that the filter is lossless. In this case, the admittance matrix is given by (25) where is the identity matrix. In the case of a filter with finite loss, the admittance matrix is given by (26) where is with loss included, where loss is represented by conductances

.. .

.. .

.. .

.. . (27)

(28) (29) (30) (31) A combination of trigonometric and hyperbolic rotations can be used to effectively move losses around the network, as demonstrated in the following example. Shown in Fig. 5 is the response of a third-order Butterworth filter synthesized from a lossy reflection function (32) where (6-dB return loss at infinite frequency). Both and are equivalent to ideal responses shifted down 6 dB. The resulting network [see Fig. 6(a)] was synthesized using standard techniques. Shown in Fig. 6(b) is the corresponding admittance matrix. As in the network described in Section II-A, loss is present in the outside resonators only, which possess a of 3. In addition, there are standalone resistors present at the input and output, effectively forming attenuators when combined with the loss of the outer resonators. The objective now is to move loss from the outside resonators to the center resonator. This is accomplished with the following transformations. plane, (rad). 1) Hyperbolic rotation, plane, (rad). 2) Hyperbolic rotation, plane, (rad). 3) Trigonometric rotation, 4) Scale nodes 0 and 4 by 0.032439. with a Performing a hyperbolic rotation around pivot negative moves loss from nodes 1 to 2, as well as creating a and a positive conductance . negative conductance To keep the network symmetric, a second hyperbolic rotation with a positive , moving loss is performed around pivot from nodes 3 to 2. This increases the positive conductance , as well as creating another negative conductance . To remove the negative conductances, a trigonometric rotation

3918

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 7. (a) Network of Fig. 6(a) after matrix transformations. (b) Admittance matrix.

with equal to 90 is performed around pivot . This exchanges the couplings between nodes 1 and 2, and reverses the signs of the couplings that were to node 2. The final step is to scale nodes 0 and 4 to remove the remaining shunt conductances present at those nodes and increase the shunt conductance present at node 1 (now representing the central resonator after the 90 trigonometric rotation). The final transformed network is shown in Fig. 7(a). All resonators now possess a of 6.4. Note that in the transformed admittance matrix in Fig. 7(b) there exists a very weak cross coupling between nodes 0 and 3 not shown in the circuit diagram. This coupling has the effect of decreasing the stopband attenuation slightly, and can be ignored. serves the same function as the The resistive coupling resistive cross couplings described in Section II-B. The resisand improve the return loss. As in tive couplings Section II-B, this example has demonstrated that highly selective lossy networks can be realized with the use of resistive cross couplings. D. Multiple-Path Loss Distribution As discussed in Section I, for a filter with uniform resonator , the increase in insertion loss ( ) is proportional to the group delay (1). When the loss distribution is not uniform, this relationship does not apply. Here, filter topologies are identified, which, when loss is distributed appropriately, the dependence of on group delay is effectively reduced. This allows for an increase in selectivity. These topologies consist of two signal paths: one path forming the response at the band edges (where the group delay peaks), and the other forming the response at the center of the passband, similar in concept to active channelized filters [11]. If the former is made of high- resonators and the latter of low- resonators, increased selectivity can be achieved at the expense of increased insertion loss for a given average . Two such topologies are shown in Fig. 8. Shown in Fig. 8 is an asynchronously tuned fourth-order cross-coupled filter and a synchronously tuned sixth-order cross-coupled filter. Both have a “high- ” path and a “low- ”

Q

Q

Fig. 8. Filter topologies consisting of a high- path and a low- path. (a) Fourth-order giving one asymmetric transmission zero. (b) Sixth-order giving two symmetric transmission zeroes.

path. The asymmetric topology produces one zero either below or above the passband, depending on how resonator 4 is tuned. The use of this topology to produce a single real-frequency transmission zero was first suggested in [12], and can be designed using the matrix rotation techniques described therein. In this configuration, the of resonator 4 has a great effect on band edge adjacent to the transmission zero. In the sixth-degree symmetric topology, the two symmetric transmission zeroes are controlled by the split resonant modes of the coupled resonators 5 and 6. This topology can be realized using matrix rotations.

GUYETTE et al.: DESIGN OF MICROWAVE BANDPASS FILTERS USING RESONATORS WITH NONUNIFORM

3919

Fig. 10. Sixth-order filter with multipath loss distribution and resistive cross coupling (hybrid).

Fig. 9. Simulated responses of a sixth-degree filter with multiple-path loss distribution. (a) Comparison with a filter containing uniform- resonators. (b) High- and low- path responses, and the composite response.

Q

Fig. 11. Hybrid response compared to multiple-path loss distribution alone.

Q

As in the asymmetric case, increasing the of resonators 5 and 6 sharpens the band edges. This is illustrated in Fig. 9(a). Shown in Fig. 9(b) are the individual responses of the two transmission paths. The path containing resonators 1–4 forms a lossy Chebyshev response. The path containing resonators 1 and 4–6 provides a peak at each band edge. Since resonators 1 and 4 possess effectively infinite (the losses contributing mainly to absolute insertion loss), increasing the of resonators 5 and 6 relative to the other three resonators is an effective way of sharpening the band edges. The order of both topologies can be increased by adding an even number of resonators to the lowpath. The sixth-order topology is the most interesting, as it can be readily used in conjunction with the resistive cross-coupling technique described in Section II-E. E. Filters Designed Using a Hybrid of Resistive Cross Coupling and Multipath Loss Distribution Techniques Excellent performance is obtained when resistive cross couplings are combined with multiple-path loss distribution. Shown in Fig. 10 is a sixth-order coupled-resonator filter with two resonant resistive cross couplings and two high- resonators. As

in Section II-C, the filter is first designed using the matrix rotation methods described in [10] and [12]. The component values including the of the resonators (one value for resonators 1–4 and another for resonators 5 and 6) and the resistive cross couplings are optimized using Agilent’s ADS. A typical symmetrical solution with nonresonant resistive cross couplings giving 6-dB passband insertion loss, and 20-dB return loss is shown in Fig. 11. The low- and high- values of this design are 4.8 and 14.7, respectively, giving an average of 7.26. The selectivity is equivalent to a uniform- filter with a of 60. III. RESULTS A. Comparison to Predistortion An effort was made to design a filter using resistive coupling and multipath loss distribution techniques, which gives equivalent selectivity to a predistorted filter. For convenience, an example is taken from recent literature [3]: a sixth-degree predistorted filter with 6.7-dB insertion loss and transmission zeroes . The predistorted response is shown in Fig. 12 at along with the response of a symmetric hybrid filter of the form shown in Fig. 10. The transfer responses are essentially identical with the symmetric hybrid filter giving ideal transmission zeroes

3920

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

TABLE I HYBRID AND PREDISTORTED FILTER DESIGN COMPARISON

TABLE II SYMMETRIC HYBRID FILTER COMPONENT VALUES

Fig. 13. Sixth-degree hybrid filter microstrip prototype. (Color version available online at http://ieeexplore.ieee.org.)

Fig. 12. Simulated responses of a symmetric hybrid filter versus a predistorted filter. (a) S . (b) S . (c) Group delay.

and a slightly deeper stopband. The hybrid filter also gives improved return loss. The group-delay performance is equivalent. Shown in Table I are the characteristics of the predistorted filter compared to the symmetric hybrid filter. The return loss at both ports of the symmetric hybrid filter is 10.36 dB, while the predistorted filter gives a return loss of 1.73 dB at the input and 5.33 dB at the output. The average of the hybrid filter is 26% lower then the predistorted filter. To achieve a stopband performance comparable to predistortion, two resonant resistive cross couplings are used. Shown in along with the inducTable II are the values of the couplings tance and resistance of the resonant resistive cross couplings.

The prototype of the resonant resistive cross couplings in the symmetric hybrid filter is 0.56. If this filter was to be realized using resonators possessing, a of 2000 (e.g., coaxial) forming the low- path, the resonant resistive cross couplings would possess a of 176, easily realized with microstrip resresults in deonators. Decreasing resistive cross-coupling creased out-of-band attenuation. The effect on the response out to the transmission zeroes is negligible. B. Microstrip Prototype A microstrip prototype was designed with performance similar to the symmetric hybrid filter just described, but with allowances in performance given due to the low- of microstrip resonators, i.e., choosing a realizable bandwidth and a practical amount of passband loss. Also, only one resonant resistive cross coupling is realized due to layout limitations. Shown in Fig. 13 is the fabricated circuit. The substrate is the Rogers RT

GUYETTE et al.: DESIGN OF MICROWAVE BANDPASS FILTERS USING RESONATORS WITH NONUNIFORM

3921

and low- resonators possess a of approximately 250 and 80, respectively, giving an average of 137. The shape of the measured response is equivalent to that of a conventional filter with a uniform of 750. To our knowledge, a microstrip filter with this performance has not previously been reported. IV. CONCLUSION Excellent results on the design of bandpass filters with nonuniform resonators have been obtained using techniques based on resistive cross coupling, matrix rotations, and multipath loss distribution, together with a degree of computer optimization. These filters give superior performance over a predistorted filter in terms of return loss and average . These techniques have been experimentally verified with a microstrip prototype. These types of filters are readily applicable to the design of high-performance coaxial, waveguide, and dielectric-resonator filters. This is the first time that bandpass filters resonators have been reported. There is with nonuniform further scope to extend this study to exact synthesis techniques. REFERENCES [1] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980, p. 152. [2] M. Dishal, “Design of dissipative filters producing desired exact amplitude frequency characteristics,” Proc. IRE, vol. 37, no. 9, pp. 1050–1069, Sep. 1949. [3] M. Yu, R. Cameron, D. Smith, V. Dokas, and Y. Wang, “Symmetrical realizations for predistorted microwave filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, 4 pp. [4] A. C. Guyette, I. C. Hunter, and R. D. Pollard, “A new class of selective filters using low- components suitable for MMIC implementation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, vol. 3, pp. 1959–1962. [5] I. Hunter, A. Guyette, and R. D. Pollard, “Passive microwave receive filter networks using low- resonators,” IEEE Micro, vol. 6, pp. 46–53, 2005. [6] J. D. Rhodes and I. C. Hunter, “Synthesis of reflection-mode prototype networks with dissipative circuit elements,” Proc. Inst. Elect. Eng. —Microw. Antennas Propag., vol. 144, no. 6, pp. 437–442, 1997. [7] W. M. Fathelbab, I. C. Hunter, and J. D. Rhodes, “Synthesis of predistorted reflection-mode hybrid prototype networks with symmetrical and asymmetrical characteristics,” Int. J. Circuit Theory Applicat., vol. 29, pp. 251–266, 2001. [8] I. C. Hunter, Theory and Design of Microwave Filters. London, U.K.: IEE Press, 2001, pp. 41–43. [9] B. S. Senior, I. C. Hunter, and J. D. Rhodes, “Synthesis of lossy filters,” in 32nd Eur. Microw. Conf., Milan, Italy, 2002, pp. 401–404. [10] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 433–442, Apr. 1999. [11] C. Rauscher, “Two-branch microwave channelized active bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 3, pp. 437–444, Mar. 2000. [12] R. J. Cameron, “Synthesis of advanced microwave filters without diagonal cross-couplings,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2862–2872, Dec. 2002.

Q

Fig. 14. Sixth-degree hybrid filter microstrip prototype results. (a) Measured versus simulated results. (b) Measured low- and high- path responses.

Q

Duroid 6010 with a thickness of 1.27 mm and dielectric constant of 10.2. The high- resonators are 2.94-mm wide. The low- resonators are 1.16-mm wide, with 0- chip resistors (the required value of 0.3 was found difficult to accurately implement; pieces of the microwave absorber were used to tune the values) placed at the center. A 0.35-mm-wide line with a 35- chip resistor provides the resistive cross coupling. The measured results along the simulated results from ADS’s Momentum are shown in Fig. 14(a). The measured responses of the high- and low- paths are shown in Fig. 14(b). The filter did require tuning to accommodate tolerances in the fabrication process, which was accomplished with copper tape and a microwave absorber. The center frequency is 958.4 MHz with a 3-dB bandwidth of 60 MHz, giving a fractional bandwidth of 6.2%. The passband insertion loss is 7 dB and the return-loss ripple level is 11 dB. In both the ADS Momentum simulation and during tuning it was found that the recovery of both transmission zeroes could not be simultaneously achieved. This was not the case with the initial transmission-line schematic model, which leads us to the conclusion that this is due to the effects of unwanted cross couplings. The difference in center frequency between the simulated and measured responses is due to manufacturing tolerances and effects of tuning elements. The high-

Q

Andrew C. Guyette was born in Grand Forks, ND, in 1976. He received the B.S. and M.S. degrees in electrical engineering from the University of Hawaii at Manoa, in 1999 and 2001, respectively, and is currently working toward the Ph.D. degree in electrical engineering at The University of Leeds, Leeds, U.K. He was with Agilent Technologies for two years. He is currently involved with the research of lossy microwave filters and alternative receiver architectures.

3922

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Ian C. Hunter (M’82–SM’94) was born in Fleetwood, Lancashire, U.K., in 1957. He received the B.Sc. (first-class honors) and Ph.D. degrees from The University of Leeds, Leeds, U.K., in 1978 and 1981, both in electrical engineering. He has worked in industry both for Aercum Industries Inc., Sunnyvale, CA, and for Filtronic Components Ltd., Leeds, U.K. His academic positions include the University of Bradford, Bradford, U.K. where he was a Senior Lecturer from 1991 to 1995. In 1998, he joined The University of Leeds as a Part-Time Senior Researcher Fellow, during which time he was also with Filtronic Comtek. In 2001, he joined The University of Leeds on a full-time basis and became a Full Professor in 2003. He is a member of the Institute of Microwave and Photonics, The University of Leeds, where he is also Research Director of the School of Electronic and Electrical Engineering. He is also a Consultant Fellow Engineer for Filtronic plc. His research interest includes microwave filters, power amplifiers, frequency dividers, biological measurement, and terahertz electronics. He was editor of Theory and Design of Microwave Filters (IEE Press, 2001). Prof. Hunter is a Fellow of the Institution of Electrical Engineers (IEE), U.K.

Roger D. Pollard (M’77–SM’91–F’97) was born in London, U.K., in 1946. He received the B.Sc. and Ph.D. degrees in electrical and electronic engineering from The University of Leeds, Leeds, U.K. He holds the Agilent Technologies Chair in High Frequency Measurements and is currently Dean of the Faculty of Engineering, The University of Leeds. He was previously Head of the School of Electronic and Electrical Engineering, The University of Leeds, where he has been a faculty member since 1974. He is an active member of the Institute of Microwaves and

Photonics, which has over 50 active researchers, a strong graduate program, and has made contributions to microwave passive and active device research. The activity has significant industrial collaboration, as well as a presence in continuing education. Since 1981, he has been a consultant to Agilent Technologies (previously the Hewlett-Packard Company), Santa Rosa, CA. He has authored or coauthored over 100 technical papers. He holds three patents. His personal research interests are in microwave network measurements, calibration and error correction, microwave and millimeter-wave circuits, terahertz technology, and large-signal and nonlinear characterization. Prof. Pollard is a Chartered Engineer and Fellow of the Institution of Electrical Engineers (IEE), U.K. He was elected to the Royal Academy of Engineering in 2005. He is an active IEEE volunteer with strong participation in the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) where he has been involved with its Administrative Committee (AdCom) since 1980 including a term as president in 1998, as well as previously chair of the IEEE MTT-S Publications Committee, Budget Committee, Long-Term Planning Committee, and currently the Nominations and Appointments Committee. He was the 2003/2004 chair of the Strategic Planning Committee of the IEEE Publications, Services, and Products Board (PSPB). He has also served as chair of the IEEE Technical Activities Board Products Committee and as vice-chair of the PSPB. He was chair (1998–2000) of the TAB/PAB Electronic Products Committee, responsible for the development and introduction of IEEE Xplore. He has also served on the IEEE Admission and Advancement Committee and the IEEE Fellow Committee and is currently a member of the IEEE Awards Board. He has served as chair of the UKRI Section and on numerous other IEEE volunteer committees, groups, and working parties. He is a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and has been on the Technical Program Committee (TPC) for the IEEE MTT-S International Microwave Symposium (IMS) since 1986 and was the TPC co-chair for the 2006 IEEE MTT-S IMS.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Compact Partial

3923

H -Plane Filters

Dong-Won Kim, Dong-Jin Kim, and Jeong-Hae Lee, Member, IEEE

Abstract—In this paper, three types of partial -plane filters, having advantages of compact, low cost, and mass-producible properties, are presented as compact waveguide filters, which are implemented by partial -plane waveguide. Two types of partial -plane filters have the same frequency responses as those of conventional - and -plane filters, respectively, while their cross sections are one-quarter. To further reduce the length, the last type of partial -plane filters is designed by using quarter-wavelength resonators. The length of this filter is shorter by 29.2% than that of the conventional -plane filter. In addition, it has a superior spurious frequency response. -plane waveguide, partial Index Terms—Partial filter, spurious suppression.

Fig. 1. Schematic diagram of partial H -plane waveguide.

-plane

I. INTRODUCTION

T

HE FAST development of RF/microwave technology greatly stimulates the compact, low-cost, and mass-producible properties for components. One of the most challenging components is the filter, and its electrical performance is crucial for overall system design. The -plane filter [1], [2], -plane filter [3], [4], and fin-line filter [5] are commonly used filters made by a rectangular waveguide since they have low loss and are easy to fabricate. Moreover, the -plane filter also has low-cost and mass-producible properties. However, despite their favorable characteristics, they have the disadvantages of bulky volume at low frequency and spurious response below (twice of the passband frequency). This paper, therefore, proposes three types of partial -plane filters (types 1–3) implemented by a partial -plane waveguide [6] as a new class of compact, low-cost, and mass-producible filter. The partial -plane waveguide is a transversely folded rectangular waveguide, which has the same dispersion characteristics for the first two dominant modes as those of the conventional rectangular waveguide, while its cross section is onequarter [6]. By using the partial -plane waveguide, it is possible to miniaturize the components made by rectangular waveguide [7]. The partial -plane filters of types 1 [7] and 2 can be compared with the conventional - and -plane filters, respectively, since they have the same mechanisms to implement the evanescent waveguide and use inductive coupling. It will be Manuscript received March 29, 2006; revised July 22, 2006. This work was supported by the Ministry of Information and Communication, Korea, under the Information Technology Research Center Support Program supervised by the Institute of Information Technology Assessment (IITA-2005-C1090-05020029). D.-W. Kim was with the Department of Radio Science and Communication Engineering, Hongik University, 121-791 Seoul, Korea. He is now with the Mobile Communication Department, LG Electronics Institute of Technology, 153-803 Seoul, Korea (e-mail: [email protected]). D.-J. Kim and J.-H. Lee are with the Department of Radio Science and Communication Engineering, Hongik University, 121-791 Seoul, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.883652

Fig. 2.

TE

E -field distributions of partial H -plane waveguide. (a) Dominant mode . (b) Second mode TE

.

Fig. 3. Dispersion characteristics of partial H -plane waveguide (a: 23.8 mm, b: 12 mm, d: 20.2 mm, and metal vane thickness: 0.1 mm) and rectangular waveguide (width: 47.55 mm and height: 22.15 mm) in the H -band.

shown that types 1 and 2 have the same frequency responses and transversely reduced dimensions of one-quarter as those of the - and -plane filters, respectively. The partial -plane filter of type 3 is designed by modifying the type 1 filter and uses two different structures of evanescent waveguides. Its resonators have a quarter-wavelength so that its length is shorter by 28.7% than that of the type 1 filter. Subsequently, the type 3 filter has the reduced dimension toward not only transverse direction, but also longitudinal direction. In addition, the type 3 filter has the sharp skirt characteristic and improved spurious responses compared with the other filters.

0018-9480/$20.00 © 2006 IEEE

3924

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 6. Unit cells of the partial H -plane filter type 1 (top) and 2 (bottom).

Fig. 4. Structures of two types of partial H -plane filters. K : impedance inverter values between ith resonator and i + 1th resonator (i = 0; 1; . . . ; n). w : length of j th evanescent waveguide section (j = 1; 2; . . . ; n; n + 1). r : length of k th resonator (k = 1; 2; . . . ; n). s : the length of inserted j th H -plane metal insert in type 2. (a) Partial H -plane filter (type 1). (b) Partial H -plane filter (type 2).

Fig. 5. Impedance inverter (K -inverter) for evanescent waveguide.

To design three types of partial -plane filters, a numerically efficient simulation-based filter design technique is developed. This design technique is based on typical direct-coupled resonator filter design theory [8], [9] and it uses thoroughly frequency-dependant inverter theory. The proposed filters have been fabricated with coaxial to partial -plane waveguide transition in the -band. Good agreement between measured and computed results will be presented.

Fig. 7. Frequency responses of partial H -plane filter type 1 compared with E -plane filter. (a) Passband responses. (b) Spurious responses.

II. PARTIAL

-PLANE WAVEGUIDE

The partial -plane waveguide has the shape of a partially interleaved -plane metal vane within a rectangular waveguide and its cross section consists of three regions, as shown in Fig. 1. The thickness of the -plane metal vane is assumed to be very thin. The partial -plane waveguide has a mode and its dominant and second modes are shown in Fig. 2, which are

KIM et al.: COMPACT PARTIAL

-PLANE FILTERS

3925

TABLE I DESIGNED FILTER SIZES OF TYPE 1 AND E -PLANE FILTER (UNIT: MILLIMETERS)

the and modes, respectively. The -component of the -field and the -component of the -field for the mode, respectively, are derived as [6] (region 1) (region 2)

(1)

(region 1) (region 2) (2) is omitted. Free-space wavenumber

is given by

(3) Since and equation for the

are continuous at , the characteristic mode is derived as (4)

where stant

is obtained from (3) and (4). The propagation conand cutoff frequency are given by (5) (6)

where is the velocity of light. The dispersion characteristics compared with the rectangular waveguide in the -band are shown in Fig. 3. Dispersion characteristics of the first two dominant modes are the same as those of the conventional rectangular waveguide. Note that the cross section of the partial -plane waveguide is one-quarter of the rectangular waveguide. The detailed analytical expression and the mode definition are described in [6]. III. PARTIAL

-PLANE FILTERS—TYPES 1 AND 2

A. Structures Structures of partial -plane filters of types 1 and 2 made by a partial -plane waveguide are illustrated in Fig. 4. They consist of resonators alternating with evanescent waveguide sections. It is easily found out that they have the same structures as those

Fig. 8. Frequency responses of partial H -plane filter type 2 compared with H -plane filter. (a) Passband responses. (b) Spurious responses.

of the conventional - and -plane filters, respectively, if they are unfolded along the -direction. Two partial -plane filters have one-quarter cross sections as those of the conventional and -plane filters, respectively. An evanescent waveguide section of type 1 is implemented by inserting the -plane septa between the positions of and in the plane of Fig. 1, while that of type 2 is implemented by inserting a rectangular metal insert in the -plane of Fig. 1. The principles for designing an evanescent waveguide of two partial -plane filters are structurally the same as those of the - and -plane filters, respectively. B. Design Method Two partial -plane filters are direct-coupled resonator filters. They consist of half-wavelength resonators, which are ter-

3926

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

TABLE II DESIGNED FILTER SIZES OF TYPE 2 AND -PLANE FILTER (UNIT: MILLIMETERS)

H

minated with shorted end. Thus, the evanescent waveguide can be represented with an impedance inverter ( -inverter) circuit, as shown in Fig. 5. The reactance values of and are functions of sizes ( or in Fig. 4) for an evanescent waveguide. Normalized inverter value and negative electrical length are given by [9]

(7) (8) is a wave impedance of a partial -plane waveguide. where The normalized inverter values for an equal-ripple bandpass filter are [8]

(9) where are element values for an equal-ripple low-pass prototype and is a normalized cutoff frequency. , , and are guide wavelengths at center frequency and at lower and upper passband edge frequencies. is a relative bandwidth for a guide wavelength. To determine sizes of filters, the partial filter design method, using a commercial electromagnetic (EM) simulator, is used in this paper. Filter design based on numerical simulation is carried out via four steps. First we determine a unit cell to extract -parameters, as shown in Fig. 6. The unit cell consists of partial -plane waveguides on both sides and an evanescent waveguide in the center of unit cell. The evanescent waveguide section is then directly involved in the T-equivalent circuit of Fig. 5. Using commercial EM simulators HFSS and/or CST MWS, we simulate the unit cells for the arbitrarily length of or and extract the -parameters for the center frequency of the filters. It is assumed that only the dominant mode propagates in the unit cells. The second step is to convert extracted -parameters into an matrix. Since the unit cells are symmetrical and reciprocal structures, extracted -parameters must follow

(c)

H

Fig. 9. Two fabricated partial -plane filters. (a) Type 1. (b) Type 2. (c) Coax to partial -plane waveguide transition (type 1).

H

and matrix is given by

. The converted

(10)

KIM et al.: COMPACT PARTIAL

-PLANE FILTERS

3927

w

j

Fig. 11. Structures of type 3 filter. : length of th evanescent waveguide : the length of section ( = 1–6). : length of th resonator ( = 1–5). -plane rectangular intaglio of the first, third, and fifth evanescent waveguide sections.

H

j

r

k

k

s

Fig. 12. Equivalent circuit of type 3 filter with quarter-wavelength resonators.

Fig. 10. Measured and simulated responses of the two partial with coax transition. (a) Type 1. (b) Type 2.

H -plane filters

where the is an matrix of the entire unit cell and must follow and . In the third step, we obtain the matrix of the evanescent waveguide using

J

Fig. 13. Admittance inverter ( -inverter) for

H -plane intaglio.

(11) where and are matrices of the partial -plane waveguide and evanescent waveguide, respectively. Subsequently, gives the exact reactance values for the arbitrarily length of or using

Fig. 14. Fabricated partial

(12) By repeating the above three steps while varying the length of or , we can obtain the exact reactance values for the length of the evanescent waveguide. In the last step, the sizes for evanescent waveguide sections of the filter ( or ) are obtained using (7) and (9) and the

H -plane filter type 3.

calculated reactance values for evanescent waveguide. The negative electrical lengths against each or are defined as (8) and resonator lengths are given by

(13)

3928

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

TABLE III DESIGNED FILTER SIZES OF TYPES 1 AND 3 (UNIT: MILLIMETERS)

C. Design of Filters Using the previously described method, we have designed two partial -plane filters together with - and -plane filters in the -band. Their specifications are: 1) 5-GHz center frequency; 2) 0.01-dB passband ripple; 3) five poles; and 4) 5% relative bandwidth. Inserted metal vane thickness of the designed filters is 0.1 mm. The frequency responses of the type 1 and -plane filter are compared in Fig. 7 and their designed sizes are listed in Table I. Type 1 has the same frequency responses and one-quarter dimension as those of the -plane filter, respectively. Fig. 8 shows the frequency responses of the type 2 and -plane filter, and their designed sizes are listed in Table II. Type 2 has the same frequency characteristics as that of the -plane filter, while its dimension is one-quarter that of the -plane filter. To compare the insertion loss due to conduction loss, the conductivity of 5.8 10 is assumed. The insertion losses of the two partial -plane filters are larger than those of those of the - and -plane filters because of their compactness. In detail, the calculated insertion losses of types 1 and 2 are 0.06 and 0.11 dB, respectively, and those of the - and -plane filters are 0.032 and 0.028 dB, respectively. To verify our approach, the partial -plane filter has been designed along with coaxial to partial -plane waveguide transition. The photographs of fabricated partial -plane filters with transition are shown in Fig. 9. As shown in Fig. 1(b), the -field of the dominant mode focuses on the end of the -plane metal vane. Thus, we introduce a coaxial transition structure. A coaxial probe from the narrow sidewall is inserted at the rectangular intaglio in the -plane metal vane. It is located at a quarter-wavelength-long distance from the end metal wall of the filter. A coaxial to partial -plane waveguide transition is made of a commercially available subminiature A (SMA) connector. The detailed photograph of the coax to partial -plane waveguide transition is shown in Fig. 9(c). The coaxial transition structure has been optimized using commercial EM simulators (CST MWS) by varying depth of intaglio (de) and width of intaglio (wi) in Fig. 9(c). Note that the diameter of the coaxial probe is 4.1 mm. The resulting values of “de” and “wi” are 6.78 and 5.7 mm, respectively. Frequency responses obtained by simulation and measurement of the partial -plane filter are shown in Fig. 10, showing a good agreement.

Fig. 15. Measured and simulated responses of partial

H -plane filter of type 3.

that they have longitudinally long length and spurious frequency response below (twice the passband frequency), as shown in Figs. 7 and 8. Therefore, here, we propose the partial -plane filter of type 3. The partial -plane filter of type 3 is designed by modifying the type 1 filter. The type 3 filter utilizes two different structures of evanescent waveguides. One is the -plane septum, which acts as a short end (low impedance), and the other is the -plane intaglio, which acts as an open end (high impedance), as shown in Fig. 11. Thus, the evanescent waveguides of the -plane septum and -plane intaglio can be represented by the - and -inverter circuits, respectively. The length of the resonator between the short and open ends should also be a quarter-wavelength. The half-wavelength resonator filter like types 1 and 2 is coupled - or -inverters on both ends, while the quarter-wavelength resonator filter like type 3 is coupled alternately by - and -inverters. Fig. 12 shows the equivalent circuit of a partial -plane filter of type 3. The - and -inverter circuits are shown in Figs. 5 and 13, respectively. The normalized inverter values for an equal-ripple bandpass filter of type 3 and resonator lengths are given by [10]

or IV. PARTIAL

-PLANE FILTER—TYPE 3

To overcome disadvantages for the - and -plane filters, the compact type of them, called the partial -plane filter of types 1 and 2, are presented in Section III. Even though partial -plane filters of types 1 and 2 have the same frequency characteristics and transversely reduced dimension as one-quarter of those of the - and -plane filters, they still have problems in

(14) (15)

KIM et al.: COMPACT PARTIAL

-PLANE FILTERS

3929

V. CONCLUSION Three types of compact waveguide filters, called the partial -plane filters, have been presented. They have the advantages of compact, low-cost, and mass-producible properties. The partial -plane filters of types 1 and 2 have the same frequency responses even though their cross sections are one-quarter of those of the conventional - and -plane filters, respectively. The partial -plane filter of type 3, using two different types of coupling sections, is designed based on quarter-wavelength resonators. It has reduced dimension not only in the transversal direction, but also in the longitudinal direction. It also has the superior skirt characteristic and harmonic responses to other partial -plane filters. To verify, we have designed three types of partial -plane filters in the -band. The measured data are in good agreement with the computed results.

REFERENCES

H

Fig. 16. Broadband responses of partial -plane filter type 3 compared to the ones of types 1 and 2. (a) Simulation. (b) Measurement.

Using the same design method as those of types 1 and 2, we have designed a partial -plane filter of type 3. Their specifications are: 1) 5-GHz center frequency; 2) 0.01-dB passband ripple; 3) five poles; and 4) 5% relative bandwidth. Inserted metal vane thickness of designed filters was fabricated to be 0.5 mm. Type 3 has been fabricated as shown in Fig. 14 and their designed sizes are listed in Table III. The designed results indicate that type 3 has the reduced length by 28.7% resonators evanescent waveguides over that of the type 1 filter. The measured and simulated responses of type 3 with designed sizes, describing a good agreement, are shown in Fig. 15. The frequency responses of the types 1–3 filter are compared in Fig. 16. The quarter-wavelength resonator filters have a second passband center at instead of , as is the case of half-wavelength resonator filters [10]. In detail, types 1 and 2 consisted of a half-wavelength resonator having the first spurious mode at 8.1 GHz, while that of type 3 with a quarter-wavelength resonator occurs at 11 GHz. As a result, type 3 has the sharp skirt characteristic and superior spurious response compared to the other filters, as shown in Fig. 16.

[1] Y. C. Shih, “Design of waveguide E -plane filters with all-metal inserts,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 7, pp. 695–704, Jul. 1984. [2] V. Postoyalko and D. S. Budimir, “Design of waveguide E -plane filters with all-metal inserts by equal ripple optimization,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 2, pp. 217–222, Feb. 1994. [3] J. M. Cid and J. Zapata, “CAD of rectangular waveguide H -plane circuits by segmentation, finite elements and artificial neural networks,” Electron. Lett., vol. 37, no. 2, pp. 98–99, 2001. [4] P. Kozakowski and M. Mrozowski, “Gradient-based optimization of filters using FDTD software,” IEEE Trans. Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 389–391, Oct. 2002. [5] F. Arndt, J. Bornemann, D. Grauerholz, and R. Vahldieck, “Theory and design of low-insertion loss fin-line filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 2, pp. 155–163, Feb. 1982. [6] D. W. Kim and J. H. Lee, “A partial H -plane waveguide as a new type of compact waveguide,” Microw. Opt. Technol. Lett., vol. 43, no. 5, pp. 426–428, May 2004. [7] ——, “Partial H -plane filters with partial inserted H -plane metal vane,” IEEE Trans. Microw. Wireless Compon. Lett., vol. 15, no. 5, pp. 351–353, May 2005. [8] R. Levy, “Theory of direct-coupled-cavity filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-15, no. 6, pp. 340–348, Jun. 1967. [9] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Boston, MA: Artech House, 1980. [10] G. Matthaei, “Direct-coupled, bandpass filters with  =4 resonators,” in IRE Nat. Conv. Rec., 1958, pp. 98–111, pt. 1.

Dong-Won Kim was born in Seoul, Korea, in 1977. He received the B.S. degree from Myoungji University, Yongin, Korea, in 2003, and the M.S. degree from Hongik University, Seoul, Korea, in 2005, both in electronic and electrical engineering. Since 2005, he has been with the Mobile Communication Department, LG Electronics Institute of Technology, Seoul, Korea. His current research interests include microwave/millimeter-wave circuits.

3930

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Dong-Jin Kim was born in Daegu, Korea, in 1981. He received the B.S. degree in electronic and electric engineering from Hongik University, Seoul, Korea, in 2005, and is currently working toward the M.S. degree at Hongik University. His current research interests include microwave/ millimeter-wave circuits.

Jeong-Hae Lee (M’98) received the B.S. and M.S. degrees in electrical engineering from Seoul National University, Seoul, Korea, in 1985 and 1988, respectively, and the Ph.D. degree in electrical engineering from the University of California at Los Angeles, in 1996. From 1993 to 1996, he was a Visiting Scientist with General Atomics, San Diego, CA, where his major research concerned the development of a millimeter-wave diagnostic system. Since 1996, he has been with Hongik University, Seoul, Korea, where he is currently an Associate Professor with the Department of Radio Science and Communication Engineering. His current research interests include microwave/millimeter-wave circuits and millimeter-wave diagnostics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

3931

Low-Loss 5.15–5.70-GHz RF MEMS Switchable Filter for Wireless LAN Applications Sang-June Park, Student Member, IEEE, Kok-Yan Lee, Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—Low-loss 3.6-GHz fixed and 5.15–5.70-GHz RF microelectromechanical systems switchable filters were designed and fabricated on quartz substrates. Detailed design equations for the 2 resonators are given capacitively loaded coupled open-loop and the realization of the tunable filter using these equations is discussed. The use of capacitively loaded coupled open-loop 2 resonators made it possible to realize the fixed and switchable filters with unloaded of around 150 resulting in a 1.4-dB insertion loss. The measured 1-dB bandwidth for the 3.6-GHz fixed and 5.15–5.70-GHz switchable filters were 4% and 5%, respectively. To our knowledge, this represents the lowest loss planar tunable filter to-date in the 4–6-GHz frequency range. Index Terms—Capacitive loading, coupled open-loop resonators, RF microelectromechanical systems (MEMS), switchable filter, tunable filter.

I. INTRODUCTION UNABLE filters are essential components for reconfigurable front-ends since they allow the use of a single component as opposed to a switched-filter bank, thereby reducing the system size and complexity. However, since they are placed between the antenna and low-noise amplifier, they must exhibit very low loss and high linearity, especially in today’s crowded RF environments. The tuning devices are solid-state varactor diodes or a p-i-n switch [1]–[4], ferroelectric varactors [5], [6], or RF microelectromechanical systems (MEMS)-based varactors or switched-capacitor banks. Notably, RF MEMS devices have been shown to have very high at RF to millimeter-wave frequencies ( ) and generate very low distortion levels (second-order intermodulation (IM2) and third-order intermodulation (IM3) components) [6]. Several notable examples of RF MEMS tunable filters are found in [8]–[16]. A close examination of the RF MEMS tunable filters in [8]–[16] shows overall resonator tunable values in the range of 40–60, which result in large insertion losses for 3%–5% two- and three-pole filters and, therefore, it is imperative that the tunable be increased to 200 if possible. A tunable is not an easy feat using planar resonators. One needs to start with both a resonator and a tuning

T

Manuscript received March 19, 2006; revised June 23, 2006. This work was supported by the U.S. Air Force Research Laboratory under Contract FA8718-04-C-0029, J. Ebel and E. Marsh, contract monitors. S.-J. Park is with the Radiation Laboratory, Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109 USA (e-mail: [email protected]). K.-Y. Lee is with DSO National Laboratories, Singapore (e-mail: [email protected]). G. M. Rebeiz is with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92093-0407 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.884625

Fig. 1. Electrical circuit model of the resonator.

device , and special attention needs to be placed on any leakage due to resistive bias lines and radiation loss, which can significantly reduce the . The filter poles also need to be accurately modeled for 3%–5% designs, and any deviation from the correct values can seriously degrade the input impedance in the passband response. Entesari and Rebeiz achieved a 5% 0.5% tunable filter covering 6–10 GHz and with an excellent match ( dB), but the tunable was 40–50 over the 6–10-GHz range [12]. This paper presents a 5% two-pole tunable 5.15–5.70-GHz filter based on an RF MEMS switched capacitor and with a tunable resonator , which is approximately a 3 improvement over previous designs. This is achieved using microstrip-based high- resonators in a shielded cavity, accurate electromagnetic simulation and design, and low-loss bias lines. A comprehensive design methodology for the loaded ring resonators is also introduced. The frequency selection is chosen to demonstrate its use for a wireless local area network (WLAN) system, but it is evident from this study that the same filter topology can be applied anywhere in the 1–40-GHz range. The measured response agrees very well with simulations, and shows that high- planar tunable filters can be achieved with RF MEMS devices. II. DESIGN Hong and Lancaster [17] showed how to extract the coupling and resonance frequency for the coupled open-loop structure presented in this paper using computer simulations. This method is very efficient for designing fixed frequency filters, but for tunable filters, full analytic solutions need to be developed due to the introduction of tuning elements. The open-loop resonator with a loading varactor was also suggested by Makimoto and Sagawa [18] (Fig. 1), but in that paper, only the analytical expression for a single capacitively loaded resonator was given. The resonance frequency of a single uncoupled capacitively loaded resonator is different from that of coupled capacitively loaded resonators, and as the center frequency of the filter is varied by controlling the tuning elements, all of the filter parameters change. Therefore, to keep track of the tunable filter responses properly, analytical equations need to be developed.

0018-9480/$20.00 © 2006 IEEE

3932

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 2. Electrical circuit model of the coupled-resonator filter with two ports.

Fig. 3. Electrical circuit model of the coupled resonator filter with four ports.

A. Calculating Admittance Matrix of the Coupled Resonators The uncoupled input admittance of the capacitively loaded ring resonator (Fig. 1) can be found using

Fig. 4. Electrical circuit model of the tunable filter with half-plane symmetry.

(1) The above relation is easily determined by calculating the two-port network of Fig. 1(b) and open circuiting one of the ports. Calculating the admittance network of the coupled resonators in Fig. 2 requires more steps. The even-odd mode self and and mutual admittances, admittances, and of the coupled resonators are

(2)

(3) where

The overall admittance matrix of the capacitively loaded coupled resonators is then [19]

(9)

B. Design of the Tunable Filter Using Analytical Methods and Even-Odd 1) Calculating the Loading Capacitor and : The admittance network Mode Admittances, above (Fig. 2) needs to satisfy two conditions to be a filter network. The network should have the resonance frequency and the coupling coefficient of the desired filter. The two conditions are (10)

(4)

where (11)

(5)

(6)

(7) The even–odd-mode input admittances of the coupled resonators are then (8)

and Solving (10) and (11) gives the loading capacitance and . As can be seen in the above even–odd admittances equation, the slope parameter is a function of the loading ca. Since , , and are coupled in (10) and pacitance (11), it is not easy to find the explicit solutions. The difficulty in the above design equations can be bypassed if the symmetric property of the filter network is considered. As can be seen in Fig. 3, there is a virtual ground plane in the center plane of the filter. Due to this plane, it is possible to take into account only the upper or lower half of the filter network. Fig. 4 shows the equivalent upper half of the filter network. coupled strucThis filter network is a capacitively loaded from the slope parameter ture, and it is possible to decouple

PARK et al.: LOW-LOSS 5.15–5.70-GHz RF MEMS SWITCHABLE FILTER FOR WLAN APPLICATIONS

3933

. Therefore, explicit expressions for , , and can be found as follows. 1) The even–odd mode input admittances of the filter network in Fig. 4 are (12) (13) Fig. 5. Balanced filter with the capacitive J -inverter section.

2) The overall admittance matrix of this filter network is

(14)

3) The resonance condition

gives (15) Fig. 6. Single-ended filter with the capacitive J -inverter section.

4) From the above result, is then calculated using

5) Once is determined, the even–odd admittances are found explicitly by

(16) and

plane presented in the symmetry plane. The modified loading and input capacitor are given by capacitor (19)

where (17) (20) which utilizes the property of the coupling coefficient (18) After determining the even–odd admittances and , the can be calculated using (15). loading capacitance The original filter network in Fig. 3 has the same filter response as Fig. 4 due to the symmetry. Therefore, the even–odd and are the same as (12) and (13) and the admittances is . loading capacitor : For a tunable filter, 2) Realizing External Coupling, the tapping or transformer coupling methods are not appropriate when a wide tuning range is required. As is well known, the slope parameter is dependent on the frequency, and any change in results in a poor impedance match. Capacitive coupling is a good candidate for realizing wide frequency tuning because by changing the capacitance values, the slope parameter variation can be compensated completely. A frequency scaling network ( -inverter) consisting of a series positive capacitance with a shunt negative capacitance is the most popular solution [20]. For the balanced-type filter in Fig. 5, it is easy to realize the external coupling capacitance network because the negative caof the -inverter section can be absorbed pacitance value by the loading capacitance by virtue of the image ground

The single-ended filter needs special attention when the -inverter section is realized by series/shunt capacitances (Fig. 6). in (20) needs to be calculated using in (11) and the negacannot be absorbed in the loading capactive capacitance itor because the symmetry plane does not exist in the structure any more. Therefore, instead of using the capacitive -inand modiverter section, the modified input capacitance in Fig. 7 can be directly found by fied loading capacitance setting two conditions. Let and be the impedance matrices of the filter networks in Figs. 6 and 7, respectively. The and its slope parameter should be reactance value of and its slope parameter the same as the reactance value of around the designed frequency . The two conditions are (21) where (22) Equation (21) can be solved in terms of and beand reactance slope parameter can cause the impedance be obtained with known capacitance values and in Fig. 6.

3934

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 7. Single-ended filter with modified input and loading capacitors.

Assuming the admittance , the impedance placed by

as is

in (9) with

re-

(23)

Fig. 8. Susceptance values of 3.6-GHz filter.

where (24) From the first equality in (21),

is expressed as (25)

The reactance slope parameter work in Fig. 7 is

of the modified filter net-

(26) By using (25), by

can be a function of only

, and is given

Fig. 9.

1x

in terms of C

.

The modified loading capacitor (27). In this case, the variable is in Fig. 9. The variable (27) Using the above equation, the second equality in (21) gives , and can then be found using (25) C. Design of the Fixed 3.6-GHz Single-Ended Filter A 0.1-dB ripple filter with a center frequency of 3.6 GHz and a 4.2% (150 MHz) bandwidth was designed using the equations mm, in Section II-B. The initial design parameters are mm, and mm on a 0.508-mm quartz substrate. From (17), the calculated even–odd-mode impedances and are 59.9 and 43.9 , respectively, and the corresponding gap is 0.40 mm. Once the even–odd admittances of the coupled section are found, the loading capacitance is given by (15). pF, and this corresponds to The calculated value of pF. a loading capacitor Using the above dimensions, the susceptance values of and in (9) are plotted in Fig. 8. As can be seen, this filter network resonates at 3.6 GHz and has two transmission zeroes, one at 2.1 GHz and the other at 6 GHz. The locations of the two zeroes will change slightly after the -inverter coupling sections are added in the filter.

is found using (21) and was defined and plotted

(28) From Fig. 9, values of 0.35 and 0.50 pF are found, but 0.50 pF is neglected because it results in a negative value of . The modified input capacitance is then given by (25) and is 0.40 pF. D. Implementation of the Fixed 3.6-GHz Single-Ended Filter A full-wave simulation of the coupled resonator structure in Fig. 3 (without capacitors) is performed using SONNET [21] and the four-port -parameters are extracted. The four-port fullwave -matrix is

(29)

PARK et al.: LOW-LOSS 5.15–5.70-GHz RF MEMS SWITCHABLE FILTER FOR WLAN APPLICATIONS

3935

TABLE I COMPARISON OF SIMULATED CAPACITANCE VALUES FOR THE FIXED 3.6-GHz FILTER (CAPACITANCES ARE IN FEMTOFARADS)

Fig. 10. MATLAB and full-wave simulation of the fixed 3.6-GHz filter.

The two-port -parameters of the coupled resonator structure in Fig. 4 (with capacitors) are found using the symmetric property of the four-port full-wave network. The two-port full-wave -matrix is

(30) and

is calculated by satisfying (31)

The two-port -parameters of the filter in Fig. 2 are then between ports 1 and 3 and ports 2 and 4 in found by inserting (29) and open-circuiting ports 3 and 4. The two-port full-wave -matrix is (32) and

and

are

(33)

(34) where (35) and are then calculated using the procedures in (22)–(29) and are 0.47 and 0.36 pF, respectively. The filter responses from the MATLAB calculation [22] and the full-wave simulation are compared in Fig. 10. The full-wave simulation than the MATLAB calculation, and this results in a larger is due to the mitered corners. The mitered corners result in a shorter and this, in turn, results in a larger capacitance value

Fig. 11. Realization of the capacitance values C C = C = 3C = 460 fF).

and C

(C = 153 fF,

than the analytical solution (MATLAB). The full-wave simulation also shows a smaller bandwidth (3.4%) than the MATLAB simulation in Fig. 10. In the full-wave structure, the resonators are bent inward twice and because of this bending there is coupling between the section and the section. This coupling reduces the coupling in section and results in a smaller bandwidth. This also explains why the transmission zeros are at different frequencies for the analytical calculation and full-wave simulations. and are physically reWhen the capacitance values alized, one needs to consider that although (30) assumes point ports at the open ends of the resonators, a finite area is required and . Both and are to physically implement 0.40-mm long and 0.80-mm wide. The point port assumption is because fits in the 0.4-mm gap between the good for open ends of the resonators. However, the point port assumpbecause of the tion does not produce the correct value of . Therefore, in the full-wave sim0.8-mm physical width of ulation including the physical capacitors, the capacitance values are modified to compensate for the shifted input/output ports and the junction effect of the input capacitor. The capacitance values resulting from the MATLAB calculations, the SONNET simulation assuming “point” ports, and the SONNET simulation with physical capacitors are summarized in Table I. The and are shown in Fig. 11 and are comphysical posed of three metal–air–metal (MAM) capacitors each of value fF ( fF). E. Implementation of the Tunable 5.15–5.70-GHz RF MEMS Filter The same dimensions as the 3.6-GHz filter are used to design a tunable 5.15–5.70-GHz filter, and the loading capacitances are

3936

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 14. Realization of 1-bit capacitance switch network. (All dimensions are in micrometers. For C and C values, see Table II.)

Fig. 12. Loading capacitor C in terms of resonance frequency.

TABLE II CAPACITANCE VALUES IN SWITCH NETWORK FOR THE 5.15–5.70-GHz SWITCHABLE FILTER (CAPACITANCES ARE IN FEMTOFARADS)

TABLE III COMPARISON OF SIMULATED CAPACITANCE VALUES IN SWITCH NETWORK FOR THE 5.15–5.70-GHz SWITCHABLE FILTER (CAPACITANCES ARE IN FEMTOFARADS)

Fig. 13. Simulated coupling coefficient of the two-pole filter at 3–6 GHz.

calculated using (15). Fig. 12 shows the variation of loading capacitance as a function of the resonance frequency . At 5.15 and 5.70 GHz, the loading capacitance values are 0.28 and 0.18 pF, respectively. In designing a tunable filter, it is important to keep track of the coupling coefficient variation in terms of resonance frequency. is plotted Using the relation in (17), the coupling coefficient as a function of (Fig. 13). At 3.6 GHz, the coupling coefficient value corresponds to 4.2% fractional bandwidth. At 5.15 and corresponds to fractional 5.70 GHz, the coupling coefficient bandwidths of 5.0% and 5.3%, respectively. and for 5.15 GHz are The calculated (MATLAB) 92 and 230 fF, respectively, and the full-wave simulation results and are 150 and 240 fF, respectively. for the same and At 5.70 GHz, the MATLAB calculations result in values of 46 and 210 fF, respectively, while the full-wave simulation results are 94 and 210 fF, respectively. Again, this is mainly due to the mitered corners which have a similar effect at 5.15–5.70 GHz. To realize the changes in the loading and input capacitance values, the 1-bit switch circuit shown in Fig. 14 is proposed, and because of the MEMS fabrication issues, the dimension

and aspect ratio of the capacitors are limited. The capacitance values for the switch network are summarized in Table II. In the networks, there are lines that connect capacitors in series and networks have 0.86-mm width. Therefore, in full-wave simulation including the capacitors, the capacitance values were modified to compensate for the reactive effects of the lines and the shifted port of the capacitors. (Table III). III. FABRICATION AND MEASUREMENTS A. 3.6-GHz Fixed Filter The filters are fabricated on a 0.508-mm quartz substrate ( and ) using a standard RF MEMS process developed at The University of Michigan at Ann Arbor [12], [23]. The resonators are electroplated to 3- m thickness using a low-stress gold solution. For the 3.6-GHz filter, MAM capacitors are used to realize the loading and input capacitors and . The top electrode of the MAM capacitor is 3- m thick. The bottom electrode is 0.6- m thick and the air gap is 1.1 0.1 m. The fabricated 3.6-GHz fixed filter and the measurement and consist of setup are shown in Figs. 15 and 16. three MAM capacitors connected in parallel. The calibration is done using a short-open-load-thru (SOLT) and is referenced

PARK et al.: LOW-LOSS 5.15–5.70-GHz RF MEMS SWITCHABLE FILTER FOR WLAN APPLICATIONS

Fig. 17. Measurement versus simulation of the fixed 3.6-GHz filter (g

1:0 m).

Fig. 15. Fabricated 3.6-GHz fixed filter on quartz substrate.

Fig. 16. Fabricated filter in the shielding housing (cover removed).

to the RF connector. The measurement includes 0.1-dB loss due to the coax-microstrip transition of the input ports. The measured and simulated results are plotted in Fig. 17, and are in excellent agreement. The measured and simulated insertion loss are 1.8 and 1.7 dB, respectively, and the 1-dB bandwidth is 4.0% (144 MHz). The unloaded of the capacitively loaded resonator was simulated ( ) and measured ) using the critical point method [24]. ( as It is important to note that in Fig. 17 we have used a fitting parameter in the simulated -parameters. The reason is that we have a 0.1- m uncertainty on (1.1 0.1 m) and the final gap height is not known. A change of 0.1 m results in a change of 45 fF in (or ) and a in change of 120 MHz in the filter response. In the future, and for precise frequency control, a small analog varactor or a miniMEMS switch capacitor needs to be used [25]. B. 5.15–5.70-GHz RF MEMS Filter The fixed MAM capacitors ( and ) for the switchable filter have the same characteristics as discussed in Section II-A. uses a 0.18- m–thick Si N layer The switch capacitor

3937

=

between the MEMS bridge and bottom electrode. The 0.8- m sputtered gold layer is suspended 1.1 0.1 m above the pulldown electrode and the bias lines are fabricated using 0.08- m and a -thick SiCr with a resistivity of approximately 2 k linewidth of 20 m. The bias line, if not well designed, can degrade filter performance by 1–2 dB [12]. However, in this case, the bias lines have virtually no effect on the filter performance due to the microstrip nature of the filter and the very low coulines. The measured pull-down voltage pling to the 2-k is 25 V, which corresponds to a spring constant of 67 N/m for a gap of 1.1 m and a residual stress of 85 MPa. The mechanical , and the switching resonance frequency , quality factor time ( ) of the switch are 120 kHz, 0.7, and 4–5 s, respectively [26]. The fabricated 5.15–5.70-GHz tunable filter is shown in Fig. 18. It has two switchable capacitance networks at each resonator. The positive bias lines are connected to each switch (bottom electrode) and the dc ground bias lines are connected to the resonators. Fig. 19 presents the measured and simulated frequency responses. The center frequencies of both the up and down states shifted a little (15 MHz). The shift appears to be caused by slightly larger than predicted input capacitance. At 5.15 and 5.70 GHz the measured insertion loss of the filter of 120 (fitted to is 1.8 dB and results in an unloaded simulation). When the filters are completely shielded using a top cover, the insertion loss improves by 0.3 dB. The transition loss ( 0.1 dB) can also be extracted from the measured loss. Therefore, the actual loss of this filter is 1.5 to 1.4 dB corresponding to an unloaded of 140 to 150. The measured and simulated results are summarized in Table IV. C. Power Handling of 5.15–5.70-GHz RF MEMS Filter The power-handling capability of this filter can be studied by analyzing the rms RF voltage across the MEMS switch using a linear model in ADS [27]. This RF voltage partially actuates the MEMS switch and changes the capacitance values of both and . The RF MEMS switches in and are connected in series, which allows the switches to handle four times more power than the standard shunt switch configuration. SimMEMS switch ulations show that the voltage across the

3938

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

TABLE IV MEASURED AND SIMULATED VALUES FOR THE 5.15–5.70-GHz SWITCHABLE FILTER

the switch. The power handling of this filter can, therefore, be predicted to be around 300 mW. IV. CONCLUSION

Fig. 18. Fabricated 5.15–5.70-GHz switchable filter on a quartz substrate.

This paper has presented a planar RF MEMS filter with a tun. The filter is based on a capacitively able resonator loaded open-loop resonator, which results in a high resonator while still allowing for an excellent tuning response. The RF MEMS tunable filter results in a 5% bandwidth for a tuning range of 5.15–5.70 GHz with an insertion loss from only 1.4 to 1.5 dB. It is evident from this study that RF MEMS are an essential component for high- tunable filters. Future study includes improving the tunable resonator to using suspended substrates and demonstration of this technique in the 10–40-GHz range. REFERENCES

Fig. 19. Measurement versus simulation of the 5.15–5.70-GHz tunable filter (g = 1:1 m).

network is more than twice that of the switch network and is, therefore, the main focus here. For an RF power of 150 mW, a MEMS switch and 10-V rms voltage is present across the this reduces the switch height by 0.04 m and changes the value by 0.9 fF. This change is small and a negligible effect on of the frequency response is observed. At 350 mW, the rms voltage across the switch becomes 15 V and this corresponds to a switch . This value height change of 0.1 m and a 2.3-fF change in is not negligible and shifts the center frequency of the filter by 25 MHz. It should be also noted that in the down-state position and 350-mW RF power, the rms voltage across the switch is only 1.8 V. which is smaller than the hold down voltage ( 5 V) of

[1] I. C. Hunter and J. D. Rhodes, “Electronically tunable microwave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1354–1360, Sep. 1982. [2] A. R. Brown and G. M. Rebeiz, “A varactor-tuned RF filter,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1157–1160, Jul. 2000. [3] C. J. Lugo and J. Papapolymerou, “Electronic switchable bandpass filter using PIN diodes for wireless low cost sytem-on-a-package applications,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 151, no. 6, pp. 497–502, Dec. 2004. [4] M. Sanchez-Renedo, R. Gomez-Garcia, J. I. Alonso, and C. Briso-Rodriguez, “Tunable combline filter with continuous control of center frequency and bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 191–199, Jan. 2005. [5] A. Tombak, J.-P. Maria, F. T. Ayguavives, Z. Jin, G. T. Stauf, A. I. Kingon, and A. Mortazawi, “Voltage-controlled RF filters employing thin-film barium–strontium–titanate tunable capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 462–467, Feb. 2003. [6] J. Nath, D. Ghosh, J.-P. Maria, A. I. Kingon, W. Fathelbab, P. D. Franzon, and M. B. Steer, “An electronically tunable microstrip bandpass filter using thin-film barium–strontium–titanate (BST) varactors,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2707–2712, Sep. 2005. [7] L. Dussopt and G. M. Rebeiz, “Intermodulation distortion and power handling in RF MEMS switches, varactors, and tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1247–1256, Apr. 2003. [8] R. M. Young, J. D. Adam, C. R. Vale, T. T. Braggins, S. V. Krishnaswamy, C. E. Milton, D. W. Bever, L. G. Chorosinski, L.-S. Chen, D. E. Crockett, C. B. Freidhoff, S. H. Talisa, E. Capelle, R. Tranchini, J. R. Fende, J. M. Lorthioir, and A. R. Tories, “Low-loss bandpass RF filter using MEMS capacitance switches to achieve a one-octave tuning range and independently variable bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1781–1784. [9] A. Pothier, J.-C. Orlianges, G. Zheng, C. Champeaux, A. Catherinot, D. Cros, P. Blondy, and J. Papapolymerou, “Low-loss 2-bit tunable bandpass filters using MEMS DC contact switches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 354–360, Jan. 2005. [10] B. Pillans, A. Malczewski, R. Allison, and J. Brank, “6–15 GHz RF MEMS tunable filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 919–922.

PARK et al.: LOW-LOSS 5.15–5.70-GHz RF MEMS SWITCHABLE FILTER FOR WLAN APPLICATIONS

[11] J.-M. Kim, S. Lee, J.-H. Park, J.-M. Kim, C.-W. Baek, Y. Kwon, and Y.-K. Kim, “Digitally frequency-controllable dual-band WLAN filters using micromachined frequency-tuning elements,” in 19th IEEE MEMS 2006 Conf., Istanbul, Turkey, Jan. 2006, pp. 158–161. [12] K. Entesari and G. M. Rebeiz, “A differential 4-bit 6.5–10 GHz RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1103–1110, Mar. 2005. [13] ——, “A 12–18 GHz three-pole RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2566–2571, Aug. 2005. [14] C. D. Nordquist, A. Muyshondt, M. V. Pack, P. S. Finnegan, C. W. Dyck, I. C. Reines, G. M. Kraus, T. A. Plut, G. R. Sloan, C. L. -band RF MEMS Goldsmith, and C. T. Sullivan, “An -band to switched coplanar strip filter,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 425–427, Sep. 2004. [15] B. Lakshminarayanan and T. Weller, “Tunable bandpass filter using distributed MEMS transmission lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1789–1792. [16] A. Abbaspour-Tamijani, L. Dussopt, and G. M. Rebeiz, “Miniature and tunable filters using MEMS capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1878–1885, Jul. 2003. [17] J.-S. Hong and M. J. Lancaster, “Couplings of microstrip square openloop resonators for cross-coupled planar filter,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2099–2109, Dec. 1996. [18] M. Makimoto and M. Sagawa, “Varactor tuned bandpass filters using microstrip-line ring resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 1986, pp. 411–414. [19] S.-J. Park, K. Van Caekenberghe, and G. M. Rebeiz, “A miniature 2.1-GHz low loss microstrip filter with independent electric and magnetic coupling,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 10, pp. 496–498, Oct. 2004. [20] I. Hunter, Theory and Design of Microwave Filters. London, U.K.: IEE Press, 2001. [21] SONNET. ver. 9.52, SONNET Software Inc., Syracuse, NY, 2005. [22] MATLAB. ver. 6.5, The MathWorks Inc., Natick, MA, 2005. [23] J. S. Hayden and G. M. Rebeiz, “Very low loss distributed -band -band MEMS phase shifters using metal–air–metal capacitors,” and IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 309–314, Jan. 2003. [24] E.-Y. Sun and S.-H. Chao, “Unloaded measurement—The criticalpoints method,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 8, pp. 1983–1986, Aug. 1995. [25] D. Mercier, K. Van Caekenberghe, and G. M. Rebeiz, “Miniature RF MEMS switched capacitors,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 745–748. [26] G. M. Rebeiz, RF MEMS Theory, Design, and Technology. New York: Wiley, 2003. [27] ADS 2005A. Agilent Technol., Palo Alto, CA, 2005.

X

Ku

X

Ka

Q

Sang-June Park (S’01) received the B.S. degree in physics from Youngnam University, Kyungsan, Korea, in 1991, the M.S. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2004, and is currently working toward the Ph.D. degree in electrical engineering (with an emphasis on applied electromagnetics and RF circuits) at The University of Michigan at Ann Arbor. From 1997 to 2001, he was engaged in the development of microwave ceramic filters and low-temperature co-fired ceramic (LTCC) antenna switch mod-

3939

ules with the Samsung-Electromachanics Research and Development Center. His current research interests are RF MEMS for microwaves and millimeterwave applications, microwave tunable filters, and antennas.

Kok-Yan Lee (S’99–M’05) received the B.Eng. degree (Hons.) from Nanyang Technological University, Singapore, in 1995 and M.Eng. and Ph.D. from The University of Michigan at Ann Arbor, in 2001 and 2005, respectively, both in electrical engineering. Since 1991, he has been with DSO National Laboratories, Sinapore, initially as a Technical Officer and currently as a Senior Member of Technical Staff. His research interests include MEMS process and circuit applications, SiGe-based monolithic-microwave integrated-circuit (MMIC) process, device modeling and circuit design. Dr. Lee was the recipient of the 1986 National Youth Service Award in Science and Technology (Group Award).

Gabriel M. Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree in electrical engineering from the California Institute of Technology, Pasadena. He is currently a Full Professor of electrical and computer engineering at the University of California at San Diego, La Jolla. He authored RF MEMS: Theory, Design and Technology (Wiley, 2003). His research interests include applying MEMS for the development of novel RF and microwave components and subsystems. He also leads a large effort in Si RF integrated-circuit (RFIC) design for radar and communication systems, and in the development of millimeter-wave front-end electronics, planar antennas, imaging systems and phased arrays. Prof. Rebeiz was the recipient of the 1991 National Science Foundation (NSF) Presidential Young Investigator Award, and the 1993 URSI International Isaac Koga Gold Medal Award. At the University of Michigan at Ann Arbor, he was selected by the students as the 1997–1998 Eta Kappa Nu Electrical Engineering and Computer Science Professor of the Year. He was the recipient of the 1998, Amoco Foundation Teaching Award, given yearly to one or two faculty at The University of Michigan at Ann Arbor for excellence in undergraduate teaching. He was the corecipient of the IEEE 2000 Microwave Prize. He was also the recipient of the 2003 Outstanding Young Engineer Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

3940

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Coupling-Matrix Design of Dual and Triple Passband Filters Marjan Mokhtaari, Jens Bornemann, Fellow, IEEE, K. Rambabu, and Smain Amari, Member, IEEE

Abstract—The concept of the conventional coupling matrix is extended to include designs of dual- and triple-band filters. The multiband response is created by either placing transmission zeros within the bandwidth of a wideband filter or using higher order resonances. Realizable topologies both in planar and waveguide technologies can be imposed and associated coupling coefficients enforced during optimization. The design process is verified by measurements and comparison with results of commercially available field solvers. Index Terms—Dual-band filters, filters, microstrip filters, waveguide filters.

I. INTRODUCTION

R

ECENT developments in microwave filters have focused on many different topics, one of them being the design of dual- and triple-band filters. They are in heavy demand due to applications in modern wireless and satellite systems. Usually, theoretical design techniques are related to individual filter technologies. For instance, lumped-element or stepped-impedances approaches are used in low-temperature co-fired ceramic (LTCC) applications, e.g., [1], [2]. So-called dual-behavior resonators [3], [4] create attenuation poles at specific frequencies in order to separate individual passbands. The coupling between two modified open-loop resonators is used to create a dual-band filter in microstrip technology [5]. Polynomial approaches and coupling matrices are applied to the design of dual-band bandpass [6] and bandstop filters [7] in waveguide technology. Common to all such design procedures is the fact that they cannot immediately be used if the filter topology changes. Moreover, many approaches are limited with respect to the number of transmission zeros and locations over the frequency band of interest. Therefore, this paper focuses on the design of dual- and tripleband filters by employing the coupling matrix and the optimization of its entries. One of the major advantages of this approach is that topologies and certain coupling elements can be controlled from the onset [8]. The basic approach of this method was introduced in [9]. However, measurements failed to confirm the transmission zeros between individual passbands. Manuscript received March 22, 2006; revised August 3, 2006. M. Mokhtaari and J. Bornemann are with the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada V8W 3P6. K. Rambabu is with the Institute for Infocomm Research, Singapore 117674. S. Amari is with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada K7K 7B4. Color versions of Figs. 3, 4, 5(a), and 6(a) are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.884687

In this paper, we present a new design and measurements, which validate the design approach in microstrip technology. Moreover, the same theory is applied to dual-band waveguide filters and shows that the inclusion of higher order mode resonances aids in the design. It is thus demonstrated that the design process is generally applicable to multiband filter designs in varying topologies and technologies. We are using hairpin resonators [10] and open-loop resonators [11] as triple/dual-band examples in microstrip technology. Dual-band waveguide designs include folded cavity filters, e.g., [12], and inline dual-mode configurations, e.g., [13], [14]. Folded waveguide filters make use of higher order resonances in addition to the dual-band design, whereas the dual-band effect in inline configurations is created because of higher order resonances. II. DESIGN PROCEDURE The basic approach to create a coupling matrix for given specifications of a single bandpass filter is presented in [8] and [15]. (The reader is referred to [8] and [15] for further details.) The principal advantages of this technique are, first, that the topology of any scheme of coupled resonators can be specified in advance and, secondly, that the signs and limits of coupling coefficients can be strictly enforced during optimization. For the design of dual- and triple-band filters, we assume first that a single wideband filter will be constructed whose bandwidth covers all bandwidths of the dual- and/or triple-band filters. Since the maximum number of realizable transmission of transzeros is dictated by the topology, any number mission zeros can now be placed within the initial broad passband in order to separate individual passbands. Optimization [15] is then employed to adjust the entries of the coupling matrix. The basic design steps are shown here at the example of a triple-band filter with six resonators and four transmission zeros, each two of which are located between adjacent passbands. The individual passbands are centered at 2.65, 3, and 3.35 GHz, and more than 50-MHz bandwidth is to be retained in each of the bands. We first design a standard Chebyshev response over the entire triple-band frequency range using 3 GHz as the center frequency, 800-MHz bandwidth, and 24-dB return loss. This design is shown in Fig. 1 as dashed lines. To allow a coupling matrix to be optimized, we then require, first, a prototype function for the triple-band filter and, secondly, an initial coupling matrix to start the optimization. One possibility to obtain the prototype function is to optimize the coefficients of the numerator and denominator of the filtering function. This is usually done

0018-9480/$20.00 © 2006 IEEE

MOKHTAARI et al.: COUPLING-MATRIX DESIGN OF DUAL AND TRIPLE PASSBAND FILTERS

Fig. 1. Wideband Chebyshev and triple-band prototype responses of design example.

to determine the prototype function and the positions of the reflection and transmission zeros in particular. Our approach is different though. We obtain the prototype function from piecing together the functions of three individual filters, each of which is designed according to the single bandpass filter approach in [15]. For the triple-band filter considered in this example, the left-most response is that of a two-pole filter with a transmission zero to the right, the center response corresponds to a two-pole elliptic-function filter (one transmission zero on each side), and the right-most response is that of a two-pole filter with one transmission zero on the left. The so-obtained overall prototype function is shown in Fig. 1 as solid lines. The three different parts are clearly distinguished by the discontinuous points between the passbands at 2.78 and 3.23 GHz.

3941

The choice of an initial coupling matrix involves the actual circuit topology. Let us assume that the triple-band filter be designed for microstrip technology on RT6006 substrate and that six hairpin resonators be employed. The four transmission zeros are to be created by cross couplings between hairpin resonators 1 and 6, as well as 2 and 5, thus specifying a symmetric folded filter configuration. One of the simplest initial coupling matrices is that of the standard wideband Chebyshev filter (cf. Fig. 1, dashed line) and allowing for the additional cross couplings 1–6 and 2–5. As the sign of the coupling is determined by the orientation of the hairpin resonators, we allow both magnetic and electric couplings in the optimization. For the given example, a quick calculation using the closed-form expressions in [16] advises that the magnitude of normalized inline coupling coefficients be less that 0.9 and that of the cross couplings be less than 0.5. With this initial coupling matrix, the optimization produces the following coupling matrix (including source and load), as shown in (1) at the bottom of this page, whose performance is shown as dashed lines in Fig. 2. Depending on the initial values, different coupling matrices are obtained. For instance, using direct and cross couplings to be 0.5 and 0.25 in magnitude, reand as negspectively, and specifying two couplings ative, a different final matrix is obtained, as shown in (2) at the bottom of this page, and its performance is shown as solid lines in Fig. 2. Note that both approaches result in a very small coupling between resonators 3 and 4; it is actually much smaller than 0.0001 in (2) and, therefore, appears as zero. and adhere to the restrictions Whereas both matrices is attractive due to specified above, the filter governed by vanishes. A the fact that one of the coupling coefficients is the reduced redisadvantage compared to that given by turn loss in the center band. This filter can now be designed by translating the coupling coefficients into line dimensions on an RT6006 substrate using commercial field solvers. The Ansoft

(1)

(2)

3942

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 2. Responses of triple-band coupling matrices obtained by optimization from different initial values.

fine-tuning in the EM-based code is also usually required. The design is verified by a different commercial package, i.e., IE3D, whose response is denoted as dashed lines in Fig. 3. (Note that slight differences between Ansoft Designer and IE3D results have been previously observed [17] and are attributed to the different approaches of the method-of-moments implementation in both packages.) The passband insertion losses, as simulated with Ansoft Designer, are approximately 2.0, 2.3 and 2.1 dB; the minimum passband return loss is slightly below 10 dB. Depending on the actual filter topology and technology, tighter restrictions might have to be imposed on magnitudes and signs of individual coupling coefficients. For planar circuits, closed-form expressions for the electric and magnetic coupling coefficients of, for example, open-loop resonators [16], are used. For waveguide-based filters, maximum aperture dimensions are determined in advance and related coupling coefficients are calculated from simple mode-matching routines, e.g., [18]. III. RESULTS

Fig. 3. Performance of triple-band filter using hairpin resonators on RT 6006 substrate. Ansoft Designer (solid lines, data from [9]) and IE3D (dotted lines).

Designer response (solid lines in Fig. 3) is obtained by equating the coupling coefficients of (2) with those in the actual structure (inset of Fig. 3). Of course, the achievable precision is limited in this step due to comparison of an equivalent circuit (coupling matrix) with a full-wave electromagnetic (EM) model. Therefore, slight differences between responses of the coupling matrix and that of the actual circuit must be accepted. Some minor

The above theory is now applied to dual-band filters in microstrip and waveguide technologies. A few microstrip examples are presented in [9] and will not be repeated here. However, due to manufacturing tolerances, the transmission zeros between passbands are not experimentally confirmed in [9]. Therefore, a six-resonator dual-band microstrip filter with open-loop resonators is designed on an RT5880 substrate with GHz a height of 508 m. The design parameters are MHz and the transmission zeros at 2.64, 2.94, and 3.06, and 3.42 GHz. The initial coupling values in the coupling scheme shown in the inset of Fig. 4(a) are all 0.5, except for . The optimized coupling matrix is given in (3), as shown at the bottom of this page. Fig. 4(a) shows the comparison between the performances of the coupling matrix and that of the actual circuits using Ansoft Designer. A prototype filter was built, and its response is also shown in Fig. 4(b) together with a photograph and the Ansoft Designer data for comparison. The measurements confirm the basic shape of the computed filter characteristic, especially the existence of the four transmission zeros. However, the entire measurement is slightly shifted towards higher frequencies. After investigation, it was determined that this shift can be partly attributed to the fact that along the tracks (transmissions lines), the manufacturing process produces slightly deeper cuts into the dielectric. Therefore, the ef-

(3)

MOKHTAARI et al.: COUPLING-MATRIX DESIGN OF DUAL AND TRIPLE PASSBAND FILTERS

3943

Fig. 4. Performance of a dual-band filter using six open-loop resonators on RT 5880 substrate. (a) Comparison of coupling-matrix theory (dashed lines) with Ansoft Designer (solid lines). (b) Prototype measurements (solid lines) and photograph (Ansoft Designer data (dashed lines) for comparison).

fective dielectric constant of the line will be lower than expected. Note that this effect is more pronounced for the thin lines used to form the open-loop resonators whose linewidths are much smaller than those of the 50- input and output sections. The remaining discrepancies are attributed to manufacturing tolerances, which compare well with measurements presented in [9] for higher permittivity substrates. Measured passband insertion losses are 2.1 and 2.4 dB. Single passband waveguide filters are traditionally modeled by coupling matrices, e.g., [19]. We are extending the dual-band design of Section II to waveguide technology by making use of two principles. The first example uses the same procedure as applied to the microstrip filters, but takes into account additional transmission zeros produced by the actual filter. In the second example, we will use higher order resonances in an inline configuration to create the second passband. This principle was first applied in [13]. Fig. 5(a) shows a dual-band filter in folded waveguide techGHz and nology. The design parameters are

Fig. 5. Dual-band filter in folded waveguide technology. (a) Three-dimensional (3-D) view of filter. (b) Coupling scheme. (c) Performances obtained from theory (dashed lines) and EM-based software (solid and dotted lines).

GHz and transmission zeros at 12.94, 14.85, 15.09, and 16.31 GHz. In order to convert the optimized coupling matrix elements to actual aperture dimensions, we follow an approach given in [12]. Upon inspection of a first waveguide design, additional transmission zeros were observed resulting from the distance between the source/load aperture and the rest of the filter. The two resonances were included in the coupling scheme [see and similar to [20]. The opFig. 5(b)] as detuned nodes timized coupling matrix is shown in (4) at the bottom of the following page, and its response is shown via dashed lines in Fig. 5(c). Good agreement is obtained with the actual filter as modeled by the mode-matching technique (MMT) (solid lines)

3944

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

and the WaveWizard (dotted lines), especially with respect to the location of the two passbands and the number of transmission zeros. and Fig. 6(a) shows an inline dual-mode filter using modes in the two cavities. This type of filter was introduced in [14]. Here we present a design for dual-band operation at 10.5 and 11.75 GHz. The second passband results from the next higher order modes in the two cavities. In the coupling scheme of Fig. 6(b), they are modeled as detuned resonators and in an attempt to maintain symmetry of the coupling matrix. Note that this design differs from all previous ones in this paper due to the fact that the second (higher) passband cannot be controlled independently. The higher order resonances depend on the two cavities, whose dimensions are determined to obtain the first (lower) passband. Therefore, the midband frequency and bandwidth in this design are those of the lower GHz, MHz). In the coupling passband ( scheme [see Fig. 6(b)], the straight line from input to output including 1–6 cross-coupling forms a standard quadruplet for the lower frequency band. The addition and connection of the higher order resonances in Fig. 6(b) are based on the following considerations. and In the first section of the dual-mode resonators, are well below cutoff even in the upper frequency band. In the second (larger) section of the dual-mode resonators, both and are above cutoff. However, this section is too resonance to occur and, therefore, nodes short for a and represent resonances. Therefore, both funand couple to the damental resonances higher order resonance. Above 11.4 GHz, the input/output irises become propagating. That means that the input/output resonances . Since can couple directly to the the center iris of the filter is centered with respect to the two – coupling through adjacent cavities, there is no ). the center iris, i.e., The coupling matrix obtained from following this scheme is given in (5). Its response is shown in Fig. 6(c) via dashed lines. The actual design as computed with the High-Frequency Structure Simulator (HFSS) (dotted lines) and the coupled integral-equation technique (CIET) (solid lines) agrees relatively well with the coupling-matrix prediction, shown in (5) at the top of the following page. Except for the small deviations between coupling matrix and full-wave codes addressed earlier, the only notable discrepancy is the location of the transmission zero between 11–11.5 GHz.

Fig. 6. Inline dual-band waveguide filter based on fundamental and higher order mode resonances. (a) 3-D-view of filter. (b) Coupling scheme. (c) Performances obtained from theory (dashed lines) and EM-based software (solid and dotted lines, which are almost indistinguishable).

This is attributed to the fact that the – coupling through the center iris is highly frequency dependent in this frequency range. Such dependence is, of course, not captured by a coupling matrix approach, which assumes constant coupling coefficients. This is a limitation not only of this coupling-matrix design procedure, but of other coupling matrix designs as well. Nevertheless, this example demonstrates the flexibility of the coupling-matrix design routine presented in this paper.

(4)

MOKHTAARI et al.: COUPLING-MATRIX DESIGN OF DUAL AND TRIPLE PASSBAND FILTERS

3945

(5)

Note that the publication of all dimensions of the filters presented in this paper is prohibitive due to lack of space. Please contact the first author for actual dimensions of individual filters. IV. CONCLUSION The coupling-matrix design procedure for dual- and triplepassband filters presents a viable alternative to current multiband filter design techniques. The method is flexible, not restricted to certain filter types or topologies, and is capable of including higher order mode effects. The coupling scheme and limitations for the coupling coefficients can be enforced during the design. The feasibility of this technique is demonstrated for filter configurations in microstrip and waveguide technology. The designs are verified by measurements and a number of different full-wave codes. REFERENCES [1] Y.-X. Guo, L. C. Ong, M. Y. W. Chia, and B. Luo, “Dual-band bandpass filter in LTCC,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, Jun. 2005, pp. 2219–2222. [2] H. Miyake, S. Kitazawa, T. Ishizaki, T. Yamanda, and Y. Nagatomi, “A miniaturized monolithic dual-band filter using ceramic lamination technique for dual-mode portable telephones,” in IEEE MTT-S Int. Microw. Symp. Dig., Denver, Jun. 1997, pp. 789–792. [3] C. Quendo, E. Rius, and C. Person, “Narrow bandpass filters using dual-behavior resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 734–743, Mar. 2003. [4] C. Quendo, E. Ruis, and C. Person, “An original topology of dual-band filter with transmission zeros,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1093–1096. [5] M.-L. Chuang, “Concurrent dual band filter using single set of microstrip open-loop resonators,” Electron. Lett., vol. 41, pp. 1013–1014, Sep. 2005. [6] Macchiarella and S. Tamiazzo, “Design techniques for dual-passband filters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3265–3271, Nov. 2005. [7] R. J. Cameron, M. Yu, and Y. Wang, “Direct-coupled microwave filters with single and dual stopbands,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3288–3297, Nov. 2005. [8] S. Amari, U. Rosenberg, and J. Bornemann, “Adaptive synthesis and design of resonator filters with source/load-multiresonator coupling,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1969–1978, Aug. 2002. [9] M. Mokhtaari, J. Bornemann, and S. Amari, “Coupling-matrix design of dual/triple-band uni-planar filters,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 2006, pp. 515–518. [10] E. G. Cristal and S. Frankel, “Hair-pin line and hybrid hair-pin line/ half-wave parallel-coupled-line filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 11, pp. 719–728, Nov. 1972. [11] J. S. Hong and M. J. Lancaster, “Aperture-coupled microstrip openloop resonators and their applications to the design of novel microstrip bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1848–1855, Sep. 1999. [12] J. Bornemann, S. Amari, and R. Vahldieck, “A flexible S -matrix algorithm for the design of folded waveguide filters,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 405–408.

[13] U. Rosenberg, “Multiplexing and double band filtering with commonmultimode cavities,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 12, pp. 1862–1871, Dec. 1990. [14] J. Bornemann, U. Rosenberg, S. Amari, and R. Vahldieck, “Edge-conditioned vector basis functions for the analysis and optimization of rectangular waveguide dual-mode filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, Jun. 1999, pp. 1695–1698. [15] S. Amari, “Synthesis of cross-coupled resonator filters using an analytical gradient-based optimization technique,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1559–1564, Sep. 2000. [16] J. S. Hong and M. J. Lancaster, “Coupling of microstrip square open-loop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 11, pp. 2099–2109, Nov. 1996. [17] K. Rambabu and J. Bornemann, “Simplified analysis technique for the initial design of a class of LTCC filters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1787–1791, May 2005. [18] J. Uher, J. Bornemann, and U. Rosenberg, Waveguide Components for Antenna Feed Systems—Theory and CAD. Norwood, MA: Artech House, 1993. [19] A. E. Atia and A. E. Williams, “New type of waveguide bandpass filters for satellite transponders,” COMSAT Tech. Rev., vol. 1, no. 1, pp. 21–43, 1971. [20] M. Mokhtaari, J. Bornemann, and S. Amari, “Advanced filter design using cross-coupled networks with higher-order resonances,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1423–1426.

Marjan Mokhtaari received the B.Sc. and M.A.Sc. degrees (with honors) from the Sharif University of Technology (SUT), Tehran, Iran, in 1997 and 2000, respectively, and is currently working toward the Ph.D. degree in advanced microwave filter design at the University of Victoria, Victoria, BC, Canada. Following a three-year affiliation with the Iran Telecommunication Research Center (ITRC) as a Researcher and RF/Microwave Design Engineer and faculty member, she joined the University of Victoria, in January 2004. Her research interest are passive, active RF, and microwave circuits such as filters, antennas, amplifiers, mixers, numerical, and computational electromagnetics, as well as optimization in microwave theory and inverse scattering.

Jens Bornemann (M’87–SM’90–F’02) received the Dipl.-Ing. and the Dr.-Ing. degrees in electrical engineering from the University of Bremen, Bremen, Germany, in 1980 and 1984, respectively. From 1984 to 1985, he was a Consulting Engineer. In 1985, he joined the University of Bremen, as an Assistant Professor. Since April 1988, he has been with the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada, where he became a Professor in 1992. From 1992 to 1995, he was a Fellow with the British Columbia Advanced Systems Institute. In 1996, he was a Visiting Scientist with Spar Aerospace Limited (now MDA Space), Ste-Anne-de-Bellevue, Québec, QC, Canada, and a Visiting Professor with the Microwave Department, University of Ulm, Ulm, Germany. From 1997 to 2002, he was a Co-Director

3946

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

with the Center for Advanced Materials and Related Technology (CAMTEC), University of Victoria. In 2003, he was a Visiting Professor with the Laboratory for Electromagnetic Fields and Microwave Electronics, Eidgenössische Technische Hochschule (ETH) Zürich, Zürich, Switzerland. He coauthored Waveguide Components for Antenna Feed Systems. Theory and Design (Artech House, 1993). He has authored/coauthored over 200 technical papers. He is on the Editorial Advisory Boards of the International Journal of Numerical Modelling and the International Journal of Electronics and Communications (AEii). His research activities include RF/wireless/microwave/millimeter-wave components and systems design, and field-theory-based modeling of integrated circuits, feed networks and antennas. Dr. Bornemann is a Registered Professional Engineer in the Province of British Columbia, Canada. He serves on the Technical Program Committee of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). From 1999 to 2002, he was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES in the area of microwave modeling and computer-aided design (CAD).

K. Rambabu received the Ph.D. degree from the University of Victoria, Victoria, BC, Canada, in 2004. He is currently a Research Staff Member with the Institute for Infocomm Research, Singapore. He has authored or coauthored over 40 papers published in refereed journals and conferences. He holds a patent for beam shaping of a cellular base-station antenna. His research interests include design and development of miniaturized passive microwave components and antennas for various applications.

Smain Amari (M’98) received the D.E.S. degree in physics and electronics from Constantine University, Constantine, Algeria, in 1985, and the Masters degree in electrical engineering and Ph.D. degree in physics from Washington University, St. Louis, MO, in 1989 and 1994, respectively. From 1994 to 2000, he was with the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada. From 1997 to 1999, he was a Visiting Scientist with the Swiss Federal Institute of Technology, Zürich, Switzerland, and a Visiting Professor in Summer 2001. Since November 2000, he has been with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada, where he is currently a Professor. His research interests are numerical analysis, numerical techniques in electromagnetics, applied physics, applied mathematics, wireless and optical communications, computer-aided design (CAD) of microwave components, and application of quantum field theory in quantum many-particle systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

3947

A Method of Synthesizing Microwave Bandpass Filters Constructed With Symmetrical or Asymmetrical Compact Microstrip Resonators Yi-Chyun Chiang, Member, IEEE, Wei-Lin Hsieh, and Ming-An Chung

Abstract—This paper presents a method of synthesizing microwave filters consisting of compact microstrip resonators (CMRs). The synthesizing method is based on the conventional insertion-loss design method and can be applied to the design of filters consisting of symmetrical and asymmetrical CMRs. The synthesizing method can help the designer to determine the appropriate layout according to the specified passband and stopband characteristics of the filter. A new type of CMR layout is proposed to suppress the spurious passband response and is applied to the -band filter. The measurement of the proimplementation of a totype shows approximately 1.5-dB insertion loss in the passband and a rejection greater than 40 dB at the specified frequencies. -band was Besides, an asymmetrical CMRs filter operated at also designed and fabricated. The measurement result is also close to the computer simulation result. This demonstrates the effectiveness of the proposed design concept. Index Terms—Compact microstrip resonator (CMR), microwave filters, transmission zero.

I. INTRODUCTION ARALLEL-COUPLED bandpass filters are widely used in microwave circuits due to their ease of integration with conventional planar guiding structures. This makes it possible to realize the practical filter without via-hole connections such that the unwanted parasitic effects that may degrade the filter performance at microwave frequencies can be avoided. However, the large size of the parallel coupled filter and the presence of a spurious passband in the second harmonic band are the fundamental drawbacks of parallel coupled filters. Thus, various filter implementation methods to eliminate the spurious passband of the parallel coupled filter, such as wiggly-line and over-coupled end stages, are proposed [1], [2]. Other types of microwave filters such as ring resonators, hairpin resonators, -network coupled resonators, and compact microstrip resonator (CMR) cells, as shown in Fig. 1, were proposed for minimizing the size of the filters [3]–[8]. One of the compact-size filters, namely, the CMR cell filter, is proposed to realize -band filters with a very compact size without via-hole connections [8].

P

Manuscript received March 17, 2006; revised June 19, 2006. This work was supported by the National Science Council, Taiwan, R.O.C., under Contract NSC 92-2219-E-182-001. The authors are with the Institute of Electronic Engineering, Chang Gung University, Tao-Yuan 333, Taiwan, R.O.C. (e-mail: [email protected]. tw). Digital Object Identifier 10.1109/TMTT.2006.885078

Fig. 1. Geometries of CMR cells with input and output microstrip lines.

Another benefit of the CMR cell filter is that it exhibits an inherent controllable transmission zero located at the upper or lower stopband, which can enhance the signal rejection in the specified frequency. However, the design of the CMR cell’s filter described in [6] requires the use of electromagnetic (EM) software to analyze different physical layouts to obtain the desired filter characteristics, and the measured insertion loss of the CMR filter in the spurious passband is also less than 20 dB. In this paper, a systemically method of synthesizing symmetrical and asymmetrical CMR filters is proposed. A filter synthesizing method, which adopts the well-known circuit conversion technique to convert the CMR cell filter to a circuit configuration that can be designed by using the conventional impedance- inverters filter, is developed. According to the given filter’s specifications in both passband and stopbands, one set of analytic equations for determining the values of the circuit elements of the CMR cell filter can be obtained. With the help of commercial EM software and the obtained values of elements, one can then determine the appropriate physical layouts of the filters. For achieving higher rejection in the spurious passband, a new type of compact resonator feature, namely, the CMR, is proposed to implement a -band. As compared with the CMR cell filter operated at filter, the width of CMR filter is slightly wider than the CMR cell filter, but the insertion loss of the CMR filter in the spurious passband can be increased up to more than 40 dB. A 14-GHz prototype with 7% fractional bandwidth was designed and fabricated on a conventional Teflon substrate. The measurement results are consistent with the computer simulations and prove the effectiveness of the design concept. The proposed synthesizing method is also applied to design an asymmetrical CMR filter -band with 17% fractional bandwidth and the operated at measurement results also show very good correspondence with the EM simulation results.

0018-9480/$20.00 © 2006 IEEE

3948

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

(6) (7)

Fig. 2. Equivalent circuit of the filter constructed with CMR cells.

(8)

(9) and Fig. 3. Schematic of the CMR cells filter through the wye-to-delta conversion.

II. METHODS OF SYNTHESIZING SYMMETRICAL COMPACT RESONATOR FILTERS A. Filter With Transmission Zero Located at Lower Stopband Fig. 2 illustrates the equivalent-circuit representation of a CMR cells filter. As described in [8], the transmission zero of the filter is located at the frequency ( ) where the series connected inductor ( ) and capacitor ( ) are resonated. Since (1) the impedance of the series resonator might be represented as (2) By adopting the well known delta-to-wye transformation [9], the equivalent circuit of Fig. 2 can be transformed to that shown in Fig. 3, of which the elements presented in terms of elements in Fig. 2 are given by (3) (4) Next, two different situations have to be considered in sequential steps in the synthesizing procedure. The first is that the in (2) transmission zero is located at the lower stopband and is positive. This makes in (4) inductive as well. Therefore, the filter is analogous to a conventional inductively coupled filter. Thus, one can obtain one set of equations for solving the values of the elements in the CMR cells filter by following similar steps of designing a second-order inductive coupled filter with an insertion-loss design method [10]. According to the specified filter characteristics, the equations for solving the elements’ values are obtained as follows: (5)

(10) where and represent the fractional bandwidth and central angular frequency of the filter, respectively, and , , and are the elements of the low-pass prototype derived from the conventional filter design method. By bringing the specified passband characteristics into (9) and (10), one can obtain two equaand . After the value of is obtions to solve tained, it can be brought to (1) and (2) for calculating values and . In the previous equations, the two parameters of and represent the shunt inductor and shunt capacitor of the resonant tank in the filter, and are undefined yet. They are actually determined by using an EM simulator to analyze the partial layout of Fig. 1 by removing the triangular patches in Fig. 1 and placing an electric wall along the dotted line in Fig. 1. Thus, the circuit looking from the input of the filter becomes a capacitor series connected with a parallel resonator. By analyzing different layout patterns, one can find out the best one that can achieve the highest quality factor at the designed frequency. That also means such a layout pattern might cause the least loss in the realization of the practical filter. From the selected layout can be pattern, the equivalent circuit of the shunt inductor is equivalent to . extracted and the shunt capacitor According to the obtained value of , the values of elements in the CMR filter can be determined from the proposed synthesizing procedure. B. Filter With Transmission Zero Located at Upper Stopband The second situation is that the transmission zero of the filter is located at the upper stopband. In such a case, the value of is negative in the passband. Due to this, the transformed elements in Fig. 3 should be modified as follows: (11) and (12) Since is negative, should be treated as a capacitive element. The circuit in Fig. 3 now becomes a second-order capacitive-coupled filter. Therefore, another set of design equations

CHIANG et al.: METHOD OF SYNTHESIZING MICROWAVE BANDPASS FILTERS

3949

Fig. 5. Equivalent circuit of the filter consisting of an ACMRC.

Fig. 6. Equivalent-circuit representation of the asymmetrical compact microstrip resonator filter operated at the band between two transmission zeros.

goals and also prove the effectiveness of the proposed method of synthesizing the CMR cells filters. III. METHOD OF SYNTHESIZING THE FILTER CONSTRUCTED WITH ASYMMETRICAL COMPACT RESONATOR CELL FILTER

Fig. 4. Simulated performances of CMR cells filters with 0.1-, 0.5-, and 1-dB passband ripples, and the same bandwidth and the locations of transmission zero located at different stopbands. (a) Lower stopband. (b) Upper stopband.

are obtained for solving the values of the elements by following the previous synthesizing procedure, except now the admittance inverter is replaced by a capacitive-coupled element. Thus, the , , , and are the same as equations for solving and have to be modbefore, and the equations of solving ified as

The major difference between asymmetrical compact microstrip resonator cells (ACMRCs) and symmetrical CMR cells is that the two triangular patches of ACMRCs are resonated at different frequencies. This result in two transmission zeros located at the upper and lower stopbands, respectively, with one unit cell. In contrast, the symmetrical one requires two resonator cells to implement two transmission zeros. Hence, the size of an ACMRC filter is more compact than a symmetrical one. The equivalent-circuit representation of the ACMRC filter is shown in Fig. 5, in which the series resonator consisting and is resonated at and the other resonator of and is resonated at . Assume that consisting of and are to be located at the lower and upper stopbands, respectively. The equivalent circuit of the ACMRCs filter can be simplified as shown in Fig. 6, while the circuit is operated between the two frequencies of the transmission zeros. and the admittance are given by The impedance

(15)

(13) and

and

(16)

(14) Similarly, by bringing the obtained value of into (1) and and for im(2), one can calculate the required values of plementing the CMR cells filter with transmission zero located at upper stopband. To verify the proposed synthesizing method, the CMR cells filters with different transmission zeros located at 0.8 and 1.2 are designed and simulated by Agilent’s commercial microwave circuit simulator ADS. Fig. 4 shows the comparof the CMR cells filters with the same passband ison of width and transmission zero, but with different passband ripples. In Fig. 4(a), the transmission zero is at the lower stopband, while in Fig. 4(b), it is at the upper stopband. These simulation results show that the filter performances all meet the design

and respectively. Note that . Same as the procedure in the previous synthesizing steps, the wye-to-delta transformation is applied to convert the circuit of Fig. 6 to a circuit like that in Fig. 3, where and are given by

(17) and (18)

3950

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Equation (18) implies that will be a series resonator if the resonant frequency occurs at the passband of the filter. This results . Therefore, this circuit should be treated in as a third-order filter in which the series resonator is short circuited and the shunted parallel resonators are open circuited at the central operation frequency of the filter. Thus, the two following situations are used to allow the circuit in Fig. 6 to have the characteristic of a conventional third-order filter (19) and (20) However, by bring the result of (19) into (17), one can find that the value of is infinite at such that the condition of (20) can never be satisfied. Somehow it is also shown in (17) that is inductive near and is able to resonate with shunt capacitor at these frequencies. Thus we assume that two frequencies can be used to derive the equations to solve the values near of the elements, which are (21) where and represent the angular frequencies just below in the passband, respectively. According to (15), and above (16), and the specified locations of zeros, the values of , , , , and can be obtained by solving (19) and (21). and involves iteraNote that the procedure of selecting tive steps, which normally start from choosing the means of the central and the two corner frequencies as the initial guess, and until no other sothen moving them as close as possible to , and can be found. This is because, in lutions of , our experiences of designing practical ACMRCs filters, when and are away from , the values the selected values of of the elements were usually not practical for filter realization. and are obtained from (15) and Next, the values of (16). There are two remaining values of the elements in the and , not defined yet. The equations of scatfilter, i.e., and can be generated by tering parameters in terms of deriving the scattering parameters of the circuit in Fig. 6 and bringing the previously obtained values of the elements into the and are equations. Since the desired corner frequencies two transmission poles of the filter, two equations derived from and are obtained for solving the and . Similarly, the design parameter is values of determined based on the selection of a physical filter layout that achieves the highest quality factor when the filter is fabricated on a certain substrate with the same fabrication process. Howhas to be changed because it ever, sometimes the value of does not always results in reasonable element values obtained from previous synthesizing procedure. To verify the proposed design concept, asymmetrical compact microstrip resonator filters with different performance are designed and simulated by a microwave circuit simulator. Fig. 7(a) illustrates the simulated characteristics of a filter with 5% fractional bandwidth and and , respectively. The transmission zeros located at simulated performance of the filter with the same bandwidth, but

Fig. 7. (a) 5% fractional bandwidth, but with the transmission zeros located at 0:8f and 1:2f . (b) 5% fractional bandwidth, but with transmission zeros located at 0:7f and 1:2f . (c) Frequency responses of the filter with 15% bandwidth and transmission zeros located at 0:8f and 1:2f .

different transmission zeros located at and is shown in Fig. 7(b). Fig. 7(c) depicts the frequency responses of the filter with 15% bandwidth and transmission zeros located at and , respectively. As shown in these figures, all the simulated filters’ performance meets the designed goals and prove the effectiveness of the design method. After the required values

CHIANG et al.: METHOD OF SYNTHESIZING MICROWAVE BANDPASS FILTERS

3951

Fig. 8. Physical layout of CMR filter with spurious passband suppression characteristic.

S

Fig. 10. Measured and simulated -parameters of the

Fig. 9. istic.

Ku-band MCR filter with spurious passband suppression character-

of the elements for constructing the filters are obtained, a commercial EM simulator, e.g., Sonnet em, can be applied to find out the appropriate physical layout for the filter implementation. IV.

-BAND CMR FILTER PROTOTYPE IMPLEMENTATIONS

Here, the designs and measurements of two practical -band filters are described. The first one, which adopts a new type of CMR pattern, as plotted in the solid square in Fig. 8, is proposed to decrease the insertion loss in the spurious passband. Compared to the CMR cells shown in Fig. 1, the width of the CMR is wider than the CMR cells, but the step-impedance patch of the CMR is placed away from the input and output ports of the filter to eliminate the unwanted capacitive coupling caused by the triangle patch in the CMR cells and increase the rejection at higher frequency band. Two CMR filters operated at 14.3 GHz with 8% fractional bandwidth were designed by selecting a 0.01-dB ripple Chebyshev filter as the low-pass prototype to calculate the desired elements of the CMR cells filters. Two filters are designed to have transmission zeros located at the lower and upper stopbands, respectively, and then cascaded to realize the practical filter. Thus, the signal rejection can be achieved at both the upper and lower stopband and the problem of unequal input and output impedances caused by the second-order Chebyshev filter function can be minimized by selecting the prototype with a low passband ripple. The elements’ values of two filters derived from the proposed design method are given as follows. Elements of pF, pF, filter 1 are nH nH, and pF. Elements of filter 2 are pF, pF, nH, nH, and pF, where filters 1 and 2 are CMR filters with transmission zero located at the lower and upper stopbands, respectively. Fig. 9 shows the photograph of the prototype fabricated on a commercial

Ku-band CMR filter.

Teflon printed circuit board (PCB) substrate with a thickness of 0.75 mm, relative dielectric constant of 3.8, and the loss tangent of 0.0025. The size of the prototype is approximately 9 mm 4.5 mm, which is approximately equivalent to by , where is the guiding wavelength of a microstrip fabricated on the same substrate. As shown, the output port of the first filter is directly cascaded to the second filter with an end-coupling structure. Mounting the prototype on an Anritsu V3988 test fixture and connecting it via coaxial cables to an HP8510 network analyzer, the -parameters of the prototype are measured with thru-reflect line (TRL) calibration to eliminate the effects of the cables and the test fixture connections. Fig. 10 superimposes the measured and simulated -parameters of the bandpass filter prototype. The measured characteristics, indicated by triangular and rectangular signs in Fig. 10, show approximately 1.5-dB insertion loss and greater than 12-dB return loss in the passband. The measured attenuation at the two specified frequencies 12.6 and 16.5 GHz are all greater than 40 dB. About the spurious response, we can see that the attenuation at the second harmonic is greater than 32 dB. Although the measured insertion loss is approximately 0.5 dB greater than the simulation result, the measurement results show quite a good correspondence with the simulation results. -BAND V. IMPLEMENTATION AND MEASUREMENT OF A ASYMMETRICAL COMPACT RESONATOR FILTER PROTOTYPE Fig. 7(c) shows that the passband ripples of an asymmetrical CMR filter are increased when the designed bandwidth is wider than 15%, and the wider the designed bandwidth, the larger ripple value achieved. Therefore, an experimental filter -band was designed with 17% bandwidth operated at the and fabricated on a Teflon PCB substrate the same as the previous filters. According to the proposed design method, the elepF, ments’ values of asymmetrical CMR filters are pF, nH, nH, pF, nH, and pF. The proper layout patterns of these elements are also analyzed by an EM simulator. Fig. 11 shows a photograph of the prototype. As shown, the series capacitors in the input and output terminals

3952

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

two transmission zeros, which are located at 13 and 16 GHz, are greater than 15 and 20 dB, respectively. Although the insertion loss is approximately 1.0 dB greater than the simulation result, the measurement results still show quite a good correspondence with the computer simulation. This demonstrates that the proposed design procedure is effective for designing filters consisting of many types of CMRs. The proposed design method can also shorten the development time of constructing a high-performance microwave filters with compact circuit sizes. Fig. 11.

Ku-band asymmetrical CMR filter.

VI. CONCLUSION This investigation has presented a new method of realizing microwave bandpass filters by using the features of a symmetrical and an asymmetrical CMR to minimize the size of the filter and achieve the desired transmission zeros in stopbands. A design procedure based on the conventional second-order filter design method has been developed to design the symmetrical compact resonator filter, and a design procedure of an asymmetrical compact resonator filter, which can achieve a third-order passband characteristic and two transmission zeros at both stopbands, has been developed based on the conventional circuit theories. It has been shown that the proposed synthesizing methods are effective and provide many design flexibility in determination of the practical layout of the CMR filter to suppress the spurious passband and use interdigital coupled capacitors to enhance the desired strong coupling in realization of a wideband filter. The validity of the design concept is verified from the de-band prototypes. As comsigns and the measurements of -band filters reported in [11]–[13], the propared with the posed filter has shown quite good characteristics including high stopband rejections near the passband corner, ease of fabrication, and compactness in size. Furthermore, the proposed filter is very suitable for the realization of microwave filters on a hard substrate such as quartz or sapphire, which commonly has higher factors to achieve lower insertion loss and smaller size than the current PCB version. ACKNOWLEDGMENT The authors would like to thank Prof. J. C. Cheng, Chang Gung University, Tao-Yuan, Taiwan, R.O.C., for his helpful discussions throughout this study.

S

Fig. 12. (a) Measured and simulated of asymmetrical microstrip resonator filter in Fig. 11. (b) Measured and simulated of asymmetrical microstrip resonator filter in Fig. 11.

S

were realized by the interdigital capacitors for obtaining the requested capacitances in implementation of a wideband filter. It also demonstrates the flexibility in determination of the practical layout of the CMR filter by adopting the proposed filter design procedure. The size of the prototype is approximately by . Measured -parameters of the proequal to totype are obtained and calibrated by using the same fixture and technique as the previous one. Fig. 12 superimposes the measured and simulated -parameters of the prototype. The measured insertion and return losses in the passband are approximately 1.3 dB and greater than 13 dB, respectively, as indicated by the dotted line in Fig. 12. The measured attenuations at the

REFERENCES [1] T. Lopetegi, M. A. G. Laso, F. Falcone, F. Martin, J. Bonache, J. Garcia, L. Perez-Cuevas, M. Sorolla, and M. Guglielmi, “Microstrip ‘wiggly-line’ bandpass filters with multispurious rejection,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 531–533, Nov. 2004. [2] J. T. Lu, S. T. Chen, and M. Jiang, “Parallel-coupled microstrip filters with over-coupled end stages for suppression of spurious responses,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 10, pp. 440–442, Oct. 2003. [3] J. S. Hong and M. J. Lancaster, “Theory and experiment of novel microstrip slow-wave open-loop resonator filter,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2358–2365, Dec. 1997. [4] S. Y. Lee and C. M. Tasi, “New cross-coupled filter design using improved hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2482–2490, Dec. 2000. [5] C. C. Yu and K. Chang, “Novel compact elliptic-function narrowband bandpass filters using microstrip open-loop resonator with coupled and crossing lines,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 7, pp. 952–957, Jul. 1998.

CHIANG et al.: METHOD OF SYNTHESIZING MICROWAVE BANDPASS FILTERS

[6] H.-H. Lin, W.-S. Tung, J.-C. Cheng, and Y.-C. Chiang, “Design of second order bandpass filter with inductive  -network coupling,” IEICE Trans. Commun., vol. E88-B, no. 6, pp. 2629–2631, Jun. 2005. [7] W.-S. Tung, H.-C. Chiu, and Y.-C. Chiang, “Implementation of millimeter–wave bandpass filter with MMIC technology,” Electron. Lett., vol. 41, no. 13, p. 744745, Jun. 2005. [8] K. M. Shum, T. T. Mo, Q. Xue, and C. H. Chan, “A compact bandpass filter with two tuning transmission zeros using a CMRC resonator,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 895–900, Mar. 2005. [9] C. K. Alexander and M. N. O. Sadiku, Fundamentals of Electric Circuits, 2nd ed. New York: McGraw-Hill, ch. 9. [10] Jia-Shen, G. Hong, and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001, ch. 8, pp. 247–249. [11] C.-H. Lee, A. Sutono, S. Han, K. Lim, S. Pinel, E. M. Tentzeris, and J. Laskar, “A compact LTCC-based Ku-band transmitter module,” IEEE Trans. Adv. Packag., vol. 25, no. 3, pp. 374–384, Aug. 2002. [12] K. Sano and M. Miyashita, “Application of the planar I/O terminal to dual-mode dielectric-waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2491–2495, Dec. 2000. [13] S. Llorente-Romano, A. Garcia-Lamperez, M. Salazar-Palma, A. I. Daganzo, J. S. Galaz-Villasante, and M. J. Padilla-Cruz, “Microstrip filter and power divider with improved out of band rejection for a Ku-band input multiplexer,” in 33rd Eur. Microw. Conf., Munich, Germany, 2003, pp. 315–318. Yi-Chyun Chiang (S’88–M’93) received the B.S. degree in marine technology and M.S. and Ph.D. degrees in electronic engineering from National Chiao-Tung University, Hsin-Chu, Taiwan, R.O.C., in 1982, 1987, and 1992, respectively. He is currently an Associate Professor with the Department of Electronic Engineering, Chang Gung University, Tao-Yuan, Taiwan, R.O.C. His research interests are the development of new methods to design high-performance microwave couplers and filters with compact sizes and the development of microwave integrated circuits constructed with submicrometer CMOS technologies.

3953

Wei-Lin Hsieh was born in Taipei, Taiwan, R.O.C., in 1982. He received the B.S. degree in electronics engineering from Chang-Gung University, Tao Yuan, Taiwan, R.O.C., in 2004. His research interests include microwave low-noise amplifier (LNA) and monolithic-microwave integrated-circuit (MMIC) filter designs.

Ming-An Chung was born in Taiwan, R.O.C., in 1981. He received the M.S. degree in electronics engineering from Chang-Gung University, Tao Yuan, Taiwan, R.O.C., in 2004. His research interest is the design of microwave filters.

3954

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

A Narrowband Superconducting Filter Using Spirals With a Reversal in Winding Direction Frederick Huang, Ming Zhou, and Libin Yue

Abstract—A resonator consisting of a microstrip spiral, with a reversal in winding direction, is used for a narrowband superconducting filter. The fields are tightly confined, leading to the required small coupling coefficients, without a large separation between resonators. Nevertheless, tunability and power-handling capacity are better than some other resonators with localized fields. A quality factor exceeding a million was achieved. A 148 MHz, 0.1% bandwidth sixth-order quasi-elliptic filter is demonstrated. Index Terms—Filters, narrowband, spiral, superconducting.

I. INTRODUCTION

N

ARROWBAND filters require resonators with a very high quality factor ( ) so superconductors are an attractive implementation. Tightly confined fields are necessary so that the resonators can be close together without strong coupling. A second reason is to avoid eddy-current losses in the normalmetal box walls. An extremely narrow fractional bandwidth of 0.014% was achieved by [1], but partitions between resonators were apparently necessary, and may not be optimum if the size is reduced further. Other examples, with 0.1%–0.5% bandwidths, are discussed in [2]–[8]. The spiral-in-spiral-out resonator [9], [10] is specifically intended for narrowband applications; 0.1% bandwidths have been demonstrated. At larger bandwidths, conventional spirals (with one end on the outside and one at the center) have several advantages [11]. Although they have been used successfully for a 0.212% bandwidth filter [12], a compromise between this and the spiral-in-spiral-out structure is probably more appropriate and is presented here. A sixth-order quasi-elliptic filter with approximately 148-MHz center frequency and 0.1% (1 part/1000) fractional bandwidth is designed and measured. A disadvantage of the proposed spiral is the relatively low frequency of the second harmonic; approximately 1.5 times the fundamental in the filter to be described. Spiral filters in general are more difficult to design because of their three-dimensional fields, which make electromagnetic simulators essential, but such software has become easily available.

Manuscript received March 24, 2006; revised June 23, 2006. This work was supported by the U.K. Engineering and Physical Sciences Research Council under Grant GR/S60471/01. F. Huang is with the Department of Electronic, Electrical, and Computer Engineering, University of Birmingham, Edgbaston, Birmingham B15 2TT, U.K. (e-mail: [email protected]). M. Zhou is with the International Information Products Company, Lenovo, Shenzhen 518057, China (e-mail: [email protected]). L. Yue is with Norgren Pneumatics Ltd., Shanghai 200233, China (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.885059

Fig. 1. (a) Spiral-in-spiral-out resonator, similar to [9]. (b) Proposed modified spiral, with a reversal of winding direction. Not to scale—the spirals simulated contain more turns. Tracks shown hatched are capacitive coupling lines described in Section VI.

II. SPIRAL WITH REVERSAL OF WINDING DIRECTION A typical spiral-in-spiral-out resonator and the modified spiral are shown in Fig. 1. The spirals (in this case, 7 mm 7 mm or less) are much smaller than a free-space wavelength (2000 mm) so electric and magnetic fields can be considered separately. At the fundamental resonance, turns in the first spiral Fig. 1(a) alternate with positive and negative voltage, and the current directions (a quarter of a cycle later) are antiparallel, leading to very localized electric and magnetic fields. The positive and negative ends of the modified resonator [see Fig. 1(b)] are much further apart, thus the electric field decays more slowly and is accessible to a dielectric tuning screw. Spiraling inwards, it contains several turns where the current is counterclockwise, followed by several turns where it is clockwise, a compromise between the spiral-in-spiral-out resonator and the “normal” spiral. The constructive interference between neighboring turns leads to slower decay with the distance of the magnetic field compared with the spiral-in-spiral-out resonator, thus, there is a greater active volume for energy storage; several consequent advantages are described in [11]. The reversal of the winding direction causes some magnetic field cancellation to reduce the eddy-current loss in the box roof. As spirals are further miniaturized, the energy storage for a given current becomes more significant because of the resistance of narrower linewidths, while the eddy currents may decrease if the box height relative to the resonator size increases. Nevertheless, the compromise can be adjusted by having more than one reversal.

0018-9480/$20.00 © 2006 IEEE

HUANG et al.: NARROWBAND SUPERCONDUCTING FILTER USING SPIRALS WITH REVERSAL IN WINDING DIRECTION

3955

TABLE I MAXIMUM CURRENT DENSITIES IN MICROSTRIP RESONATORS FOR EQUAL POWER THROUGHPUT, AS FOUND FROM SIMULATIONS. THE ROOF IS 5 mm ABOVE THE RESONATORS. IN ALL CASES, CELL WIDTH IS EQUAL TO THE LINEWIDTH: 0.05 mm

The coupling coefficients available are also a compromise, again due to the intermediate number of current reversals. Other resonators can be evaluated in the same way; e.g., the meander line also has antiparallel current directions in adjacent sections, but widely spaced positive and negative ends. III. SIMULATIONS ON SPIRALS Simulations with SONNET or SONNET-LITE are based on , a 0.5-mm magnesium–oxide (MgO) substrate, with and 0.05-mm linewidths and gaps. The three types of spiral and a straight half-wavelength resonator were considered. All resonate near 150 MHz. The modified spiral has 7 3/4 counterclockwise turns followed by 25 1/4 clockwise turns, as in the filter to be described (Section V). A 0.25-mm gap between the two sets of turns allows space for the vertical component of the magnetic field. Its size is arbitrary, but it may be optimized in future. A. Current Densities and Energy Storage The resonators have coupling, which gives a bandwidth of approximately 0.5 kHz. Peak input voltage is 1 V. By using a cell width equal to the linewidth, current crowding at the line edges has been ignored. Current densitites in the four resonators are given in Table I. Power depends on current squared so, for equal current densities, the power throughput of the modified spiral would be only slightly less than the normal spiral, while for the straight line, it would be approximately a third, and for the spiral-in-spiral-out resonator, it would be an eighth. Split rings and some hairpins, with no closely spaced line sections, are expected to be similar to straight lines. Any excess powerhandling capability can be traded for further miniaturization, subject to fabrication limitations. Spirals in general suffer from asymmetric current crowding due to a large vertical component of the magnetic field, where the current flows mainly on one side of the track, as also noted in [13]. This partially curtails the higher power handling, which is significant when considering the relatively small threefold improvement over the straight line. However, the straight line has further disadvantages in its very awkward shape and in the area consumed between resonators because of the large overlap length. The magnitude of eddy currents in the box roof caused by the new spiral is indeed intermediate between the other two spirals. The areas occupied by the roof currents are comparable for the three spirals. For the straight line, it is approximately 70 times

Fig. 2. Coupling coefficients between normal and modified spirals. The three cases of the modified spirals differ in their relative orientation. The larger symbols (here and in Fig. 3) are based on quadruple precision computations; in some cases, they cover the corresponding double precision values. Spirals are not to scale and do not have the actual number of turns.

larger so losses are larger than apparent from the table. The perturbation of resonant frequency was found to be commensurate with the roof currents. B. Coupling Coefficient Coupling coefficients between modified spirals and between normal spirals are compared in Fig. 2. They are based simon the separation between two resonant peaks in the ulation. Relative polarity ( or ) of the coupling could be (not shown). A change in sign can found from the phase of occur if magnetic and electric coupling oppose each other [14] because electric coupling dominates for very small gaps, but as the gap increases, magnetic coupling eventually takes over even for the compromise spiral. This sign change is necessary for the design of quasi-elliptic filters. Noting the logarithmic scale, the compromise spirals have a much smaller than the normal spiral, as required for narrowband filters, for gaps exceeding 1.2 mm. Two of the curves are for two different orientations of the spirals, showing that coupling is not radially symmetrical. This could introduce design flexibility, but more likely, the relative number of clockwise and counterclockwise turns, or the number of reversals, would be varied. For the filter designed here, is near 0.8 10 , implying gaps of 1 or 2 mm; for the normal spiral, it is 4 mm. Considering that the new spiral is approximately 1 mm larger, there is only a moderate reduction in size, but further reductions should be

3956

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

TABLE II FILTER COUPLING COEFFICIENTS, IN PARTS PER THOUSAND. Q IS THE EXTERNAL QUALITY FACTOR

Fig. 4. Layout of the left-hand half of the filter (approximately to scale). Dimensions show gap widths in millimeters. Fig. 3. Tunability of resonators, as estimated by the simulated frequency reduction due to a dielectric layer (" = 10:2) at a given height above the resonator, extending up to the box roof.

possible. Also, falls off faster for the new spirals; spurious coupling between nonadjacent spirals is reduced. Use of quadruple precision instead of the standard double pre. cision shows good agreement, except for C. Tunability Tunability was estimated using a horizontal dielectric layer ), as an isotropic approximation for an infinitely large ( sapphire tuning screw, stretching from a given height up to the box roof, 20 mm above the resonators. It would be just possible to approach this limit for the three spirals since a round screw crudely covers a square spiral, but the straight line would require a 420-mm-diameter screw. At this level of miniaturization, the spiral-in-spiral-out resonator would require a gap of 0.1 mm for a tuning of 0.7 parts/1000 (Fig. 3). This can be increased with large capacitive pads, as done in [9], but the tuning screws would have to cover the edges of the spiral and they may interfere with . The new spiral has a much larger tunability. It is, in fact, also higher than the conventional spiral, but only because the resonator is physically slightly larger. For the same frequency shift, the distance is relaxed to 2 mm. It is also better than the straight line for small distances, and yet at a sufficiently large distance, it is less affected by neighboring dielectric objects. The case against the straight line is stronger when smaller screws are used because tunability is reduced further, but the effect of neighboring objects is not reduced unless they are also smaller. For the modified spiral, the tunability using a 4-mm-diameter sapphire cylinder is also shown. It confirms the general trend illustrated by the infinite-sized screws. Indirect experimental support is given in Section VI. IV. MEASUREMENTS ON RESONATORS Limited measurements on a single modified resonator, with dimensions given above, show that a of 1.1 10 can be

achieved at 22 K, and 0.46 10 at 77 K. Unfortunately, direct comparison with other resonators in the literature is difficult because of the different linewidths and frequencies involved. can be improved further by using round spirals [15], rounded off corners, or a thicker substrate. The resonant frequency falls by approximately 230 kHz between 22–77 K. More specifically, the temperature coefficient of frequency is approximately 0.8 kHz K at 22 K and 25 kHz K at 77 K; low temperatures clearly reduce frequency drift. V. SIXTH-ORDER 0.1% BANDWIDTH FILTER A sixth-order quasi-elliptic filter with 150-MHz center frequency and 150-kHz bandwidth was designed using the modified spiral. The superconductor is 600-nm-thick yttrium–barium–copper–oxide (YBCO) on both sides of the 0.5-mm MgO dielectric. The filter coupling coefficients given in Table II were used in conjunction with a standard design procedure [14]. The filter is symmetrical so only the left-hand half is shown in Fig. 4, and a further enlarged section is shown in Fig. 5. The six spirals fit inside a 34.7 mm 16.2 mm rectangle, while the box interior dimensions are 44 mm 30 mm 7 mm. The simulated response is given in Fig. 6, shifted 0.215 MHz (1.5 parts/1000) to the left-hand side for comparison with the measured result. The exact response is slightly affected by secondary matters such as box size, thus there was no purpose served in optimizing it perfectly. The filter was tuned for minimum ripple while being measured at 20 K. Tuning the center frequency was not attempted. The screwdriver penetrates the top of the cryo-cooler via a vacuum seal. It can be maneuvered to contact the six tuning screws because of flexible bellows. The final response is shown in Fig. 6. The ripple has been reduced to 0.1 dB and, overall, the device is very successful. The main shortcoming is that the six expected ripple peaks have merged into four, leaving a gentler transition region. Having a ripple level lower than designed also contributes to the gentler rolloff. To improve this, would also have to be tuned.

HUANG et al.: NARROWBAND SUPERCONDUCTING FILTER USING SPIRALS WITH REVERSAL IN WINDING DIRECTION

3957

Fig. 7. Earlier tuning attempt: tuned at 79 K and re-measured at 20 K. (a) Small scale. (b) Enlarged. Legend: same as in Fig. 6.

Fig. 5. Detail of resonator 1 in Fig. 4, together with the coupling structure. The resonator is 6.95 6.95 mm, and the linewidth is 0.05 mm. It has the same orientation as resonators 2 and 3. Resonators 2 and 3, together with the modified spiral studied in Section III, have an additional quarter turn so the spirals begin on the top left-hand corner. The quarter-turn difference corrects a 17 parts/1000 frequency shift due to the coupler. Resonators 4–6 are a mirror image of 1–3.

2

Fig. 8. (a). Earlier tuning attempt: two brass and four sapphire screws. The simulated curve has been shifted down 0.118 MHz instead of 0.215 MHz. Legend: same as in Fig. 6. (b) Comparison of response at 20 K between input levels of 5 and 15 dBm.

0

+

others. Brass tuning screws for resonators 1 and 6, and sapphire for the others was, therefore, tried, but the insertion loss was increased by 0.5 dB [see Fig. 8(a)]. Laser trimming [16] is an interesting possibility, but it was not attempted. Power-handling capacity was crudely measured by comparing two measurements of a filter and attenuator in cascade. In one measurement, the attenuator came first. In the other, the filter came first and was thereby subjected to higher power so could be observed. A compression of a reduced value of 0.1 dB occurs at 15 dBm at 20 K [see Fig. 8(b)] and 0 dBm at 77 K (not illustrated), which is reasonable for such a compact narrowband device. Spurious harmonic responses, found both from simulations of single resonators and confirmed by resonator measurements (not shown), are centered at 217, 425, and 532 MHz. The filter stopband response, including the first of these spurious peaks, is given in Fig. 9. Fig. 6. (top) Simulated (assumed lossless) and measured filter characteristics, tuned and measured simultaneously at 20 K. Tuning screws have 4-mm-diameter sapphire pucks. (bottom) Passband, magnified.

VI. POSSIBLE IMPROVEMENTS

In an earlier tuning attempt, the filter was tuned while bolted to a heat sink, with the heat sink immersed in liquid nitrogen. After tuning, the device was cleaned and re-measured at 20 K in a cryostat, and the results are shown in Fig. 7. The mechanical handling and the temperature shift have not had a large effect on the performance. Additional simulations suggest that the observed ripple requires the order of a 0.05-mm shift of the screws. Resonators 1 and 6, with their close proximity to the external couplers, had a significantly different frequency error from the

The frequency shifts required to tune the resonators, estimated by measuring the positions of the screws with vernier calipers and using data from Fig. 3, were approximately 1.0 part/1000 for resonators 2–5, and much smaller for 1 and 6, thus both the theory and resonators 2–5 have been tuned downwards to match 1 and 6. Apparently, spirals are insensitive to simulation cell size, except for the external couplers. To confirm this, the resonant frequencies of resonator 1 (with the input coupler), as simulated using 0.05- and 0.0125-mm cell widths, were compared; the small discrepancy is an estimate of the error due to using 0.05-mm cells. The corresponding error

3958

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

included. Further spiral shapes in [20] confirm the general when currents in adjacent turns are in the trend of higher same direction. For YBCO/MGO 0.1% bandwidth filters using the filter order, linewidths, and thicknesses stated here, 2-GHz of approximately filters would be possible with resonator 10 [14], resulting in a filter loss of approximately 0.5 dB (as found from a lumped-element simulation). Higher frequencies are, of course, available for larger bandwidths, or if the loss can be tolerated. At the other extreme, [2] describes a filter centered at 15 MHz. Fig. 9. Response of the filter over a larger frequency span, showing passband and second harmonic.

TABLE III RESONATOR CONDITIONS IN THE FILTER. THE EXTRA LENGTH IS AN ADJUSTMENT FOR THE PRESENCE OF EXTERNAL COUPLERS, BASED ON 0.05-mm CELL SIZE. THE REMAINING FREQUENCY ERROR IS ESTIMATED BY COMPARISON WITH SIMULATIONS USING 0.0125-mm CELLS

for a nearly isolated resonator was also found (Table III). An optimized narrowband filter is obtained when all the resonant frequencies are equal, but since the optimization was done with simulations using 0.05-mm cells, Table III shows that the resonant frequencies in fact differ by approximately 1.2 parts/1000. This agrees quite well with the above estimate of 1.0 part/1000 frequency shift necessary to tune the filter. A possible modification of the external coupler, which avoids smaller cells in the simulations, has a wider separation from the spiral (0.25 mm instead of 0.05 mm), and is longer and wider (0.45 mm) to maintain the same coupling coefficient, as shown at the bottom of Fig. 1(b). The resonant frequencies would then only differ by 0.2 parts/1000. Tuning would be much easier when the initial untuned response is a reasonable approximation for a filter. Futhermore, resonators 1 and 6 would only have to be shortened by a tenth of a turn. With the resonators more similar to each other, the temperature sensitivity and fabrication errors would be more uniform and easier to deal with. Simulations indicate that tuning of coupling coefficients is possible. A 4-mm sapphire screw, 1 mm above a gap between two spirals, can reduce by approximately 10% by diverting the electric field. However, this value is highly dependent on the gap size. The screw also affects resonant frequency, which will make tuning a difficult process. VII. CONCLUSION A narrowband spiral superconducting filter with 0.1% bandwidth has been demonstrated. Together with previous filters [11], [14], [17]–[19], superconducting filters with suitably modified spirals now encompass the range of bandwidths from 0.1% to 27%, and up to 50% if multilayer copper spirals are

ACKNOWLEDGMENT The authors are grateful to C. Ansell and A. Zetani, both with the University of Birmingham, Edgbaston, Birmingham, U.K., for technical assistance, and to Y. Wang, H. T. Su, G. Y. Zhang, and T. Jackson, all with the University of Birmingham, for upgrading the cryostat. REFERENCES [1] K. Dustakar and S. Berkowitz, “An ultra-narrowband HTS bandpass filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1881–1884. [2] H. Xu, E. Gao, S. Sahba, J. R. Miller, Q. Y. Ma, and J. M. Pond, “Design and implementation of a lumped-element multipole HTS filter at 15 MHz,” IEEE Trans. Appl. Supercond., vol. 9, no. 2, pp. 3886–3888, Jun. 1999. [3] J.-S. Hong, E. P. McErlean, and B. Karyamapudi, “Narrowband high temperature superconducting filter for mobile communication systems,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 151, no. 6, pp. 491–496, Dec. 2004. [4] M. V. Jacob, J. Mazierska, and S. Takeuchi, “Miniaturized superconducting filter for mobile communications,” in Convergent Technol. for Asia–Pacific Region Conf., Oct. 2003, vol. 2, pp. 631–634. [5] I. B. Vendik, A. Deleniv, A. A. Svishchev, M. Goubina, A. Lapshin, A. Zaitsev, R. Schneider, J. Geerk, and R. Aidan, “Narrow-band 10-pole Y–Ba–Cu–O filter on sapphire substrate,” IEEE Trans. Appl. Supercond., vol. 11, no. 1, pp. 361–364, Mar. 2001. [6] E. Picard, V. Madrangeas, S. Bila, J. C. Mage, and B. Marcilhac, “Very narrow band HTS filters without tuning for UMTS communications,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 1113–1116. [7] A. Deliniv, M. Gashinova, A. Eriksson, and A. Khalabuhov, “Novel bandpass filter utilizing S-shaped slot line resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1081–1084. [8] B. K. Jeon, J. H. Kim, C. J. Lee, B. C. Min, Y. H. Choi, S. K. Kim, and B. Oh, “Seven pole HTS microstrip bandpass filter using non-adjacent coupling of pseudo-lumped element resonator,” Electron. Lett., vol. 36, no. 16, pp. 1394–1395, Aug. 2000. [9] G. L. Hey Shipton, “Efficient computer design of compact planar bandpass filters using electrically short multiple coupled lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, Jun. 1999, pp. 1547–1550. [10] S. Ye and C. Sans, “New advances in HTS microstrip filter design,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1885–1888. [11] F. Huang, “Ultra-compact superconducting narrowband filters using single-and twin-spiral resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 487–491, Feb. 2003. [12] Z. Ma, E. Sakurai, and Y. Kobayashi, “Design and measurement of a miniaturized HTS filter using microstrip spiral resonators,” IEICE Trans. Electron., vol. E88-C, no. 2, pp. 216–220, Feb. 2005. [13] J. C. Rautio, “A conformal mesh for efficient planar electromagnetic analysis,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 257–264, Jan. 2004. [14] F. Huang and X. Xiong, “Very compact spiral resonator implementation of narrowband superconducting quasi-elliptic filters,” in Proc. 33rd Eur. Microw. Conf., Munich, Germany, Oct. 2003, pp. 1059–1062. [15] Z. M. Hejazi and P. S. Excell, “Compact superconducting dual-log spiral resonator with high factor and low power dependence,” IEEE Trans. App. Supercond., vol. 12, no. 2, pp. 1813–1817, Jun. 2002.

Q

HUANG et al.: NARROWBAND SUPERCONDUCTING FILTER USING SPIRALS WITH REVERSAL IN WINDING DIRECTION

[16] N. J. Parker, S. W. Goodyear, D. J. P. Ellis, and R. G. Humphreys, “Tuning superconducting microwave filters by laser trimming,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 1971–1974. [17] G. Zhang, F. Huang, and M. J. Lancaster, “Superconducting spiral filters with quasi-elliptic characteristic for radio astronomy,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 947–951, Mar. 2005. [18] F. Huang, “Superconducting spiral wide bandpass filters with wide upper stopband,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2335–2339, Jul. 2005. [19] ——, “Quasi-dual mode microstrip spiral filters using first and second harmonic resonances,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 742–747, Feb. 2006. [20] Z. Ma and Y. Kobayashi, “Miniaturized high-temperature superconducting microstrip and coplanar waveguide filters,” IEICE Trans. Electron., vol. E88-C, no. 7, pp. 1406–1411, Jul. 2005. Frederick Huang received the B.A. degree and D.Phil degree in engineering science from the University of Oxford, Oxford, U.K., in 1980, and 1984, respectively. Since 1989, he has been a Lecturer with the Department of Electronic, Electrical, and Computer Engineering, University of Birmingham, Edgbaston, Birmingham, U.K. His previous research interests were surface acoustic wave (SAW) dot array pulse compressors, analog voice scramblers, Langmuir–Blodgett films in SAW devices, SAW and superconducting linear phase and chirp filter synthesis using inverse scattering, slow-wave structures, superconducting quasi-lumped element filters, switched filters, and delay lines, together with microstrip and waveguide discontinuities. His current main interest is spiral bandpass filters. Dr. Huang is a member of the Institution of Electrical Engineering (IEE), U.K.

3959

Ming Zhou was born in Hunan, China, in 1980. He received the M.Eng. degree in communications systems engineering with management from the University of Birmingham, Edgbaston, Birmingham, U.K., in 2005. For ten months (while working toward his degree), he was with the Network Innovation Center, Nippon Telephone and Telegram (NTT), Yokosuka, Japan, where he was mainly involved with a peer-to-peer communication project. He is currently a Development Engineer with the International Information Products Company, Lenovo, Shenzhen, China. His main interests of research have included high-frequency resonators and microwave applications of high-temperature superconductors.

Libin Yue was born in Jilin, China, on January 2, 1982. He received the B.Eng. in communications systems engineering and M.Sc. degree in communications engineering from the University of Birmingham, Edgbaston, Birmingham, U.K., in 2003 and 2004, respectively. He is currently a Project Management and Key Account Manager with Norgren Pneumatics Ltd., Shanghai, China. He was previously an Innovator and Procurement Specialist with different subsidiary companies of Imperial Metal Industries Ltd. (IMI), which is the holding group for Norgren Pneumatics Ltd. His research fields include catalytic selective reduction (CSR) techniques for nitrogen oxide (NOx) reduction of diesel truck engines. His current field of interest for research includes flow-sensing techniques and industrial control protocols.

3960

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

A Noise-Free and Jitterless Cavity System to Distribute Clocks Over 10 GHz Hatsuhiro Kato, Takaya Kohori, Eiichi Kondoh, Tetsuya Akitsu, Member, IEEE, and Hatsuyoshi Kato

Abstract—We discuss a novel method using a cavity to deliver a high-frequency clock in ultra large-scale integration systems. This method can distribute global clock over 10 GHz without skew and electromagnetic interference noise. Since the cavity can be formed by a usual metallization or its extended process, it will be realized easier compared with other emerging technology such as photoelectric circuits. However, the cavity is thin and affected by energy dissipation on cavity walls. Considering energy dissipation, we discuss features of a cavity system. Index Terms—Cavity, clocks, interconnect, large-scale integration (LSI), metallization, resonant clocking.

I. INTRODUCTION

T

HE CLOCK frequency in ultra large-scale integration (ULSI) systems increases year by year and will exceed 10 GHz, and the die size will be larger loading a huge complicated system. Therefore, it turns out to be difficult to distribute a global clock over a whole area of a ULSI by a conventional bus line because of the high-frequency effects such as propagation delay or noise emission [1], [2]. The frequency discrepancy between the global and the local clocks is especially concerned with the data transfer rate between system blocks. Recently, several proposals to reduce the discrepancy have been discussed, e.g., a system using a dipole antenna [3]–[5] or opto-electronics interconnects [6]. If the clock frequencies in a ULSI chip increases over 10 GHz, the frequency discrepancy will be a serious problem. We proposed a novel cavity system to distribute the global clock. This system uses the standing wave formed in a cavity as a clock signal and realizes jitter- and noise-free clock distribution to internal intellectual property (IP) blocks [7], [8]. If a thick insulator film over 10 m is possible, a cavity for clock delivery system can be fabricated on top of the ULSI system. Compared with a system using the opto-electronics interconnection, the cavity system requires fewer loads for process technology and does not demand an exceptional technical breakthrough of a cost. A method also using a standing wave on parallel transmission lines was proposed [9], [10], but this system is to be a source of electromagnetic interference (EMI) noise at a Manuscript received November 10, 2005; revised April 25, 2006. H. Kato, T. Kohori, E. Kondoh, and T. Akitsu are with the Faculty of Engineering, University of Yamanashi, Kofu 400-8511, Japan (e-mail: [email protected]). H. Kato is with the Tomakomai National College of Technology, Tomakomai 059-1275, Japan. Color versions of Figs. 1(b), 2, and 8 are available online at http://ieeexplore. ieee.org. Digital Object Identifier 10.1109/TMTT.2006.883658

Fig. 1. (a) Block diagram of proposed clock distribution sytem. (b) Schematic structure of cavity on a semiconductor substrate.

high frequency since transmission lines are opened. On the other hand, our cavity system encloses magnetic waves and is principally free from noise generation. Moreover, our method does not require buffering gates to compensate the signal damping along the bus line. This feature is technically feasible to reduce the clock skew. II. SYSTEM CONFIGURATION AND STRUCTURE In Fig. 1(a) and (b), a block diagram and a schematic structure, respectively, of the proposed system are shown. A cavity is driven by a circuit on a substrate or a planner Gun diode to generate a standing wave of the microwave. The signal in a cavity is led to each IP block on a substrate by microwave leads. Since the frequency of a standing wave does not depend on the location, a clock generated by a standing wave principally has no skew. If the resonance curve of a cavity has a sharp peak, the frequency fluctuation of the clock or jitter will be suppressed significantly. Therefore, an ideal global clock can be generated by use of a cavity system. A schematic of the cavity structure is shown in Fig. 1(b) with a cross section of a microwave lead formed on a semiconductor substrate. The top and bottom horizontal walls of a cavity can be fabricated by a standard metallization process and the vertical walls can be formed by a via process. Since the direction of

0018-9480/$20.00 © 2006 IEEE

KATO et al.: NOISE-FREE AND JITTERLESS CAVITY SYSTEM TO DISTRIBUTE CLOCKS OVER 10 GHz

3961

currents on the side walls is vertical, an array of via pillars is applicable instead of continuum walls. A typical dimension of a cavity is 3.2 mm in width , which is determined by wavelength of microwave, and 12 mm in length , being comparable to the chip size. The thickness is assumed to be 10 m. In this figure, the vertical scale is magnified for convenience sake to show the inner structure. The lead should be located where the amplitude of the standing wave has the same magnitude, e.g., the maximum points of the amplitude or the points 1/8 of the wavelength away form the maximum points. When the frequency reaches 30 GHz, the skin depth becomes approximately 0.5 m, which is less than a typical metal thickness of 1 m and a magnetic wave scarcely leaks from the cavity. For the higher frequencies, the skin depth becomes less thin, therefore, the cavity system produces no EMI noise compared with transmission lines. In this paper, the thickness of cavity walls is assumed to be much larger than the skin depth. III. FEATURES OF CAVITY A. Resonance Property When the energy dissipation is negligible, the resonance frequency of the TE wave is given by (1) Here, the inside dimensions of a cavity are and are dielectric constant and susceptibility of a filling material. The and are assumed to mode indices along the direction of be 1, and 0. This means the field strength along the thickness is uniform and the number of antinodes along the axis of (or ) is one (or ). The cutoff frequency is expressed by , which is the minimum frequency over which the microwave can penetrates and its value is GHz when mm. the filler is SiO and the width of the cavity is The detail of the resonance curve is influenced by the dielectric loss due to the filler and the Joule heat generated on the metallic walls. Therefore, the resonance frequency becomes smaller than the value given by (1). The dominant reason for the dissipation is the Joule heat because the cavity thickness is very thin. To analyze the effect of the energy dissipation, we modified the finite-element method (FEM) using vector base functions [8]. Since the Joule heat is caused by the induced current due to the rotation of a magnetic field, we chose a magnetic field as a field vector and developed a formulation of the FEM (see Appendix-A). In Fig. 2(a) and (b), vector diagrams of a magm netic field in a cavity is shown for thicknesses of m, respectively. The -axis ( -axis) is set along the and width (length) direction and the midpoint of the thickness is se. The material of the cavity wall is assumed lected as to be copper (Cu) and the filler is to be SiO . Since the cavity ), the field is driven at the midpoint of the right edge (i.e., ) is a measure of whether strength on the left edge (i.e., the microwave can penetrate into the cavity or not. As shown GHz, in Fig. 2(a), when the driving frequency is a standing wave of three antinodes exists. On the other hand, GHz, the field when the driving frequency changes to scarcely penetrates to the left. This is caused by the interference

Fig. 2. Vector map of a magnetic field for: (a) c = 10 m and (b) c = 5 m, which are obtained by the FEM with consideration of the energy dissipation on metallic walls. The other cavity dimensions are a b = 3:2 12 mm.

2

2

of the input and the reflected waves. The same phenomena is shown in Fig. 2(b) for m. In Fig. 3, a sort of resonance curve is shown for various thicknesses , which is the relation between the driving frequency and the ratio of the input strength of a magnetic field applied on the right edge and the strength of a magnetic field at the left edge. The larger the value of , the deeper the magnetic field penetrates into the cavity. A driving GHz in Fig. 2(a) corresponds to a peak frequency labeled and m in Fig. 3. The frequency is . This freless than that of 30.4 GHz obtained by (1) for quency shift is involved by the energy dissipation, as discussed ) in Appendix-A, where the resonance frequency is related to the factor by (A10). The vector map for GHz in Fig. 2(a) corresponds to a valley of resonance curve in Fig. 3. The same correspondence exists between Figs. 2(b) and 3. becomes invisible as the thickness In Fig. 3, the peak of decreases, which is caused by the spreading and the shift of the resonance peak. The resonance curve does not exist in the GHz. However, the region less the cutoff frequency cutoff frequency and the resonance curve can be shifted to lower frequencies by adjusting the cavity dimensions. If the width is 7.59 mm, the frequency becomes 10 GHz. The optimum dimensions are fixed according to a necessary frequency and the layout of IP blocks.

3962

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

c

Fig. 3. Resonance curves of a cavity for various thickness , which are obtained by the FEM with consideration of a energy dissipation on metallic walls. The other cavity dimensions are the same for Fig. 2.

B. Resonance Peak and Cavity Thickness Due to the skin effect, induced currents on a cavity wall tend to concentrate at the surface. Moreover, the metallic layer in the ULSI system is covered by a barrier metal (BM) of a large resistance. Therefore, the BM might enhance the energy dissipation and spread the peak width of the resonance curve. Criticizing the factor, which is a measure of sharpness of the resonance peak, we considered an influence of the BM on the resonance. To estimate the influence of the Joule heat due to the induced currents on cavity walls, we define an effective sheet with respect to the energy dissipation, as disresistance cussed in Appendix B. An effective sheet resistance is for a metallic layer with a BM, which is expressed by

(2) and

are the thickness and conductance of are the skin depths defined by and , respec. To derive tively. The ratio is defined by (2), we assume that the skin depth is sufficiently smaller than the thickness of the cavity wall. with the energy The factor is defined by the power loss due to the Joule heat. stored in the cavity and This expression can be derived from a model where the oscillation of the cavity is mapped into a damping oscillator within a framework of the FEM, as discussed in Appendix-A. According to this modeling, we obtain an expression for the factor Here,

and

and

(3)

Q

c

Fig. 4. Dependence of factor on the cavity depth for various cavity walls = 12 mm 3.2 mm. for = 3. The cavity dimensions are

n

a2b

2

where and are sheet resistances of the upper (lower) horizontal plate and vertical plate of cavity walls, respectively. These values are derived from (2). According to (3), the dependence of on the cavity thickness is shown in Fig. 4. The dimensions of a cavity are the same used in Fig. 2(a) and (b). The labels in this figure indicate the cavity material. The label Ag denotes silver, which has a large conductance and is widely used for the cavity material, Cu/BM denotes copper (Cu) with a BM of tantalum (Ta) film with 20-nm thickness. The difference of conductance between Ag and Cu is approximately 10%, and this difference is scarcely visible in the logarithmic scale. The difference can be confirmed through the insertion with the axis of arithmetic scale. The curve with the label Al/W denotes an aluminum (Al) cavity using a tungsten plug for a via-hole. The Ta film of 20-nm thickness is also settled for the BM. The process of Al/W is well established and has a cost advantage, but large resistance of W may cause the degradation of the factor. However, the area of the vertical walls made by the W plug is less than that of the horizontal aluminum (Al) walls and the influence of the tungsten (W) is not mm. serious for The feature of a driving circuit is most important to suppress the jitter or fluctuation of the clock frequency. If a driving circuit has a sufficient response, the remaining factor to suppress the jitter is a sharpness of the resonance peak, which can be scaled by the factor. Assuming that a driving circuit detects 1/4 of the width of the resonance peak, we obtained the relation between the factor and the jitter of the clock frequency (4) A detail of derivation to obtain (4) is given in Appendix-A. In Fig. 5, the relation of and the cavity thickness is shown for a cavity of Cu/BM with the dimensions mm 12 mm. As shown in Fig. 3, peaks in a resonant curve are weak for a thin cavity because of the energy dissipation due to cavity walls. A possible thickness to be realized by a usual metallization process is approximately 5 m, but this is the limit

KATO et al.: NOISE-FREE AND JITTERLESS CAVITY SYSTEM TO DISTRIBUTE CLOCKS OVER 10 GHz

3963

Fig. 6. Contour plot of field strength near via array when the frequency is f = 30 GHz. The dashed lines show the fringe of the via whose size and space are R = 20 m and L = 50 m, respectively.

C. Via Array as Cavity Wall

1

Fig. 5. Dependence of the jitter f=f and the Joule heat power P on the cavity depth c for a cavity made by Cu/BM. The cavity dimensions are a b : mm. The voltage between the upper and the lower plates is V : V.

12 2 3 2 0 33

2 = =

where the resonant curve has an apparent peak (see Fig. 3). A thicker cavity wall is desired to improve the cavity feature, and is less if a thickness over 10 m is possible, the jitter than 0.02 (see Fig. 5) and the clock frequency can be controlled with precision. On the other hand, a fabrication technique of 10–100- m scale components has been discussed recently to achieve a high-performance assembly [1], and a technical development to form a thick Cu layer is already realized [11]. This is a promising technology to form a thick cavity so as to obtain a high factor. The power consumed in a cavity system is composed by the and energy consumption of a driving Joule heat on the walls . The former Joule heat can be suppressed when circuit a high- factor is realized. According to the definition of the factor, the Joule heat is represented by . between the upper and lower Using the maximum voltage is expressed by plates of the cavity, the power

The wavelength in a cavity is approximately 3 mm, which is 100 times larger than the size of inter-layer vias. Therefore, the vertical cavity wall can be formed by an array of via pillars. Here, we shows via pillars can reflect the electromagnetic wave with almost the same efficiency if the via spacing is small. Fig. 6 shows a contour plot of an electric field injected from the negative side of the -axis. Dashed lines are cross section m of via pillars, which are squares with a side of m. These sizes are determined and the spacing of from data in [11]. The electric field penetrates through the via spacing, but the field decreases exponentially and the energy transmission scarcely occurs. The electric field is polarized . along the -axis and is expressed by The field component satisfies (7) where

is a sort of scattering potential defined by in via region in other region

(8)

with conductivity of via material . A solution which satisfies the boundary condition (9)

(5) On the other hand, the driving power is caused by currents to charge and discharge a part of the cavity around a distance of a half-wavelength away from the driving point. The capacitance and of this driving area can be estimated by . the driving current becomes Assuming that the source voltage is , the power is expressed (6) defined by decreases The total power when the thickness becomes large, as shown in Fig. 5, where mV, V, , and the cavity dimensions mm 12 mm. According to this expression, are a thick cavity is effective to suppress the energy consumption because an electric field and capacitance of a cavity are reciprocally proportional to the thickness .

is shown in Fig. 6, where and . A detail to obtain this solution is summarized in Appendix-C. is an imaginary number, the wave is If the wave vector is scatlocalized near the via region. The input wave tered by the via array and the scattered waves are localized near the via array, except a wave with the wave vector of . The mode conversion between a uniform input wave and the modulated wave along the -axis does not occur because the wavenumber of the input wave and the typical Fourier compoare quite different in order nent of scattering potential of magnitude. The variance due to long-range displacement of the cavity wall will cause the modulation, but the short-range structural modulation such as the via array does not generate modulation to the reflection wave. , The magnetic field is obtained by the formula . and the energy flow is expressed by the Poynting vector When the Poyinting vector is averaged over the -axis and time

3964

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

0

Fig. 7. Relation between the energy loss 1 R of reflected flux and the via = 20 m when the frequency is spacing L for a via array of the size R f = 30 GHz. An arrow in the vertical axis shows the value of 4R = , which is energy loss caused by a continuum wall.

domain, only the -component remains. This component has the following forms: (10) (11) (12) for input, reflection, and transmission waves, respectively. Here, is defined by . The reflection rate and transand , mission rate are defined by respectively. indicates the energy loss composed by the The quantity Joule heat generated in the via pillar, and transmission energy pass through the via spacing. In Fig. 7, the relation between the and via spacing is shown. The frequency energy loss of the input wave is 30 GHz, and the square via is made by m a side. If the spacing of copper with a size of vias vanishes and the array turns to be a continuum wall, then the becomes , where is the sheet resistance value of defined by [12]. An arrow settled at the vertical axis is less than of Fig. 7 indicates this value. If the spacing is almost the same as that of a 50 m, the energy loss continuum wall. Therefore, the via array can be used instead of a continuum wall. D. Field in Lead Line The clock signal is transferred from the cavity to IP blocks on the substrate through leads of a coaxial line. A schematic structure of a lead is shown in Fig. 1(b), where each terminal is connected to a cavity body and/or a signal gate on a substrate. A typical dimension of a coaxial lead line is approximately 1 m in diameter and 10 m in length. Since its dimension is very small and the signal transfer is strongly influenced by the energy dissipation due to Joule heat on the metallic walls, we analyzed the field distribution in the lead line [8]. In Fig. 8, a magnetic field is shown for a lead line of the cylindrical symmetry, where the vertical axis is the angular component of a magnetic field and the horizontal axis is radius

Fig. 8. Magnetic field strength H in a coaxial lead line when the inner and external radii are 0.5 and 1.5 m, respectively, and the length is 10 m. A load capacitance C = 30 fF is connected at a backend of a lead line.

vector . In the horizontal -plane, a cross section of the lead is shown, which has dimensions of 0.5 m in inner radius, 1.5 m in external radius, and 10 m in length. At the backend, a load fF is connected, which is a typical gate capacitance capacitance of a MOS transistor. The other end is connected to the top plate of a cavity body with a thickness of 5 m, which is a limiting thickness of an apparent resonant peak. Although the field distribution is influenced by the energy dissipation, it is confirmed that the signal field penetrates into the end of the lead line. The gain is defined by the ratio of , where the driving voltage is applied between the upper and lower plates of the cavity, and the output signal is the voltage that appeared between the terminals of a load . Using the field distribution shown in Fig. 8, the gain becomes 0.3 in magnitude and 1.8 in phase angle, which is a sufficient value to transfer the signal. IV. CONCLUSION We have proposed a novel method using a cavity to distribute a high-frequency clock over 10 GHz. Although the resonance in the cavity is influenced by the Joule heat due to induced current on the cavity walls, the resonant curve has an apparent peak when the thickness is larger than 5 m. If the cavity thickness over 10 m is possible, the jitter will be suppressed to less than 1/50 of the clock frequency. In this paper, we have mainly discussed clock frequencies near 30 GHz. In principle, the clock of higher frequencies can be delivered by our cavity system. For example, a discussion over 100 GHz is made, where the cavity meets the requirement to take a clover-shape configuration [13]. Recently, a high-performance assembly or packaging has been discussed to enhance the system efficiency of ULSI with use of three-dimensional (3-D) interconnections including the process of a backend of the line [1], [11]. If the proposed system is implemented into an upper level interconnect layer, the requirement for a cavity system will be sufficiently satisfied and the clock delivery of a high precision will be possible.

KATO et al.: NOISE-FREE AND JITTERLESS CAVITY SYSTEM TO DISTRIBUTE CLOCKS OVER 10 GHz

APPENDIX

3965

is arbitrary, we can choose Since the vector field . The parameter is then given by

A. Cavity Oscillation as a Damping Oscillator A cavity driven by an external current source can be mapped into a damping oscillator within a framework of the FEM of the Galerkin method. By use of this mapping, we will derive expressions for the factor and the peak width of the resonant curve. The Galarkin method is a typical technique to discuss electromagnetic phenomena in the area of the FEM [14]. Since the induced currents are related to the magnetic field at the cavity is chosen as a field variable. The walls, a magnetic field by Galarkin method is a formulation to determine the field seeking a stagnation value of a functional defined by

(A5) Here,

is the

factor defined by (A6)

being the with being the energy stored in the cavity and is a driving dissipation energy per unit time. The quantity term due to the external current . These quantities are defined by (A7) (A8) (A9)

(A1) with respect to an arbitrary field . Here, is an angular frequency of a driving current, and are the dielectric constant and the permeability of a filler, is the volume of the region enclosed by cavity walls, and is the surface region of . The is a driving current injected from region on a vector cavity wall. The cavity wall is made by a metal with a con) . The ductivity and its skin depth is defined by normal vector is toward the external side of the volume . The . vector and scalar area elements are associated with The second term on the left-hand side of (A1) is a newly introduced term caused by energy dissipation at the cavity wall. Taking a variance of with respect to , we obtain

The parameter reaches its peak when the driving frequency , which is given by becomes (A10) . The width of the and the peak value satisfies is defined by the interval of points where the amplipeak . The tude becomes half of the peak value, i.e., resultant expression derived from this definition is (A11) The relation between the jitter (4) is defined by are derived from the definition of

and the factor given by . Relations (3) and (5) and the resonant wave

(A12) (A2) If the energy dissipation on cavity walls is neglected, the resosatisfies the following relation: nant field

of the magnetic field is exHere, the maximum amplitude between the upper and pressed with the maximum voltage lower plates as . B. Effective Sheet Resistance of Double-Layered Wall

(A3) With an assumption that the field in (A1) is given by with a time-dependent parameter and the subtraction of (A3) from (A2), we obtained the relation as follows:

(A4)

Assuming that the cavity wall is formed with a main metal of and a BM of conductance , we derive an a conductance effective sheet resistance of the double-layered wall. The electric field in a perfect metal has no component perpendicular to the surface and a parallel component . When the field is oscil, the field lating by a frequency , i.e., satisfies (B1) where the -axis is taken perpendicular to the surface and is the depth of the BM. Solving the (B1) with the boundary conditions that the field and its derivative at the interface

3966

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

are continuous, we obtained the expression for the space domain as follows:

Equation (8) is then transformed into a difference equation such as (C4)

where is related with the electric field

(B2) and the constant as follows:

where the matrix

and

is defined by (C5)

(B3) (C6) The constant is also associated to the parallel component of the magnetic field at the surface to the total current by the following relation: (B4)

(C7) with the unit matrix . and the state vector By use of the matrix boundary condition (9) is expressed as

The power of the Joule heat is expressed with the real part as follows:

(C8) (C9) (B5)

Defining an effective sheet resistance we obtain the expression (2).

by

, the

,

C. Scattering Formulation for Reflection From Via Array The partial differential equation (8) for the scattering wave can be reduced to an ordinary differential equation by use of a Fourier transformation along the -axis. A numerical solution of this ordinary differential equation can be obtained by use of a difference equation of second order. This formulation is developed in the area of solid-state physics [15]. We have modulated this scattering formalism for the purpose of analyzing the electromagnetic wave. is transformed to Fourier series When the wave , (8) is reduced to the as following: (C1) is the Fourier transformation of (9) with respect to where is a wavenumber defined by the -axis and with . Introducing a state vector and a matrix , (C1) can be expressed by

(C2) We use a discrete coordinate along the -axis defined by with an interval and introducing a scattering matrix defined by (C3)

, an input vector with a wavenumber , and a reflection vector . are Using relation (C4) and condition (C8), all matrices . All state vecdetermined recursively from are obtained successively from tors by use of condition (C9) and relation (C3). The reflection vector and transmission vector are expressed as follows: (C10) (C11) where and . The energy flux of (11) and (12) can be obtained by use of (C10) and (C11). REFERENCES [1] T. Sakurai, “System-on-a-chip versus system-in-a-package: Design and interconnection issues,” in Proc. Adv. Metallization Conf., PA, 2003, pp. 3–10. [2] H. Shinoki, H. Ito, Y. Yokoyama, and K. Masu, “Transmission line interconnect structure in Si ULSI,” in Adv. Metallization Conf. Dig., Tokyo, Japan, 2002, pp. 78–79. [3] K. Kim, W. Bomstad, and K. K. O, “A plane wave model approach to understanding propagation in a intra-chip communication system,” in IEEE AP-S Int. Symp. Dig., Boston, MA, 2001, vol. 2, pp. 166–169. [4] S. Watanabe, A. B. M. H. Rashid, and T. Kikkawa, “Influence of Si substrate ground in antenna transmission gain for on-chip wireless interconnect,” in Adv. Metallization Conf. Dig, Tokyo, Japan, 2002, pp. 94–95. [5] K. M. Kurisu, “Instrument on a semiconductor LSI and clock delivering method,” Japanese Patent 2998690, Apr. 30, 1997. [6] P. Kapur and K. C. Saraswat, “Power dissipation in optical clock distribution network for high performance ICs,” in Proc. IEEE Int. Interconnect Tech. Conf., San Francisco, CA, 2002, pp. 151–153. [7] H. Kato, E. Kondoh, T. Akitsu, T. Kobori, and H. Morishita, “Noise free and jitter-less clock distribution method for high-frequency system using microcavity,” in Proc. Adv. Metallization Conf., PA, 2003, pp. 65–68. [8] T. Kohori, H. Kato, E. Kondoh, and T. Akitsu, “Features of microcavity to distribute high-frequency clock over than 10 GHz,” in Advanced Metallization Conf. Dig., Tokyo, Japan, 2004, pp. 96–97.

KATO et al.: NOISE-FREE AND JITTERLESS CAVITY SYSTEM TO DISTRIBUTE CLOCKS OVER 10 GHz

[9] F. O’Mahony, C. Yue, and S. Wong, “10 GHz clock distribution using coupled standing-wave oscillators,” in IEEE Int. Solid-State Circuits Conf. Dig., San Francisco, CA, 2003, pp. 428–429, and ——, “10 GHz clock distribution using coupled standing-wave oscillators,” IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1813–1820, Nov. 2003. [10] D. Ham and W. Andress, “A circular standing wave oscillator,” in IEEE Int. Solid-State Circuits Conf. Dig., San Francisco, CA, 2004, pp. 380–381. [11] K. Kikuchi, M. Takamiya, Y. Kudoh, K. Soejima, H. Honda, M. Mizuno, and S. Yamamichi, “A package-process- oriented multilevel 5 m-thick Cu wiring technology with pulse periodic reverse electroplating and photosensitive resin,” in Proc. IEEE Int. Interconnect Tech. Conf., San Francisco, CA, 2003, pp. 189–191. [12] S. Ramo, J. R. Whinnery, and T. Van Duzer, Fields and Waves in Communication Electronics, 3rd ed. New York: Wiley, 1994. [13] H. Kato, T. Kohori, K. Watanabe, Y. Kodaira, E. Kondoh, T. Akitsu, and H. Kato, “How to deliver a high-frequency clock over 100 GHz using BEOL technologies,” in Adv. Metallization Conf. Dig., Tokyo, Japan, 2005, pp. 110–111. [14] M. Koshiba, Introduction to Finite Element Method for Optics and Waves. Tokyo, Japan: Morikita Shuppan, 1990, p. 11. [15] K. Hirose and M. Tsukada, “First-principles calculation of the electronic structure for a bielectrode junction system under strong field and current,” Phys. Rev. B, Condens. Matter , vol. 51, no. 8, pp. 5278–5290, Feb. 1995. Hatsuhiro Kato received the Ph.D. degree from Hokkaido University, Sapporo, Japan, in 1987. He then joined the Ultra Large-Scale Integration (ULSI) Research Center, Toshiba Ltd., Kawasaki, Japan, where he was engaged in research of high-speed static random access memories (SRAMs) including BiCMOS technology. After he transferred to the Hakodate National College of Technology and spent time with Antwerpen University, he joined the University of Yamanashi, Kofu, Japan, where he has been since 1999. Dr. Kato is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, and the Physical Society of Japan.

Takaya Kohori received the B.S. degree from the University of Yamanashi, Kofu, Japan, in 2003, and is currently working toward the M.S. degree at the University of Yamanashi.

3967

Eiichi Kondoh received the B.E. and M.E. degrees from Waseda University, Tokyo, Japan, in 1984 and 1986, respectively, and the Ph.D. degree from Kyoto University, Kyoto, Japan, in 1994, all in materials science. He has experience in research and development for thin-film processing with Kawasaki Steel, the Max-Plank Institute, and the Interuniversity Microelectronics Centre (IMEC). Since 1998, he is a Professor currently with the Graduate School, University of Yamanashi, Kofu, Japan. Dr. Kondoh is a member of the Japan Society of Applied Physics, the Japan Institute of Metals, the American Vacuum Society, and the Electrochemical Society.

Tetsuya Akitsu (M’99) received the Doctor (Eng.) degree from Kyoto University, Kyoto, Japan, in 1993. Since 1982, he was involved with low-temperature plasma with the Faculty of Engineering, University of Yamanashi, Kofu, Japan, and then with the Interdisciplinary Graduate School of Medicine and Engineering, in 2002. He is a guest member of the Editorial Board of the International Journal of Environment and Waste Management. Dr. Akitsu is a member of the IEEE, Tokyo Branch, the American Institute of Physics, The Japan Society of Plasma Science and Nuclear Fusion on Research, the Japanese Applied Physics Society, and the Directors Board of the Bio-Material Society Japan. He is a member of the Editorial Board of the Japanese Institute of Electrical Engineering, Session A.

Hatsuyoshi Kato received the Ph.D. degree in applied physics from Hokkaido University, Sapporo, Japan, in 1998. He is currently a Professor of physics with the National College of Tomakomai, Tomakomai, Japan. He studied phonon properties of layered elastic media with Brown University, Providence, RI. He was with the NEC Corporation, where he was involved with computer-aided design (CAD) systems for logic and fault simulation and logic synthesis for very large scale integration (VLSI) chips. Dr. Kato is a member of the Physical Society of Japan.

3968

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Dual- and Triple-Mode Branch-Line Ring Resonators and Harmonic Suppressed Half-Ring Resonators Choon Sik Cho, Member, IEEE, Jae W. Lee, Member, IEEE, and Jaeheung Kim, Member, IEEE

Abstract—Ring resonators have been widely used for various applications. Dual-mode ring resonators have also been investigated due to their applicability to multifrequency mode requirement. In this paper, dual-mode ring resonators using a branch line are designed along with a systematic approach. Triple-mode branch-line ring resonators are also designed adding two branch lines to the ring resonator. Adding more branch lines, multimode resonators can be realized based on the design procedure developed here. The location of the branch lines determines the additional resonant frequencies other than the fundamental resonant frequency generated by the enclosing ring. Furthermore, half-ring resonators working at 2.5 GHz are proposed for suppressing multiple harmonics (second and third harmonics) and providing size reduction with employment of various physical configurations. Equalizing the even- and odd-mode phase delays, harmonics are suppressed effectively in the design of half-ring resonators. Double half-ring resonators with a long opening gap are investigated to continuously decrease the resonant frequency. An open-loop structure provides flexible design and lowers the resonant frequency. Two dual-mode ring resonators and one triple-mode ring resonator are simulated and fabricated along with three different half-ring resonators. Index Terms—Dual-mode ring resonators, half-ring resonators, harmonic suppression, triple-mode ring resonators.

I. INTRODUCTION

M

ICROSTRIP ring resonators have been widely used for the measurement of effective dielectric constants, dispersion, discontinuity parameters, and phase velocity, as well as the determination of optimum substrate thickness [1]–[4]. They also constitute important building blocks for filters [5], oscillators [6], and antennas since they show compactness in size, low radiation loss, high quality factor, easy fabrication, and freedom from open end effects. Much effort has been devoted to the design of bandpass filters and oscillators using the advantage of ring-resonator topology. The ring resonators have been investigated both in theoretical and experimental points-of-view [7]. Manuscript received December 25, 2005; revised June 26, 2006. This work was supported by the Korea Research Foundation Grant funded by the Korea Government (MOEHRD, Basic Research Promotion Fund) (KRF-2005-003D00266) and by the Korea Science and Engineering Foundation under the Engineering Research Center Program through the Intelligent Radio Engineering Center Project, Information and Communications University. C. S. Cho and J. W. Lee are with the School of Electronics, Telecommunication and Computer Engineering, Hankuk Aviation University, Goyang 412-791, Korea (e-mail: [email protected]; [email protected]). J. Kim is with the Intelligent Radio Engineering Center, Information and Communications University, Daejeon 305-732, Korea (e-mail: [email protected]). Color versions of Figs. 6–18 are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.884688

Various physical configurations have been introduced, studied, and validated [8]. The difference between open and closed loops also results in a different frequency response of the resonators [9]. Sometimes the shape of the main resonators has been modified to achieve better performance in terms of frequency response, quality factor, size reduction, design flexibility, and so on. Since the modern wireless communication systems require multiple frequency bands for their operation, dual-mode ring resonators have been widely studied. Orthogonal excitation and perturbation have been generally utilized in the middle of the ring to create the different degenerate modes [4], [10]. However, the physical realization for the perturbed section was ambiguously determined using repeated simulation. Hence, this approach demands a time-consuming task to obtain the desired physical layout. Various efforts have been made to maintain the different even- and odd-mode resonant frequencies [11]–[14]. These also provide a complicated approach to the calculation of necessary physical dimension. In this study, branch lines are simply added to generate more resonant frequencies in the very accurate manner for the desired system requirements. Moving the location of the branch lines controls the second resonant fresince the branch line works as an additional resquency onator. One branch is simply added for the dual-mode operation since the enclosing ring already provides a resonant frequency . For the triple-mode resonator, two branches are added. Using this approach, multiple-mode resonators can easily be realized by adding more branches similarly to the dual- and triple-mode resonators. In the meantime, linearity has been of prime interest for the current wireless communication systems employing multiple channels within a narrow frequency band compared to the past systems. Since active microwave circuits have inherently nonlinearity, it is a sometimes cumbersome task to increase linearity without the help of passive circuits around them. When the ring resonators are used for bandpass filters cascaded with active circuits, the ring resonator can play a significant role in alleviating the nonlinearity problems with a suppression of harmonics. Since the second and third harmonics affect the linearity performance dominantly in frequency response, double half-ring resonators are proposed in this paper. Since the difference of even- and odd-mode phase delay brings about the harmonic at such as presented in the coupler design [14], we analyze novel double half-ring resonators as designed in [15] based on the systematic approach to suppress harmonics. Two half-ring resonators are cascaded through a long opening side gap for equalization of the equal even- and odd-mode phase delay, which finally provides a high- resonance.

0018-9480/$20.00 © 2006 IEEE

CHO et al.: DUAL- AND TRIPLE-MODE BRANCH-LINE RING RESONATORS AND HARMONIC SUPPRESSED HALF-RING RESONATORS

3969

Fig. 3. Triple-mode branch-line ring resonator.

Fig. 1. Dual-mode branch-line ring resonator.

Fig. 2. Equivalent transmission-line circuit for the dual- and triple-mode branch-line ring resonator.

II. DUAL- AND TRIPLE-MODE BRANCH-LINE RING RESONATORS

by even- and odd-mode excitation at the -plane. Adding a branch line, the ring can be divided into two half rings for analfor even- and odd-mode ysis at the plane offsetting from excitation. This lowers the fundamental resonant frequency slightly. The dual- and triple-mode ring resonators are modeled by an equivalent circuit, as in Fig. 2, where the branch line is applied for the triple-mode resonator, and is equalized to an due to the same potential that open stub with the length occurred at two end points of the branch line. The length of the feed line is suitably chosen for convenient feeding, and is replaced by a microstrip-line gap for the gap capacitance easy fabrication. The admittance of the branch line , as in (3), is composed of the combination of a T-junction and an open-stub with length . This gives rise to additional resonance for dual-mode operation

A. Dual-Mode Branch-Line Ring Resonators

(3)

According to the conventional design of the ring resonators [7]–[9], the ring resonators create the resonance based on the radius of the ring as follows in (1): (1) where and is the guide wavelength at the resonance. The ring resonator can produce only one fundamental resonant frequency because both the even- and odd-mode excitations have the same half-wavelength transmission-line resonator (open circuit for the even mode and short circuit for the odd mode) [16]. For dual-mode ring resonators, orthogonal excitation topology using various perturbations has been utilized [17], [18]. However, since the input and output ports are located closely, the coupling between the input and output ports can occur. A dual-mode ring resonator in which the input and output ports are oppositely positioned 180 out-of-phase employing a bridging branch line is proposed as shown in Fig. 1. The resonance condition as follows in (2) for the ring resonator can be applied here to the branch-line ring resonator using the equiv, as in alent transmission line circuit with a single branch Fig. 2: (2) where is the admittance looking into the upper path and is the admittance looking into the lower path at the -plane, as in Fig. 2. Without branch lines, the resonance is determined

where represents the admittance for the T-junction between the enclosed ring and branch line. The additional resonant frequency by a branch line is controlled by the offset . Therefore, two resonant frequencies created by the enclosing ring and branch line comprise the dualmode resonator. For the simplicity of fabrication and insertion loss, and have been chosen as small and realizable as possible. In this study, mm and were used. B. Triple-Mode Branch-Line Ring Resonators and in Fig. 2) are added slightly Two branch lines ( asymmetrically to the ring resonator for the triple-mode operation, as in Fig. 3. Using the design principle derived in Section I, in Fig. 1, is added based on one additional branch line, i.e., the desired resonant frequency. If the mutual coupling between the branch lines is ignorantly small, multiple mode more than triple mode can also be realized. In this study, a triple-mode res. onator is designed by varying III. HALF-RING RESONATORS If the even- and odd-mode phase velocities of the ring resonators are different, harmonics are generated [13], [14]. Unless in Fig. 1, the dual-mode ring resonator shows harmonics growing in the frequency response. Also in Fig. 3, harmonics is not maintained. Moreover, grow as the condition current microwave systems require fundamental resonant frequency with a high- factor. Since the general ring resonators

3970

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 4. Configurations of the half-ring resonators. (a) Open-loop half-ring resonators. (b) Open-loop half-ring resonator with open stubs. (c) Open-loop halfring resonator with bent open stubs.

Fig. 6. Simulated return losses and insertion losses for dual-mode ring resonators.

Fig. 5. Equivalent transmission-line circuit for half-ring resonators.

show a low- factor and multiple harmonics, some perturbation is needed to obtain a high- factor and suppression of harmonics. To alleviate harmonics, phase velocities for the even and odd modes have to be equal, For this, capacitors are sometimes added to extend the traveling path of the odd mode [11]–[14]. Since Fig. 4 can be split into the equivalent circuits for the even and odd modes, as in Fig. 5 [4], additional capacitance between the vertical conductor and virtual ground for the odd mode is generated, thus lengthening the phase delay of the odd mode. Multiple harmonics can be reduced effectively varying in Fig. 4. In addition, the resonant frequency is continuously lowered by increasing the whole length of open half-ring resand onators, as shown in Fig. 4(b) and (c). The spacing also affect the frequency response of the half-ring resonator; in this study, 1 mm has been chosen for easy fabrication. IV. SIMULATION Based on the design philosophy developed in Section I, dualmode branch-line ring resonators have been designed using a and simulated as shown in branch with various offsets is increased, the second resonant freFig. 6. As the offset quency generated from the branch line is decreased, as expected. Furthermore, harmonics are effectively suppressed using this branch line.

Fig. 7. Simulated return losses and insertion losses for triple-mode ring resonators.

For the triple-mode branch-line ring resonator, two branches are added corresponding to the design methodology established in Section II-B. In this study, and are adjusted very similarly to realize the similar second and third resonant frequencies other than the first resonant frequency caused by the enclosing mm, mm, and mm ring. For simplicity, mm. have been simulated with maintaining Fig. 7 shows the simulated performance for the triple-mode ring resonators. The first resonance is created by the enclosing ring, which can be observed in the dual-mode ring resonator. and The second and third resonances occur according to , respectively. Similar to the dual-mode resonator, the first resonant frequency is lowered as the branch lines are apart. The increased mutual coupling between the enclosing ring and the branch lines makes the first resonant frequency to shift (or and appropriately, harmonics are lower) a bit. Choosing suppressed in the design of the triple-mode branch-line ring resonators. For the last case of the resonators, two half-ring resonators are cascaded through a long side gap to create a single resonant frequency and suppress the harmonics effectively. As shown in Fig. 8, three different designs have been examined by continu-

CHO et al.: DUAL- AND TRIPLE-MODE BRANCH-LINE RING RESONATORS AND HARMONIC SUPPRESSED HALF-RING RESONATORS

Fig. 8. Simulated return losses and insertion losses for half-ring resonators.

3971

Fig. 11. Fabricated triple-mode ring resonators (d = 4:9 mm, d = 5:1 mm).

Fig. 9. Simulated return losses and insertion losses for half-ring resonators in terms of S .

Fig. 10. Fabricated dual-mode ring resonators. (a) d = 0. (b) d = 5 mm.

ously increasing the whole length of the half-ring with an open stub or open bent stub. For obtaining resonance at 2.5 GHz, the length and gap of the open stub is appropriately tuned. has been To optimize the dimension of a long side gap, varied using 1, 2, and 4 mm, as in Fig. 9. Due to lower insertion loss and closer modal phase velocities for final fabrication, 1 mm has been chosen for . V. FABRICATION AND MEASUREMENT Various ring resonators were fabricated for verification of the proposed design methodology on a Duroid/RT5880 substrate with a dielectric constant 2.2 and a height 0.787 mm, as mm, mm, shown in Figs. 10–12.

Fig. 12. Fabricated half-ring resonators. (a) Circuit 1. (b) Circuit 2. (c) Circuit 3.

mm, mm, mm, and mm have been used for all design of ring resonators. Two circuits

3972

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 13. Measured and simulated return and insertion losses for dual-mode ring resonators (d = 0).

Fig. 15. Measured and simulated return and insertion losses for triple-mode ring resonator (d = 4:9 mm, d = 5:1 mm).

Fig. 14. Measured and simulated return and insertion losses for dual-mode ring resonators (d = 5 mm).

Fig. 16. Measured and simulated return and insertion losses for cascaded halfring ring resonator (circuit 1).

of dual-mode branch-line ring resonators were fabricated by ( and mm). As expected, the varying the distance symmetrical dual-mode branch-line ring resonator suppresses the harmonics more effectively; however, the asymmetrical resonator suppresses the harmonics less. The resonant frequencies are observed as 2.5/3.51 GHz and 2.42/4.17 GHz for and mm, respectively, as shown in Figs. 13 and 14. One circuit of the triple-mode resonator for mm mm was fabricated using the layout obtained in and Section IV. As shown in Fig. 15, three resonant frequencies, i.e., 2.25/4.12/4.29 GHz, are obtained. The harmonics are suppressed considerably because of close modal phase velocities and phase delays. Simulated and measured results are in good agreement. Three circuits of half-ring resonators are fabricated based on the layouts that appeared in Section III. Figs. 16–18 shows measurement results for the harmonic suppressed half-ring resonators. As the whole length of the half-ring increases, the single resonant frequency decreases and finally reaches 2.45 GHz. Second and third harmonics are successfully suppressed for this cascaded half-ring resonators. Simulated and measured results are also in good agreement. factors of the all resonators designed in this study are shown in Table I. Dual-mode ring resonators represent

Fig. 17. Measured and simulated return and insertion losses for cascaded halfring ring resonator (circuit 2).

a higher factor compared to triple-mode ring resonators since the electromagnetic energies are distributed at more resonant frequencies in the triple-mode operation. Resonant frequencies and insertion losses are also summarized in Table I for comparison between three different topologies of the ring resonators.

CHO et al.: DUAL- AND TRIPLE-MODE BRANCH-LINE RING RESONATORS AND HARMONIC SUPPRESSED HALF-RING RESONATORS

3973

Single resonance and a considerable amount of harmonic suppression are obtained by employing a cascaded half-ring resonator configuration. Due to extended phase delay of the oddmode excitation, harmonics are successfully reduced and single resonant frequency is obtained easily. Excellent agreement between the simulated and measured results shows that the design method developed in this study is fully applicable to any other design for suppressing the harmonics and controlling the number of resonant frequencies.

REFERENCES

Fig. 18. Measured and simulated return and insertion losses for cascaded halfring ring resonator (circuit 3).

TABLE I SUMMARY OF MEASURED RESULTS

VI. CONCLUSION Dual-mode ring resonators were designed, simulated, and measured using the systematic approach developed in this study. A branch line bridging the enclosing ring creates one additional resonance other than the fundamental resonance caused by the ring. The location of the branch line, in other words, variation of the length of the branch line, determines the second resonance of the dual-mode ring resonator. Therefore, a systematic design method can possibly expect the accurate resonant frequencies of dual-mode resonators. A symmetric configuration of the dual-mode resonator suppresses the harmonics more effectively due to the equal phase delays of the even and odd modes. Simulated and measured results are in a good agreement with each other. Triple-mode ring resonators were similarly designed to the dual-mode ring resonators adding one more branch line by bridging the enclosing ring. Varying the location of the branch lines effectively controls the second and third resonant frequencies. However, due to the mutual coupling between the branch lines and/or the branch line and the enclosing ring, the first resonant frequency is slightly lowered and the additional resonances are also affected. Harmonic suppression is also obtained by using almost symmetric configuration. The measured result shows a good agreement with the simulated result expected by a systematic design approach.

[1] M. Matsuo, H. Yabuki, and M. Makimoto, “Dual-mode steppedimpedance ring resonator for bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1235–1240, Jul. 2001. [2] C.-C. Yu and K. Chang, “Transmission-line analysis of a capacitively coupled microstrip-ring resonator,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 11, pp. 2018–2024, Nov. 1997. [3] L. Zhu and K. Wu, “A joint field/circuit model of line-to-ring coupling structures and its application to the design of microstrip dual-mode filters and ring resonator applications,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 1938–1948, Oct. 1999. [4] J. C. Liu, P. C. Lu, C. H. Shie, C. S. Cheng, and L. Yeo, “Dual-mode double-ring resonators for microstrip band-pass-filter applications,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 151, no. 5, pp. 430–434, Oct. 2004. [5] Q. S. Wu, Q. Xue, and C. H. Chan, “Bandpass filter using microstrip ring resonators,” Electron. Lett., vol. 39, no. 1, pp. 62–63, Jan. 2003. [6] L.-H. Hsieh and K. Chang, “High-frequency piezo-transducer-tuned feedback microstrip ring-resonator oscillators operating at high resonant frequencies,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1141–1145, Apr. 2003. [7] J. R. Bray and L. Roy, “Microwave characterization of a microstrip line using a two-port ring resonator with an improved lumped-element model,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1540–1547, May 2003. [8] C. E. Saavedra, “Microstrip ring resonator using quarter-wave couplers,” Electron. Lett., vol. 37, no. 11, pp. 694–695, May 2001. [9] L.-H. Hsieh and K. Chang, “Equivalent lumped elements G; L; C; and unloaded Q’s of closed- and open-loop ring resonators,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 2, pp. 453–450, Feb. 2002. [10] A. C. Kundu and I. Awai, “Control of attenuation pole frequency of a dual-mode microstrip ring resonator bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1113–1117, Jun. 2001. [11] J.-T. Kuo, M. Jiang, and H.-J. Chang, “Design of parallel-coupled microstrip filters with suppression of spurious resonances using substrate suspension,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 83–89, Jan. 2004. [12] A. Riddle, “High performance parallel coupled microstrip filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 1998, pp. 427–430. [13] J.-T. Kuo, S.-P. Chen, and M. Jiang, “Parallel-coupled microstrip filters with over-coupled end stages for suppression of spurious responses,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 10, pp. 440–442, Oct. 2003. [14] J.-T. Kuo, W.-H. Hsu, and W.-T. Huang, “Parallel coupled microstrip filters with suppression of harmonic response,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 383–385, Oct. 2002. [15] C. S. Cho, J. W. Lee, and J. Kim, “Double half-ring resonators for bandpass filter applications with suppression of multiple harmonics and size reduction,” in IEEE Asia–Pacific Microw. Conf., Dec. 2005, vol. 1, pp. 500–503. [16] K. Chang, Microwave Ring Circuits and Antennas. New York: Wiley, 1996. [17] L.-H. Hsieh and K. Chang, “Compact, low insertion-loss, sharp-rejection, and wideband microstrip bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1241–1246, Apr. 2003. [18] M.-F. Lei and H. Wang, “An analysis of miniaturized dual-mode bandpass filter structure using shunt-capacitance perturbation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 861–867, Mar. 2005.

3974

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Choon Sik Cho (S’98–M’99) received the B.S. degree in control and instrumentation engineering from Seoul National University, Seoul, Korea, in 1987, the M.S. degree in electrical and computer engineering from the University of South Carolina, Columbia, in 1995, and the Ph.D. degree in electrical and computer engineering from University of Colorado at Boulder, in 1998. From 1987 to 1992, he was with LG Electronics, where he was involved with communication systems. From 1999 to 2003, he was with Pantec&Curitel, where he was principally involved with the development of mobile phones. In 2004, he joined the School of Electronics, Telecommunication and Computer Engineering, Hankuk Aviation University, Goyang, Korea. His research interests include the design of RF integrated circuits (RFICs)/monolithic microwave integrated circuit (MMICs), especially for power amplifiers, oscillators, low-noise amplifiers (LNAs), antennas and passive circuit design, and the computational analysis of electromagnetics.

Jae W. Lee (S’92–M’98) received the B.S. degree in electronic engineering from Hanyang University, Seoul, Korea, in 1992, and the M.S. and Ph.D. degrees in electrical engineering (with an emphasis in electromagnetics) from the Korea Advanced Institute of Science and Technology (KAIST), Daejon, Korea, in 1994, and 1998, respectively. From 1998 to 2004, he was a Senior Member with the Advanced Radio Technology Department, Radio and Broadcasting Research Laboratory, Electronics and Telecommunications Research Institute (ETRI),

Taejon, Korea. He then joined the School of Electronics, Telecommunication and Computer Engineering, Hankuk Aviation University, Goyang, Korea, where he is currently an Assistant Professor. His research interests include high poweramplifier design, computational electromagnetics, electromagnetic interference (EMI)/electromagnetic compatibility (EMC) analysis on printed circuit boards (PCBs), and component design in microwaves and millimeter waves.

Jaeheung Kim (S’98–M’02) received the B.S. degree in electronic engineering from Yonsei University, Seoul, Korea, in 1989, and the M.S. and Ph.D. degrees in electrical and computer engineering from the University of Colorado at Boulder, in 1998 and 2002, respectively. From 1992 to 1995, he was with the DACOM Corporation, where he was involved with wireless communication systems. From 2002 to 2006, he was with the Department of Electrical and Electronic Engineering, Kangwon National University, Choonchun, Korea. In 2006, he joined the Intelligent Radio Engineering Center, Information and Communications University, Daejeon, Korea. His research interests include beam-forming arrays and high-efficiency active circuits.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

3975

A New Lossy Substrate Model for Accurate RF CMOS Noise Extraction and Simulation With Frequency and Bias Dependence Jyh-Chyurn Guo and Yi-Min Lin

Abstract—A lossy substrate model is developed to accurately simulate the measured RF noise of 80-nm super-100-GHz n-MOSFETs. A substrate RLC network built in the model plays a key role responsible for the nonlinear frequency response of noise in 1–18-GHz regime, which did not follow the typical thermal noise theory. Good match with the measured -parameters, -parameters, and noise parameters before deembedding proves the lossy substrate model. The intrinsic RF noise can be extracted easily and precisely by the lossy substrate deembedding using circuit simulation. The accuracy has been justified by good agreement in terms of -parameters, and under a wide range of bias conditions and operating frequencies. Both channel thermal noise and resistance induced excess noises have been implemented in simulation. A white noise factor extracted to be higher than 2 3 accounts for the velocity saturation and channel length modulation effects. The extracted intrinsic NFmin as low as 0.6–0.7 dB at 10 GHz indicates the advantages of super-100 GHz offered by the sub-100-nm multifinger n-MOSFETs. The frequency dependence of noise resistance suggests the bulk RC coupling induced excess channel thermal noise apparent in 1–10-GHz regime. The study provides useful guideline for low noise and low power design by using sub-100-nm RF CMOS technology. Index Terms—Lossy substrate, noise, RF CMOS, RLC network.

I. INTRODUCTION HE aggressive scaling of CMOS technology to sub-100-nm scale can offer high-speed devices with and maximum oscillation frequency cutoff frequency approaching 100 GHz and above [1]–[7]. It is really a very attractive solution for low cost RF integrated circuit (IC) development. However, the tradeoff among various RF performance parameters such as bandwidth, linearity, gain, power, and noise becomes an important reality to be considered. Potentially, and but suffer some loss in the noise we gain higher performance. The challenge arises to look for an optimized design, which can achieve maximum gain and maintain the noise at minimum. Another challenge coming out to trigger our motivation of this study is how to measure the truly intrinsic noise of sub-100-nm devices precisely. Currently it remains a

T

Manuscript received December 31, 2005; revised July 25, 2006. This work was supported in part by the National Science Council under Grant 94-2220E009-018 and Grant 94-2215-E009-050. The authors are with the Department of Electronics Engineering, National Chiao-Tung University, Hsinchu, Taiwan 30010, R.O.C. (e-mail: jcguo@mail. nctu.edu.tw). Color versions of Figs. 3, 5(a), 6, 10, and 14 are available online at http:// ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.883654

difficult research subject to extract on-wafer RF CMOS noise accurately while its scalability with device scaling is desirable for low-noise RF circuit design. The difficulty stems from the strong dependence of RF noise on the parasitic and coupling effect associated with the gate, transmission line, pads, and lossy substrate, etc. [8]–[10] Gate-induced thermal noise is one of well-known noise sources, and multifinger structures are . Gate leakage generally used to reduce the gate resistance current effect on thermal noise is one more special concern for sub-100-nm MOSFETs with ultra-thin gate oxide. Comparison of calculated dc gate leakage and ac gate displacement currents was performed to verify this effect. It indicates that for an 80-nm , the dc gate leakage current at n-MOSFET with 1.0 V is around 0.64 nA/ m, while the ac gate displacement current can reach as high as 7.22–72.2 A/ m corresponding to frequencies of 1–10 GHz. The obvious dominance of the ac current over the dc leakage current by more than four orders suggests that the gate leakage current effect can be neglected under high frequency in the gigahertz regime. In our study, more important excess noises were identified to be originated from lossy substrate, lossy pad, and transmission-line coupling effects. The lossy Si substrate generally leads to an extremely complicated RLC effect and there is no effective deembedding method to solve it for intrinsic noise extraction. Regarding the lossy pad rendered through pad-to-substrate coupling, the impact is increasing for miniaturized devices and particularly worse for sub-100-nm Si RF CMOS. It is due to the fact that the pad capacitance may overwhelm the intrinsic devices, which we want to measure and model. The increasing pad impact suggests that the RF pad layout is very critical. As for the transmission-line effect, which is becoming significant with increasing frequency, e.g., above 10 GHz and approaching 20 GHz in this study, it is no longer negligible. All the mentioned excess noises dramatically increase with device size scaling and reveal nonlinear frequency dependence. The observation cannot be explained by typical thermal noise theory and formulas in which linear frequency response was predicted [11], [12]. One of the most popular solutions is the noise correlation matrix method, which is based on circuit theory, developed by Haus and Adler in 1959 [13] and the noise correlation matrix derived by Hillbrand and Russer in 1976 [14]. However, the complicated matrices calculation sometimes suffers fluctuation at a very low noise level and poor accuracy in frequency dependence. Previous study on pad deembedding using the matrices correlation method revealed (minimum noise figure) in a dramatic fluctuation of

0018-9480/$20.00 © 2006 IEEE

3976

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 2. 80-nm n-MOSFET (N = 6; 18; 36; 72) (a) Measured NF (1–18 GHz). (b) R extracted from Z -parameters and (C ; C ; C ) extracted from Y -parameter.

Fig. 1. Flowchart of RF MOSFET characterization and modeling.

wide range of 0.5–1.5 dB. Smoothing was reported to get reasonable frequency dependence [9]. A three-step deembedding method incorporating open, short, and through was proposed to deembed the excess noise, which is caused by pad and transmission line. However, two specially designed dummy pads for through deembedding of two ports are necessary. Besides, matrices correlation method cannot be avoided for intrinsic noise extraction [15]. A new transmission-line deembedding method was published to extract the lossy substrate and lossy for sub-100-nm pad effect. In this way, the intrinsic n-MOSFETs can be simulated accurately [10]. However, frequency-dependent substrate resistance was assumed to account for the deviation from the generally used Fukui formula [12] and match the nonlinear frequency response of measured . Besides, the bias and drain current dependence was not extensively verified. The drain current dependence of noise is quite important for low-power and low-noise RF CMOS design. In recent research, we proposed an enhanced lossy substrate model in which the complicated frequency dependence can be precisely described by the RLC network without assumption of frequency-dependent elements [16]. A good match over a wide range of drain currents with measured – mA) and frequencies (1–18 GHz) has been ( by using simachieved. As for the extraction of intrinsic ulation, improvement of the existing BSIM3 model accuracy in terms of mobility, gate capacitance, and the employment and with of parasitic resistances such as correct values becomes a challenge. In this study, calibration

Fig. 3. Open pad. (a) 2-D layout with two signal pads and four ground pads. Two signal pads are used for connection to gate and drain of the MOSFET. Four ground pad are connected together through M1. (b) 3-D structure to show the metal layers for signal (S) and ground (G) pads and interconnection to DUT.

on the existing gate capacitance model, correct extraction of , and deployment in the original intrinsic MOSFET scheme have been done. Through the mentioned -paprocess, a good match is achieved in terms of rameters, and over a wide range of drain currents and can be frequencies and the accuracy of the calculated as low as 0.6–0.7 dB at 10 GHz justified. The intrinsic calculated by the calibrated intrinsic MOSFET model reveals the advantage offered by the sub-100-nm devices. II. DEVICE CHARACTERIZATION AND MODELING FLOW To study the nanoscale CMOS scaling effect on speed and noise, sub-100-nm n-MOSFETs of gate length at 80 nm are used. Multifinger structures are employed to reduce the gate resistance generated RF noise. The finger width is fixed at 4 m and finger numbers of 6, 18, 36, and 72 are designed for study of performance optimization. Fig. 1 illustrates the flowchart to explain the device characterization and modeling procedure for this study. At first, I–V characterthat is ization was done to extract the transconductance

GUO AND LIN: NEW LOSSY SUBSTRATE MODEL FOR ACCURATE RF CMOS NOISE EXTRACTION AND SIMULATION

3977

Fig. 4. RLC network circuits for open pads and lossy substrate coupled through the pad. (a) Gate pad as port-1. (b) Drain pad as port-2.

a key parameter governing and noise figure. The gate bias corresponding to the maximum for various was was fixed at V. around 0.7 V and drain bias Following the bias conditions and dc characterization, -parameters were measured by using an Agilent vector network analyzer up to 40 GHz. Open and short deembedding were done on the measured two-port -parameters to extract the intrinsic -, -, and -parameters. Subsequently, C–V model can parameters can be extracted from -parameters and be determined from -parameters. -parameters of the intrinsic MOSFETs are used to extract the electrodes’ and such as and . The intrinsic MOSFET as extracted is adopted by incorporating the parasitic ADS simulation to do I–V and C–V model parameter extraction and optimization simultaneously. The accuracy of the intrinsic MOSFET model has been extensively verified and validated by a good match with the measurement in terms of and etc. The noise parameters ( and or ) were measured by an ATN-NP5B system to 18 GHz for fixed at maximum and under varying to cover a wide range of drain current ( – mA) for a fixed frequency at 2.4, 5.8, and 10 GHz. A through (thru) line was proposed in the equivalent circuit to emulate the transmission line between the RF probe pad and gate terminal. In this study, we proposed a new RLC equivalent circuit to model the lossy substrate, lossy pad, and thru line’s parasitic to deembed their effect on RF noise. The details of RLC equivalent-circuit development for modeling the lossy substrate and lossy pad will be described in Section III. A full-circuit model can be obtained by integrating the intrinsic MOSFET with the pad capacitance, the substrate and thru line related resistance ( ), capacitance ( ), and inductance ( ), which represent the lossy pad and lossy substrate. The extrinsic noise can then be simulated by using the full-circuit model. Through tuning of RLC parameters, the best fit to the measured -parameters and noise parameters can be achieved and the full circuit can be finalized corresponding to optimized RLC parameters. The intrinsic MOSFET noise can be extracted by simulation through the lossy substrate and lossy pad deembedding from the validated full circuit.

III. SUBSTRATE RLC CIRCUIT NETWORK AND EXTRINSIC NOISE MODEL Fig. 2(a) shows the measured for 80-nm n-MOSFETs with various finger numbers and biased . The RF noise without deembedding under maximum decreases remarkably with increasing . The lower associated with larger may account for part of the contribution, but cannot explain the dramatic difference up to 4.0 dB between and in 10–18 GHz. Fig. 2(b) indicates extracted from -parameters and the gate capacitances from -parameters after deembedding for various . Obviously, varying plays a tradeoff between and , and the resultant is kept at similar level of around 90–105 GHz for and . It suggests that is not the major factor responsible for the dramatic difference in measured . Regarding the abnormally high measured from the smallest device with , the increasing weighting factor played by the lossy substrate is considered as the major cause. A. Open Pad Layout, Three-Dimensional (3-D) Structure, and Equivalent RLC Parameter-Extraction Method Fig. 3(a) and (b) exhibits the open pad layout and 3-D structure used for -parameter deembedding. Herein, metal lines used for connection to the device-under-test (DUT) are stacked from top metal, i.e., M8 and terminated at M3. All DUTs of different share an identical open pad for deembedding. Due to this fact, a single set of RLC equivalent-circuit and model parameters were extracted. This set of RLC model parameters suitable for the open pad will be used as initial values for further tuning and optimization. Through the optimization, a new set of RLC model parameters can be achieved to fit the full circuit with the DUT (MOSFET) linked with the pads. The details of extraction and optimization flow have been shown in Fig. 1. Fig. 4(a) and (b) illustrates the equivalent circuits and model parameters that we propose to simulate the lossy substrate effect through gate and drain pads referring to two-dimensional (2-D) layout and 3-D structure in Fig. 3 for clear images. The proposed RLC network incorporating pad capacitance ,

3978

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

lossy substrate ( , and ), and transmission line will be connected to the gate and drain terminals of the intrinsic MOSFET. The transmission-line body is conand inductor . The shunt sisted of series resistor RLC path to ground at gate/drain pads is used to simulate the lossy pad and lossy substrate effect. The existence of both caand in series pacitive and inductive impedances, i.e., with , is quite different from the conventionally used simple shunt RC circuit. This new RLC network was created to accurately capture the unique frequency response associated with the lossy substrate. The RLC network has been extensively verified by comparison with measured results in terms of -parameters and -parameters of open pads for both the gate (port-1) and drain (port-2), respectively. Fig. 5(a) illustrates the schematic block diagram derived by circuit analysis theory to extract the circuit elements and ). Fig. 5(b) indicates the ( model parameter-extraction flow based on the circuit analysis. fF is a physical paramThe pad capacitance eter calculated by layout and process parameters rather than from extraction. is around five times the intrinsic gate capacitance of the smallest device with , which is around 40 fF in Fig. 2(b). Note that the first run of model parameters extracted based on approximation valid under relatively low/high frequencies (0.2/40 GHz in this study) just serve as the initial guess for further optimization. The optimization was done by using ADS simulation to get the best fit to - and -parameters for both open pads and full circuit (pads and intrinsic MOSFET together). Fig. 6(a) and (b) shows the good agreement in the Smith chart between simulation and measurement for open pad’s (gate pad as port-1) and (drain pad as port-2). Fig. 7(a)–(d) reveals the good fit to measured (magnitude and phase) and for the gate pad in which the effect of and can be obviously identified. Fig. 8(a)–(d) indicates the good match with measured (magnitude and phase) and corresponding to drain pads where the and effect is revisited and confirmed. All the results are demonstrated over a wide range of frequencies up to 40 GHz. The match simultaneously achieved for both - and -parameters manifests the fact that the proposed RLC network is accurate to account for the lossy substrate effect. Our study suggests that and are three key parameters playing the role to capture the lossy substrate’s feature over wide bandwidth. is the primary element responsible for the phase and magnitude deviation in the full frequency range, as well as the nonlinear frequency response of and . On the other hand, reveals an increasing effect in higher frequencies. The nonlinear frequency response of or introduced by accounts for the nonconstant capacitance as extracted by and . The obvious two-slope curvature results in larger effective capacitance in lower frequencies corresponding to larger slope and apparently smaller effective capacitance at higher frequencies due to much reduced slope to near saturation.

Fig. 5. (a) Schematic block diagram derived by circuit analysis theory to extract the circuit elements. (b) RLC circuit model parameter extraction flow.

B. Lossy Substrate RLC Parameter Extraction for Full Circuit Adopting MOSFET and Pads Fig. 9 depicts the full-circuit model for sub-100-nm MOSFETs in which the RLC networks representing the lossy pads, lossy substrate, and transmission line are linked with the

GUO AND LIN: NEW LOSSY SUBSTRATE MODEL FOR ACCURATE RF CMOS NOISE EXTRACTION AND SIMULATION

3979

Fig. 7. Measured S and Im(Y ) for gate pad (symbol) and good fit by simulation (line) using the proposed RLC circuit. (a) S (magnitude and phase) and C effect. (b) Im(Y ) and C effect. (c) S (magnitude and phase) and L effect. (d) Im(Y ) and L effect.

Fig. 6. Smith chart of measured S and S for open pad (symbol) and the good match by simulation (line) using the proposed RLC circuit. (a) S for gate pad as port-1. (b) S for drain pad as port-1.

intrinsic MOSFET (dashed box). For accurate RF modeling, the body of the intrinsic MOSFET is obviously different from the conventional one limited for dc modeling. The parasitic and associated with MOSFET’s electrodes [gate–source–drain (G/S/D)] were extracted by the -parameter method [17], [18]. The extracted and for various are tabulated and attached with Fig. 9. The layout of the intrinsic MOSFET in this study is a threeterminal configuration with source and bulk shorted internally. is a series resistance of metal interconnection to the source and accounts for the substrate network resistance. represents the inductance of the metal line connecting the source/ bulk common node to the ground pad, which is required for accurate high-frequency impedance simulation. Note that the lossy substrate RLC parameters were retuned and optimized through the flow shown in Fig. 1 for every MOSFET of various to fit -parameter, -parameter, and noise parameter before deembedding simultaneously. The difference from the pad-only RLC parameters in Fig. 4 and obvious dependence on account for the additional lossy substrate effect introduced through M3–M1 of the MOSFET, which cannot be extracted from the conventional open-pad deembedding structure with the

Fig. 8. Measured S and Im(Y ) for drain pad (symbol) and good fit by simulation (line) using the proposed RLC circuit. (a) S (magnitude and phase) and C effect. (b) Im(Y ) and C effect. (c) S (magnitude and phase) and L effect. (d) Im(Y ) and L effect.

interconnection line terminated at M3, as mentioned. It is interesting to note that the larger led to an increase of all three capacitance parameters, i.e., and , while a decrease of representing an effective substrate resistance. The full circuit for noise simulation contains the MOSFET body incorporating G/S/D electrodes’ and as the intrinsic part and the proposed RLC networks at two ports as the extrinsic part. Besides the generally considered thermal noises, which are classified as the intrinsic drain current noise, intrinsic induced gate noise, and gate resistance induced excess noise to gate and drain terminals [19], pads’ capacitive coupling and substrate loss are identified as more important factors responsible for the abnormally worse RF noise measured without effective deembedding. The associated with the intrinsic MOSFET represents the distributed gate and channel resistances. For devices with a large finger number, e.g., is effectively reduced and may become not negligible in determining . Regarding ultrahigh frequency, e.g., up to 40 GHz in this study, inductive impedance represented by and become important parasitic elements, which can be evidenced by

3980

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 9. Full-circuit model with intrinsic MOSFET integrated with RLC network in which the R, L, and C parasitics account for lossy pad (C ), lossy substrate (R ; L ; C , and C ), and transmission line (R ; L ) connected to the gate and drain of the MOSFET. RLC model parameters are listed in the table.

-parameters to be shown as follows. To certify the effectiveness and accuracy of the proposed RLC network for lossy pads and lossy substrate, the -parameter was calculated by using the full-circuit schematics in Fig. 9 as the fundamental characteristics to be verified. Fig. 10(a) and (b) demonstrates a good match in and (0.2–40 GHz) between the measurement and simulation for 80-nm n-MOSFETs with various . It is revealed in the Smith chart that and are translated from capacitive to inductive mode under higher frequencies for the devices with large finger number . It indicates the dominance of parasitic inductance existing in the transmission line connected to the gate electrode and pad ( and ). This result suggests the gate transmission-line effect plays an increasingly important role in high frequencies. The accuracy of the lossy substrate model by the proposed RLC network, as well as the effect played by and , are further verified by magnitude and phase of and and before deembedding for devices with various . Regarding the input characteristics at port-1, Fig. 11(a)–(d) indicates a good fit to the measured (magnitude and phase) and for . Figs. 12(a)–(d) and 13(a)–(d) demon-

and for strate a good match with the measured and , respectively. Again, plays a major role in fitting - and -parameters of the full circuit structure in whole frequency range (0.2–40 GHz), while is becoming important in higher frequencies. As for the output feature at port-2, a good fit to the measured (magnitude and phase) and over a full frequency range (0.2–40 GHz) are shown in Figs. 14–16 corresponding to and , respectively. and effects are exactly consistent with those identified for port-1. The accuracy of the lossy substrate model is further justified by a good match with the measured up to 18 GHz, as shown in Fig. 17(a)–(d) for all . The RLC network can predict the nonlinear frequency response of extrinsic noise and the excessively high precisely. The nonlinear frequency response is originated from two obviously different slopes associated with lower and higher frequencies, respectively. In the lower frequency region, the effective substrate impedance is dominated by the capacitive mode represented by . As for getting into a higher frequency region, the substrate impedance is dominated by the resistive mode represented by . The capacitive mode substrate impedance will enhance the frequency dependence

GUO AND LIN: NEW LOSSY SUBSTRATE MODEL FOR ACCURATE RF CMOS NOISE EXTRACTION AND SIMULATION

3981

Fig. 12. 80-nm n-MOSFET with N = 18. Measured S and Im(Y ) before deembedding (symbol) and good fit by simulation (line) using the proposed fullcircuit schematics. (a) S (magnitude and phase) and C effect. (b) Im(Y ) and C effect. (c) S (magnitude and phase) and L effect. (d) Im(Y ) and effect. L

Fig. 10. Smith chart of measured S and S for full circuit with intrinsic MOSFET and pads. Good match achieved by simulation using the proposed RLC network. (a) S . (b) S . n-MOSFETs with N = 6; 18; 36; 72, and operating frequencies of 0.2–40 GHz. The symbol is the measured data and the line is the simulation.

Fig. 11. 80-nm n-MOSFET with N = 6. Measured S and Im(Y ) before deembedding (symbol) and good fit by simulation (line) using the proposed fullcircuit schematics. (a) S (magnitude and phase) and C effect. (b) Im(Y ) and C effect. (c) S (magnitude and phase) and L effect. (d) Im(Y ) and L effect.

of , which is the major cause responsible for the larger slope w.r.t. frequency and excessively high emerging in the low-frequency region. The effect on is clearly

Fig. 13. 80-nm n-MOSFET with N = 36. Measured S and Im(Y ) before deembedding (symbol) and good fit by simulation (line) using the proposed fullcircuit schematics. (a) S (magnitude and phase) and C effect. (b) Im(Y ) and C effect. (c) S (magnitude and phase) and L effect. (d) Im(Y ) and effect. L

Fig. 14. 80-nm n-MOSFET with N = 6. Measured S and Im(Y ) before deembedding (symbol) and good fit by simulation (line) using the proposed fullcircuit schematics. (a) S (magnitude and phase) and C effect. (b) Im(Y ) and C effect. (c) S (magnitude and phase) and L effect. (d) Im(Y ) and L effect.

identified by comparison of two curves simulated with and without illustrated in Fig. 17. plays a minor effect on

3982

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 15. 80-nm n-MOSFET with N = 18. Measured S and Im(Y ) before deembedding (symbol) and good fit by simulation (line) using the proposed fullcircuit schematics. (a) S (magnitude and phase) and C effect. (b) Im(Y ) and C effect. (c) S (magnitude and phase) and L effect. (d) Im(Y ) and L effect.

Fig. 16. 80-nm n-MOSFET with N = 36. Measured S and Im(Y ) before deembedding (symbol) and good fit by simulation (line) using the proposed fullcircuit schematics. (a) S (magnitude and phase) and C effect. (b) Im(Y ) and C effect. (c) S (magnitude and phase) and L effect. (d) Im(Y ) and L effect.

Fig. 18. Measured and modeled extrinsic NF versus drain current I for 80-nm n-MOSFETs. (a) N = 6. (b) N = 18. (c) N = 36. (d) N = 72 under three frequencies, 2.4, 5.8, and 10 GHz.

, which is Regarding the drain current dependence of important for low power and low noise design, the comparison of extrinsic noise has been done among various , as well as that between measurement and modeling employing the proposed lossy substrate network. Fig. 18 demonstrate good agreement achieved between the measured and modeled under a wide range of drain currents ( – mA) and frequencies (2.4, 5.8, 10 GHz) for all 80-nm n-MOSFETs . The move of minimum toward higher for larger suggests the penalty of higher power by using larger devices. However, lossy substrate induced excess noise should for rigorous be deembedded to get the truly intrinsic study and correct conclusion. One more concern about the large drain current reaching 100 mA for the largest device is the potential impact of the dc I–V heating effect. Verification by pulse I–V measurement indicates a lack of negative resistance in the saturation region and suggests a negligible heating effect. Actually, degradation of saturation current and was identified for the multifinger devices with larger and can be modeled by an drop effect due to source series resistance induced voltage drop. IV. LOSSY SUBSTRATE DEEMBEDDING AND INTRINSIC NOISE EXTRACTION AND MODELING A. Intrinsic MOSFET Model for DC and AC Simulation

Fig. 17. Comparison of extrinsic NF between measurement (symbol) and simulation (line) for 80-nm n-MOSFETs. (a) N = 6. (b) N = 18. (c) N = 36. (d) N = 72. C effect is demonstrated for each device.

(not shown), but it is an essential element for a precise match with the - and -parameters, particularly to achieve correct phase in high frequencies, as shown previously.

Through the extensive verification on the proposed lossy substrate model and the justification of accuracy in terms of the -parameter, -parameter, and noise parameters, the lossy substrate deembedding can be done easily and precisely by removing the substrate RLC network from the full-circuit schematics in Fig. 9. The intrinsic noise can be calculated by using ADS simulation after the lossy substrate deembedding. Before that, the intrinsic MOSFET model accuracy needs to be verified in terms of I–V, C–V, and - and -parameters through dc and high-frequency small-signal simulation. Fig. 19 presents a good match between the model and measurement in

GUO AND LIN: NEW LOSSY SUBSTRATE MODEL FOR ACCURATE RF CMOS NOISE EXTRACTION AND SIMULATION

Fig. 19. Comparison of measured and modeled: (a) I versus V and (b) g versus V for 80-nm n-MOSFETs with N = 6; 18; 36; 72.

Fig. 20. Comparison of measured and modeled Im(Y ) after deembedding for 80-nm n-MOSFETs under frequencies of 1, 2.4, 5.8, and 10 GHz. (a) N = 6. (b) N = 18. (c) N = 36. (d) N = 72.

terms of versus and versus under V for all 80-nm n-MOSFETs with different finger numbers . This good match validates the calibrated intrinsic model in aspect of mobility, short channel effects and . Regarding the (SCEs), and parasitic resistances of major concern, , and are three intrinsic to determine primary parameters accompanying with and . The model accuracy in terms of was generally verified by comparison of -parameters based on the and . equations of , Good agreement with the measurement in terms of in Fig. 21, justifies the intrinsic shown in Fig. 20 and model with calibrated gate capacitances. One more rigorous verification on the intrinsic model accuextracted from the unit racy was done by comparison of . Fig. 22 reveals a promisingly current gain, i.e., good match with the measured . The deviation is maintained up below 5% for all devices with various . The optimized suggests the tradeoff to 110 GHz corresponding to , and other parasitics. among

3983

Fig. 21. Comparison of measured and modeled Im(Y ) after deembedding for 80-nm n-MOSFETs under frequencies of 1, 2.4, 5.8, and 10 GHz. (a) N = 6. (b) N = 18. (c) N = 36. (d) N = 72.

Fig. 22. Measured and modeled f versus I (V = 1:0 V) for 80-nm n-MOSFETs. (a) N = 6. (b) N = 18. (c) N = 36. (d) N = 72.

B. Intrinsic MOSFET Noise Model and Simulation and gate capacitances is The accuracy of a prerequisite to predict , and accurate extraction of parasitic and resistances at four terminals such as is essential to calculate with sufficient precision. Regarding the thermal noise models for MOSFET high-frequency noise simulation, channel thermal noise and resistance induced excess noise are considered in this study. Channel thermal , was noise, also known as intrinsic drain current noise calculated by a modified Van der Ziel’s model [20] given by (1)–(3) in which velocity saturation and channel length modulation (CLM) effects were implemented through the calibrated BSIM3 I–V model. Concerning the resistance induced excess and excess gate noises, additional drain current noise current noise were calculated by (4) and (5), respectively [19]. Note that the intrinsic induced gate noise is considered negligible for an 80-nm MOSFET in the operating frequency up to 18 GHz [21]. Fig. 23(a) indicates the simulated intrinsic drain current noise free from and full drain current noise including resistance induced excess noise for all . Fig. 23(b) presents the additional drain current noise calculated by simulation and the comparison with the analytical model given by (4). Fig. 23(c) shows the white noise

3984

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 23. Drain current noise and gate current noise simulated for 80-nm intrinsic n-MOSFETs after lossy substrate deembedding. Frequencies in : V, V . (a) Intrinsic and full drain 1–18 GHz and biases under V current noise S and S . (b) Additional drain current noise S due to terk TR g . minal resistances calculated by simulation and model S (c) White noise factor for intrinsic drain current noise. (d) Resistance S calculated by simulation and model induced excess gate noise k T R !C for all N (6, 18, 36, 72). S

= 10

1 =4

(

)

1

1

1 =4

= 10 = 2 4 5 8 10

Fig. 24. Intrinsic R versus I (V : V) for 80-nm n-MOSFETs after : ; : ; GHz. (a) N . (b) N lossy substrate deembedding. f . . (d) N . (c) N

= 72

= 36

=6

= 18

factor calculated by from simulation, which reveals values of much larger than the long-channel value of 2/3 and decreasing with frequency from around 1.7 to 1.2 corresponding to 1–18 GHz. Fig. 23(d) indicates the resistance induced excess gate current noise from simulation and comparison with the model given by (5), which matches dependence quite well as follows: (1) (2) body effect coefficient

(3) (4) (5)

The intrinsic model with the above enhancement can simulate the bias and frequency dependence of noise resistance , as shown in Fig. 24. The bias dependence of translated to dependence for various can be approximated by the generally used analytical model [11] in which the minimum of corresponds to the maximum of . However, the frequency dependence of cannot be explained by the mentioned model, and bulk resistance induced potential fluctuation was proposed as the possible mechanism [22]. Both the measured extrinsic and simulated intrinsic reflected the frequency dependence, and the results suggest the bulk (substrate) RC coupling effect apparent in 1–10-GHz range. The deployment of and junction capacitances in the intrinsic MOSFET model accounts for the decrease of with increasing frequency. After extensive justification of the intrinsic MOSFET model in terms of mobility, SCE, and parasitic RC , etc., intrinsic of major interest are calculated by the calibrated intrinsic model.

NF versus I for 80-nm n-MOSFETs after lossy substrate = 2:4; 5:8; 10 GHz. (a) N = 6. (b) N = 18. (c) N = 36.

Fig. 25. Intrinsic deembedding. f (d) N .

= 72

The results for various under increasing and frequencies are shown in Fig. 25. The minimum of can be pushed to as low as 0.6–0.7 dB at 10 GHz. Super-100-GHz realized by the 80-nm n-MOSFET makes the major contribution and the trend matches with the Fukui formula [12]. The drain current responsible for the minimal is another major concern for low power. This study suggests the penalty of higher suffered by the bigger device using a larger or the total width to achieve the same level of . Of course, consideration of impedance matching in a real circuit is not covered in this scope. V. CONCLUSION An accurate lossy substrate model has been developed based on deployment of a new RLC network for sub-100-nm RF MOSFETs. The accuracy is justified by a good match with the measured -parameters, -parameters, and noise parameters before deembedding. The accuracy of the intrinsic MOSFET model has been proven by good agreement in terms of and under a wide range of biasing currents and frequencies. The intrinsic noise of 80-nm

GUO AND LIN: NEW LOSSY SUBSTRATE MODEL FOR ACCURATE RF CMOS NOISE EXTRACTION AND SIMULATION

n-MOSFETs of various can be precisely extracted by the lossy substrate deembedding using circuit simulation. The as low as 0.6–0.7 dB at 1.0 V and extracted intrinsic 10 GHz reveals the advantage of low noise achievable by the RF n-MOSFETs. The sub-100-nm and super-100-GHz study provides useful guideline for low-noise and low-power design by using RF CMOS technology. ACKNOWLEDGMENT The authors would like to acknowledge the helpful support of the Nano Device Laboratory (NDL), Hsinchu, Taiwan, R.O.C., on the RF device measurement and the Chip Development Center (CiC), Hsinchu, Taiwan, R.O.C., for ECAD tool support. REFERENCES [1] P. H. Woerlee, M. J. Knitel, R. van Langevelde, D. B. M. Klaassen, L. F. Tiemeijer, A. J. Scholten, and A. T. A. Zegers-van Duijnhoven, “RF-CMOS performance trends,” IEEE Trans. Electron Devices, vol. 48, no. 8, pp. 1776–1782, Aug. 2001. [2] J. C. Guo, W. Y. Lien, M. C. Hung, C. C. Liu, C. W. Chen, C. M. Wu, Y. C. Sun, and P. Yang, “Low-K /Cu CMOS logic based SoC technology for 10 Gb transceiver with 115 GHz fT, 80 GHz f max RF CMOS, high-Q MiM capacitor, and spiral Cu inductor,” in VLSI Tech. Dig. Symp., Jun. 2003, pp. 39–40. [3] C. H. Chen, C. S. Chang, C. P. Chao, J. F. Kuan, C. L. Chang, S. H. Wang, H. M. Hsu, W. Y. Lien, Y. C. Tsai, H. C. Lin, C. C. Wu, C. F. Huang, S. M. Chen, P. M. Tseng, Y. T. Lin, C. F. Chang, H. J. Lin, J. C. Guo, G. J. Chern, D. Tang, and J. Y. C. Sun, “A 90 nm CMOS MS/RF based foundry SoC technology comprising superb 185 GHz f RFMOS and versatile, high-Q passive components for cost/performance optimization,” in Int. Electron Devices Meeting Tech. Dig., Dec. 2003, pp. 39–42. [4] G. Baldwin, J. Ai, K. Benaissa, F. Chen, P. R. Chidambaram, S. Ekbote, S. Ghneim, S. Liu, C. Machala, F. Mehrad, D. Mosher, G. Pollack, T. Tran, B. Williams, J. Yang, S. Yang, and F. S. Johnson, “90 nm CMOS RF technology with 9.0 V I/O capability for single-chip radio,” in VLSI Tech. Dig. Symp., Jun. 2003, pp. 87–88. [5] W. Jeamsaksiri, A. Mercha, J. Ramos, D. Linten, S. Thijs, S. Jenei, C. Detcheverry, P. Wambacq, R. Velghe, and S. Decoutere, “Integration of –150 GHz f NMOS) a 90 nm RF CMOS technology (200 GHz f demonstrated on a 5 GHz LNA,” in VLSI Tech. Dig. Symp., Jun. 2004, pp. 100–101. [6] L. F. Tiemeijer, R. J. Havens, R. deKort, A. J. Scholten, R. van Langevelde, D. B. M. Klaassen, G. T. Sasse, Y. Bouttement, C. Petot, S. Bardy, D. Gloria, P. Scheer, B. Van Haaren, C. Clement, J.-F. Larchanche, I.-S. Lim, A. Zlotnicka, and A. Duvallet, “Record RF performance of standard 90 nm CMOS technology,” in Int. Electron Devices Meeting Tech. Dig., Dec. 2004, pp. 441–444. [7] J. Pekarik, D. Greenberg, B. Jagannathan, R. Groves, J. R. Jones, R. Singh, A. Chinthakindi, X. Wang, M. Breitwisch, D. Coolbaugh, P. Cottrell, J. Florkey, G. Freeman, and R. Krishnasamy, “RFCMOS technology from 0.25 m to 65 nm: The state of the art,” in Proc. Custom Integrated Circuit Conf., 2004, pp. 217–224. [8] X. Jin, J. J. Ou, C. H. Chen, W. Liu, M. J. Deen, P. R. Gray, and C. Hu, “An effective gate resistance model for CMOS RF and noise modeling,” in Int. Electron Devices Meeting Tech. Dig., Dec. 1998, pp. 961–964. [9] C. E. Bilber, M. L. Schmatz, T. Morf, U. Lott, E. Morifuji, and W. Bachtold, “Technology independent degradation of minimum noise figure due to pad parasitics,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, vol. 1, pp. 145–148. [10] J. C. Guo, C. H. Huang, W. Y. Lien, and C. M. Wu, “A simple transmission line de-embedding method for accurate RF CMOS noise modeling,” in IEEE RFIC Tech. Dig., Jun. 2004, pp. 607–610. [11] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 1st ed. Cambridge, U.K.: Cambridge Univ. Press, 1998, ch. 10. [12] H. Fukui, “Optimal noise figure of microwave GaAs MESFET’s,” IEEE Trans. Electron Devices, vol. ED-26, no. 7, pp. 643–650, Jul. 1979.

3985

[13] H. A. Haus and R. B. Adler, Circuit Theory of Linear Noisy Networks. Cambridge, MA: MIT Press, 1959. [14] H. Hillbrand and P. H. Russer, “An efficient method for computeraided noise analysis of linear amplifier networks,” IEEE Trans. Circuits Syst., vol. CAS-23, no. 4, pp. 235–238, Apr. 1976. [15] C.-H. Chen and M. J. Deen, “A general procedure for high-frequency noise parameter de-embedding of MOSFETs by taking the capacitive effects of metal interconnections into account,” in Proc. IEEE Int. Compon., Manuf. Technol. Symp., Kobe, Japan, Mar. 19–22, 2001, pp. 109–114. [16] J. C. Guo and Y. M. Lin, “A lossy substrate model for sub-100 nm, super-100 GHz f RF CMOS noise extraction and modeling,” in IEEE RFIC Tech. Dig., Jun. 2005, pp. 145–148. [17] S. Lee and H. K. Yu, “Parameter extraction technique for the smallsignal equivalent circuit model of microwave silicon MOSFETs,” in Proc. High-Speed Semicond. Devices Circuits, 1997, pp. 182–199. [18] S. Lee, H. K. Yu, C. S. Kim, J. G. Koo, and K. S. Nam, “A novel approach to extracting small-signal model parameters of silicon MOSFET’s,” IEEE Microw. Guided Wave Lett., vol. 7, no. 3, pp. 75–77, Mar. 1997. [19] A. J. Scholten, L. F. Tiemeijer, R. van Langevelde, R. J. Havens, A. T. A. Zegers-van Duijnhoven, and V. C. Venezia, “Noise modeling for RF CMOS circuit simulation,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 618–632, Mar. 2003. [20] A. van der Ziel, “Thermal noise in field-effect transistors,” Proc. IRE, vol. 50, no. 8, pp. 1808–1812, Aug. 1962. [21] S. Asgaran, M. J. Deen, and C.-H. Chen, “Analytical modeling of MOSFETs channel noise and noise parameters,” IEEE Trans. Electron Devices, vol. 51, no. 12, pp. 2109–2114, Dec. 2004. [22] J.-S. Goo, S. Donati, C.-H. Choi, Z. Yu, T. H. Lee, and R. W. Dutton, “Impact of substrate resistance on drain current noise in MOSFETs,” in Proc. SiSPAD, 2001, pp. 182–185. Jyh-Chyurn Guo received the B.S.E.E. and M.S.E.E. degrees from National Tsing-Hua University (NTHU), Taiwan, R.O.C., in 1982 and 1984, respectively, and the Ph.D. degree in electronics engineering from National Chiao-Tung University (NCTU), Hsinchu, Taiwan, R.O.C., in 1994. She previously worked within the semiconductor industry with a major focus on device design and very large scale integration (VLSI) technology development for approximately 19 years. In 1984, she joined the Electronic Research and Service Organization (ERSO)/Industry Technology Research Institute (ITRI), where she was engaged in semiconductor integrated circuit technologies within a broad scope covering high-voltage high-power, submicrometer projects and high-speed static random access memory (SRAM) technologies, etc. From 1994 to 1998, she was with the Macronix International Corporation, where she was engaged in high-density and low-power Flash memory technology development. In 1998, she joined the Vanguard International Semiconductor Corporation, where she was a Device Department Manager for advanced dynamic random access memory (DRAM) device technology development. In 2000, she joined the Taiwan Semiconductor Manufacturing Company (TSMC), where she was a Program Manager in charge of 0.1-m logic CMOS front-end-of-line (FEOL) technology, high-performance-analog (HPA) and RF CMOS technology development. In 2003, she joined NCTU, as an Associate Professor with the Department of Electronics Engineering. She has authored or coauthored over 40 technical papers. She holds 12 international patents in her professional field. Her current research interests cover RF CMOS and high-performance analog device design and modeling, novel nonvolatile memory technology, and device integration technology for system-on-chip (SOC).

Yi-Min Lin was born in Taipei, Taiwan, R.O.C., in 1981. He received the B.S. degree in electrophysics and M.S. degree in electronics engineering from the National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 2004 and 2006, respectively. His current research interests focus on RF device modeling and characterization.

3986

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Design of Compensated Coupled-Stripline 3-dB Directional Couplers, Phase Shifters, and Magic-T’s—Part I: Single-Section Coupled-Line Circuits Slawomir Gruszczynski, Krzysztof Wincza, and Krzysztof Sachse

Abstract—The problem of discontinuities in coupled-stripline 3-dB directional couplers, phase shifters, and magic-T’s, regarding the connections of coupled and terminating signal lines, has been comprehensively investigated for the first time. The proposed equivalent circuit of these discontinuities, of which parameters can be computed in a process of fitting curves of the circuit and electromagnetic analyses, has been used for accurate modeling of coupled-stripline circuits. It has been shown that parasitic reactances, which result from connections of signal and coupled lines, severely deteriorate the return losses and the isolation of such circuits, and that these discontinuity effects can be substantially reduced by connecting compensating shunt capacitances to both coupled and signal lines. Results of measurements carried out for various designed and manufactured coupled-line circuits are most promising and prove the efficiency of the proposed compensation technique. This paper describes the technique of capacitive compensation of discontinuity effects in single-section coupled-line circuits. Index Terms—Coupled-strip transmission lines, directional couplers, discontinuities, magic-T’s, phase shifters, technique of capacitive compensation.

I. INTRODUCTION OUPLED-LINE 3-dB directional couplers, phase shifters, and magic-T’s are often used in microwave integrated circuits and large microwave networks. As an example, let us mention multiport Butler matrices consisting of several 3-dB couplers, phase shifters, and magic-T’s constituting sophisticated beam-forming networks in advanced antenna arrays [1]–[3]. The coupled-line circuits considered can be designed in a technology of either pure-TEM striplines in homogeneous dielectric medium or quasi-TEM microstrip lines in inhomogeneous dielectric medium in which normal waves are propagating with different phase velocities. It is well known that coupled-microstripline circuits suffer from poor impedance matching and—in the case of directional couplers and magic-T’s—from poor isolation of distinguished ports. Several methods have been developed to improve the microstrip circuits’ performance. Their theoretically ideal realization can be achieved equalizing phase velocities of the even-

C

Manuscript received January 20, 2006; revised July 21, 2006. The authors are with the Department of Electronics, Institute of Telecommunications, Teleinformatics and Acoustics, Wroclaw University of Technology, 50-370 Wroclaw, Poland (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.884689

and odd-mode wave propagation in the case of circuits with symmetric coupled-microstrip lines [4]–[6] or equalizing inductive and capacitive coupling coefficients of the asymmetric coupled-microstrip lines, which can constitute quasi-ideal directional couplers [7]–[9]. In yet another methods of microstrip coupler directivity improvement, a single or multiple lumped or distributed capacitors are introduced at the edges or in the center of the coupled region [10]–[12]. Recently in [13], Al-taei et al. have extended the capacitive compensation techniques, originally developed for edge-coupled-microstrip couplers, for a case of broadside coupled-microstrip structures. This novel technique developed for the compensation of the broadside coupled-microstrip couplers needs shunt capacitances connected to a ground instead of those between the edge-coupled microstrips. Compensation of the coupled-microstripline couplers, generating an isolation zero at the desired frequency, can also be effectively obtained by utilizing either feedback inductive elements or a microstrip line connected between the collinear ports of the parallel-line coupler [14] or cascaded interconnecting coupled lines and asymmetric delay lines [15]. However, all the known methods of coupled-stripline and coupled-microstripline advanced circuit design do not take under consideration parasitic reactances associated with regions of connected coupled and signal lines, which seem to be the reason of severe deterioration of the return losses and the isolation of these circuits. Until now, the problem of discontinuities in coupled-stripline and coupled-microstripline circuits has not been reported in the literature and no designing methods are known that would take these phenomena under consideration. We have found only one paper [1] describing a 3-dB coupled-stripline directional coupler in which directivity has been considerably enhanced with open-circuited shunt stubs added to the signal lines of the coupler. However, no attempt at the theoretical design of such couplers has been made in the literature. In this paper, the problem of discontinuities in coupled-stripline directional couplers and phase shifters is comprehensively investigated for the first time. The proposed equivalent circuit of these discontinuities, parameters of which can be computed in a process of fitting curves of the circuit and electromagnetic (EM) analyses, is used for accurate modeling of coupled-stripline circuits. It is shown that parasitic reactances resulting from the connection of signal and coupled lines severely deteriorate the return losses and the isolation of such circuits, and that these discontinuity effects

0018-9480/$20.00 © 2006 IEEE

GRUSZCZYNSKI et al.: DESIGN OF COMPENSATED COUPLED-STRIPLINE 3-dB DIRECTIONAL COUPLERS, PHASE SHIFTERS, AND MAGIC-T’S—PART I

can be substantially reduced by connecting compensating shunt capacitances to both coupled and signal lines. Being very promising, results of measurements carried out for various designed and manufactured coupled-line circuits prove the efficiency of the proposed compensation technique. Here we describe the technique of capacitive compensation of discontinuity effects in single-section coupled-stripline circuits and in [16], designs of compensated high-performance multisection and nonuniform coupled-stripline circuits. In particular, Section II compares -parameters of a 3-dB coupler, measured and calculated theoretically as a result of EM analysis of the whole coupler—with terminating signal lines—and as a result of the same EM analysis in which only coupled lines have been taken into consideration. In Section III, an equivalent circuit of the transition regions between signal and coupled lines is proposed, and its parameters are evaluated using a technique of fitting curves of the circuit and EM analyses. Having calculated parameters of the equivalent circuit of transition regions for the case of the designed 3-dB coupler, in Section IV, results of the circuit analysis of the coupler, accurately modeled with the use of the equivalent circuit and compensated by means of shunt capacitances connected to coupled and signal lines, clearly show the capacity of the proposed compensation technique to improve the coupler’s performance. Measured responses of the compensated coupler are presented in Section V. In Section VI, the same capacitive compensation technique is applied to the design of a high-performance Schiffman 90 phase shifter. Results of EM calculations for the compensated and uncompensated phase shifters and promising measured results for the compensated one are also presented. Some promising measured data for a manufactured magic-T consisting of the compensated 3-dB/90 coupler in conjunction with the two compensated Schiffman 90 phase shifters are also attached there. We trust that the proposed technique of capacitive compensation of discontinuity effects in coupled-stripline circuits can also be useful in the design of the high-performance coupled-microstripline circuits. II. 3-dB/90 DIRECTIONAL COUPLER: RESULTS OF EM SIMULATIONS AND MEASUREMENTS To demonstrate the problem of discontinuities in coupledstripline circuits, regarding the connection of coupled and terminating signal lines, a 3-dB coupler has been designed, comprehensively analyzed with the use of the circuit simulator and EM solver, manufactured, and measured. The coupler has been designed in an offset coupled-stripline topology, fulfilling the following conditions: (1) (2) where is the inductive coupling coefficient, is the capacitive coupling coefficient, is the assumed coupling coefficient, is the even-mode characteristic impedance, is is the characthe odd-mode characteristic impedance, and teristic impedance of terminating lines. A cross-sectional view of the offset coupled striplines is shown in Fig. 1(a) and a layout of a single-section cou-

3987

Fig. 1. (a) Cross-sectional view of the offset coupled striplines. (b) Layout of a single-section 3-dB directional coupler.

Fig. 2. Results of circuit analysis of the 3-dB directional coupler in comparison with results of EM analysis of the coupled-line section (analyzed without 50-

signal lines).

pled-stripline directional coupler is shown in Fig. 1(b). Results of the circuit and EM analyses of the coupler (in which the mm and offset mm), calculating strip width its characteristics of transmission ( ), coupling ( ), isolation ( ) and return loss ( ) are shown in Fig. 2. In Fig. 3, it is clearly seen that the measured coupler’s responses and the calculated ones are fairly different from each other. In order to find the reason for these differences, EM calculations of the whole couplers’ characteristics have been performed. Let us notice that the curves plotted in Fig. 2 present the coupler’s characteristics obtained from the circuit and EM analyses in which only coupled lines are taken into consideration (neither signal lines, nor transitions between signal and coupled lines being taken into account in these analyses). On the contrary, the curves of EM calculations plotted in Fig. 3 are the simulated characteristics of the whole coupler—with signal lines and transitions between signal and coupled lines. From comparison of the calculation and measurement results, we can state that the results of EM calculations of a coupler analyzed together with signal lines and transition regions agree well with the measurement results of the manufactured coupler,

3988

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 3. Results of EM calculations of the 3-dB directional coupler (analyzed together with 50- signal lines) in comparison with the measurements.

whereas a simple circuit analysis of the coupled-line section gives results that agree well with EM calculations of coupled lines (when no signal lines and transition regions are taken into account). Thus, we draw the conclusion that the transition regions between signal lines and coupled lines cause local field disturbances that significantly influence the behavior of the analyzed coupler.

Fig. 4. Transition region between signal and coupled lines. The reference planes are shown.

III. EQUIVALENT CIRCUIT OF THE TRANSITION REGIONS BETWEEN SIGNAL AND COUPLED LINES Deterioration of the directional coupler performance caused by transition regions between signal and coupled lines allows us to claim that by means of such transitions parasitic reactances are introduced into the analyzed circuit. It is justified to find a proper equivalent circuit, which would model such transitions for the purpose of coupler’s optimization. First, EM calculations of the transition region itself, shown in Fig. 4, were performed, and then an equivalent circuit of the region for which -parameters should be very close to these obtained from the EM analysis was created. The proposed equivalent circuit is shown in Fig. 5. It consists of the mutual cathat represents capacitive coupling of the lines pacitance in the transition region, inductances that represent the inductive character of the narrowing signal lines, whose width decreases to the width of coupled lines, and the mutual inductance that represents magnetic coupling of the lines in the transition region. For the analyzed 3-dB directional coupler presented in Section II, the following values of the equivalent-circuit elepF, nH, and ments have been found: nH. Fig. 6 presents the circuit analysis results of a coupler modeled with the use of the proposed equivalent circuit. The results obtained from this analysis are very close to the measurement results of the 3-dB coupler. This proves that the proposed equivalent circuit adequately models the transition regions and also shows that the return loss and isolation deterioration is indeed caused by the parasitic reactances related to these transition regions. IV. COMPENSATION METHOD We propose a new technique of compensation of parasitic reactances related to the transition regions between coupled and

Fig. 5. Equivalent circuit of the transition region between signal and coupled lines.

Fig. 6. Results of circuit analysis of the coupler modeled by the ideal coupledline section and the proposed equivalent circuit of the transition regions.

signal lines. In this technique, compensating shunt capacitances are connected to the coupled and signal lines near the transition regions (see Fig. 7). A schematic of the directional coupler with parasitic reactances and compensating capacitances is shown in Fig. 8. This circuit can be analyzed taking benefit of its symmetry planes by computing reflection coefficients of four

GRUSZCZYNSKI et al.: DESIGN OF COMPENSATED COUPLED-STRIPLINE 3-dB DIRECTIONAL COUPLERS, PHASE SHIFTERS, AND MAGIC-T’S—PART I

Fig. 7. Schematic view of a coupled-line directional coupler with the compensating capacitances C .

one-port subcircuits obtained by dividing the coupler along the symmetry planes using the electric and magnetic walls [17]. The reflection coefficients can be written as (3)–(6), shown at the

3989

Fig. 8. Schematic diagram of the directional coupler compensated by capacitances C .

bottom of this page. The pler is given as follows:

-parameter for the considered cou-

(7)

(3)

(4)

(5)

(6)

3990

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 11. Schematic diagram of the directional coupler compensated by capacitances C and C .

Fig. 9. Computation results of (8) versus capacitance C .

Fig. 12. C

Fig. 10. RL plotted for different values of compensating capacitance C , and T ; C; and I plotted for optimum value of C = 0:12 pF.

The reflection coefficient for the analyzed coupler is a function of the frequency and the compensating capacitance . In our analysis, we are looking for such a value of , which satisfies the relation (8) where and , respectively, are the lower and upper frequencies of the coupler’s operation band. Fig. 9 presents the compucan be found, tation results of (8) versus . One value of which gives the minimum of (8). In Fig. 10, frequency-dependent characteristics of the directional coupler plotted for difare shown. It is ferent values of compensating capacitance proseen that only one value of compensating capacitance vides for an optimum of the coupler’s characteristics. One can notice that the described technique of compensation allows us to improve the return losses and the isolation of the coupled-line directional coupler over the amplitude balance bandwidth. A slight modification of the presented technique in inserted in the which additional compensating capacitance middle of the coupled lines is added enables one—by adding an extra degree of freedom—to obtain the best return losses and isolation of the coupler for the center frequency. A schematic of the coupler with the additional compensating capacitance

T ; C; I ;

= 0:022 pF.

and RL plotted for the optimum values of C = 0:12 pF and

is shown in Fig. 11. Similar analysis can be performed and new and can be found as shown in reflection coefficients (9) and (10), at the bottom of the following page. and remain unchanged. It can Reflection coefficients be shown from (3), (5), (9), and (10) that only one set of values and allows one to minimize the reflection coefficient of the compensated coupler for the center frequency . Fig. 12 presents calculated frequency-dependent -parameters of the single-section directional coupler for which the presented technique of compensation of parasitic reactances for the center frequency was applied. It is worth adding that, in this case, the differs from the one value of the compensating capacitance obtained in the previous case, however, the change itself is negligent. V. EXPERIMENTAL RESULTS OF THE COMPENSATED 3-dB COUPLER In order to experimentally prove the advantages of the proposed technique of compensation, a new 3-dB directional coupler has been designed, manufactured, and measured. The coupler was designed with the same dielectric layers and the same dimensions of coupled lines as in case of the coupler described in Section II. However, in the new coupler, the compensating caand have been added. Moreover, in this new pacitances design, the signal lines have been connected to the coupled lines in such a way that the output signals are from the same side of the coupler. In such a coupler, there are two different transition regions. These differences, however, have been neglected by us

GRUSZCZYNSKI et al.: DESIGN OF COMPENSATED COUPLED-STRIPLINE 3-dB DIRECTIONAL COUPLERS, PHASE SHIFTERS, AND MAGIC-T’S—PART I

3991

Fig. 13. Coupler’s strip patterns etched on both sides of a thin laminate.

since the offset between the coupled strips is small enough and leads to almost the same geometry of the two connection regions at the both coupled-line ends. The placement of the capacitive can be arbitrarily chosen during EM calculations. elements The elements were placed at the distance of the box length assumed in the EM analysis of the transition region. Fig. 13 shows a photograph of the coupler’s strip patterns etched on both sides of a thin laminate. Results of measurements

Fig. 14. Results of measurements of the compensated coupler.

are shown in Fig. 14. One can notice a significant improvement of the coupler -parameter characteristics, as well as an agreement with the calculated characteristics shown in Fig. 12.

(9)

(10)

3992

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 15. Cross-sectional view of coupled lines used in the design of a singlesection coupled-line Schiffman phase shifter.

Fig. 17. Schematic diagram of the phase shifter compensated by capacitance C.

Fig. 18. Layout of the single-section Schiffman phase shifter with compen. sating capacitances C

Fig. 16. Return loss for the compensated and uncompensated Schiffman phase shifters obtained from circuit and EM calculations.

VI. SINGLE-SECTION 90 SCHIFFMAN PHASE SHIFTER A similar compensation technique of the parasitic reactances associated with the transition regions between the signal and coupled lines can be applied in the case of coupled-line phase shifters. The simplest example of such a circuit is a single-section Schiffman phase shifter [18] consisting of a coupled-line “ ” section and a reference line. It is very difficult to obtain good parameters of such circuits due to their sensitivity to parasitic reactances inserted into the circuit and also sensitivity to coupling coefficient unbalance [see condition (1)] resulting from the nonhomogeneity of dielectric structure (the thickness of metal traces causes air gaps, which appear in the regions where layers of laminates interface). In particular, parasitic reactances associated with transition regions between coupled and signal lines severely degrade the return losses of such phase shifters, thus, in this case, the compensation technique can have a great practical meaning. In order to demonstrate the advantages of the technique of compensation of parasitic reactances in coupled-line phase shifters, a single-section Schiffman 90 phase shifter has been designed. A cross-sectional view of coupled lines considered for this design is shown in Fig. 15. The equals chosen coupling coefficient of the coupled lines , and the dimensions of mm and mm. the lines are as follows: The following values of the equivalent-circuit elements have pF, nH, and been found: nH. Fig. 16 shows the results of circuit analysis of an ideal coupled-line section in conjunction with the proposed pF). These reequivalent circuits of transition regions ( sults reveal the influence of transition regions on the behavior

Fig. 19. (a) Measured amplitude responses. (b) Differential phase response of the compensated Schiffman phase shifter. Insertion loss (IL).

of an ideal Schiffman phase shifter, while no other factors deteriorating its return-loss characteristic such as inductance of a connection of coupled lines at one end or inequality of inductive and capacitive coupling coefficients were taken into account. These calculations show that the transition region effects strongly deteriorate the return losses of the phase shifter and should be taken into account in its design stage. A schematic of

GRUSZCZYNSKI et al.: DESIGN OF COMPENSATED COUPLED-STRIPLINE 3-dB DIRECTIONAL COUPLERS, PHASE SHIFTERS, AND MAGIC-T’S—PART I

the single-section phase shifter (its “ ” coupled-line section) is shown in Fig. 17. The with the compensating capacitance calculation results of the compensated phase shifter, obtained pF, are shown in Fig. 16. One can notice a for significant improvement in impedance matching of the circuit. However, in practical design, additional optimization is needed and EM analyses have to be performed in order to find optimum locations and values for the compensating capacitances. In the presented design, six compensating capacitances have been added in various cross sections of coupled lines. The differences between the circuit and EM analyses (see Fig. 16) are caused by additional factors not taken into account in the circuit analysis, in particular, the inductance of the coupled-line connection and the coupled-line end effect. Layout of the designed phase shifter is shown in Fig. 18. The measured -parameters for the compensated phase shifter are shown in Fig. 19(a), whereas Fig. 19(b) shows the measured differential phase response of the phase shifter. One can notice very good measured return losses of the manufactured phase shifter. In comparison with a phase shifter designed without compensation, the return losses are at least 10 dB better. The elaborated phase shifter in conjunction with the directional coupler presented in Section V has been applied for the design of a magic-T circuit, which appeared to exhibit—after manufacturing—a very good performance. Let us mention the return losses measured at each input and output ports of the magic-T dB and the isolation dB in the range of frequencies of the distinguished ports of 2 3 GHz. VII. CONCLUSIONS In this paper, a new compensation technique has been presented. This technique permits to design high-performance coupled-line directional couplers, phase shifters, and magic-T’s, taking into account the effects associated with the transition regions between coupled and signal lines. The following two examples of high-performance coupled-line circuits’ design have been presented in detail in this paper: • compensated single-section 3-dB/90 coupler; • compensated single-section Schiffman 90 phase shifter. Some promising measured data are also attached for a manufactured magic-T consisting of the compensated 3-dB/90 coupler in conjunction with the two compensated Schiffman 90 phase shifters. Basing on the obtained results, we are in a position to state that the parasitic reactances caused by the transition regions between coupled and signal lines have a strong deteriorative influence on the circuits’ performance. The higher the coupling coefficient of the coupled lines, the more deteriorative is the influence on the circuit’s performance. In practice, coupling on the level of 3 dB is the strongest coupling needed for single-section directional couplers; however, in the case of multisection directional couplers and tapered-line couplers, the coupling coefficient can be much stronger reaching even 0.5 dB for the 3-dB coupler’s design. In such a case, the influence of transition regions is much stronger than in the presented examples of the circuit’s design. Through our analysis, we have shown the possibility of simple capacitive compensation of parasitic reactances caused by transition regions between coupled and signal lines. Both

3993

EM calculations and measurements confirm the possibility of such a compensation, which allows one to improve return losses and isolation of the directional couplers, and return and insertion losses of the phase shifters. In the presented circuit designs, a good agreement between results of the EM calculations and results of the measurements has been obtained. In case of a single-section directional coupler, the proposed compensation allows us to achieve theoretically ideal matching and perfect isolation of the coupler at a single center or desired frequency and has a clearly resonant character. A noticeable improvement in the directivity and return losses over the amplitude balance bandwidth is also achieved. However, the method does not seem to allow for a considerable widening of the bandwidth in which high directivity and high return losses are expected to be obtained even with the use of the higher number of compensating elements. However, it has been shown in [16] that such a technique allows for compensating broadband circuits such as multisection directional couplers, phase shifters, and magic-T’s, as well as tapered-line couplers and magic-T’s. ACKNOWLEDGMENT The authors would like to thank S. Gulinska and D. Skrzypek, both with the Wroclaw Division, Telecommunications Research Institute, Wroclaw, Poland, for manufacturing and assembling all experimental models. The authors also wish to express special thanks to the Wroclaw Division, Telecommunications Research Institute, Wroclaw, Poland, for supporting this research. REFERENCES [1] M. Bona, L. Manholm, and J. P. Starski, “Low-loss compact Butler matrix for a microstrip antenna,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2069–2075, Sep. 2002. [2] K. Wincza and S. Gruszczynski, “A broadband 4 4 Butler matrix for modern-day antennas,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1331–1334. [3] W. R. Li, C. Y. Chu, K. H. Lin, and S. F. Chang, “Switched-beam antenna based on modified Butler matrix with low sidelobe level,” Electron. Lett., vol. 40, no. 5, pp. 290–292, Mar. 2004. [4] M. Aikawa, “Microstrip line directional coupler with tight coupling and high directivity,” Trans. Inst. Electron. Commun. Eng. Jpn., vol. E60, pp. 206–207, Apr. 1977. [5] S. L. March, “Phase velocity compensation in parallel-coupled microstrip,” in IEEE MTT-S Int. Microw. Symp. Dig., 1982, pp. 410–412. [6] K. Sachse, A. Sawicki, and G. Jaworski, “Novel, multilayer coupled line structures and their circuit applications,” in Proc. 13th Int. Microw., Radar, Wireless Commun. Conf., Wroclaw, Poland, May 2000, pp. 131–155, pt. 3. [7] T. Emery, Y. Chin, H. Lee, and V. K. Tripathi, “Analysis and design of ideal non symmetrical coupled microstrip directional couplers,” in IEEE MTT-S Int. Microw. Symp. Dig., 1989, pp. 329–332. [8] M. Engels and R. H. Jansen, “Design of quasi-ideal couplers using MMIC technology,” in IEEE MTT-S Int. Microw. Symp. Dig., 1996, pp. 1181–1184. [9] K. Sachse and A. Sawicki, “Quasi-ideal multilayer two- and three-strip directional couplers for monolithic and hybrid MICs,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1873–1882, Sep. 1999. [10] M. Dydyk, “Microstrip directional couplers with ideal performance via single-element compensation,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 956–964, Jun. 1999. [11] C. S. Kim, J. S. Lim, D. J. Kim, and D. Ahn, “A design of single and multi-section microstrip directional coupler with the high directivity,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 1895–1898, 2004. [12] S. F. Chang, J. L. Chen, Y. H. Jeng, and C. T. Wu, “New high directivity coupler design with coupled spurlines,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 65–67, Feb. 2004. [13] S. Al-taei, P. Lane, and G. Passiopoulos, “Design of high directivity directional couplers in multilayer ceramic technologies,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 51–54.

2

3994

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

[14] J. L. Chen, S. F. Chang, and C. T. Wu, “A high directivity coupler with feedback compensation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, pp. 101–104. [15] Y. H. Chun, J. Y. Moon, S. W. Yun, and J. K. Rhee, “Microstrip line directional couplers with high directivity,” Electron. Lett., vol. 40, no. 5, pp. 317–318, Mar. 2004. [16] S. Gruszczynski, K. Wincza, and K. Sachse, “Design of compensated coupled-stripline 3-dB directional couplers, phase shifters, and magicT’s—Part II: Broadband coupled-line circuits,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3501–3507, Sep. 2006. [17] J. Reed and G. J. Wheeler, “A method of analysis of symmetrical four port networks,” IRE Trans. Microw. Theory Tech., vol. MTT-4, no. 10, pp. 246–252, Oct. 1956. [18] B. M. Schiffman, “A new class of broadband microwave 90-degree phase shifters,” IRE Trans. Microw. Theory Tech., vol. MTT-4, no. 4, pp. 232–237, Apr. 1958.

Slawomir Gruszczynski was born in Wroclaw, Poland, on December 14, 1976. He received the M.Sc. degree in electronics and telecommunications and Ph.D. degree in electronics and electrical engineering from Wroclaw University of Technology, Wroclaw, Poland, in 2001 and 2006, respectively. From 2001 to 2006, he has been with the Wroclaw Division, Telecommunications Research Institute, where he was involved in numerous projects for military applications. In 2005, he joined the Institute of Telecommunications and Acoustics, Wroclaw University of Technology, becoming an Assistant Professor in 2006. He is currently a Principal Researcher involved in the CRAFT-016927 Project within the European Union Sixth Framework Programme. He has coauthored 17 scientific papers, including journal, European Microwave Conference, and IEEE Antennas and Propagation Symposium papers. His research interests include passive ultra-broadband microwave circuits such as directional couplers, power dividers, magic-T networks and also multibeam antennas, Butler matrices, and integrated planar and conformal antenna arrays.

Krzysztof Wincza was born in Walbrzych, Poland, on May 27, 1979. He received the M.Sc. degree in electronics and telecommunications from the Wroclaw University of Technology, Wroclaw, Poland, in 2003, and is currently working towards the Ph.D. degree at Wroclaw University of Technology. He is currently a Principal Researcher involved in the CRAFT-016927 Project within the European Union Sixth Framework Programme. He is an expert on the European Union COST 284 Project: Innovative Antennas for Emerging Terrestrial and Space-based Applications. He has coauthored 17 scientific papers, including journal, European Microwave Conference, and IEEE Antennas and Propagation Symposium papers. His scientific interests include multibeam antennas, Butler matrices, lightweight and highly integrated antenna arrays, conformal antennas, spaceborne antennas, reconfigurable arrays, and satellite communication. Mr. Wincza was the recipient of The Youth Award presented at the 10th National Symposium of Radio Sciences (URSI) and the Third-Place Award for the best M.Sc. thesis in the field of microwaves, antenna, and radar technology (awarded by the IEEE Polish Chapter) in 2001 and 2004, respectively.

Krzysztof Sachse was born in Cracow, Poland, on January 10, 1942. He received the M.Sc. degree in telecommunications from the Gdansk University of Technology, Gdansk, Poland, in 1965, and the Ph.D. degree in electronics and electrical engineering and Doctor of Sciences degree from the Wroclaw University of Technology, Wroclaw, Poland, in 1974 and 1991, respectively. From 1965 to 1967, he was a Research Fellow with the Electronics and Electrical Engineering Department, Gdansk University of Technology. In 1967, he joined the Institute of Telecommunications and Acoustics, Wroclaw University of Technology, becoming an Assistant Professor in 1974. Since 1996, he has been a Full Professor. For eight months in 1979, he was a Visiting Scholar with the Microwave and Semiconductor Laboratory, Lille Technical University, Lille, France. He currently lectures on microwave engineering. Since 1988, he has been a Chair of the Microwave Theory and Technique Division, Institute of Telecommunications and Acoustics. His field of research is the solution of EM boundary problems for microstrip-like transmission lines and waveguides, passive microwave integrated-circuit design, and design of microwave feed antenna systems and components. His current research is related to a design of planar antenna filters for modern communication systems, as well as of beam-forming networks for phased-array antennas. Dr. Sachse is a member of the Polish Society of Electrical and Electronic Engineering.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

3995

Measurements of Permittivity, Dielectric Loss Tangent, and Resistivity of Float-Zone Silicon at Microwave Frequencies Jerzy Krupka, Senior Member, IEEE, Jonathan Breeze, Anthony Centeno, Neil Alford, Thomas Claussen, and Leif Jensen

Abstract—The complex permittivity and resistivity of float-zone high-resistivity silicon were measured at microwave frequencies for temperatures from 10 up to 400 K employing dielectric-resonator and composite dielectric-resonator techniques. At temperatures below 25 K, where all free carriers are frozen out, loss-tangent values of the order of 2 10 4 were measured, suggesting the existence of hopping conductivity or surface charge carrier conductivity in this temperature range. Use of a composite dielectricresonator technique enabled the measurement of materials having higher dielectric losses (or lower resistivities) with respect to the dielectric-resonator technique. The real part of permittivity of silicon proved to be frequency independent. Dielectric losses of high-resistivity silicon at microwave frequencies are mainly associated with conductivity and their behavior versus temperature can be satisfactory described by dc conductivity models, except at very low temperatures. Index Terms—Conductivity measurement, dielectric losses, dielectric resonators, permittivity measurement, silicon, semiconductor materials measurements.

I. INTRODUCTION

O

VER THE past 50 years, there have been numerous attempts to measure the complex permittivity of semiconductors at microwave frequencies employing waveguides, resonators, and broadband dispersive Fourier transform spectroscopic technique [1]–[7]. For the most accurate measurement of the complex permittivity or conductivity of semiconductors at microwave frequencies, it is essential that the sample under test has no electrical contact with any metal part of the fixture that is used for measurements. Some microwave techniques offer the possibility of contactless measurements, e.g., cylindrical resonant cavities and waveguides operating in one of the modes (usually the dominant one) [3]–[5]. For such structures, currents have only circumferential component and, thus, do not flow through the metal–semiconductor interface. Such a situation also occurs when the sample under test is separated Manuscript received April 1, 2006; revised June 24, 2006. J. Krupka is with the Instytut Mikroelektroniki i Optoelektroniki Politechniki Warszawskiej, 00-662 Warsaw, Poland (e-mail: [email protected]). J. Breeze, A. Centeno, and N. Alford are with the Faculty of Engineering, Physical Electronics and Materials, London South Bank University, London SE1 0AA, U.K. T. Claussen was with Topsil Semiconductor Material A/S, DK-3600 Frederikssund, Denmark. He is now with PBI-Dansensor, DK-4100 Ringsted, Denmark. L. Jensen is with Topsil Semiconductor Material A/S, DK-3600 Frederikssund, Denmark. Digital Object Identifier 10.1109/TMTT.2006.883655

from all metal parts of the fixture, e.g., [2]. In general, the complex permittivity of a semiconductor material is given by (1) as follows: (1) is the effective dielectric loss tangent of the semiwhere conductor given by (2) as follows: (2) where is the permittivity of the vacuum, is the relative real permittivity of the semiconductor, is the angular frequency, is conductivity, and is the dielectric loss tangent associated with pure dielectric loss mechanisms (e.g., electronic and ionic polarization). For doped semiconductors and for intrinsic semiconductors having energy gaps smaller than 1 eV, the dominant loss mechanism is related to the conductivity associated with free charge carriers up to high microwave frequencies and at temperatures that exceed the activation energy of dopands. For such materials, their dielectric loss tangent can be represented by the second term on the right-hand side of (2). High-resistivity float-zone silicon has found applications as a substrate material for various microwave devices such as transmission lines, filters, or antennas, especially at millimeter-wave frequencies [8]–[10]. Over the last years, significant progress has been achieved in growing silicon crystals with very large resistivities exceeding 10 k cm; however, it is difficult to find in the literature or from manufacturers accurate measurement data of their complex permittivity, in particular as a function of temperature. This data is essential in the design of microwave devices. Such measurements are reported in this paper. II. MEASUREMENTS TECHNIQUES Two measurement setups were used in this study and are shown in Fig. 1. In the first setup [see Fig. 1(a)], the sample under test was situated on a small single crystal quartz support inside a cylindrical cavity and the whole structure was mounted on the cold head of a closed cycle Gifford–McMahon cryocooler for low-temperature measurements or in an oven for elevated temperature measurements. Adjustable coupling mechanisms were used to control coupling coefficients from both ports of the resonator. The resonators were attached to the network analyzer via semirigid coaxial cables. In the second setup [see Fig. 1(b)], the same cylindrical cavity and single crystal

0018-9480/$20.00 © 2006 IEEE

3996

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 1. Sketch of measurement setups used in experiments. (a) Sample under test situated in cylindrical cavity. (b) Sample under test situated inside cylindrical dielectric resonator.

quartz support from the first configuration has been used, but the sample under test had a reduced diameter and was situated inside a ceramic dielectric ring resonator. The purpose of using a dielectric resonator configured in this way was to reduce the electric energy filling factor in the sample and, therefore, increase the factor due to conductor losses in the silicon sample. In the second measurement setup, the contribution to the factor due to losses in the sample increased by one order of magnitude compared to the first measurement setup. This allows measurements of dielectric loss tangents one order of magnitude larger than those that can be measured in the first setup. High-resistivity silicon has dielectric loss tangents of the order 10 at room temperature and microwave frequenof 10 cies. Therefore, techniques that have been used for measurements of its complex permittivity were the same as that used for dielectrics [11]–[14]. Most of the measurements were permode. This appears as the second formed using the quasiresonance in the first measurement setup or the first resonant peak in the second. For some cryogenic measurements, other higher order modes have also been employed. In order to find the relationship between the measured resonant frequencies, factors the real part of the relative permittivity, and dielectric loss tangent, it is necessary to rigorously solve Maxwell’s equations for the structure under test. This was done using rigorous mode-matching and Rayleigh-Ritz techniques described in detail in [11]. In this paper, only the most important aspects of resonant techniques are explained. If the effective dielectric loss tangent of the sample is smaller than 0.1, then the resonant frequencies depend on the real part of the permittivity and the dimensions of the resonant structure. In such a case, the real part of the permittivity can be evaluated from the measured resonant frequency of a specific mode taking into account thermal expansion of the resonant structure and the sample under test. The dielectric loss tangent of the sample can then be evaluated from (3) is the measured unloaded factor of specific mode where of resonator containing the sample under test, is the factor associated with parasitic losses in the cavity including metal wall losses and dielectric losses in the dielectric resonator and is the electric energy in the single crystal quartz support, and

filling factor for the sample under test (ratio of the electric energy stored in the sample to the electric energy stored in whole resonator) (4) where is the volume of the sample, is the volume of the is the spatially dependent permitwhole resonant structure, tivity inside the whole resonant structure, and is the permittivity of the sample. The factor due to parasitic losses can be found from the following formulas: (5) , is the elecwhere tric energy filling factors in dielectric resonator and in the single are the crystal quartz support, respectively, dielectric loss tangents of the dielectric resonator and the single is the factor due crystal quartz support, respectively, and to conductor losses in metal cavity walls (6) where is the surface resistance of metal cavity walls at a given frequency, is the geometric factor, which is defined as (7) is the internal surface of the cavity, and is the component of the magnetic field tangential to the internal surface of the cavity. The factor associated with parasitic losses can be evaluated from measurements made of the unloaded factors of the resonator without the silicon sample and of the empty cavity versus temperature. These measurements allow assessment of the surface resistance of the cavity walls and, in the second, experimental setup of the losses in the dielectric resonator, as a function of temperature. Losses in the single crystal quartz support are negligible due to the small electric energy filling factor value

KRUPKA et al.: MEASUREMENTS OF PERMITTIVITY, DIELECTRIC LOSS TANGENT, AND RESISTIVITY OF FLOAT-ZONE SILICON

3997

TABLE I RESULTS OF ROOM-TEMPERATURE MEASUREMENTS. Dc = 24:0 mm, Lc = 16:12 mm, d = 15:455 mm (*7.97 mm), h = 6:0 mm, Ls = 4:26 mm

in it and the extremely low dielectric losses of quartz (below 2 10 ). Once the material properties of metal cavity walls and dielectric parts in the cavity are known, the geometric factor and electric energy filling factors in the sample are numerically evaluated. It should be mentioned that, for high-resistivity silicon, the parasitic losses in the measurement setups are much smaller than losses in the silicon sample since effective dielectric loss tangent of silicon is usually larger than 10 , except at very low temperatures. In this case, the effective dielectric loss tangent can be determined with approximately the same precision as a -factor measurement, i.e., approximately 1%–2%. When dielectric loss tangent values in silicon samples are of the order of 10 or less (at very low temperatures), it is still possible to measure them very precisely by employing modes having large azimuthal mode indices, i.e., the so-called whispering-gallery modes. By employing whispering-gallery-mode techniques, dielectric loss tangents as low as 10 have been measured on high-purity single-crystal sapphire samples at cryogenic temperatures [12]. The upper limit for loss-tangent measurements is associated with the lowest -factor values that can be effectively measured, and the value of the electric energy filling factor. In our resfactor onant cavity, the minimum value of the measurable was approximately 100. The electric energy filling factors for the two measurement setups are shown in Table I, together with room-temperature measurements results for the two samples. mode As can be seen in Table I, the use of the quasienables the measurement of losses approximately twice as large mode. This is related to as that obtainable using the quasian electric energy filling factor value for the mode, which mode. An electric energy is twice as high as that of the filling factor in the sample can be further reduced by employing a dielectric ring resonator, as in the second measurement setup. In this case, the electric energy filling factor in the sample is reduced by an arbitrary number, which depends on the permittivity and external diameter of the dielectric resonator. The last row of Table I shows that, for the second measurement setup, the electric energy filling factor has been reduced by a factor of 10. III. RESULTS OF EXPERIMENTS A. Measurements Employing Silicon Sample as the Dielectric Resonator Measurements at room temperature have been performed on two bulk cylindrical p-type silicon samples having diameters of 15.455 mm (Sample #1) and 15.457 mm (Sample #2) and a height of 6.0 mm. The surfaces of the samples were mechanically polished, but not to optical quality. The real part of the

Fig. 2. Permittivity versus temperature for sample with d = 15:455 mm. Experimental data points include results extracted from measurements employing mode in two cavities (6.62 and 6.69 GHz) and the third TE mode quasi-TE (11.5 GHz) in the second cavity.

Fig. 3.

Q

factors in the sample versus temperature for silicon sample #1 with

= 15:455 mm measured at frequencies of approximately 6.8 GHz (HE mode) and 6.62 GHz (TE mode). d

relative permittivity was extracted for both samples at difmodes. The ferent frequencies by employing higher order results of these measurements showed the relative permittivity to be independent of frequency. In permittivity determination, the thermal expansion of the silicon samples and copper cavities were taken into account. Results of permittivity measurements as a function of temperature are shown in Fig. 2. It is observed that results of experiments are very smooth with experimental errors in the range of 0.1%. The experimental errors predominantly depend on dimensional uncertainties of the factor samples under test. Results of measurements of the and dielectric loss tangent versus temperature for bulk silicon samples are presented in Figs. 3–6. In the temperature region of 100–250 K, losses are associated with conductivity due to free holes. In this region, all Boron atoms are ionized, and the conductivity, and therefore, the dielectric loss tangent, depends on the mobility of the holes [16]. Measurements between 36–100 K

3998

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 4. Effective dielectric loss tangent versus temperature for silicon sample #1 with d = 15:455 mm measured at frequencies of approximately 6.8 GHz mode) and 6.62 GHz (TE mode). (HE

Fig. 5. Effective dielectric loss tangent versus temperature at low-temperature region for two silicon samples at frequency of approximately 6.8 GHz (HE mode).

when the temperature is increasing compared to when it was decreasing. The increase in losses at temperatures above 310 K are related to the generation of free charge carriers through the energy gap, and above 350 K, high-resistivity silicon becomes essentially intrinsic. In the temperature range of 25–40–K, hole freeze-out effects can be observed. It is not clear what the origin of dielectric losses are below 25 K. To some extent, losses in this temperature range may be attributed to hopping conductivity [15], nonuniform dopand distribution in the sample, and accumulation of charge carriers on the surface of samples or dielectric losses. Additional measurements of losses at 10 K versus frequency have been performed employing several higher order modes. Results of these measurements are shown in Fig. 6 where the first number in the description of the modes denotes an azimuthal mode index, while the second one, in parenthesis, denotes the sequence of the mode on the frequency scale. In other words, both radial and axial mode indices are combined into one as they are not integer numbers for our resonant structure. In the literature, these indices are often denoted by Greek characters to underline this feature, or alternatively, such modes are termed “quasi.” It is seen that dielectric loss tangent values measured modes decrease with increasing frequency. This rewith sult supports the assumption about conductive loss mechanisms such as hopping conductivity. It should be mentioned that for modes, the electric field in the cavity (and sample) only has an azimuthal component that is tangential to all sample surfaces. This is not true for measurements based on the hybrid modes that have all three spatial components of the electric field, some of them perpendicular to the sample surfaces. The spatial distribution of the electric field for hybrid modes is complicated and unique for each mode. If conductivity is nonuniform or anisotropic in the sample volume, it may lead to different values of measured dielectric losses for each mode, as seen in Fig. 6. It should also be noted that measurement errors employing modes at 10 K are small, around 2% or less, because all resonances have factors of the order of a few thousand, and they are well separated in frequency and easily identified. Measurements performed in the same cavity on a single-crystal MgO sample at 10 K had shown dielectric loss tangent values below 1 10 . B. Measurements Employing Composite Dielectric-Resonator Technique

Fig. 6. Effective dielectric loss tangent of sample #2 at 10 K evaluated using Q factors of different modes.

on bulk silicon samples were not possible due to the -factor values being less than 100. Hysteresis effects are observed in the sample. This is manifested by measuring different factors at the same temperature

For these measurements, the diameter of sample #1 is reduced creating sample #3. Sample #3 has a diameter of 7.97 mm and a height of 6.00 mm. A cylindrical ring dielectric resonator was manufactured from Ba(Zn Ta )O (BZT) ceramic. It has the same height as that of the silicon sample, an external diameter of 16.14 mm, and an internal diameter of 8.00 mm. The permittivity of the ceramic dielectric is 29.86 and the dielectric is 5.9 10 at 10 GHz. loss tangent For reference, measurements of parasitic losses versus temperature when a PTFE sample was inserted instead of the silicon sample were undertaken. The PTFE sample was used to keep the BZT resonator in a fixed position. This was necessary due to the vibrations of the close-cycle helium refrigerator. A room-temfactor and resonant perature measurement showed that the

KRUPKA et al.: MEASUREMENTS OF PERMITTIVITY, DIELECTRIC LOSS TANGENT, AND RESISTIVITY OF FLOAT-ZONE SILICON

Fig. 7. Unloaded factors versus temperature for quasi-TE mode of BZT dielectric resonator containing silicon sample #3 and PTFE sample having d = 7:97 mm at a frequency of approximately 4.98 GHz (TE mode). Q factor of the empty cavity without BZT dielectric resonator. Support is additionally denoted via the dotted line.

3999

Fig. 9. Resistivity versus temperature extracted from measurements shown in Fig. 8 for silicon sample #3 at a frequency of approximately 4.98 GHz. Parameters of silicon assumed in computations of theoretical curve are shown in Table II.

TABLE II PARAMETERS OF SILICON ASSUMED IN THEORETICAL COMPUTATIONS OF RESISTIVITY

Fig. 8. Effective dielectric loss tangent versus temperature for silicon sample mode in com#3 at a frequency of approximately 4.98 GHz employing TE posite dielectric resonator.

frequency of the mode for the composite resonator with a PTFE sample is essentially the same as that without it. At cryogenic temperatures, PTFE losses are very low so that one can treat the -factor values of the resonator with a PTFE sample as being the same as the -factor values for an empty dielectric resonator. Additionally, the factor of the empty cavity without the BZT resonator and quartz support was measured in order to evaluate the surface resistance changes of the silverplated cavity versus temperature. Results of -factor measurements and loss tangent determination for sample #3 are shown in Figs. 7 and 8. It is seen that by employing a composite dielectric resonator, measurements are possible in the whole temperature mode. The upper limit on measurerange using the quasiment temperature for the composite resonator is determined by the resonator construction rather than by the dielectric losses in

silicon. For this structure, it is limited by the coaxial cables and the use of a tin solder. Dielectric loss tangent values for samples #1 and #3 that are shown in Figs. 4 and 8 are similar when they are scaled with frequency. Measurements of dielectric loss tangents larger are more accurate, employing the composite than 2 10 dieletric-resonator technique, while measurements of loss tangents smaller than 1 10 are more accurate when the silicon sample stands alone as a dielectric resonator. In Fig. 9, resistivity values extracted from measurements of the loss tangents shown in Fig. 8 are presented. For comparison, we have evaluated theoretical resistivity values from well-known silicon resistivity models (e.g., see [15]). The best fit to experimental data has been obtained assuming the parameters of silicon given in Table II. It can be noticed that temperature dependence of resistivity, or dielectric loss tangent, can be satisfactory explained for temperatures larger than 25 K on the basis of well-understood theories of semiconductors. At temperatures above 25 K, thermal energy is sufficient for partial ionization of Boron dopands, which become fully ionized at temperatures close to 45 K. At temperatures from 45 to 300 K, the number of free carriers is approximately constant and conductivity decreases predominantly due to decreasing hole mobility (in p-type semiconductor). If the temperature increases to approximately 300 K, the number of additional free carriers generated through the forbidden energy gap becomes comparable to the number

4000

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

of holes due to ionized acceptors, and at temperatures above 350 K, high-resistivity silicon becomes essentially intrinsic with an approximately equal number of holes and electrons. The origin of dielectric losses at temperatures below 25 K is not yet clear and requires further investigations.

[14] J. Krupka, W.-T. Huang, and M.-J. Tung, “Complex permittivity measurements of low loss microwave ceramics employing higher order quasi-TE modes excited in a cylindrical dielectric sample,” Meas. Sci. Technol., vol. 16, no. 4, pp. 1014–1020, Apr. 2005. [15] B. I. Shklovskii and A. L. Efros, Electronic Properties of Doped Semiconductors. Berlin, Germany: Springer, 1984, ch. 4. [16] K. Y. Tsao and C. T. Sah, “Temperature dependence of resistivity and hole conductivity mobility in p-type silicon,” Solid State Electron., vol. 19, no. 11, pp. 949–953, Nov. 1976.

IV. CONCLUSIONS The dielectric losses of float-zone high-resistivity silicon have been measured between 10–400 K using two dielectric resonator measurement techniques. Using a novel composite dielectric-resonator configuration, measurements are possible factors. The composite even for samples with very low dielectric-resonator technique can be easily adopted for measurements of arbitrary semiconductors, even those having much smaller resistivity than the high-resistivity silicon used here, by the appropriate choice of dielectric-resonator dimensions. Measurements at temperatures as high as 600 K will be possible by using appropriate coaxial cables with welded connectors and silica insulation. REFERENCES [1] J. G. Linhart, I. M. Templeton, and R. Dunsmuir, “A microwave resonant cavity method for measuring the resistivity of semiconducting materials,” Brit. J. Appl. Phys., vol. 7, pp. 36–37, Jan. 1956. [2] K. S. Champlin and R. R. Krongard, “The measurement of conductivity and permittivity of semiconductor spheres by an extension of the cavity perturbation method,” IRE Trans. Microw. Theory Tech., vol. MTT-9, no. 11, pp. 545–551, Nov. 1961. [3] K. S. Champlin, J. D. Holm, and G. H. Glover, “Electrodeless determination of semiconductor conductivity from TE01 —Mode reflectivity,” J. Appl. Phys., vol. 38, no. 1, pp. 96–98, Jan. 1967. [4] K. S. Champlin and G. H. Glover, “Influence of waveguide contact on measured complex permittivity of semiconductors,” J. Appl. Phys., vol. 37, no. 6, pp. 2355–2360, May 1966. [5] S. Dmowski, J. Krupka, and A. Milewski, “Contactless measurement of silicon resistivity in cylindrical TE mode cavities,” IEEE Trans. Instrum. Meas., vol. IM-29, no. 1, pp. 67–70, Mar. 1980. [6] M. N. Afsar and H. Chi, “Millimeter wave complex refractive index, complex dielectric permittivity and loss tangent of extra high purity and compensated silicon,” Int. J. Infrared Millim. Waves, vol. 15, no. 7, pp. 1181–1188, Jul. 1994. [7] V. V. Parshin, R. Heidinger, B. A. Andreev, A. V. Gusev, and V. B. Shmagin, “Silicon as an advanced window material for high power gyrotrons,” Int. J. Infrared Millim. Waves, vol. 16, no. 5, pp. 863–877, May 1995. [8] H. Sobol, “Applications of integrated circuit technology to microwave frequencies,” Proc. IEEE, vol. 59, no. 8, pp. 1200–1211, Aug. 1971. [9] A. C. Reyes, S. M. El-Ghazaly, S. J. Dorn, M. Dydyk, D. K. Schroder, and H. Patterson, “Coplanar waveguides and microwave inductors on silicon substrates,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2016–2021, Sep. 1995. [10] R. A. Pucel, “Design considerations for monolithic microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 6, pp. 513–534, Jun. 1981. [11] J. Krupka, K. Derzakowski, B. Riddle, and J. Baker-Jarvis, “A dielectric resonator for measurements of complex permittivity of low loss dielectric materials as a function of temperature,” Meas. Sci. Technol., vol. 9, pp. 1751–1756, Oct. 1998. [12] J. Krupka, K. Derzakowski, A. Abramowicz, M. E. Tobar, and R. G. Geyer, “Whispering gallery modes for complex permittivity measurements of ultra-low loss dielectric materials,” IEEE Trans. Microw. Theory Tech, vol. 47, no. 6, pp. 752–759, Jun. 1999. [13] J. Krupka, K. Derzakowski, M. E. Tobar, J. Hartnett, and R. G. Geyer, “Complex permittivity of some ultralow loss dielectric crystals at cryogenic temperatures,” Meas. Sci. Technol., vol. 10, pp. 387–392, Oct. 1999.

Jerzy Krupka (M’00–SM’01) was born in Cracow, Poland, in 1949. He received the M.Sc. (Hons.), Ph.D., and Habilitation degrees from the Warsaw University of Technology, Warsaw, Poland, in 1973, 1977, and 1989, respectively. Since 1973 he has been with the Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, where he is currently a Professor. His research deals mainly with measurements of the electric and magnetic properties of materials at microwave. He was a leader and took part in several research projects on these subjects in the U.S., U. K., Australia, Germany, and France.

Jonathan Breeze received the B.Sc.(Hons.) degree in astrophysics from The University of Leeds, Leeds, U.K., in 1991. He then joined the National Physical Laboratory, where he developed cryogenic sapphire dielectric resonators. In 1993, he joined Matria Marconi Space, as a Senior Design Engineer involved with passive microwave devices for spaceborne applications. While there, he also conducted research into high-temperature superconductor (HTS) and dieletric-resonator filters. Since 1998, he has been with the Centre for Physical Electronics and Materials, London South Bank University, London, U.K., where he is currently a Senior Research Fellow. His interests are microwave resonators and filters and the ab-initio quantum calculation of electrical properties of materials.

Anthony Centeno received the B.Eng. and Ph.D. degrees from the University of Wales, Wales, U.K., in in 1988 and 1994, respectively. From 1992 to 1994, he was a Research Assistant with the University of Bradford. From 1994 to 1996, he was a Senior Microwave Engineer with Matra Marconi Space Ltd., Portsmouth, U.K. From 1996 to 2000, he was a Senior Scientist with the Defence Evaluation and Research Agency. From 2000 to 2003, he was an Assistant Professor with the Universiti Nottingham Malaysia. He has also been a Visiting Academic with the Universiti Technologi Malaysia and the Malaysian Military Academy. He is currently a Senior Lecturer with London South Bank University, London, U.K. His research interests are in the area of applied electromagnetics.

Neil Alford received the B.Sc. (Hons.) degree in geology from St. Andrews University, St. Andrews, U.K., in 1973, and the Ph.D. degree in materials science from Queen Mary College, London, U.K., in 1979. From 1979 to 1981, he was a Post-Doctoral Researcher with Oxford University. In 1981, he joined ICI, where he was involved with projects concerning the processing and fracture of brittle materials, layered composites, and the mechanical and electrical properties of perovskite ceramics, specifically HTSs. Since 1994, he has been a Professor of physical electronics and materials with London South Bank University, London, U.K., where he is currently involved with the development of HTS magnetic resonance imaging (MRI) receive coils, microwave dielectrics, novel signal transformers, and ferroelectric thin films.

KRUPKA et al.: MEASUREMENTS OF PERMITTIVITY, DIELECTRIC LOSS TANGENT, AND RESISTIVITY OF FLOAT-ZONE SILICON

Thomas Clausen received the M.Sc. and Ph.D. degrees from the Technical University of Denmark, Lyngby, Denmark, in 1990 and 1993, respectively. His doctoral thesis concerned metal–semiconductor interfaces. He was then a Post-Doctoral Researcher and Associate Professor in various research fields related to semiconductors, superconductors, and semiconductor–superconductor interfaces. Since 1998, he has been with different companies in a variety of positions, the most recent being Product Manager with Topsil Semiconductor Material A/S, Frederikssund, Denmark. Since December 2005, he has been with PBI-Dansensor, Ringstead, Denmark, as Project Manager for sensor research and development. He has authored or coauthored over 20 papers in refereed journals.

4001

Leif Jensen received the B.Sc. degree from Århus Teknikum, Århus, Denmark, in 1984. He has worked as an Electronic Engineer. Since 1986, he has been involved with float-zone techniques and silicon crystal growth with Topsil Semiconductor Material A/S, Frederikssund, Denmark, where since 1992, he has been a Research and Development Manager.

4002

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Programmable Photonic Microwave Filters With Arbitrary Ultra-Wideband Phase Response Shijun Xiao, Member, IEEE, and Andrew M. Weiner, Fellow, IEEE

Abstract—We present a coherent optical signal-processing approach for synthesis of programmable microwave phase filters over an ultra-wideband. Our scheme relies on a programmable optical phase filter implemented in a pulse-shaping geometry incorporating a spatial light modulator and hyperfine ( 600-MHz spectral resolution) optical spectral disperser. The user-defined optical phase filter is directly transferred to the electrical domain through heterodyne conversion, and the overall system response is characterized via vector network analyzer measurements. We illustrate our approach by synthesizing linear, quadratic, and cubic spectral phase functions over a 20-GHz band. To our best knowledge, this is the first realization of programmable arbitrary microwave phase filters over such a bandwidth. Index Terms—Microwave photonics, optical phase filters, photonic processing of microwave signals, pulse shaping.

I. INTRODUCTION

P

HOTONIC processing of microwave signals has been explored for nearly 30 years [1]–[4]. In general, microwave signals are imposed onto an optical carrier, manipulated directly in the optical domain, and then converted back into the microwave domain through opto-electronic (O/E) receivers [photodiodes (PDs)]. Compared to conventional electronic processing, advantages of photonic processing include ultra-wide bandwidth, immunity to electromagnetic interference, flexibility, etc., which bring attractive applications prospects in microwave and millimeter-wave engineering [5], [6]. Previous approaches have been referred to as discrete-time optical processing of microwave signals (DOPMS) [1], which can be modeled as tapped nonrecursive (finite impulse response) or recursive (infinite impulse response) digital filters. In order to avoid sensitivity to environmental conditions, the coherence time of the laser source is generally designed to be less than the tap delay, resulting in incoherent processing based on summation of powers. The main effort has been focused on realization of DOPMS architectures providing a specified amplitude filtering response, and previous results may be found in review papers [1], [7] and the references therein. For more than two decades, approaches based on DOPMS have demonstrated a range of amplitude filters with desirable properties such as flat passbands, sharp transitions, and low sidelobes. Typically such filters exhibit linear phase response Manuscript received February 22, 2006; revised July 11, 2006. The authors are with the School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47907-2035 USA (e-mail: sxiao@ecn. purdue.edu; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.883237

within passbands. However, little attention has been paid to engineering of the spectral phase response. One exception is photonic true time-delay generators, corresponding to adjustable linear phase response, which are of interest for beam steering in phased-array antenna systems [8]–[12]. However, to our best knowledge, there have been no reports on photonic microwave phase filters with user-defined nonlinear or arbitrary phase response. The ability to realize arbitrary broadband microwave phase filters could lead to new system concepts in ultra-wideband (UWB) systems [13]. Such systems include UWB wireless communications (3.1–10.6-GHz band), through-wall imaging and surveillance systems (1.99–10.6-GHz band), collision-avoidance radar (22–29-GHz band), and perhaps other applications not covered by Federal Communications Commission (FCC) regulation. Within the context of UWB systems, one important problem is that of antenna distortion [13]–[16]. Although a number of antenna designs with broadband amplitude response are available, such antennas often have an unfavorable nonlinear phase response resulting in dispersion. For pulsed excitation, this leads to strong signal distortions in the time domain, broadening the peak obtained at the receiving antenna and decreasing its amplitude. A microwave phase filter capable of being programmed for an appropriate nonlinear phase response could act as a matched filter to compensate such distortions, thereby optimizing receiver signal amplitudes, signal-to-noise ratio, and time resolution. In this paper, we demonstrate programmable microwave phase filtering based on a novel coherent photonic processing scheme, which uses optical parallel filtering in the frequency domain in conjunction with optical heterodyne conversion, rather than the traditional tapped delay-line approach. The frequency-domain optical filtering is implemented using the well-known programmable optical pulse-shaping technique [17], which for the first time we have recently extended to hyperfine ( 600 MHz) spectral resolution [18]. In our system, the phase filter imposed onto the optical spectrum is directly mapped onto a microwave phase filter at the output. As a result, we have been able to demonstrate photonically implemented microwave phase filters that provide essentially arbitrary phase filter response over a microwave band from below 1 to 20 GHz with 600-MHz resolution under programmable control. Our spectral-domain pulse-shaping architecture provides fully coherent optical processing without significant sensitivity to environment conditions. Experiments on microwave phase filters with engineered families of linear phases, quadratic phases, and cubic phases are presented. Arbitrary microwave phase filtering is possible with our proposed approach. Comparable capability has not been previously reported to the best of our knowledge.

0018-9480/$20.00 © 2006 IEEE

XIAO AND WEINER: PROGRAMMABLE PHOTONIC MICROWAVE FILTERS WITH ARBITRARY UWB PHASE RESPONSE

4003

indicates the real part, is the optical carrier frewhere is the phase shift caused by the dc bias , quency, is the minimum transmission voltage parameter of the modulator, and is the input microwave tone signal. The in terms first-order term in the Taylor series expansion of can be written as follows: of

(2) . This is valid for small-signal modulation Now we assume that an optical filter suppresses one sideband while modifying both the amplitude and phase of the remaining sideband. The result is Fig. 1. (a) Experimental setup for photonic processing of microwave signals. TLS: tunable laser source. EDFA: erbium-doped fiber amplifier. VNA: vertical network analyzer. (b) Optical spectral sketch to illustrate the general principle of photonic processing.

We have recently demonstrated programmable UWB microwave amplitude filtering using a similar optical processing approach [18]. However, phase control was not discussed. In this paper, we report frequency-domain characterization of programmable phase responses using vector network analyzer (VNA) measurements. The application of such photonically implemented programmable phase filters for controlled time-domain reshaping of a pulsed ( 45 ps) electrical excitation is reported elsewhere [19]. The remainder of this paper is organized as follows. A theoretical analysis is presented in Section II. Section III introduces the experimental setup. Section IV discusses our experimental results on microwave phase filtering. We present a conclusion in Section V. II. THEORETICAL DISCUSSIONS Fig. 1 shows our setup to implement coherent photonic processing of microwave signals via programmable hyperfine optical spectral filtering. A continuous wavelength (CW) optical carrier is passed through an MZM driven by an input microwave signal. Here we consider the case of input microwave signals that are single-frequency tones. This is appropriate for comparison to the swept-frequency measurements used in experiments. The modulator output field has a double-sideband format. Optical filtering suppresses one sideband while passing the carrier and the other sideband. Both amplitude and phase of the remaining sideband can be manipulated by the programmable hyperfine optical filter. The result is converted back to a microwave signal by heterodyne beating with the carrier on a fast PD. If we assume that the microwave tone signal is applied to a single arm of the Mach–Zehnder modulator, the output optical can be described by field (1)

(3) where represents the frequency-dependent amplitude transmission coefficient of the optical filter ( is real, ) and represents its frequencydependent phase response. In our treatment, we assume that the higher frequency (shorter wavelength) optical sideband is chosen. Note, however, that, in general, either one of the two sidebands can be chosen. The PD current output is

(4) where is the PD current and is the optical intensity averaged over the oscillations of the optical carrier. Please note that we assume a linear PD: the current is proportional to the input light intensity. For simplicity, we have introduced the notation and . The voltage signal consists of a dc component, as well as a filtered ac signal. For the ac signal, this represents a filter with spectral amplitude , as well as spectral phase response . response The frequency-dependent microwave signal delay is calculated by (5) According to (5), true time delay can be obtained by programming the optical filter for linear spectral phase, while programmable frequency-dependent delay functions can be obtained by setting the optical filter for quadratic or higher order spectral phase. According to (4), a simultaneous amplitude filtering window may also be obtained simultaneously with user-defined phase filtering. With both amplitude and phase filtering, our approach should provide unprecedented capabilities to control microwave signals over an UWB.

4004

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 2. Experimental setup of our programmable coherent optical filter based on a VIPA. CYL: cylindrical lens. SLM: spatial light modulator.

III. EXPERIMENTAL SETUP Our general experimental setup, sketched in Fig. 1, is similar to that discussed in [18]. For completeness, however, we provide a description in the following. A tunable laser with linewidth below 0.1 pm ( 12.5 MHz) is input into a Mach–Zehnder intensity modulator (MZM) with an electrical 3-dB passband 30 GHz, which is used to impose a microwave modulation signal onto the optical carrier. The modulator has a single electrode input and a minimum transV. The input microwave tone from mission voltage a network analyzer is swept from 0.05 to 20.05 GHz (instrumental limit) at a step of 0.05 GHz with a constant RF power level at 5 dBm corresponding to an amplitude voltage of . The modulator 0.18 V for 50- impedance is biased for double-sideband modulation with partial carrier suppression in order to yield approximately equal carrier and . This maxsideband amplitudes, i.e., imizes the RF signal gain in the case where the optical path includes a saturated amplifier with slow saturation dynamics, as for erbium-doped fiber amplifiers (EDFAs) [18]. After amplification in an EDFA, the signal passes through the programmable optical filter (discussed below). The optical filter suppresses one of the optical sidebands (suppression ratio 25 dB) while imposing the desired phase response (and amplitude response when desired) onto the remaining sideband. After a second optical amplifier, the optical signal is directed onto a fast PD, which has an electrical 3-dB bandwidth of 60 GHz. The resultant microwave signal is measured by the VNA. Fig. 2 is the setup of our programmable optical filter. As in traditional pulse shaping [17], different optical frequency components contained within the input signal are first separated spatially using a spectral disperser and lens combination, and then a spatial light modulator (SLM) manipulates the phase and/or amplitude of the different frequency components in parallel. Here, the optical filter is implemented using a reflective geometry pulse shaper, and a virtually imaged phased array (VIPA) [20] rather than the usual diffraction grating is used as the optical spectral disperser. In our implementation, the VIPA has a free spectral range of 50 GHz (0.4 nm) at 1.55- m wavelength. The input optical frequency components are dispersed by the VIPA and collimated by a cylindrical lens with a focal length of 300 mm. A commercial liquid-crystal programmable SLM is placed at the back focal plane of the lens. The SLM is constructed of two liquid-crystal layers, each of which has 128 individually addressable pixels arranged along a line with pixel-topixel spacing of 100 m. By using a polarizer at the output, this allows simultaneous and independent gray-level amplitude and phase filtering of individual optical frequency components

[21]. The spectral resolution in our setup is 600 MHz. A flat mirror very close to the SLM reflects the light that then double passes through the SLM. Reprogramming speed is dictated by the SLM response, which is on the order of tens of milliseconds scalable down to perhaps milliseconds for the liquid-crystal displays commonly used in pulse shaping [17]. There are two principal factors limiting the spectral resolution in our current experiments. First, each pixel of the SLM corresponds to approximately 600 MHz of optical frequency spread. By choosing a larger focal length lens, the frequencies can be further dispersed. Since we are currently using only 30 pixels out of our 128 pixel SLM, a factor of four improvement should be possible. Second, the finite optical spot size at the SLM also fundamentally limits spectral resolution [17]. With our current VIPAs, this limit is also approximately 600 MHz. This spectral resolution limit is a property of the specific spectral dispersing device used and is not improved simply by increasing the focal length [22]. The fundamental spectral resolution of our VIPA shaper can be further improved in proportion to decreases in the VIPA FSR, but reducing the FSR also limits the processing bandwidth of microwave signals. We have previously published several papers demonstrating the application of VIPAs for high spectral resolution optical signal processing and sensing [23]–[25]. There have also been a few previous reports of VIPAs incorporated into reflective geometry pulse shapers for optical phase filtering. A VIPA-based pulse shaper that used a curved mirror (rather than a programmable SLM) for dispersion compensation in optical fiber transmission was reported in [26] and [27]. Another group reported a VIPA-based pulse shaper with fixed spatial phase masks and 5-GHz spectral resolution for optical code-division multiple-access phase encoding [28]. Our group recently has reported the first implementation of an electronically programmable VIPA-based pulse shaper incorporating a liquid-crystal SLM [29]. In that study, the VIPA had a free spectral range of 400 GHz, and spectral resolution was on the order of a few tens of gigahertz. In this paper, we demonstrate the first high spectral resolution VIPA-based pulse shaper configured for programmable spectral phase control, as well as the first application to wideband microwave phase filtering. The high spectral resolution achieved in the current experiments is crucial for the microwave phase-filtering application. IV. MICROWAVE SPECTRAL PHASE FILTERING According to our theoretical analysis, programmable optical phase filtering can be directly mapped to the microwave domain via heterodyne conversion. This results in a microwave phasefiltering functionality that can be measured through the VNA. Various microwave spectral phase-filtering results are presented here to illustrate the capability of our approach. As our VNA has a bandwidth limited from dc to 20 GHz, we only make use of a group of 31 SLM pixels that match this 20-GHz bandwidth. In Figs. 3 and 4, we present data for which the SLM is programmed to ideally provide pure spectral phase filtering, i.e., ideally there is no intended attenuation of the amplitude. Fig. 3(a) shows a set of linear spectral phase-filtering results, where both positive and negative phase slopes are shown. We program linear phase profiles characterized by

XIAO AND WEINER: PROGRAMMABLE PHOTONIC MICROWAVE FILTERS WITH ARBITRARY UWB PHASE RESPONSE

Fig. 3. (a) Programmed microwave linear spectral phase filtering. (b)–(d) Power transmission for three linear phases with the different slopes, respectively, and the reference is without phase programming.

across pixels #45–#75, where is the pixel number and is an integer. Here, higher microwave frequencies correspond to smaller pixel numbers, and vice versa. The horizontal line is the reference, for the case of no , with a constant linear phase phase programming subtracted (corresponding to the delay bias in our setup). The GHz to GHz slope varies from at a step of GHz. The maximum slope GHz, indicating a time delay of 300 ps, and the is GHz, indicating a time delay of minimum slope is 300 ps.

4005

Fig. 4. (a) and (b) Programmed microwave quadratic spectral phase filtering and power transmission, respectively. (c) and (d) Programmed microwave cubic spectral phase and power transmission, respectively. The reference is without phase programming. Thick lines in (a) and (c) indicate experimental data, and thin lines indicate curve fitting.

Fig. 3(b)–(d) shows examples of the power response measured by the VNA. The minimum microwave power insertion loss is 8 dB at mid-passband for filters implemented in our curGHz, GHz, rent setup. Three positive slope cases ( GHz) are plotted, in each case together with a referand ence curve corresponding to the power response with the SLM programmed for constant phase. The negative slope cases (not shown) are similar. For the case of , total phase variation, the power response is very close to the reference. However, for

4006

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

larger total phase variations, notches appear in the power response. The origin of the notches is explained through the following discussion. Since the liquid-crystal SLM has a limited continuously programmable phase range, we need to apply a wrapped phase profile when the range of the targeted phase profile exceeds the SLM’s phase range. Four our double-pass pulse-shaping geometry, we limit the applied phase range to ; for larger phase excursions, we apply the target phase at certain pixel modulo . This leads to phase jumps of in double pass locations. Although ideally phase jumps of ( in single pass) should have no effect, in practice, effects that may arise from discontinuities in the liquid-crystal orienphase jumps. tations lead to notches at the locations of the In addition, smaller phase jumps resulting from the discrete (pixilated) nature of the phase programmed onto the SLM cause additional amplitude notches. These additional notches become larger for increasing phase change per pixel and are most obvious ( 1.5-dB power fluctuation) in Fig. 3(d) where the phase change per pixel is . These additional notches arise from the finite size of individual focused optical frequency components at the SLM plane, which results in an optical filtering function that is a smeared version of the SLM pattern. This fundamentally leads to a phase-to-amplitude conversion process that is well understood in the pulse-shaping literature [17], [30]. In general, such phase-to-amplitude conversion processes provide a limit on the delay range (with small-signal distortions) obtainable via our approach. In any case, we have shown nearly continuously programmable linear phase-filtering functions that may generate user-defined true time delay up to 600 ps over a 20-GHz band with power ripples limited to a few decibels. We have recently published time-domain experiments confirming the ability to generate true programmable time delays for short electrical pulses [19]. In these experiments, we demonstrated a delay range up to 710 ps for an input electrical pulse with a duration 45 ps. The energy loss at the largest delay range is approximately 50%, where the pulse’s duration is broadened to 75 ps. The control of time delay via spectral phase pulse shaping has been performed previously within the context of ultrafast optics [17]. In the case of grating-based pulse shapers, the resulting time changes are understood to arise physically due to beam deflection caused by the spatial phase gradient programmed onto the SLM, leading to changes in the beam trajectory and optical path length through the apparatus. In the case of VIPA-based pulse shapers, the physical explanation for the achieved time delay changes still involves beam deflection by the SLM spatial phase function, but is modified: deflection causes the return beam to reenter the VIPA at a slightly displaced location, leading to a change in the number of bounces the beam must execute while it is trapped in the VIPA before it exits at the antireflection coated window. For both grating- and VIPA-based pulse shapers, the maximum achievable time delay is roughly equal to the inverse of the available spectral resolution. For larger time delays, the beam deflection exceeds the beam size, leading to significant energy loss. Furthermore, both pulse advancement and retardation are possible, again within spectral resolution limits. Due to the large fixed delay of the overall setup, pro-

grammable pulse advancement can be achieved without violating causality. This discussion is general and applies equally to linear spectral phase (true-time delay) and quadratic and cubic spectral phase, as well as other cases not explicitly shown here. It is worth comparing our method with the acoustic-optic frequency-dependent phase compensation (AO-FDPC) technique [11], [12] that has previously been investigated for microwave time-delay generation. In AO-FDPC, optical spectral dispersion is generated as part of the microwave to optical transduction process. The time delay fundamentally arises from the slow acoustic wave propagation velocity in the acoustic-optic (AO) crystal, and programmability is achieved essentially by optically selecting which portion of the AO active area is imaged onto the PD. In our method the optical spectral dispersion process and the microwave to optical transduction process are separated. Furthermore, the time-delay mechanism is fundamentally optical in nature and may be described directly as a Fourier synthesis process, which applies both to true-time delays, as well as more general waveform shaping operations. In terms of the conditions outlined in [11], the time delay and the signal bandwidth cannot exceed the storage time and the operating bandwidth, respectively, of the processing system. Similar conditions are well known in the optical pulse-shaping literature [17]. In the current experiments, the optical beam bounces back and forth over 50 times in the VIPA spectral disperser, corresponding to a delay of order 1 ns (etalon 1.5 mm, refractive index 2). The VIPA has a bandwidth (free spectral range) of 50 GHz. The input electrical impulses in our time-domain experiments ps ns and a bandreported in [19] have a duration GHz GHz, which satisfy the requirements width discussed in [11]. Fig. 4 illustrates some examples of nonlinear spectral phase filtering. Fig. 4(a) and (b) shows two examples of quadratic spectral phase filtering together with the corresponding power transmission spectra. Here we program quadratic phase profile ( or ) across pixels and #45–#75. Opposite signs are shown in black solid lines. The phase plot is referenced to the gray case of no phase programming (approximately horizontal line in plot) after subtracting a constant linear phase. In addition, numerical fits are plotted together with the VNA data to illustrate the quadratic variation. Fig. 4(c) and (d) is examples of cubic spectral phase filtering and the corresponding power transmission spectra. Here we program cubic phase profiles ( or ) across pixels #45–#75. and gray Opposite signs are shown in black solid lines. Numerical cubic fits are also plotted to illustrate cubic variation. Comparing to the reference, the power transmission for the quadratic and cubic phase examples as only rel1-dB power variations) over the atively small deviations ( full bandwidth, except at the cutoffs at dc and 20 GHz. The relatively large deviations at these cutoffs may be partially suppressed by carefully extending the phase programming by a few pixels more beyond the used pixel number range #45–#75. Fig. 5 includes some examples of nonlinear spectral phase filtering together with amplitude filtering with potential applications in UWB (3.1–10.6 GHz) systems [11]. Compared to Fig. 4, we program an amplitude response that constitutes a

XIAO AND WEINER: PROGRAMMABLE PHOTONIC MICROWAVE FILTERS WITH ARBITRARY UWB PHASE RESPONSE

4007

V. CONCLUSION We have demonstrated a photonic processing method for programmable microwave phase filtering, which allows generation of arbitrary phase filters over an ultrawide bandwidth. The current experiments demonstrate realization of user-defined phase filters over a range from 600 MHz to 20 GHz (limited by our test instrumentation) with a resolution of 600 MHz. Our approach exploits programmable hyperfine optical phase filtering in the 1.55- m lightwave band, followed by heterodyne conversion to the electrical domain. We have presented a theoretical analysis and reported several experimental examples. We have demonstrated programmable linear phase filtering over the 20-GHz band with potential application to true time delay for phased-array antenna systems; we also demonstrated programmable synthesis of nonlinear (quadratic and cubic) spectral phase, which may be useful for compensation of phase distortions in pulse-excited broadband antennas. Finally, we have demonstrated simultaneous programmable amplitude and phase filtering. ACKNOWLEDGMENT The authors would like to thank C. Lin, Avanex Corporation, Fremont, CA, for providing VIPA devices. The authors also like to thank both Prof. D. Peroulis and Prof. W. J. Chappell, both with Purdue University, West Lafayette, IN, for loaning the VNA. REFERENCES

Fig. 5. Programmed microwave quadratic and cubic spectral phase filtering UWB (3.1–10.6 GHz) applications: (a) quadratic spectral phase filtering in (b) an UWB window; (c) cubic spectral phase filtering in (d) an UWB window. Thick lines in (a) and (c) indicate experimental data and thin lines indicate curve fitting.

bandpass filter for the specified UWB band, while simultaneously applying either quadratic or cubic spectral phase within the passband. The plots are organized in a similar way as in Fig. 4. In addition to the desired phase response, we are able to simultaneously generate bandpass filters with passband variations of 1 dB in power. This illustrates the high degree of flexibility in microwave filter synthesis enabled by our photonic processing technique.

[1] J. Capmany, B. Ortega, D. Pastor, and S. Sales, “Discrete-time optical processing of microwave signals,” J. Lightw. Technol., vol. 23, no. 2, pp. 702–723, Feb. 2005. [2] C. Chang, J. A. Cassaboom, and H. F. Taylor, “Fiber optical delay line devices for RF signal processing,” Electron. Lett., vol. 13, pp. 678–680, 1977. [3] K. Jackson, S. Newton, B. Moslehi, M. Tur, C. Cutler, J. Goodman, and H. J. Shaw, “Optical fiber delay-line signal processing,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 3, pp. 193–210, Mar. 1985. [4] D. E. N. Davies and G. W. James, “Fiber and integrated optical devices for signal processing,” Electron. Lett., vol. 20, pp. 95–96, 1984. [5] R. A. Minasian, K. E. Alameh, and E. H. W. Chan, “Photonics-based interference mitigation filters,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1894–1899, Oct. 2001. [6] D. Pastor, B. Ortega, J. Capmany, P.-Y. Fonjallaz, and M. Popov, “Tunable microwave photonic filter for noise and interference suppression in UMTS base stations,” Electron. Lett., vol. 40, no. 16, pp. 997–999, Aug. 2004. [7] J. Capmany, D. Pastor, B. Ortega, J. Mora, and M. André, “Photonic processing of microwave signals,” Proc. Inst. Elect. Eng.—Optoelectron., vol. 152, no. 6, pp. 299–320, Dec. 2005. [8] W. Ng et al., “The first demonstration of an optically steered microwave phased array antenna using true-time-delay,” J. Lightw. Technol., vol. 9, no. 9, pp. 1124–1131, Sep. 1991. [9] B. Ortega, J. L. Cruz, J. Capmany, M. V. Andres, and D. Pastor, “Variable delay line for phased-antenna based on a chirped fiber grating,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 8, pp. 1352–1360, Aug. 2000. [10] Y. Liu, J. Yang, and J. Yao, “Continuous true-time-delay beamforming for phased array antenna using a tunable chirped fiber grating delay line,” IEEE Photon. Technol. Lett., vol. 14, no. 8, pp. 1172–1174, Aug. 2002. [11] W. D. Jemison, “Analysis of the AO-FDPC optical heterodyne technique for microwave time delay and phased array beamsteering applications,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1832–1843, Jul. 2002.

4008

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

[12] E. N. Toughlian and H. Zmuda, “A photonic variable RF delay line for phased array antennas,” J. Lightw. Technol., vol. 8, pp. 1824–1828, Dec. 1990. [13] J. H. Reed, Ed., An Introduction to Ultra Wideband Communication Systems. Upper Saddle River, NJ: Prentice-Hall, 2005. [14] A. Shlivinski, E. Heyman, and R. Kastner, “Antenna characterization in the time domain,” IEEE Trans. Antennas Propag., vol. 45, no. 7, pp. 1140–1149, Jul. 1997. [15] D. M. Pozar, “Waveform optimizations for ultrawideband radio systems,” IEEE Trans. Antennas Propag., vol. 51, no. 9, pp. 2335–2345, Sep. 2003. [16] J. D. McKinney and A. M. Weiner, “Compensation of the effects of antenna dispersion on UWB waveforms via optical pulse shaping techniques,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1681–1686, Apr. 2006. [17] A. M. Weiner, “Femtosecond pulse shaping using spatial light modulators,” Rev. Sci. Instrum., vol. 71, no. 5, pp. 1929–1960, May 2000. [18] S. Xiao and A. M. Weiner, “Coherent photonic processing of microwave signals using spatial light modulator: Programmable amplitude filters,” J. Lightw. Technol., vol. 24, no. 7, pp. 2523–2529, Jul. 2006. [19] ——, “Coherent Fourier transform electrical pulse shaping,” Opt. Express, vol. 14, no. 7, pp. 3073–3082, Apr. 2006. [20] M. Shirasaki, “Large angular dispersion by a virtually imaged phased array and its application to a wavelength demultiplexer,” Opt. Lett., vol. 21, no. 5, pp. 366–368, Mar. 1996. [21] M. M. Wefers and K. A. Nelson, “Generation of high-fidelity programmable ultrafast optical waveforms,” Opt. Lett., vol. 20, no. 9, pp. 1047–1049, May 1995. [22] S. Xiao and A. M. Weiner, “Experimental and theoretical study of hyperfine WDM demultiplexer performance using the virtually imaged phased-array,” J. Lightw. Technol., vol. 23, no. 3, pp. 1456–1467, Mar. 2005. [23] ——, “Optical carrier suppressed single sideband (O-CS-SSB) modulation using a hyperfine blocking filter based on a virtually-imaged phased-array (VIPA),” IEEE Photon. Technol. Lett., vol. 17, no. 7, pp. 1522–1524, Jul. 2005. [24] ——, “4-user, 3 GHz-spaced sub-carrier multiplexing (SCM) using optical direct-detection via hyperfine WDM,” IEEE Photon. Technol. Lett., vol. 17, no. 10, pp. 2218–2220, Oct. 2005. [25] S. X. Wang, S. Xiao, and A. M. Weiner, “Broadband, high spectral resolution 2-D wavelength-parallel polarimeter for dense WDM systems,” Opt. Express, vol. 13, no. 23, pp. 9374–9380, Nov. 2005. [26] M. Shirasaki, “Chromatic-dispersion compensator using virtually imaged phased array,” IEEE Photon. Technol. Lett., vol. 9, no. 12, pp. 1598–1561, Dec. 1997. [27] H. Ooi, K. Nakamura, Y. Akiyama, T. Takahara, T. Terahara, Y. Kawahata, H. Isono, and G. Ishikawa, “40-Gbps WDM transmission with virtually imaged phased array (VIPA) variable dispersion compensators,” IEEE J. Lightw. Technol., vol. 20, no. 12, pp. 2196–2203, Dec. 2002. [28] S. Etemad, P. Toliver, R. Menendez, J. Young, T. Banwell, S. Galli, J. Jackel, P. Delfyett, C. Price, and T. Turpin, “Spectrally efficient optical CDMA using coherent phase-frequency coding,” IEEE Photon. Technol. Lett., vol. 17, no. 4, pp. 929–931, Apr. 2005. [29] G. Lee and A. M. Weiner, “Programmable optical pulse burst manipulation using a virtually imaged phased array (VIPA) based Fourier transform pulse shaper,” J. Lightw. Technol., vol. 23, no. 11, pp. 3916–3923, Nov. 2005. [30] R. N. Thurston, J. P. Heritage, A. M. Weiner, and W. J. Tomlinson, “Analysis of picosecond pulse shape synthesis by spectral masking in a grating pulse compressor,” IEEE J. Quantum Electron., vol. QE-22, no. 5, pp. 682–696, May 1986.



Shijun Xiao (S’03–M’05) was born in Chengdu, China, in 1979. He received the B.S. degree in electronics from Beijing University, Beijing, China, in 2001, and the M.S. and Ph.D. degrees in electrical and computer engineering from Purdue University, West Lafayette, IN, in 2003 and in 2005, respectively. He is currently a Postdoctoral Research Associate with the School of Electrical and Computer Engineering, Purdue University. He has authored or coauthored nearly 30 journal and conference papers. His research interests include optical signal processing, optical pulse shaping, optical fiber communications, microwave photonics, micrometer/nanometer-scale photonics. He has been a reviewer for Optics Communications. Dr. Xiao is a member of the IEEE Lasers and Electro-Optics Society and the Optical Society of America (OSA). He has served as a reviewer for the JOURNAL OF LIGHTWAVE TECHNOLOGY. He was the recipient of the Andrews Fellowship presented by Purdue University (2001–2003) and the IEEE LEOS Graduate Student Fellowship (2004). He was a finalist for the Dimitris N. Chorafas Foundation Award of Purdue University (2005).

Andrew M. Weiner (S’84–M’84–SM’91–F’95) received the Sc.D. degree in electrical engineering from the Massachusetts Institute of Technology (MIT), Cambridge, in 1984. From 1979 to 1984, he was a Fannie and John Hertz Foundation Graduate Fellow with MIT. Upon graduation, he joined Bellcore, initially as a Member of Technical Staff and then as Manager of Ultrafast Optics and Optical Signal Processing Research. In 1992, he joined Purdue University, West Lafayette, IN, where he is currently the Scifres Distinguished Professor of Electrical and Computer Engineering. From 1997 to 2003 he was the Electrical and Computer Engineering Director of Graduate Admissions. He has authored five book chapters, over 160 journal papers, and coedited one book. He has also authored or coauthored over 300 conference papers, including approximately 80 conference invited talks. He has presented over 70 additional invited seminars at university, industry, and government organizations. He holds eight U.S. patents. His research focuses on ultrafast optical signal processing and high-speed optical communications. He is especially well known for pioneering the field of femtosecond pulse shaping, which enables generation of nearly arbitrary ultrafast optical waveforms according to user specification. He has served as an Associate Editor for Optics Letters. Prof. Weiner is a Fellow of the Optical Society of America. He has served on or chaired numerous research review panels, professional society award committees, and conference program committees. From 1988 to 1989, he served as an IEEE Lasers and Electro-Optics Society (IEEE LEOS) Distinguished Lecturer. He has served as chair or co-chair of the Conference on Lasers and ElectroOptics, the Gordon Conference on Nonlinear Optics and Lasers, and the International Conference on Ultrafast Phenomena. He has also served as associate editor for the IEEE JOURNAL ON QUANTUM ELECTRONICS and the IEEE PHOTONICS TECHNOLOGY LETTERS. Prof. Weiner served as an elected member of the Board of Governors of the IEEE LEOS (1997–1999) and as secretary/treasurer of IEEE LEOS (2000–2002). From 2002 to 2005, he was a vice-president (representing IEEE LEOS) of the International Commission on Optics (ICO). He has been the recipient of numerous awards including the Hertz Foundation Doctoral Thesis Prize (1984), the Adolph Lomb Medal of the Optical Society of America (1990), the Curtis McGraw Research Award of the American Society of Engineering Education (1997), the International Commission on Optics Prize (1997), the IEEE LEOS William Streifer Scientific Achievement Award (1999), the Alexander von Humboldt Foundation Research Award for Senior U.S. Scientists (2000), and the inaugural Research Excellence Award from the Schools of Engineering at Purdue (2003).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

4009

Design and Development of a Package Using LCP for RF/Microwave MEMS Switches Morgan Jikang Chen, Member, IEEE, Anh-Vu H. Pham, Senior Member, IEEE, Nicole Andrea Evers, Chris Kapusta, Joseph Iannotti, William Kornrumpf, John J. Maciel, Member, IEEE, and Nafiz Karabudak

Abstract—We present the development of an ultrahigh moisture-resistant enclosure for RF microelectromechanical system (MEMS) switches using liquid-crystal polymer (LCP). A cavity formed in LCP has been laminated, at low temperature, onto a silicon MEMS switch to create a package. The LCP-cap package has an insertion loss of less than 0.2 dB at -band. E595 outgas tests demonstrate that the LCP material is suitable for constructing reliable packages without interfering with the operation of the MEMS switch. The package also passes Method 1014, MIL-STD-883 gross leak, and fine leak hermeticity tests. Index Terms—Cavities, chip-on-flex, liquid-crystal polymer (LCP), microelectromechanical system (MEMS), microwave, packaging.

I. INTRODUCTION

P

ACKAGING is a critical part in bringing the RF microelectromechanical system (MEMS) into application at an affordable cost. MEMS switches are very sensitive to contamination and must be packaged with hermetic or near-hermetic seals in inert noble gas environments. These switches require hermetic packaging to prevent against contaminating particles and moisture. Invasion of particles into the MEMS device can cause the switch to be wedged open, stuck closed where the particle aggravates stiction, or simply degrade performance by acting as a resistive material [1]. A number of solutions are available for packaging MEMS switches. Several techniques used by industry to package MEMS devices include epoxy seals, glass frit, glass-to-glass anodic bonding, and gold-to-gold bonding1 [2]–[6]. These techniques face two main problems. First, organic materials outgas inside the MEMS cavity during the bonding process due to wetting compounds in the glass, gold, or epoxy layers. Manuscript received February 23, 2006; revised July 17, 2006. This work was supported in part by the National Science Foundation under CAREER Award ECS 0300649 and by the University of California MICRO. This work was supported in part by Lockheed Martin Commercial Space Systems. M. J. Chen and A.-V. H. Pham are with the Electrical and Computer Engineering Department, Microwave Microsystems Laboratory, University of California at Davis, Davis, CA 95616 USA (e-mail: [email protected]). N. A. Evers, C. Kapusta, and J. Iannotti are with General Electric Global Research Center, Niskayuna, NY 12309 USA. W. Kornrumpf was with the General Electric Global Research Center, Niskayuna, NY 12309 USA. He is now with MicroKorn LLC, Schenectady, NY 12345 USA. J. J. Maciel is with Radant MEMS Inc., Stow, MA 01775 USA. N. Karabudak is with Lockheed Martin Commercial Space Systems, Newtown, PA 18940 USA. Color versions of Figs. 1–8 are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.884639 1[Online].

Available: http://flipchips.com

This contamination detrimentally affects the MEMS switch reliability. Second, to achieve a good seal, most bonding processes utilize high temperatures (300 C–400 C) that can degrade MEMS structures [7]. Furthermore, available hermetic packages and ceramic/glass feed-throughs have significant parasitic losses at microwave frequencies, can be expensive, and add significant weight to a system. Packaging MEMS switches into an organic module, in which compact multilayer substrates house active and passive components present even more challenges. Although multilayer chip-on-flex modules using Kapton films are a proven technology for high-density packaging of microwave modules,2 3 Kapton is found to be incompatible with RF MEMS switch packaging due to its high moisture absorption, high out-gassing characteristics, and the need to use high outgassing epoxies for lamination. In this paper, we present the development of an ultrahigh moisture-resistant package for RF MEMS switches in chip-on-flex modules using liquid-crystal polymer (LCP). We have developed a lamination process to adhere LCP onto silicon to form an enclosure for MEMS. Using multilayer flex and laser-drilled vias, the first level interconnect parasitic losses are negligible at -band. The microwave measurements demonstrate that the LCP-package has less than 0.2-dB insertion loss and maintains the return loss of a switch to greater than 20 dB. The LCP MEMS package passes the E595 out-gassing test and Method 1014, MIL-STD-883 gross leak, and fine leak hermeticity tests. Section II provides a brief review of multilayer organic modules, an introduction to LCP, and processes to create the LCP MEMS package. Section III demonstrates the experimental results of peeling strength tests, out-gassing tests, hermeticity tests, and lamination process evaluation. Section IV provides detailed analysis of the electrical performance of a package. Section V demonstrates the electrical performance of a packaged RF MEMS switch in an LCP enclosure. II. PACKAGE TOPOLOGY The multilayer organic multichip module (MCM) is a potential candidate for integrating a system-in-package (SiP) at microwave and millimeter-wave frequencies. This technology has been utilized to package high-speed memory integrated circuits (ICs) and transceiver modules for communications [8], [9]. In 1998, Butler et al. had attempted to use this MCM technology to package MEMS devices [10]. However, the multilayer Kapton is not suitable for hermetic packaging of MEMS. In order to 2[Online.] 3[Online.]

Available: http://www.kapton-dupont.com Available: http://www.gore.com/electronics

0018-9480/$20.00 © 2006 IEEE

4010

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

TABLE I TYPICAL MATERIAL PROPERTIES [8]

Fig. 2. Diagram of a packaged RF MEMS switch in an LCP enclosure.

Fig. 1. Diagram of the lamination process of LCP on Si.

provide hermetic packaging of an RF MEMS switch, we investigate the feasibility of LCP as a multilayer interconnect layer in place of Kapton. LCP is an emerging low-cost dielectric material that is commercially available as single sheets or laminated substrates that have low moisture absorption (equivalent to glass). Table I compares the basic properties of LCP with Kapton. LCP can be manufactured to have different properties including a coefficient of K and thermal expansion (CTE) range from 8 17 10 a glass transition temperature Tg from 280 C to well over 350 C. The use of low and high melting-point temperature LCP allows for layer-to-layer lamination processes without the use of adhesive materials. The main advantages of LCP compared to other organic substrate materials are low moisture absorption, low coefficient of hydroscopic expansion (CHE), excellent barrier properties, and adjustable CTE through thermal treatment processes. Moreover, LCP shows a very low dielectric constant and loss factor, over the frequency range of 1 GHz up to 110 GHz [11]. This unique combination of excellent electrical characteristics, excellent mechanical properties for harsh environment operation, and economical considerations make LCP a serious candidate for all MCM, SiP, and advanced packaging technology. We have developed a process to laminate LCP onto silicon to form an enclosure for packaging an RF MEMS switch without the use of adhesives [12]. One of the advantages of lamination is the low-temperature processing (below 315 C), as compared to metallic or glass bonding ( 400 C). Fig. 1 demonstrates our

Fig. 3. Prototypes of the packaged RF MEMS switch using LCP capping.

process flow for laminating LCP on silicon. The process starts with a bare 2-mil-thick LCP that has copper on one side. The copper serves as the roof of the cavity drilled in the LCP film. The MEMS cavity is formed in the 2-mil-thick LCP using laser ablation to the copper lid. The ash is removed using isopropyl alcohol solvent. This cavity acts as a hermetic enclosure formed by the copper lid and LCP walls. The laser ablation is a convenient method to pattern the chemically stable LCP to provide very accurate vertical sidewalls. The single-sided copper-clad LCP film with the laser-drilled cavity is laminated onto an exposed and released silicon switch. The commercially available LCP films have a melting temperature from 240 C to 315 C, which, for robustness of process, is thermally well below any temperature that may impact the MEMS switch. Inert gas can be injected into the cavity to help improve the switch performance during the lamination process. Excellent lamination results have been obtained over a large range of pressures. Through our processing, we obtain 1 m of accuracy using conventional flipchip die bonding equipment. Once the lamination is completed, square microvias 100- m long along each side and interconnects are formed on the LCP layer. The fabrication of vias and metal interconnects is similar to the process reported in [12]. Fig. 2 shows the three-dimensional (3-D) diagram of the LCP packaged RF MEMS switch, and Fig. 3 shows the actual packaged RF MEMS switch prototypes.

CHEN et al.: DESIGN AND DEVELOPMENT OF PACKAGE USING LCP FOR RF/MICROWAVE MEMS SWITCHES

4011

TABLE II TABLE OF OUT-GASSING SPECIFICATIONS AND RESULTS FOR LCP

Fig. 5. Sputtered metal adhesion strengths versus material. Fig. 4. Peel strength test of copper being pulled from the LCP/silicon substrate.

III. PROCESS AND PACKAGE EVALUATION In order to demonstrate that LCP may be used as a package material, tests have been performed to address out-gassing, adhesion strengths, structural integrity, and hermeticity. A. Out-Gassing Tests Out-gassing is a major barrier in using polymer materials for packaging RF MEMS. During the processing of polymer in RF MEMS packaging, polymer materials tend to release gas particles that would degrade the reliability of the RF MEMS switch. The ASTM-E 595–93 (1999) tests were employed to evaluate the out-gassing characteristics of LCP materials [13]. These tests were conducted by measuring mass changes at 125 C under vacuum for 24 h. Results are given as total mass loss (TML), collected volatile condensable materials (CVCMs), and water vapor regain (WVR). TML is the percent difference of mass measured before and after the test. CVCM is the percentage of condensed mass measured on a collector plate over the initial specimen mass. WVR is calculated by placing the measured specimens through 50% relative humidity at 23 C for 24 h, and the value is given as the percentage of increase of specimen mass before and after humidity conditioning. Historically, a TML of 1% and a CVCM of 0.1% are the maximum levels for materials used in spacecraft applications. As seen from Table II, the experimental results demonstrate that the LCP has passed the out-gassing tests and satisfies the requirements for spacecraft applications. More importantly, even though LCP is a polymer material, it has negligible out-gassing and is suitable for RF MEMS switch packaging. B. Adhesion and Package Integrity One of the advantages of LCP films is that they are able to adhere to other materials without the use of external adhesives in a lamination process. This feature not only simplifies the packaging process, but also reduces the electrical loss that is associated with lossy adhesive materials. Out of reliability concerns, adequate adhesion strengths are required because either a weak LCP-to-silicon or a weak LCP-to-metal bond could prevent vias from being formed and contacted correctly. We have conducted a pulling test to evaluate the adhesion strength of LCP on silicon using a Chatillon pull tester. Fig. 4

Fig. 6. LCP adhesion strength to silicon.

shows a cross section of the test structure and how the experiment has been conducted. The experimental results demonstrate that the adhesion of LCP onto Si is more than 3 lbs/in. A comparison of sputter adhesion strengths is provided in Fig. 5, which indicates that the 3-lbs/in adhesion strength is adequate to provide a reliable enclosure. A photograph of a test sample after being subjected to a peel test is shown in Fig. 6. It is interesting to note that even though the Cu/LCP was being separated from Si, it was actually the Cu/LCP interface that came apart first, which attests to the high lamination strength between LCP and silicon. C. Structural Integrity From the peel testing, we discovered that optimal lamination strength actually occurred over a temperature range around the melting temperature ( ), as opposed to simply being above a certain threshold value. If the lamination temperature was too low, the lamination strength would be poor. Conversely, if the lamination temperature was too high, then widely varying nonuniform lamination strengths occurred along the interface of LCP and silicon. At the extremes, nonuniform lamination at the interface gave the appearance of good bonds speckled in regions of generally poor lamination. Under optimal conditions, our peel tests show LCP-to-silicon lamination to be in excess of 10 lbs/in. Fig. 7(a) shows an open rectangular hole in LCP laminated on a silicon substrate that has interdigitated fingers. This rectangular hole is the same size as the cavity used in the MEMS switch enclosure. Fig. 7(b) shows the cross section of the laminated LCP onto Si. As can be seen from Fig. 7(a), after the lamination, the LCP has reflowed and altered the original shape

4012

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Fig. 8. Finite-element method (HFSS) simulation of: (a) an unpackaged and (b) a packaged thru line in LCP with thickness .

H

Fig. 7. (a) Top-down photograph and cross-sectional diagram of LCP cavity on silicon. (b) Side view of the laminated LCP on Si. (a) Photograph of laminated LCP cavity. (b) Cross section of laminated LCP cavity.

of the sharp rectangular hole. The width of the rectangular hole 200 m. The reflow is measured to be less than 5 m at is the midpoint of the cavity sidewall and 25 m at the corners (noncritical features).

D. Hermeticity Tests It is well known that polymer materials are usually unsuitable for hermetic packaging because of their high permeabilities, which cause failure during fine leak testing. In order to establish that LCP would be viable for hermetic enclosures, hand calculations are performed based on referred data [14]. LCP has cm s for been reported to have a permeability of 2.19 10 helium in LCP. This value may be compared to the hermetic shielding material Corning 7740 glass in helium, which has a cm s. Package hermeticity is quantileak rate of 8.5 10 tatively analyzed by using the diffusion leak rate closed-form approximation equation [15] Leak rate

(1)

where is the permeability, is the exposed package area, is the pressure difference, and is the package wall thickness. cm s for helium in Using a permeability of 2.19 10 LCP, an exposed area of 0.22 mm , an effective wall thickness of 300 m, and pressure as specified for testing the package with 7.5 10 mm cavity volume, the leak rate is estimated to be atm cm s. This value is significantly below the 6.424 10 cutoff condition required by Method 1014, MIL-STD-883.

Fig. 9. Return and insertion losses of a 50- and 80- thru line as compared to an unpackaged 50- line.

Gross and fine leak hermetic testing has been performed on five LCP-packaged MEMS switches at Six Sigma.4 These parts are fully functional with both dc and RF via connections. The gross and fine leak tests evaluate the hermetic properties of the LCP packages in accordance with Method 1014, MIL-STD-883 [15]–[19]. Gross leak is generally indicative of structural failure, while fine leak more generally detects contamination pathways by bulk diffusion mechanisms through materials. Gross-leak testing is performed under 60 pounds per square inch guage relative to atmosphere (PSIG) of perfluorocarbon fluid for 125 min and immediately vacuumed under 5 torr for 30 s. The parts are then submerged in a bubble tester and visually inspected for leaks, as indicated by the appearance of any bubbles from the parts. Fine leak testing is performed under 125 min, 60 PSIG helium soak, followed by a 5-torr vacuum for 1 min. The experimental results demonstrate that our packages have passed the gross and fine leak tests in accordance with Method 1014, MIL-STD-883. Due to the small volume size of our package ( 0.06 mm ), standard detection methods may not be capable of measuring the species inside the cavity. Hence, it is questionable if Method 1014, MIL-STD-883, which is the current standard test, can provide conclusive results on hermeticity for small-volume packages [16]. IV. ELECTRICAL PACKAGE DESIGN AND SIMULATIONS In order to evaluate the effects of the package on RF MEMS switches, full-wave electromagnetic simulations have been conducted using Ansoft High Frequency Structure Simulator (HFSS) software that employs a finite-element method. The basic structure for studying insertion loss and return loss includes a bare microstrip transmission line on silicon with a S m. This structure is considered as an bulk conductivity 4[Online.]

Available: http://www.sixsigmaservices.com/hermeticity.asp

CHEN et al.: DESIGN AND DEVELOPMENT OF PACKAGE USING LCP FOR RF/MICROWAVE MEMS SWITCHES

4013

TABLE III RETURN AND INSERTION LOSSES AS SIMULATED WITH THE FINITE-ELEMENT METHOD (HFSS)

unpackaged device, shown in Fig. 8(a). The bare microstrip line , ) with a 2-mil is then packaged in LCP ( height cavity capped by a copper lid, as shown in Fig. 8(b). Copper vias 100 m by 100 m with 5- m-thick walls form the first-level interconnect. Each metal layer is also 5- m thick. The chip is 3000 m by 3000 m. Agilent’s Advanced Design System (ADS) LineCalc, which uses close-form equations for calculating impedance and transmission-line geometry, is employed to determine the width of microstrip lines on 254- m-thick Si. The widths of 50- and 80microstrip lines (unpackaged) are found to be 197 and 50.7 m, respectively. In the packaged simulation, the microstrip section feeding to the coplanar waveguide is deembedded at the port. Fig. 9 shows the simulation results of the unpackaged and packaged microstrip lines. When the 80- microstrip line is packaged with a 2-mil-high metal lid, the characteristic impedance is tuned down closer to 50 . In this case, the return and insertion losses of the packaged 80- microstrip lines improves from 13 to 25 dB and from 0.76 to 0.42 dB, respectively, at 10 GHz. The insertion and return losses of the 50- microstrip line worsens from 0.581 dB unpackaged to 0.624 dB packaged and from 24.1 dB unpackaged to 20.6 dB packaged, respectively at 10 GHz. Table III compares simulation results of unpackaged and packaged 50- and 80- microstrip lines in 1- and 2–mil-high metal lids. High characteristic impedance microstrip lines are tuned closer to 50- transmission lines when they become striplines with 1- and 2-mil high metal lids. The capacitance per unit length of the striplines increases, which, in turn, decreases the characteristic impedance. This phenomena is described by the well-known equation for characteristic impedance . In our research, the MEMS switch has been designed to have a high characteristic impedance ( 80 ) without a package. Hence, we expect that the package will improve the matching of the device to a 50- system. For mechanical robustness, we have chosen a 2-mil-high cavity. An equivalent-circuit model for the microvia interconnect has been developed from simulations using the Sonnet Software that employs the method of moments. This model targets the -band to understand the switch performance. The interconnect model fF, pH, and is shown in Fig. 10 to have . models the capacitance between the via to the surrounding ground, and models the inductance associated with the narrow via constructed through the LCP thin film from the outer package to the metal trace on chip. -parameters are measured from a packaged thru line. An analytical method (ADS) is used to deembed all elements in the path other than the interconnect using the technique shown in [20]. Fig. 11 compares modeled and measured -parameters of the transition. This is an agreement to 0.02 dB between model

Fig. 10. Electrical via model for LCP packaged switch with L = 124 pH, and R = 0:05 .

C

= 120 fF,

Fig. 11. Modeled versus measured package interconnect.

and measurement insertion losses at 10 GHz, which is our frequency of interest. Model and measurement both show less than 0.07-dB insertion loss per package transition at 10 GHz. Return loss shows agreement to less than 4-dB difference between modeled and extracted measurement. This lumped circuit strictly models the via interconnect. When devices are packaged, the interconnects and the additional copper over the packaged device together can cause tuning effects. V. MEASURED RESULTS -parameter measurements have been performed with a Cascade probe station, an Agilent PNA E8364B network analyzer, and Picoprobe coplanar-waveguide probes. A load-reflect-match (LRM) calibration was performed to establish the reference planes to be at the RF probe tips. A dc probe is used to electrostatically bias the switch on with 90 V. The measured results of the LCP packaged switch in the closed state for insertion loss are provided in Fig. 12 over the -band region and plotted up to 18 GHz. Our packaged switches show a total insertion loss of 0.45 dB at -band due to the low-loss LCP material, microvias, and excellent shielding. This includes the additional 0.07 dB loss per interconnection at the input and 0.3 dB being attributed to the MEMS switch output with

4014

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

loss of the LCP packaged switch is roughly 0.5 dB at -band with return loss greater than 25 dB and isolation loss of 14 dB. ACKNOWLEDGMENT The authors wish to acknowledge the collaborative work between the Microwave Microsystems Laboratory, University of California at Davis, the General Electric Global Research Center, Niskayuna, NY, Radant MEMS Inc., Stow, MA, and Lockheed Martin Commercial Space Systems, Newtown, PA. REFERENCES

Fig. 12. Insertion and return losses versus frequency when the switch is closed.

Fig. 13. Isolation and return losses versus frequency when the switch is open.

at -band. In addition, the measured return loss is better than 25 dB. The metal cap of the package tunes the characteristic impedance of the switch closer to 50 . Hence, the return loss of the packaged switch is improved to less than 25-dB return loss. The -parameters of the packaged MEMS switch had also been measured in the open or off states (0 V \#\bias). Fig. 13 shows the measured -parameters of the off-state switch. The measured isolation of the packaged switch is 15 dB, which remains relatively the same as the unpackaged switch to within 1 dB. Since the particular switches we use had been optimized for an 80- characteristic impedance system, rather than a 50system, the isolation is a better metric of the packaging. VI. CONCLUSION This paper has successfully demonstrated an ultrahigh moisture-resistant RF MEMS switch enclosure using LCP. Simulations show that the entire package introduces miniscule electrical degradation to the overall circuit performance. Insertion

[1] G. Rebeiz, RF MEMS: Theory, Design, and Technology. Hoboken, NJ: Wiley, 2003. [2] S.-A. Kim, Y.-H. Seo, Y.-H. Cho, G. H. Kim, and J.-U. Bu, “Fabrication and characterization of a low-temperature hermetic MEMS package bonded by a closed loop AuSn solder-line,” in IEEE 16th Annu. Int. MEMS Conf. , Kyoto, Japan, Jan. 2003, pp. 614–617. [3] S.-J. Kim, Y.-S. Kwon, and H.-Y. Lee, “Silicon MEMS packages for coplanar MMICs,” in Asia–Pacific Microw. Conf., Sydney, Australia, Dec. 2000, pp. 664–667. [4] A. Jourdain, P. De Moor, S. Pamidighantam, and H. A. C. Tilmans, “Investigation of the hermeticity of BCB-sealed cavities for housing (RF-) MEMS devices,” in 15th IEEE MEMS Conf., Las Vegas, NV, 2002, pp. 677–680. [5] A. Margomenos and L. P. B. Katehi, “Fabrication and accelerated hermeticity testing of an on-wafer package for RF MEMS,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 6, pp. 1626–1636, Jun. 2004. [6] R. M. Henderson and L. P. B. Kathehi, “Silicon-based micromachined packages for high-frequency applications,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1563–1569, Aug. 1999. [7] R. Tummala and V. Madisetti, “System on chip or system on package?,” IEEE Des. Test. Comput., vol. 16, no. 2, pp. 48–56, Apr.-Jun. 1999. [8] A. Pham, K. Krishnamurthy, D. Bates, W. Marcinkewicz, B. Schmanski, R. Saia, and L. Sprinceanu, “Development of integral passive components for multilayer organic MCMs at millimeter wave frequencies,” IEEE Trans. Adv. Packag., vol. 25, no. 1, pp. 98–102, Feb. 2002. [9] W. Wei and A. Pham, “Liquid crystal polymer (LCP) for microwave/ millimeter wave multilayer packaging,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, vol. 3, pp. 2273–2276. [10] J. T. Butler, P. B. Chu, V. M. Bright, and R. J. Saia, “Adapting multichip module foundries for MEMS packaging,” Int. J. Microcircuits Electron. Packag., vol. 21, no. 2, pp. 212–218, 1998. [11] M. M. Tentzeris, J. Laskar, J. Papapolymerou, S. Pinel, V. Palazzari, R. Li, G. DeJean, N. Papageorgiou, D. Thompson, R. Bairavasubramanian, S. Sarkar, and J.-H. Lee, “3-D integrated RF and millimeter-wave functions and modules using liquid crystal polymer (LCP) system-onpackage technology,” Trans. Adv. Packag., vol. 27, no. 2, pp. 332–340, May 2004. [12] M. Chen, N. Evers, C. Kapusta, J. Iannotti, A. Pham, W. Kornrumpf, J. Maciel, and N. Karabudak, “Development of a hermetically sealed enclosure for MEMS in chip-on-flex modules using liquid crystal polymer (LCP),” in ASME Interpack, Part C, San Francisco, CA, Jul. 2005, pp. 2057–2060. [13] “Standard test method for total mass loss and collected volatile condensable materials from outgassing in a vacuum environment,” ASTM, West Conshohocken, PA, E595-93, 1999. [14] D. H. Weinkauf, “Gas transport properties of copolyesters II,” J. Polym. Sci. B: Polym. Phys., vol. 30, no. 8, pp. 837–849, 1992. [15] Greenhouse and Hal, Hermeticity of Electronic Packages. New York, NY: Willam Andrew, 2000. [16] G. Elger, L. Shiv, N. Nikac, F. Muller, R. Liebe, M. Grigat, and M. Heschel, “Optical leak detection for wafer level hermeticity testing,” in IEEE/SEMI Int. Electron. Manuf. Technol. Symp., San Jose, CA, Jul. 2004, pp. 326–331. [17] Pecht and Michael, Handbook of Electronic Package Design. New York: Marcel Dekker, 1991. [18] Test Method Standard Microcircuits, Mil-Standard 883, Jun. 18, 2004. [19] “Guideline for residual gas analysis (RGA) for microelectronic packages,” JEDEC, Arlington, VA, Pub. 144, 2002.

CHEN et al.: DESIGN AND DEVELOPMENT OF PACKAGE USING LCP FOR RF/MICROWAVE MEMS SWITCHES

[20] A. Pham, J. Laskar, V. Krishnamurthy, H. S. Cole, and T. Sitnik-Nieters, “Ultra-low loss millimeter wave multichip module interconnects,” IEEE Trans. Compon., Packag., Manuf. Technol. B, vol. 21, no. 3, pp. 302–307, Aug. 1998. Morgan Jikang Chen (S’00–M’03) was born in Indianapolis, IN, on January 9, 1981. He received the B.S. degree in electrical engineering and computer science (EECS) from the University of California at Berkeley, Berkeley, in 2003, and is currently working toward the Ph.D. degree at the University of California at Davis. In Summer 2000, he interned as an Engineer with Boeing Autometric Inc., San Diego, CA. In Summer 2001, he participated in National Science Foundation (NSF) research with Clemson University, Clemson, SC. In Summer 2003 and 2004, he was an Intern with General Electric Global Research, Niskayuna, NY. He is currently a Graduate Research Assistant with the University of California at Davis. His research interests include developing low-cost packaging implementations using LCP for RF and microwaves. Mr. Chen is a member of Eta Kappa Nu (HKN) and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

Anh-Vu H. Pham (SM’03) received the B.E.E. (with highest honors), M.S., and Ph.D. degrees from the Georgia Institute of Technology, Atlanta, in 1995, 1997, and 1999, respectively. In 1997, he co-founded RF Solutions, LLC, an RFIC company that was acquired by Anadigics in 2003. He has held faculty positions with Clemson University and the University of California at Davis, where he is currently an Associate Professor. He is also active as a consultant to the industry. He has authored or coauthored over 50 technical journal and conference papers. His research interests are in the area of RF and high-speed packaging and signal integrity, RF integrated-circuit (RFIC) design, and wireless sensors. Dr. Pham is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Technical Program Committee (TPC) on Power Amplifiers and Integrated Circuits. He has been the chair of the IEEE MTT-12 Microwave and Millimeter Wave Packaging and Manufacturing Technical Committee of the IEEE MTT-S. He was the recipient of the 2001 National Science Foundation (NSF) CAREER Award on millimeter-wave organic packaging.

Nicole Andrea Evers received the Ph.D. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 1998. In Fall 1997, she joined the GE Global Research Center, Niskayuna, NY. She was a member of the Advanced Electronics Program, where she developed, led, and supported projects in the areas of SiC and GaN RF and power devices, thin-film passives, and packaging. In 1999, she joined the Electronic Power Conversion Program, where she led the Ballast in a Socket Project with the Department of Energy (DOE) and GE Lighting and the Electronic Power Control Module Project with GE

4015

Appliances. In March 2001, she became the Global Research Center Edison Engineering Representative and Advanced Courses in Engineering Supervisor and was assigned the task of building a training program at the Global Research Center. After successfully establishing the Edison program at the Global Research Center, she moved to the Electronic and Photonics Technologies Organization in June 2002, where she currently leads a wiring diagnostics program, providing technical support on due diligence efforts in corporate acquisitions, and providing technical consulting in the RF/microwave and opto-electronic sensors area. She has authored or coauthored over 14 technical publications. She has made numerous technical presentations. She holds three patents. Her primary research with the Georgia Institute of Technology was in the area of microelectronics and packaging and included modeling, design, and fabrication of InP high electron-mobility transistors (HEMTs), circuit integration of thin-film InP-based resonant tunneling diodes (RTDs) with silicon circuits, mixed material integration of thin-film InP HBTs on silicon, high-frequency testing and characterization of high-speed electronic devices, and integration, measurement, and modeling of high-frequency passive structures with active III–V thin-film devices on silicon. Her other areas of interest have included RF amplifier design, communications, and photovoltaics.

Chris Kapusta, photograph and biography not available at time of publication.

Joseph Iannotti, photograph and biography not available at time of publication.

William Kornrumpf, photograph and biography not available at time of publication.

John J. Maciel (M’83) was born in Stoneham, MA, on December 7, 1960. He received the B.S. degree in electrical engineering from Northeastern University, Boston, MA, in 1983, and the M.S. and Ph.D. degrees in electrical engineering from Polytechnic University, Brooklyn, NY, in 1986 and 1990, respectively. From 1983 to 1996, he was with Missile Systems Division Laboratories, Raytheon Company, Tewksbury, MA, where he designed, conducted analyses for, developed, fabricated, and tested missile seeker radar antenna and radome systems. Since March 1996, he has been with Radant Technologies, Stow, MA, where he is currently Manager of electromagnetics technology. He has performed analyses for ground, shipborne, and submarine antennas and radomes, and has also developed lightweight passive phased-array antennas, including those that contain MEMS. In addition, since May 2002, he serves as Vice President and Chief Operating Officer of Radant MEMS Inc., Stow, MA, where he directs MEMS switch and component developments. Dr. Maciel is a member of Sigma Xi, Tau Beta Pi, Eta Kappa Nu, and Phi Kappa Phi. He was the recipient of Second Place in the 1989 Union of Radio Science (URSI) Student Prize Paper Contest, Boulder, CO.

Nafiz Karabudak, photograph and biography not available at time of publication.

4016

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Letters Comments on “

-Band Multiport Substrate-Integrated Waveguide Circuits” Thomas J. Ellis

Abstract—The paper by Moldovan et al. presents simulated and measured data on “new” circuit structures based on the substrate-integrated waveguide transmission line. The sometimes significant difference between measured and simulated data is broadly attributed to “fabrication error,” and in some cases, the measured data does not account for all of the signal energy. It is also unclear as to what aspects of the presented work are different from previously reported structures by the authors. Index Terms—Fabrication error, measurement error, substrate-integrated waveguide (SIW).

I. INTRODUCTION There have been a significant number of papers published on the topic of substrate-integrated waveguide (SIW) circuits and components in recent years. A keyword search on the index term “substrate-integrated waveguide” on IEEE Xplore yields 45 citations, many of which are by at least some of the authors of the above paper [1]. A partial list is shown in [1]–[6]. The full list of papers was omitted for brevity. It appears that the original concept of forming waveguide structures in substrates using metallized vias was first introduced in [2] back in 1998 as a feeding structure for an antenna. II. MEASUREMENTS AND SIMULATIONS In [1], measured and simulated data are presented on various multiport power divider networks. At numerous points in [1], it is claimed that “excellent agreement” between simulation and measurements exists, although it seems difficult to draw that conclusion based on the presented data. In [1, Sec. II], the simulated data for an ideally excited SIW structure is presented. It appears that the extraordinary low loss shown is due solely to reflections at the input port. Variations on the order of 0.01 dB would be extremely hard to measure at 94 GHz. Given that the data seems to present the structure as a useful low-loss transmission medium, comparisons to real measured data needs to be presented to validate these claims. In [1, Sec. III], a multistage waveguide matching network is designed, along with an alumina “probe,” to couple from a standard WR-10 waveguide into the SIW. In [1, Fig. 8], a measured passband insertion loss of 3 dB is shown, along with the simulated prediction of  0.1–dB loss. Given the measured return loss at the center frequency is shown to be less than 20 dB, it would appear that a significant portion of the input energy is missing. At frequencies lower than the center, the return losses and insertion losses are both at the 010–dB level. Given the size of the structure, it is unlikely the loss is due to absorption so there must either be measurement error or a significant amount of radiation happening. Here and in other areas of [1], the discrepancies between simulated and measured data are attributed to “fabrication error,” which could either be verified by post-process Manuscript received April 15, 2006 The author resides in Dallas, TX 75219 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.884623

simulation of the actual structure, which was realized, or doing a lower frequency scale model where fabrication errors would be less relevant (which appears to have been done in [3]). It seems to be claimed that once the transition is removed, the devices perform well, but no clear explanation as to how the transition effects have been removed or why it would be valid to do so in the first place is provided. III. DISCERNING PREVIOUS WORK It appears that most of IEEE Xplore’s 45 citations are variations on that general concept—to form circuit and system transmission-line components (hybrid couplers, cascaded hybrid couplers, linear phase filters, “broadband” filters, “super-wideband” bandpass filters, multilayer elliptic filters, elliptic filters “with transmission inverter,” “defected ground structure” filters, “integrated” filters, dual-mode filters, “asymmetric” dual-mode filters, circular cavity filters, millimeter-wave filters, “PCB substrate integrated” filters, etc.) based on the “integrated waveguide” transmission structure. It does not appear that all of the previous studies done on this concept by the authors are properly referenced. In [1], it is stated that a “new” hybrid coupler and multiport circuit are presented. While the substrate and center frequency are different for this design, and the fabrication was undoubtedly much more difficult, it does not appear that these are substantially different than the circuit components presented in previous publications [3]. The only apparent difference between [1] and [3] is a WR10 to SIW transition that shows approximately 3 dB of possible radiation loss in the band of interest. It appears that the general concept of forming transmission-line components using “SIWs” has been covered in depth both by the authors of the original paper [2] and others. While it may be of interest to publish a few examples of using this technology in circuit and system design, publishing essentially the same work at a different frequency or using a different substrate/fabrication process does not seem to comply with the requirements for publication that theoretically apply to all IEEE members. It is regrettable that the reviewers and the editorial process failed to recognize at least some of the issues raised in this comment. Hopefully more detailed explanations, more rigorous measurements, or possibly some scale-model measurements can eliminate the questions surrounding the presented data and show how this circuit can be used in low-loss integrated millimeter-wave systems.

REFERENCES

W

[1] E. Moldovan et al., “ -band multiport substrate-integrated waveguide circuits,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 625–631, Feb. 2006. [2] J. Hirokawa and M. Ando, “Single-layer feed waveguide consisting of posts for plane TEM wave excitation in parallel plates,” IEEE Trans. Antennas Propag., vol. 46, no. 5, pp. 625–630, May 1998. [3] K. Wu et al., “A new 94-GHz six-port collision avoidance radar sensor,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 751–759, Mar. 2004. [4] ——, “Development of a low cost microwave mixer using a broadband substrate integrated waveguide (SIW) coupler,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 11, pp. 787–789, Nov. 2005. [5] ——, “Substrate integrated waveguide (SIW) linear phase filter,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 84–86, Feb. 2006. [6] ——, “Substrate integrated waveguide elliptic filter,” in Proc. Asia–Pacific Conf., Dec. 2005, vol. 1, pp. 1–3.

0018-9480/$20.00 © 2006 IEEE

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Authors’ Reply Emilia Moldovan, Renato G. Bosisio, and Ke Wu We are grateful for Dr. Ellis’s comments on the above paper [1] and the opportunity for us to both respond and clarify certain aspects of our work, which may be subject to misunderstanding. On the whole, we disagree with most of the arguments of Dr. Ellis, but he raises some interesting points that deserve careful consideration. First, Dr. Ellis claims that the general concept of substrate-integrated waveguides (SIWs) was introduced by the authors of [2]. This is incorrect. In fact, SIWs date back to 1994 [3], which we mentioned in one of our recent publications [4]. The terminology “substrate-integrated waveguide” was first proposed and used by one of the coauthors of [1] (i.e., Wu), and was discussed in an extensive way under the context of substrate-integrated circuits [5], which refers to the synthesis or conversion of any nonplanar structures in planar form (rectangular waveguide, coaxial line, nonradiative dielectric waveguide, and image guide, to name a few), and their full integration with other planar structures such as microstrips and coplanar waveguides on single or multilayered substrates. In some other publications, the SIW was also called a “post-wall waveguide” or “laminated waveguide.” Therefore, the concept of substrate-integrated circuits is a much broader and significant class of structures, and includes the SIW, substrate integrated nonradiative dielectric guide, and many others Our goal of developing substrate-integrated circuits is to design and develop low-cost RF and millimeter-wave integration techniques of planar and nonplanar structures in a systematic way, and the SIW is just one part of this effort. Currently, the SIW is being studied by many other authors, and it has already been demonstrated to present many interesting applications in practical circuits and systems. Of course, a number of studies on SIWs have been published, and we do not think that it was necessary to provide a complete list of references in connection with this subject in [1]. We did not mean to diminish the contributions of the author of [3], and consider him the inventor of the SIW. -band six-port circuits [1], [6], Regarding our two papers on Dr. Ellis ignored the fact that, while those circuits do have the same architecture, there is a substantial difference between the circuits designed and discussed in those two papers. The first circuit was fabricated using rectangular-waveguide technology, whereas the second was designed using the SIW with transitions to standard rectangular waveguide. This is an important step to a complete single-substrate integration of multiport modules for radar sensor front-end applications. This is an original study, which shows a different version of SIWs (via-holes were replaced by slots) for the six-port design and also original transitions to standard waveguide in a conventional multiport architecture. The fabrication process was indeed “undoubtedly much more difficult” at the frequency of interest (94 GHz), as mentioned by Dr. Ellis. The fabrication errors may represent up to 20% in some circuit dimensions. The accuracy of our measured scattering parameters is strongly related to the fabrication errors due to the small dimensions of those -band alumina circuits. All of the SIWs are fabricated in brass fixtures to allow connections to the standard WR-10 waveguide for measurement purposes, as explained in [1, Figs. 7, 11, and 15], and show the

W

W

Manuscript received April 19, 2006. The authors are with the Poly-Grames Research Center, Départment de Génie Electrique, École Polytechnique de Montréal, Montréal, QC, Canada H3T 1J4 (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.884620

4017

back-to-back transitions, substrate-integrated-waveguide hybrid coupler, and multiport circuit, respectively. Due to the inherent alignment errors of circuits in the central cavity, as well as fabrication errors (as explained in [1, p. 627]), the measured scattering parameters including transitions are different from the simulated results. The structures are also subject to some radiation losses, which are especially due to possible errors on the alignment of the two parts of the metallic fixture. We appreciate very much Dr. Ellis’s discussion on possible error sources regarding our simulated and measured results, but do believe that fabrication errors account for the discrepancy between our measurements and simulations. In [1], we presented what we measured and simulated for those 94-GHz structures. For the multiport circuit itself, the measurement results are in excellent agreement with simulation results if the inherent alignment errors of circuits are removed by deembedding the back-to back transitions. Of course, we understand that additional work needs to be done. We did not intend to show the variation of 0.01 dB by our measurements at 94 GHz even if certain simulations indeed show such variations. Nevertheless, this first fabricated prototype presents -parameter results good enough for its use in the design of a radar sensor front-end. This was the purpose of the entire study (as seen in the results of [1, Fig. 24]). Further study will use the same multiport without those tedious transitions, as specified in [1, p. 631]. Therefore, all errors related to such transitions should be eliminated and the performance of the circuit should be improved. We agree with Dr. Ellis that the design and fabrication of a lower frequency-scaled model may be useful for this study. Since we have accumulated experience with lower frequency designs and applications of SIWs, it is our desire to try this out at 94 GHz. Previous results at -band frequencies [1, ref. [6] therein] obtained for a SIW six-port using transitions to microstrip have already shown very satisfactory results. Therefore, a lower frequency SIW model was not considered necessary in the beginning of this study. In our opinion, the same topologies designed may behave quite differently at two largely spaced frequencies. Interestingly, the specific comments of Dr. Ellis on this aspect that “publishing essentially the same work at a different frequency or using a different substrate/fabrication process does not seem to comply with the requirements for publication that theoretically apply to all IEEE members” contradicts his own suggestion. In fact, the use of a different fabrication process and/or a different substrate may lead to a completely different technology (e.g., monolithic microwave integrated circuits (MMICs), microelectromechanical systems (MEMS), and CMOS with respect to conventional microwave integrated circuits), which yields interesting circuit topologies.

S

K

REFERENCES

W

[1] E. Moldovan, R. G. Bosisio, and K. Wu, “ -band multiport substrate integrated waveguide circuits,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 625–632, Feb. 2006. [2] J. Hirokawa and M. Ando, “Single-layer feed waveguide consisting of posts for plane TEM wave excitation in parallel plates,” IEEE Trans. Antennas Propag., vol. 46, no. 5, pp. 625–630, May 1998. [3] F. Shigeki, “Waveguide line,” (in Japanese) Japan Patent 06-053 711, Feb. 25, 1994. [4] D. Deslandes and K. Wu, “Accurate modeling, wave mechanisms, and design considerations of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2516–2526, Jun. 2006. [5] K. Wu, D. Deslandes, and Y. Cassivi, “The substrate integrated circuits—A new concept for high-frequency electronics and optoelectronics,” in Int. Telecommun. in Modern Satellite, Cable, Broadcast. Services Conf., Nis, Yugoslavia, Oct. 1–3, 2003, pp. P-III–P-X. [6] E. Moldovan, S. O. Tatu, T. Gaman, K. Wu, and R. G. Bosisio, “A new 94 GHz six-port collision avoidance radar sensor,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 751–759, Mar. 2004.

0018-9480/$20.00 © 2006 IEEE

4018

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

Corrections to “Closed-Form Expressions for the Current Density on the Ground Plane of a Microstrip Line, With Application to Ground Plane Loss” Christopher L. Holloway and Edward F. Kuester In [1], we derived and presented two closed-form expressions for the current distribution on the ground plane of a microstrip line. One expression was based on the assumption of a constant current distribution on the trace conductor. The second was based on a more accurate expression given by Kobayashi [2] for the trace current distribution. The Kobayashi current distribution accounts for the edge singularities, and is a refinement of the classical Maxwell current distribution for an isolated conducting strip. We have recently discovered an error in our derivation of the ground plane current distribution based on Kobayashi’s trace current expression. Reference [1, eq. (4)] should be replaced with the following:

JGP (x) =

0 CI

1

1

0K

01 w 0 2x

tan



2 (x

2h

+tan

01 w +2x 2h

+

KA

p

2

Fig. 1. Comparison of analytical and numerical [finite-element method (FEM)] results for the current on the ground plane for w=h = 0:5.

0 h2 0 A2 )2 +4h2 x2 1=2 0 (x2 0 h2 0 A2 ) (x2 + h2 )2 0 2A2 (x2 0 h2 )+ A4 (1)

where

A=

w

0 xAc pA2 0 x2c p K= A 0 A2 0 x2c Kw C = (1 0 K )w + 2 2

10 1

w is the trace width, h is the height of the trace over the ground plane, and xc is a parameter given in [2]. We have compared this new expression to numerical finite-element calculations [3] for the ground-plane current. Comparisons for four values of w=h are shown here in Figs. 1–4. The corrected expression (dashed line) shows excellent correlation to the finite-element results (solid line) in all four cases. Also plotted on these figures are analytical results based on the assumption of a constant trace current [1, eq. (3)]. We see that this latter result is accurate for small w=h, but fails for large values of w=h at points directly underneath the trace. An assumed constant trace current distribution predicts larger values for the ground-plane current directly under the trace, while for points not under the trace, the predicted ground plane current density is quite close to Manuscript received August 7, 2006. C. L. Holloway is with the Electromagnetics Division, U.S. Department of Commerce, Boulder Laboratories, National Institute of Standards and Technology, Boulder, CO 80305 USA (e-mail: [email protected]). E. F. Kuester is with the Department of Electrical and Computer Engineering, University of Colorado at Boulder, Boulder, CO 80309-0425 USA. Digital Object Identifier 10.1109/TMTT.2006.883644

Fig. 2. Comparison of analytical and numerical results for the current on the ground plane for w=h = 1:0.

that obtained by more precise computations. These comparisons show the importance of the edge singularities of the trace current that have been incorporated into (1) in accurately determining the ground plane current distribution for large values of w=h. The authors also recently became aware of [4] in which an empirical formula for the microstrip ground-plane current was presented. This formula was obtained by curve fitting to numerically computed data over a limited range of parameters and, in particular, suffers from severe inaccuracy when w=h is small and 2x=w is large. Our expression is both less complicated and more uniformly accurate.

U.S. Government work not protected by U.S. copyright.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 11, NOVEMBER 2006

4019

REFERENCES [1] C. L. Holloway and E. F. Kuester, “Closed-form expressions for the current density on the ground plane of a microstrip line, with application to ground plane loss,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 5, pp. 1204–1207, May 1995. [2] M. Kobayashi, “Longitudinal and transverse current distributions on microstrip lines and their closed-form expression,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 9, pp. 784–788, Sep. 1985. [3] D. C. Meeker, “Finite Element Method Magnetics,” ver. 4.0.1 (10Apr2006 Build). [Online]. Available: http://femm.foster-miller.net [4] J. Zehentner, “Výpo˘cet hustoty podélného proudu ve vodi˘cích symetrického a nesymetrického páskovéno vedení,” (in Czech) Electrotech˘ nický Casopis, vol. 39, pp. 809–823, 1988.

Fig. 3. Comparison of analytical and numerical results for the current on the ground plane for w=h = 3:0.

Fig. 4. Comparison of analytical and numerical results for the current on the ground plane for w=h = 10:0.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2006.885712

EDITORIAL BOARD Editors: D. WILLIAMS AND A. MORTAZAWI Associate Editors: A. CANGELLARIS, A. CIDRONALI, M. DO, K. ITOH, J. LIN, D. LINTON, S. MARSH, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, V. RIZZOLI, R. SNYDER, R. WU, T. WYSOCKI, A. YAKOVLEV REVIEWERS A. Abbaspour-Tamijani D. Abbott M. Abdulla M. Abe M. Abedin M. Abouzahra M. Abramowicz R. Achar E. Ackerman D. Adam E. Adle M. Adlerstein M. Afsar K. Agarwal K. Agawa K. Ahmed D. Ahn H.-R. Ahn M. Aikawa M. Akaike Y. Akaiwa E. Akmansoy S. Aksoy A. Akyurtlu F. Alessandri C. Algani F. Ali M. Ali W. Ali-Ahmad F. Alimenti C. Alippi B. Alpert A. Alphones S. Al-Sarawi A. Altintas A. Alvarez-Melcom S. Amari C. Anastasiou U. Andersson Y. Ando P. Andreani K.-S. Ang I. Angelov S. Anlage O. Anwar I. Aoki R. Aparicio V. Aparin F. Arndt U. Arz M. Asai P. Asbeck H. Ashok H. Ashoka A. Atalar A. Atia N. Audeh S. Auster P. Auxemery I. Awai A. Aydiner K. Aygun R. Azadegan A. Babakhani I. Bahl D. Baillargeat S. Bajpai W. Bakalski J. Baker-Jarvis B. Bakkaloglu K. Balmain Q. Balzano S. Banba J. Bandler R. Bansal F. Bardati I. Bardi S. Barker D. Barlage J. Barr J. Bartolic D. Bates G. Baudoin Q. Balzano B. Beker G. Belenky D. Belot C. Bell P. Bell J. Benedikt J. Bernhard G. Bertin H. Bertoni E. Bertran W. Beyene A. Beyer M. Bialkowski E. Biebl P. Bienstman S. Bila M. Bilakowski A.-L. Billabert F. Bilotti H. Bilzer O. Biro R. Bisiso B. Bisla D. Blackham M. Blank P. Blondy D. Boccoli F. Bohn B. Boeck L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria O. Boric-Lubecke A. Borji J. Bornemann W. Bosch R. Bosisio S. Boumaiza M. Bozzi E. Bracken R. Bradley V. Bratman T. Brazil G. Brehm K. Breuer B. Bridges J. Brinkoff S. Broschat S. Brozovich D. Budimir D. Buechler M. Buff C. Buntschuh J. Bunton J. Burghartz P. Burghignoli Y. Bykov A. Caballero B. Cabon J. Calame

M. Calcatera C. Caloz C. Camacho-Penalosa E. Camargo R. Cameron S. Cammer C. Campbell M. Campovecchio F. Canavero J. Cao J. Capmany F. Capolino G. Carchon R. Carter N. Carvalho F. Casas J. Catala R. Caverly J. Cavers Z. Cendes B. Cetiner R. Chair H. Chaloupka A. Chambarel B. Chambers C.-H. Chan Y.-J. Chan C.-Y. Chang F. Chang G. Chang H.-C. Chang H.-R. Chang K. Chang E. Channabasappa H. Chapell W. Chappell M. Chatras S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen H.-H. Chen J. Chen R. Chen W.-K. Chen Y.-J. Chen K.-K. Cheng Y.-C. Cheng W.-C. Chew C.-Y. Chi Y.-C. Chiang C.-F. Chiasserini I.-T. Chiang J. C. Chiao I. Chiba D. Chigrin A. Chin C.-C. Chiu Y. Cho C. Choi J. Choi M.-J. Choi C.-K. Chou Y.-H. Chou D. Choudhury K. Choumei Y. Chow C. Christodoulou C. Christopoulos H.-R. Chuang Y. Chung B. Chye R. Cicchetti C. Cismaru D. Citrin P. Civalleri A. Ciubotaru T. Clark R. Clarke J. Cloete E. Cohen F. Colomb B. Colpitts M. Condon D. Consonni J. Corral A. Constanzo I. Corbella E. Costamagna A. Coustou J. Craninckx J. Crescenzi S. Cripps D. Cros T. Crowe M. Cryan J. Culver C. Curry W. Curtice M. da Cunha W.-L. Dai T. Dahm G. Dambrine B. Danly F. Danneville N. Das M. Davidovich A. Davis C. Davis L. Davis H. Dayal F. De Flaviis H. De Los Santos A. De Lustrac P. De Maagt J. de Mingo R. De Roo L. de Vreede D. De Zutter B. Deal A. Dearn P. Debicki J. Deen A. Deleniv M. DeLisio S. Demir A. Deutsch V. Devabhaktuni Y. Deval A. Diet L. Ding A. Djermoun T. Djordjevic J. Dobrowolski D. Dolfi W. Dou M. Douglas P. Draxler A. Dreher F. Drewniak J. Drewniak D. Dubuc S. Dudorov L. Dunleavy V. Dunn A. Duzdar

S. Dvorak L. Dworsky M. Dydyk M. Edwards R. Ehlers H. Eisele G. Eisenstein G. Eleftheriades M. Elliott T. Ellis A. Elsherbeni R. Emrick N. Engheta A. Enokihara Y. Eo H. Eom C. Ernst M. Esashi L. Escotte I. Eshrah V. Esposti M. Essaaidi K. Esselle H. Estaban J. Esteban C. Fager J. Fan D.-G. Fang M. Farina W. Fathelbab A. Fathy J. Favennec A. Fazal E. Fear M. Feldman A. Fernandez A. Ferrero T. Fickenscher J. Fiedziuszko D. Filipovic A. Fliflet B. Floyd P. Focardi N. Fong K. Foster P. Foster B. Frank C. Free J. Freire M. Freire R. Freund F. Frezza I. Frigyes C. Froehly J. Fu R. Fujimoto T. Fujioka O. Fujiwara H. Fukuyama V. Fusco D. Gabbay N. Gagnon J. Gallego B. Galwas O. Gandhi B.-Q. Gao J. Gao J. Garcia R. Garver A. Gasiewski B. Geelen B. Geller V. Gelnovatch W. Geppert F. Gerecht J. Gering M. Gerken S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini J. Gilb A. Glisson M. Goano E. Godshalk M. Goldfarb P. Goldsmith M. Golio N. Gomez X. Gong R. Gonzalo S. Gopalsami A. Gopinath R. Gordon A. Gorur K. Goverdhanam W. Grabherr L. Gragnani J. Grahn G. Grau A. Grebennikov T. Gregorzyk I. Gresham A. Griol D. R. Grischowsky C. Grossman E. Grossman T. Grzegorczyk A. Gupta K. Gupta M. Gupta R. Gutmann W. Gwarek J. Hacker M. Hafizi S. Hadjiloucas S. Hagness D. Haigh P. Hale D. Ham K. Hamaguchi S. Hamedi-Hagh J. Hand K. Hashimoto Q. Han T. Hancock A. Hanke V. Hanna Z. Hao S. Hara L. Harle A. Harish P. Harrison H. Hartnagel J. Haslett G. Hau R. Haupt S. Hay H. Hayashi J. Hayashi L. Hayden J. Heaton

P. Hedekvist W. Heinrich G. Heiter M. Helier R. Henderson F. Henkel J. Herren P. Herczfeld F. Herzel J. Hessler A. Hiatala C. Hicks M. Hieda A. Higgins M. Hikita W. Hioe Y. Hirachi T. Hiraota A. Hirata T. Hiratsuka Y.-C. Ho W. Hoefer K. Hoffmann R. Hoffmann J. Hong J.-S. Hong K. Horiguchi Y. Horii J. Horng J. Horton K. Hosoya R. Howald H. Howe H.-M. Hsu H.-T. Hsu J.-P. Hsu C.-W. Hsue C.-C. Huang C. Huang F. Huang H. Huang H.-C. Huang J. Huang T.-W. Huang P. Huggard H.-T. Hui D. Humphreys A. Hung C.-M. Hung H. Hung J.-J. Hung I. Hunter H.-Y. Hwang T. Idehara S. Iezekiel J.-Y. Ihm Y. Iida H. Iizuka P. Ikalainen Y. Ikeda P. Ikonen K. Ikossi M. Ilic J. Inatani K. Iniewski H. Inokawa A. Inoue M. Ishida A. Ishimaru T. Ishizaki S. Islam Y. Ismail Y. Isota M. Ito T. Itoh Y. Itoh T. Ivanov C. Iversen D. Iverson M. Iwamoto Y. Iyama H. Izumi D. Jachowski C. Jackson D. Jackson R. Jackson M. Jacob S. Jacobsen D. Jaeger B. Jagannathan N. Jain R. Jakoby G. James V. Jandhyala M. Janezic H. Jantunen B. Jarry P. Jarry A. Jastrzbeski E. Jeckein W. Jemison Y. Jeon J. Jeong Y.-H. Jeong G. Jerinic A. Jerng T. Jerse D. Jiao J.-M. Jin J. Joe L. Johansson T. Johnson A. Joseph K. Joshin J. Joubert P. Juodawlkis P. Kabos S.-T. Kahng T. Kaho D. Kajfez T. Kamel Y. Kamimura H. Kamitsuna K. Kamogawa S. Kanamaluru H. Kanaya M. Kanda P. Kangaslahtii V. Kaper M. Kärkkäinen A. Karpov U. Karthaus A. Karwowski T. Kashiwa R. Kaul K. Kawakami A. Kawalec T. Kawanishi S. Kawasaki H. Kayano M. Kazimierczuk R. Keam L. Kempel P. Kenington K. Kenneth S. Kenny

Digital Object Identifier 10.1109/TMTT.2006.886726

A. Kerr A. Khalil A. Khanifar J. Kiang Y.-W. Kiang P.-S. Kildal O. Kilic B. Kim H. Kim I. Kim J.-P. Kim M. Kim W. Kim B. Kimm K. Kimura S. Kimura A. Kirilenko V. Kisel S. Kishimoto A. Kishk T. Kitamura K. Kitayama T. Kitazawa W. Klaus E. Klumprink R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kogami B. Kolner S. Komaki M. Komaru J. Komiak A. Komijani G. Kompa A. Konczykowska Y. Konishi A. Koonen B. Kopp K. Kornegay M. Koshiba T. Kosmanis J. Kot Y. Kotsuka S. Koul V. Kourkoulos A. B. Kozyrev A. Krenitskiy N. Kriplani K. Krishnamurthy V. Krishnamurthy A. Kroenig C. Kromer C. Krowne V. Krozer W. Kruppa R. Kshetrimayum H. Ku H. Kubo E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar M. Kunert J. Kuno M. Kunst C.-N. Kuo J.-T. Kuo H. Kurebayashi T. Kuri F. Kuroki S. Kusunoki D. Kuylenstierna M. Kuzuhara I. Kwon Y.-W. Kwon R. Lai Y.-L. Lai P. Lampariello M. Lanagan M. Lancaster P. Lane U. Langmann Z. Lao G. Lapin L. Larson J. Laskar A. Lauer G. Lazzi Y. Le Coz Y. Le Guennec S. Le Maguer B. Lee C. Lee J.-F. Lee J.-W. Lee K. Lee R. Lee S.-G. Lee T. Lee Y.-C. Leong R. Leoni K.-W. Leung P. Leuchtmann G. Leuzzi A. Leven A. Levi R. Levy A. Lewandowski M. Lewis K. Li L.-W. Li X. Li Y. Li Y.-M. Li M. Liberti L. Ligthart S. Lim E. Limiti C. Lin J. Lin Y.-D. Lin Y.-S. Lin L. Lind S. Lindenmeier F. Ling A. Lipparini D. Lippens V. Litvinov C.-P. Liu Q.-H. Liu S.-I. Liu W. Liu O. Llopis D. Lo A. Loayssa R. Loison J. Long K. Lorincz U. Lott J.-H. Loui H.-C. Lu L.-H. Lu S. Lu

W.-T. Lu V. Lubecke G. Lucca S. Lucyszyn R. Luebbers L. Lunardi J. Luy S. Lyshevski J.-G. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella P. Macchiarella J. Machac S. Maci J. Maciel M. Madihian B. Madhavan V. Madrangeas M. Magana S. Mahmoud S. Mahon I. Maio A. Majedi M. Majewski M. Makimoto J. Malherbe D. Malocha T. Manabe G. Manganaro T. Maniwa C. Mann H. Manohara R. Mansour D. Manstretta J. Mao S.-G. Mao S. Marchetti R. Marques J. Martens J. Marti F. Martin E. Martinez K. Maruhashi D. Masotti A. Massa S. Masuda A. Materka B. Matinpour M. Matsuo A. Matsushima A. Matsuzawa S. Matsuzawa G. Matthaei D. Matthews J.-P. Mattia J. Maurer J. Mayock J. Mazierska S. Mazumder G. Mazzarella K. McCarthy T. McKay J. McKinney R. McMillan D. McQuiddy P. Meany F. Medina S. Melle F. Mena C. Meng H.-K. Meng W. Menzel F. Mesa A. Metzger P. Meyer C. Mias K. Michalski G. Michel E. Michielssen A. Mickelson R. Miles D. Miller R. Minasian B. Minnis D. Mirshekar J. Mitchell O. Mitomi R. Mittra M. Miyakawa R. Miyamoto M. Miyazaki K. Mizuno S. Mizushina M. Mohamed S. Mohammadi A. Mohammadian M. Mongiardo J. Morente M. Morgan K. Mori A. Morini N. Morita E. Moros A. Morris J. Morsey H. Mosallaei M. Mrozowski J.-E. Mueller M. Muraguchi K. Murata H. Muthali T. Nagatsuma P. Nagel K. Naishadham T. Nakagawa M. Nakajima N. Nakajima J. Nakayama M. Nakayama M. Nakhla J. Nallatamby S. Nam S. Narahashi A. Natarajan J. Nath B. Nauwelaers J. Navarro I. Nefedovlgor H.-C. Neitzert B. Nelson S. Nelson A. Neri H. Newman D. Ngo E. Ngoya C. Nguyen K. Niclas E. Niehenke P. Nikitin A. Niknejad N. Nikolova T. Nirmalathas K. Nishikawa T. Nishikawa

K. Nishimura T. Nishino K. Nishizawa G. Niu W. Ng S. Nogi K. Noguchi T. Nojima A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido T. Nurgaliev D. Oates J. Obregon J. O’Callahan M. O’Droma M. Odyneic I. Ogawa M. Ogusu K. Oh M. Ohawa T. Ohira I. Ohta M. Ohtsuka S. Oikawa K. Okada Y. Okano H. Okazaki V. Okhmatovski A. Oki M. Okoniewski A. Oliner J. Olsson F. Olyslager A. Omar M. Omiya K. Onodera B.-L. Ooi I. Oppermann R. Orta S. Ortiz J. Ou T. Owada M. Ozkar J. Page de la Pega W. Palmer G.-W. Pan A. Paolella C. Papanicolopoulos J. Papapolymerou B.-K. Park C.-S. Park W. Park A. Parker D. Parker T. Parker J. Pearce B. Pejcinovic S.-T. Peng R. Pengelly R. Penty J. Pereda B. Perlman L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson A. Petosa A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierce B. Piernas J. Pierro P. Pieters M. Piket-May L. Pileggi Z.-Y. Ping M. Pirola A. Platzker C. Plett C. Pobanz R. Pogorzelski R. Pokharel R. Pollard G. Ponchak M. Popovic J. Portilla M. Pospieszalski V. Postoyalko A. Pothier S. Prasad D. Prather D. Prescott A. Priou D. Purdy Y. Qian T. Quach C. Quendo R. Quere F. Raab V. Radisic K. Radhakrishnan T. Rahkonen C. Railton A. Raisanen K. Rajab O. Ramahi J. Randa R. Ranson T. Rappaport J. Rathmell C. Rauscher J. Rautio B. Rawat J. Rayas-Sanchez R. Reano G. Rebeiz J. Rebollar B. Redman-White M. Reddy R. Reid H.-M. Rein J. Reinert R. Remis K. Remley C. Rey L. Reynolds A. Rezazadeh E. Rezek A. Riddle B. Riddle J.-S. Rieh E. Rius I. Robertson R. Robertson A. Rodriguez R. Rogers H. Rogier U. Rohde N. Rolland R. Romanofsky

A. Rong Y. Rong D. Root L. Roselli A. Rosen U. Rosenberg L. Roy M. Royer J. Roychowdury T. Rozzi B. Rubin M. Rudolph P. Russer D. Rutledge T. Ruttan A. Rydberg T. Rylander D. Rytting C. Saavedra A. Safavi-Naeini A. Safwat M. Sagawa B. Sahu A. Saitou I. Sakagami K. Sakaguchi K. Sakakibara K. Sakamoto K. Sakoda M. Salazar-Palma C. Samori L. Samoska A. Sanada Y. Sanada M. Sanagi P. Sandhiva U. Sangawa A. Sangster K. Sano K. Sarabandi T. Sarkar C. Sarris H. Sato M. Sato S. Sato H. Sawada H. Sawaya A. Sawicki A. Sayed I. Scherbatko J. Schellenberg G. Schettini F. Schettino B. Schiek M. Schindler E. Schlecht E. Schmidhammer D. Schmitt J. Schneider J. Schoukens A. Schuchinsky R. Schuhmann J. Schultz J. Schutt-Aine A. Seeds Y. Segawa T. Seki S. Selberherr G. Semouchkin E. Semouchkina Y.-K. Seng R. Settaluri J. Sevic O. Sevimli Y. Segawa Z. Shao M. Shapiro A. Sharma S. Sharma T. Shen Z.-X. Shen Y. Shestopalov H. Shigesawa Y.-C. Shih H. Shimasaki S. Shinjo N. Shino N. Shinohara T. Shimozuma W. Shiroma K. Shogen N. Shuley M. Shur D. Sievenpiper A. Sihvola C. Silva M. Silveira M. Silveirinha M. Silveirinhao K. Silvonen G. Simin R. Simons B. Sinha F. Sinnesbichler J. Sinsky J. Sitch H.-J. Siweris R. Sloan A. Smith D. Smith G. Smith P. Smith R. Snyder H. Sobol A. Sochava M. Solano K. Solbach M. Solomon M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers M. Soyuer R. Sparks P. Staecker D. Staiculescu S. Stapleton J. Staudinger P. Stauffer P. Steenson K. Stephan M. Steyaert S. Stitzer A. Stoehr B. Strassner M. Stubbs M. Stuchly A. Suarez G. Subramanyam R. Sudbury N. Suematsu M. Sugiyama D. Sullivan L. Sundstrom

Y. Suzuki J. Svacina D. Swanson D. Sweeney R. Syms B. Szendrenyi W. Tabbara M. Tabib-Azar A. Taflove M. Taghivand N. Taguchi Y. Tahara G. Tait Y. Tajima T. Takagi K. Takahashi S. Takayama Y. Takayama S. Takeda I. Takenaka M. Taki K. Takizawa S. Talisa N. Talwalkar B.-T. Tan C.-Y. Tan J. Tan C.-W. Tang W.-C. Tang S. Tanaka T. Tanaka Y. Tanaka M. Tani E. Taniguchi H. Tanimoto R. Tascone J. Taub J. Tauritz R. Tayrani D. Teeter F. Teixeira R. Temkin M. Tentzeris K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson D. Thompson M. Tiebout L. Tiemeijer H. Toda M.-R. Tofighi M. Togashi T. Tokumitsu R. Tomasiunas A. Tombak K. Tomiyasu I. Toyoda S. Tretyakov R. Trew A. Trifiletti C. Trueman A. Truitt C.-M. Tsai E. Tsai L. Tsang H.-Q. Tserng T. Tsiboukis J. Tsui M. Tsuji T. Tsujiguchi T. Tsukahara K. Tsukamoto K. Tsunoda H. Tsurumi S. Tu R. Tucker M. Tur C.-K. Tzuang H. Uchida S. Uebayashi T. Ueda S. Ueno J. Uher F. Uhlmann T. Ulrich T. Umeda Y. Umeda F. Urbani T. Uwano P. Vainikainen P. Valanju F. Van de Water P. van den Berg D. Van der Weide G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie J. Vankka F. Van Straten K. Varian G. Vasilecu A. Vegas-Garcia L. Vegni A. Verma R. Vernon J. Verspecht B. Vidal L. Vietzorreck A. Viitanen A. Vilches C. Vittoria S. Vitusevich D. Viveiros V. Volman K. Wada K. Wakino D. Walker R. Walker M. Wallis C. Walsh C. Wan S. Wane B.-Z. Wang C. Wang D. Wang E. Wang H. Wang J. Wang K.-C. Wang S. Wang T.-H. Wang W. Wang X. Wang K. Warnick P. Warr S. Wartenberg O. Watanabe S. Watanabe R. Waugh D. Webb K. Webb R. Webster S. Wedge C.-J. Wei

J. Weirt R. Weigel G. Weihs R. Weikle C. Weil D. Weile A. Weily S. Weinreb J. Weiss C. Weitzel T. Weller C.-P. Wen M.-H. Weng R.-M. Weng S. Wentworth J. Whelehan L. Whicker J. Whitaker N. Whitbread D. White I. White S. Whiteley A. Whittneben B. Widrow G. Wilkins J. Williams T. Williams A. Williamson B. Willen B. Wilson J. Wiltse T. Winslow J. Winters A. Wittneben M. Wnuk M.-F. Wong S. Wong W. Woo J. Wood R. C. Wood G. Woods D. Woolard B.-L. Wu C. Wu H. Wu K. Wu K.-L. Wu Q. Wu Y.-S. Wu J. Wuerfl M. Wurzer J. Wustenberg G. Xiao C. Xie H. Xin Y.-Z. Xiong J. Xu Y. Xu Q. Xue T. Yakabe K. Yamamo S. Yamamoto S. Yamashita K. Yamauchi F. Yang H.-Y. Yang K. Yang Y. Yang Y.-J. Yang Z. Yang S. Yanagawa F. Yanovsky H. Yao J. Yao J. Yap B. Yarman K. Yashiro H. Yasser K. Yasumoto S. Ye J. Yeo S.-P. Yeo A. Yilmaz W.-Y. Yin S. Yngvesson N. Yoneda T. Yoneyama C.-K. Yong J.-G. Yook J.-B. Yoon R. York I. Yoshida S. Yoshikado L. Young M. Yousefi J.-W. Yu M. Yu P.-K. Yu W. Yu S.-W. Yun P. Yue A. Zaghoul A. Zaghloul A. Zajic K. Zaki P. Zampardi J. Zapata L. Zappelli J. Zehentner L. Zhang Q.-J. Zhang R. Zhang S. Zhang W. Zhang Y. P. Zhang A. Zhao L. Zhao Y. Zhao F. Zhenghe W. Zhou A. Zhu L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin D. Zimmermann R. Ziolkowski H. Zirath J. Zmuidzinas A. Zozaya