IEEE MTT-V054-I07 (2006-07) [54, 7 ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 01650424......Page 1
020 - 01650425......Page 3
030 - [email protected] 4
040 - [email protected] 5
050 - [email protected] 13
060 - [email protected] 20
070 - [email protected] 28
080 - [email protected] 40
090 - [email protected] 47
100 - [email protected] 52
110 - [email protected] 57
120 - [email protected] 65
130 - [email protected] 73
140 - [email protected] 80
150 - [email protected] 86
160 - [email protected] 96
170 - [email protected] 104
180 - [email protected] 116
190 - [email protected] 122
200 - [email protected] 129
210 - [email protected] 141
220 - [email protected] 148
230 - [email protected] 155
240 - [email protected] 163
250 - [email protected] 172
260 - [email protected] 187
270 - [email protected] 193
280 - [email protected] 201
290 - [email protected] 207
300 - [email protected] 216
310 - [email protected] 224
320 - [email protected] 230
330 - [email protected] 234
340 - [email protected] 244
350 - [email protected] 249
360 - [email protected] 258
370 - [email protected] 259
380 - [email protected] 261
390 - 01650462......Page 262
400 - 01650463......Page 263
410 - 01650464......Page 264
420 - 01650465......Page 265
430 - 01650466......Page 266

Citation preview

JULY 2006

VOLUME 54

NUMBER 7

IETMAB

(ISSN 0018-9480)

MINI-SPECIAL ISSUE ON 2006 ASIA–PACIFIC MICROWAVE CONFERENCE Guest Editorial: Getting to Know the New Editors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. El-Ghazaly

2901

MINI-SPECIAL ISSUE PAPERS

Arbitrarily Dual-Band Components Using Simplified Structures of Conventional CRLH TLs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X. Q. Lin, R. P. Liu, X. M. Yang, J. X. Chen, X. X. Yin, Q. Cheng, and T. J. Cui Broadband High-Efficiency Circularly Polarized Active Antenna and Array for RF Front-End Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Qin, S. Gao, and A. Sambell A Low Phase-Noise CMOS VCO With Harmonic Tuned LC Tank . . . . . . . . . . . . . . . . . . . . . . H. Kim, S. Ryu, Y. Chung, J. Choi, and B. Kim A V -Band Front-End With 3-D Integrated Cavity Filters/Duplexers and Antenna in LTCC Technologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J.-H. Lee, N. Kidera, G. DeJean, S. Pinel, J. Laskar, and M. M. Tentzeris Analysis and Implementation of Six-Port Software-Defined Radio Receiver Platform . . . . . . . . . . . . . . . . . X. Xu, R. G. Bosisio, and K. Wu Characterization of a Quasi-Optical NbN Superconducting HEB Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Jiang, W. Miao, W. Zhang, N. Li, Z. H. Lin, Q. J. Yao, S.-C. Shi, S. I. Svechnikov, Y. B. Vakhtomin, S. V. Antipov, B. M. Voronov, N. S. Kaurova, and G. N. Gol’tsman

2902 2910 2917 2925 2937 2944

CONTRIBUTED PAPERS

Linear and Nonlinear Device Modeling A Low Gate Bias Model Extraction Technique for AlGaN/GaN HEMTs . . . . . . . . . . G. Chen, V. Kumar, R. S. Schwindt, and I. Adesida On the Simulation of Low-Frequency Noise Upconversion in InGaP/GaAs HBTs . . . . M. Rudolph, F. Lenk, O. Llopis, and W. Heinrich

2949 2954

Smart Antennas, Phased Arrays, and Radars Bi-Directionally Fed Phased-Array Antenna Downsized With Variable Impedance Phase Shifter for ISM Band . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Tsuji, T. Nishikawa, K. Wakino, and T. Kitazawa New 5.8-GHz Circularly Polarized Retrodirective Rectenna Arrays for Wireless Power Transmission . . . . . . . . Y.-J. Ren and K. Chang

2962 2970

Signal Generation, Frequency Conversion, and Control High-Order Subharmonically Pumped Mixers Using Phased Local Oscillators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z. Liu and R. M. Weikle II G-Band Metamorphic HEMT-Based Frequency Multipliers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Campos-Roca, C. Schwörer, A. Leuther, and M. Seelmann-Eggebert Phase-Noise Measurement Using Two Inter-Injection-Locked Microwave Oscillators . . . . . . . . . . . . . M. Nick, A. Banai, and F. Farzaneh

2977 2983 2993

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Wireless Communication Systems Operation, System Architectures, and Physical Layer Design Considerations of Distributed MAC Protocols for UWB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . N. J. August and D. S. Ha Field Analysis and Guided Waves Attenuation of a Shielded Rectangular Dielectric Rod Waveguide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. G. Wells and J. A. R. Ball Removal of Spurious DC Modes in Edge Element Solutions for Modeling Three-Dimensional Resonators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . N. V. Venkatarayalu and J.-F. Lee A 3-D Precise Integration Time-Domain Method Without the Restraints of the Courant–Friedrich–Levy Stability Condition for the Numerical Solution of Maxwell’s Equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X. Ma, X. Zhao, and Y. Zhao Steady-State Response by Finite-Difference Time-Domain Method and Lanczos Algorithm . . . . . . . . . . . . . . . . T.-Y. Huang and R.-B. Wu CAD Algorithms and Numerical Techniques An Extension of the Lumped-Network FDTD Method to Linear Two-Port Lumped Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . O. González, J. A. Pereda, A. Herrera, and Á. Vegas Modeling Techniques Suitable for CAD-Based Design of Internal Matching Networks of High-Power RF/Microwave Transistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P. H. Aaen, J. A. Plá, and C. A. Balanis ICCAP—A Linear Time Sparsification and Reordering Algorithm for 3-D BEM Capacitance Extraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. Jiang, Y.-H. Chang, and C. C.-P. Chen RBF Network Optimization of Complex Microwave Systems Represented by Small FDTD Modeling Data Sets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E. K. Murphy and V. V. Yakovlev Filters and Multiplexers -Band . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Low-Cost Inverted Line in Silicon/Glass Technology for Filter in the . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Martoglio, E. Richalot, G. Lissorgues-Bazin, and O. Picon Synthesis and Design of Asymmetrical Dual-Band Bandpass Filters Based on Equivalent Network Simplification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P. Lenoir, S. Bila, F. Seyfert, D. Baillargeat, and S. Verdeyme

Ka

Packaging, Interconnects, MoMs, Hybrids, and Passive Circuit Elements Novel Miniaturized Open-Square-Loop Resonator With Inner Split Rings Loading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.-L. Ooi and Y. Wang Design of Microstrip Resonators Using Balanced and Unbalanced Composite Right/Left-Handed Transmission Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. A. Allen, K. M. K. H. Leong, and T. Itoh Bandgap and Slow/Fast-Wave Characteristics of Defected Ground Structures (DGSs) Including Left-Handed Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H.-M. Kim and B. Lee Instrumentation and Measurements Techniques Scalar Calibration of Quasi-Optical Reflection Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. Koers, J. Stiens, and R. Vounckx Wideband Measurement of the Dielectric Constant of an FR4 Substrate Using a Parallel-Coupled Microstrip Resonator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E. L. Holzman Deembedding and Unterminating Microwave Fixtures With the Genetic Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. S. Adalev, N. V. Korovkin, M. Hayakawa, and J. B. Nitsch

3001 3013 3019 3026 3038

3045 3052 3060 3069

3084 3090 3098 3104 3113 3121 3127 3131

Microwave Photonics The Performance of Analog Photonic Links Employing Highly Compressed Erbium-Doped Fiber Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V. J. Urick, M. S. Rogge, F. Bucholtz, and K. J. Williams

3141

Biological, Imaging, and Medical Applications Thermal Implications of the New Relaxed IEEE RF Safety Standard for Head Exposures to Cellular Telephones at 835 and 1900 MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Q.-X. Li and O. P. Gandhi

3146

LETTERS

Comments on “CMOS Low-Noise Amplifier Design Optimization Techniques” . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Lu and F. Huang Authors’ Reply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T.-K. Nguyen, C.-H. Kim, G.-J. Ihm, M.-S. Yang, and S.-G. Lee Corrections to “Optically Beamformed Beam-Switched Adaptive Antennas for Fixed and Mobile Broadband Wireless Access Networks” . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. A. Piqueras, G. Grosskopf, B. Vidal, J. Herrera, J. M. Martínez, P. Sanchis, V. Polo, J. L. Corral, A. Marceaux, J. Galière, J. Lopez, A. Enard, J.-L. Valard, O. Parillaud, E. Estèbe, N. Vodjdani, M.-S. Choi, J. Hendrik den Besten, F. M. Soares, M. K. Smit, and J. Marti

3155 3155

Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3157

3156

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $16.00 per year for electronic media only or $32.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE K. VARIAN, President S. M. EL-GHAZALY J. HAUSNER K. ITOH M. HARRIS D. HARVEY

J. S. KENNEY, President Elect L. KATEHI N. KOLIAS J. S. KENNEY T. LEE

W. H. CANTRELL, Secretary J. LIN V. J. NAIR J. MODELSKI B. PERLMAN A. MORTAZAWI

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

D. RUTLEDGE W. SHIROMA

N. KOLIAS, Treasurer R. WEIGEL R. SNYDER K. WU K. VARIAN R. YORK

Distinguished Lecturers K. TOMIYASU L. YOUNG

G. BOECK W. HOEFER T. ITOH

B. KIM J. LASKAR V. LUBECKE

J. C. RAUTIO D. ROOT D. RYTTING

Past Presidents M. SHUR P. SIEGEL A. SUAREZ

K. C. GUPTA (2005) R. J. TREW (2004) F. SCHINDLER (2003)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: A. D. BROWN Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: C. SEABURY Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: K. ALAVI Central & South Italy: S. MACI Central No. Carolina: T. IVANOV Chicago: Z. LUBIN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. EYE Dayton: A. TERZOUOLI, JR. Denver: M. JANEZIC Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: K. O’CONNOR

Foothill: C. ANTONIAK France: P. EUDELINE Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: S. E. WHEATLEY Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: P. WAHID Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorod: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: J. J. SOWERS Seattle: K. POULSON Seoul Coucil: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH Singapore: O. B. LEONG

Editors-In-Chief DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected] AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected]

South Africa: P. W. VAN DER WALT South Australia: H. HANSEN South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West: I. ISAYEV Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI Yugoslavia: B. MILOVANOVIC

Associate Editors

ANDREAS CANGELLARIS KENJI ITOH STEVEN MARSH Univ. of Illinois, Urbana Champaign Mitsubishi Electronics Midas Consulting USA Japan U.K. email:[email protected] email: [email protected] email: [email protected] YOSHIO NIKAWA DAVID LINTON TADEUSZ WYSOCKI Queen’s Univ. Belfast Univ. of Wollongong Kokushikan Univ. Northern Ireland Australia Japan email: [email protected] email: [email protected] email: [email protected] RUEY-BEEI WU MANH ANH DO JOSÉ PEDRO Univ. of Aveiro National Taiwan Univ. Nanyang Technological Univ. Portugal Taiwan, R.O.C. Singapore email: jcp.mtted.av.it.pt email: [email protected] email: [email protected] ZOYA POPOVIC ALESSANDRO CIDRONALI VITTORIO RIZZOLI Univ. of Colorado, Boulder Univ. of Florence Univ. of Bologna USA Italy Italy email: [email protected] email: [email protected] email: [email protected] M. GOLIO, Editor-in-Chief, IEEE Microwave Magazine G. E. PONCHAK, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] JENSHAN LIN Univ. of Florida USA email: [email protected] RICHARD SNYDER RS Microwave Company USA email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers MICHAEL R. LIGHTNER, President and CEO SAIFUR RAHMAN, Vice President, Publication Services and Products LEAH H. JAMIESON, President-Elect PEDRO RAY, Vice President, Regional Activities J. ROBERTO DE MARCA, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association JOSEPH V. LILLIE, Treasurer CELIA L. DESMOND, Vice President, Technical Activities W. CLEON ANDERSON, Past President RALPH W. WYNDRUM, JR., President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $77.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2006 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188.

Digital Object Identifier 10.1109/TMTT.2006.880188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

2901

Guest Editorial: Getting to Know the New Editors ICHAEL Steer’s term as Editor-in-Chief of this TRANSACTIONS ended in June 2006. Michael worked tirelessly over the last three years on this TRANSACTIONS. During his term as Editor-in-Chief, Michael drove turnaround times to record lows, and maintained those record turnaround times despite a nearly 100% increase in submissions over his term. Many of those new submissions came from Europe and Asia, and Michael worked hard to encourage quality manuscripts from all over the world. Michael’s vigorous leadership left this TRANSACTIONS healthy, vibrant, and diverse. The job of editing this TRANSACTIONS has grown with the increasing submission rate and size of the journal, and has become too large for a single Editor-in-Chief. Dylan Williams and Amir Mortazawi begin their terms as Editors-in-Chief this month, sharing the responsibilities that Michael shouldered alone. Dylan and Amir both have devoted their careers to microwave engineering, and owe a great deal to the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and this TRANSACTIONS. Dylan began training to become an engineer at the age of four, when he was given an old record player to play with. He called it his “bashine,” tearing it apart and reassembling it over and over again. Dylan’s parents, a Professor of philosophy and an English major from Northwestern University, were horrified. Their son was clearly destined to become an engineer! Amir also started his career in RF and microwave early, building and experimenting with a variety of receivers and transmitters in school. He later came to the U.S. to pursue his undergraduate and graduate studies. Amir received the Ph.D. degree from the University of Texas at Austin. It was at the library that Dylan really learned his trade. He went through every issue of this TRANSACTIONS, starting with the first issue published in 1953, reading, photocopying, and cataloging for future reference hundreds of papers, as he worked toward the Ph.D. degree at the University of California at Berkeley.

M

Digital Object Identifier 10.1109/TMTT.2006.877451

Dylan and Amir are both bilingual, and have spent significant portions of their lives living outside of the U.S. They are also both IEEE Fellows, and have a long history of service to the IEEE both as associate editors and as active participants in numerous IEEE technical activities. Dylan is best known for his work in microwave measurement at the National Institute of Standards and Technology (NIST), Boulder, CO, and was the recipient of the IEEE Morris E. Leeds Award. Amir’s area of work is phased arrays, power amplifiers, spatial power combining, and frequency agile microwave circuits. He is a Professor of electrical and computer engineering at The University of Michigan at Ann Arbor. Amir is a member of the IEEE MTT-S Administrative Committee (AdCom). Dylan and Amir are both very excited with their new duties, and with working together to accomplish them. So let us all welcome them as the new Editors-in-Chief of this TRANSACTIONS.

Dylan Williams

Amir Mortazawi

SAMIR EL-GHAZALY, Chair, IEEE MTT-S Publications Committee Department of Electrical and Computer Engineering The University of Tennessee Knoxville, TN 37916 USA

0018-9480/$20.00 © 2006 IEEE

2902

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Arbitrarily Dual-Band Components Using Simplified Structures of Conventional CRLH TLs Xian Qi Lin, Ruo Peng Liu, Xin Mi Yang, Ji Xin Chen, Xiao Xing Yin, Qiang Cheng, and Tie Jun Cui, Senior Member, IEEE

Abstract—Microwave components with nonlinear phase responses are developed using a simplified composite right/lefthanded (CRLH) transmission-line (TL) structure without the series capacitance or the shunt inductance. Using such a simplified CRLH structure, arbitrarily dual-band microstrip components have been realized in compact sizes such as a quarter-wavelength short-circuited stub and dual- band branch-line couplers. Simulation and measurement results are given to demonstrate the efficiency and good performance of the proposed components. For the quarter- wavelength short-circuited stub based on the simplified CRLH-TL structure without the series capacitance, it has been shown that the insertion loss is less than 0.1 dB. For the arbitrarily dual-band branch-line coupler, experiment results exhibit that 21 and 31 are larger than 3.6 dB, the isolations are smaller than 30 dB, the return losses are smaller 1.8 . than 20 dB, and the phase differences are within 90 Index Terms—Branch-line coupler, composite right/left-handed (CRLH) structure, dual band, nonlinear phase response, transmission line (TL).

I. INTRODUCTION INCE THE concept of left-hand material (LHM) was first proposed by Russian physicist Veselago in 1968 [1], the realization of LHM has not been generated until 2001, when Shelby et al. proposed a periodic structure that possesses effectively negative permittivity and permeability simultaneously [2] using split-ring resonators (SRRs) and wires [3]–[6]. Another proposal to realize LHM was developed later based on the composite right/left-handed (CRLH) transmission-line (TL) structures [7]–[15], which brings a broader frequency band and less loss for LHM. The CRLH structures are also shown to be useful in the design of arbitrary dual-band microwave components. By replacing the conventional right-handed (RH) TLs with CRLH TLs, the phase shift of 90 and 270 (or 90 ) can be achieved at two arbitrarily given frequencies if the CRLH structures are properly designed. The theory, synthesis procedure, and implementation of arbitrary dual-band components using TLs and lumped components have been investigated in [16]–[18]. However, there are still some disadvantages in such designs.

S

Manuscript received November 1, 2005; revised December 15, 2005. This work was supported in part by the National Science Foundation of China under Grant 60496317, in part by the National Basic Research Program (973) of China under Grant 2004CB719800, in part by the National Science Foundation of China for Distinguished Young Scholars under Grant 60225001, and in part by the National Doctoral Foundation of China under Grant 20040286010. X. Q. Lin, X. M. Yang, J. X. Chen, X. X. Yin, Q. Cheng, and T. J. Cui are with the Center for Computational Electromagnetics and State Key Laboratory of Millimeter Waves, Southeast University, Nanjing 210096, China. R. P. Liu is with the College of Information Science and Engineering, ZheJiang University, HangZhou 310027, China (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877434

Fig. 1. Conventional RH-TL and CRLH-TL structures. (a) RH TL. (b) CRLH TL.

As we know, the conventional lumped components, such as lumped inductances, are frequency dispersive in higher microwave frequencies and have the limited choice of the available values. On the other hand, the distributed structures with inter-digital capacitors and short-circuited stub inductors are more complicated to design and possess larger sizes. In this paper, we will consider two utmost limits to the conventional CRLH-TL structure without the series capacitor [19] or shunt inductor. The nonlinear phase responses of such simplified structures are given in Section II. The full-wave simulation and experimental results are provided in Section III to demonstrate the efficiency and good performance of the microstrip components realized using the new structures from which we can observe that such structures are more feasible and compact to design. II. BASIC THEORY A. Conventional CRLH Structures The unit cells of RH-TL and CRLH-TL structures implemented by lumped elements are shown in Fig. 1(a) and (b), respectively, which have also been used for the 180 hybrid design [20]. In detail, the dispersion equation is written as [21]

0018-9480/$20.00 © 2006 IEEE

(1)

LIN et al.: ARBITRARILY DUAL-BAND COMPONENTS USING SIMPLIFIED STRUCTURES OF CONVENTIONAL CRLH TLs

2903

Fig. 2. Dispersion diagram of the structure without C .

Fig. 4. Simplified CRLH structure without L . (a) Lumped structure. (b) Partially distributed structure. (Color version available online at: http://ieeexplore. ieee.org.)

Fig. 5. Photograph and schematic picture of the quarter-wavelength short-circuited stub (unit: millimeters). (Color version available online at: http://ieeexplore.ieee.org.)

Fig. 3. Simplified CRLH structure without C . (a) Lumped structure. (b) Distributed structure. (Color version available online at: http://ieeexplore.ieee.org.)

where

is the phase constant, is the length of unit cells, and and are series impedance and shunt admittance. The phase responses of RH-TL and CRLH-TL structures are given by

(2)

(3) in which and

and

Fig. 6. S -parameters of the quarter-wavelength short-circuited stub by CST simulation and measurement.

are phase responses for RH and CRLH TLs B. Simplified CRLH Structures Without the Series Capacitance or Shunt Inductance From (1), we easily obtain the propagating condition that

are characteristic impedances of two such structures, respectively.

(4)

2904

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 9. Measurement results of the dual-band branch-line coupler without L by Agilent’s E8363B network analyzer.

Fig. 7. Dual-band branch-line coupler without L in CRLH-TLs. (a) Photograph of the circuit. (b) Schematic picture (unit: millimeters). (Color version available online at: http://ieeexplore.ieee.org.)

Fig. 10. Phase differences between S

and S .

Since the electrical length of the unit cell is small, the Taylor approximation for the sinusoidal function can be applied and then (1) becomes Fig. 8. Simulation results of the dual-band branch-line coupler without L by CST Microwave Studio 5.

We first consider an utmost limit without becomes

. Equation (4) then

(5) where

and

(6) Fig. 2 shows the dispersion curve of such a structure without . From this figure, we can see that the dispersion relation is approximately the same with the plasma material when the frequency is low, which happens similarly in the condition without . The unit cell of such new structures without and its corresponding distributed microstrip structure are given in Fig. 3(a) and (b), respectively, where the phase response is obtained as

(7)

LIN et al.: ARBITRARILY DUAL-BAND COMPONENTS USING SIMPLIFIED STRUCTURES OF CONVENTIONAL CRLH TLs

2905

TABLE I PERFORMANCE OF THE DUAL-BAND BRANCH-LINE COUPLER WITHOUT L IN THE FIRST PASSBAND

TABLE II PERFORMANCE OF THE DUAL-BAND BRANCH-LINE COUPLER WITHOUT L IN THE SECOND PASSBAND

Similarly, the unit cell of new structures without and the corresponding partial distributed microstrip structure are given in Fig. 4(a) and (b). In such a case, we have the phase response shown in (8) at the bottom of this page. In Figs. 3 and 4, the relations between lumped components and distributed microstrip parameters are given by [22], [23]

which can be used to design the simplified CRLH structures. Here, and are the width and length of the microstrip line, and and are the thickness and relative permittivity of the substrate. , , and are all in millimeters. III. SIMULATION AND EXPERIMENTAL RESULTS A. Quarter-Wavelength Short-Circuited Stub

nH (9) pF (10)

In the design of a quarter-wavelength short-circuited stub, the new structure shown in Fig. 4(b) has been adopted. Based on the given frequencies of and , we can obtain the initial values of , , and from (8), where and are determined by the width and length of microstirp lines, as shown in (9) and (10). The phase response is 90 at and 270 (or 90 ) at , where and can be chosen as arbitrary frequencies. Using such initial values, an optimization technique has been adopted with the aid of Agilent’s Advanced Design

(8)

2906

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 13. Measurement results of the dual-band branch-line coupler without C by Agilent’s E8363B network analyzer.

Fig. 11. Schematic picture and photograph of the dual-band branch-line coupler without C . (a) Schematic picture (unit: millimeters). (b) Photograph of the circuit. (Color version available online at: http://ieeexplore.ieee.org.)

Fig. 14. Phase differences between S

and S .

fiber. The width of the microstrip line is mm. Simulation results by CST Microwave Studio 5 [24] and measurement results by Agilent’s E8363B network analyzer are shown in Fig. 6, which demonstrates that the quarter-wavelength CRLH short-circuited stub creates two passbands at the frequencies of 2.40 and 5 GHz, respectively. The ratio between these two frequencies is 2.08. The susceptances of the chip capacitors varying with the frequency cause the variations in the operating frequencies, especially in the higher passband. B. Dual-Band Branch-Line Couplers Fig. 12. Simulation results of the dual-band branch-line coupler without by CST Microwave Studio 5.

C

System (ADS) commercial software, which leads to the final structure of the component. Fig. 5 presented the photograph and designed parameters of the quarter-wavelength short-circuited stub in detail with pF and the substrate is chosen as an F4B-1/2 ( mm, ), which is made of polytetra-fluoroethylene and glass

The design procedure for the dual-band branch-line couplers is similar to that for the quarter-wavelength short-circuited stub. First we adopt the simplified structure without , just as shown in Fig. 4(b). The two frequencies are chosen as GHz and GHz, whose ratio is 2.0. A photograph of the implemented circuit with the substrate of F4B ( mm and ) is shown in Fig. 7(a). Fig. 7(b) gives the detailed parameters of the circuit. The CST simulation results and measurement results of such a four-port dual-band branch-line coupler are illustrated in

LIN et al.: ARBITRARILY DUAL-BAND COMPONENTS USING SIMPLIFIED STRUCTURES OF CONVENTIONAL CRLH TLs

2907

TABLE III PERFORMANCES OF THE OF THE DUAL-BAND BRANCH-LINE COUPLER WITHOUT C IN THE FIRST PASSBAND

TABLE IV PERFORMANCES OF THE OF THE DUAL-BAND BRANCH-LINE COUPLER WITHOUT C IN THE SECOND PASSBAND

Figs. 8 and 9, respectively, which satisfy our design requirements. Fig. 10 gives the phase difference between and , from which we clearly see that the 90 difference between Ports 2 and 3 is presented at the two operating frequencies. The performances of the coupler in the two passbands are summarized in Tables I and II, respectively. Obviously, the operating frequencies and phase differences have small errors compared to the designed values due to the dispersion of chip capacitors. Next we consider the dual-band coupler realized using the simplified CRLH structure without , just as shown in Fig. 3(b). In such a case, the dispersion of the microstrip structure has been considered in the design. The schematic picture and photograph of the coupler are illustrated in Fig. 11 with the dual operating frequencies of GHz and GHz, where the ratio between two frequencies is still 2.0. The simulation results by CST Microwave Studio 5 and measurement results using Agilent’s E8363B network analyzer are shown in Figs. 12 and 13, respectively. The phase difference between and is illustrated in Fig. 14, where 90 1.8 phase differences are observed at the two operating frequencies. Tables III and IV give the summaries of performance in the two passbands in which we clearly see that the new coupler has a very good performance. The amplitude difference between and is less than 0.3 dB in the two passbands, which means that the input power is evenly divided into Ports 2 and 3. From

Tables III and IV, we also notice that the measured operating frequencies and phase differences are much closer to the designed values than those in the previous case.

IV. CONCLUSIONS In this paper, novel arbitrarily dual-band microstrip components have been developed using simplified CRLH structures without the series capacitance or the shunt inductance. Both simulation and experiment results of such components have been provided. Arbitrarily dual-band operating frequencies are possible due to the nonlinearity of phase responses in the simplified CRLH TL. From the measurement results, we can clearly see that the simplified designs using the new structures have similar performances to those of the conventional CRLH structures. However, the new structures are easier to be designed and have more compact sizes because only three parameters, i.e., , , and (or , , and ) are required. Compared to the conventional quarter- wavelength coupler, the new one has a similar size. However, arbitrarily dual-band operating frequencies can be achieved. In general, the new structures with nonlinear phase responses can also be applied to other microwave components such as phase shifters, matching networks, baluns, etc.

2908

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

REFERENCES [1] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of " and ,” Sov. Phys.—Usp., vol. 10, no. 4, pp. 509–514, Jan.–Feb. 1968. [2] R. A. Shelby, D. R. Smith, and S. Schultz, “Experimental verification of a negative index of refraction,” Science, vol. 292, pp. 77–79, Apr. 2001. [3] D. R. Smith, D. C. Vier, W. Padilla, S. C. Nemat-Nasser, and S. Schultz, “Loop-wire for investigating plasmons at microwave frequencies,” Appl. Phys. Lett., vol. 75, no. 10, pp. 1425–1427, Sep. 1999. [4] D. R. Smith, W. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, no. 18, pp. 4184–4187, May 2000. [5] M. Schussler, A. Fleckenstein, J. Freese, and R. Jakoby, “Left-handed metamaterials based on split ring resonators for microstrip applications,” in Eur. Microw. Conf., Oct. 2003, vol. 3, pp. 1119–1122. [6] R. Marques, F. Mesa, J. Martel, and F. Medina, “Comparative analysis of edge- and broadside-coupled split ring resonators for metamaterial design—Theory and experiments,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2572–2581, Oct. 2003. [7] G. V. Eleftheriades, A. K. Iyer, and P. C. Kremer, “Planar negative refractive index media using periodically L–C loaded transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2702–2712, Dec. 2002. [8] C. Caloz and T. Itoh, “Application of the transmission line theory of lefthanded (LH) materials to the realization of a microstrip ‘LH line’,” in IEEE AP-S Int. Symp., San Antonio, TX, Jun. 2002, vol. 2, pp. 412–415. [9] L. Liu, C. Caloz, and T. Itoh, “Dominant mode leaky-wave antenna with backfire-to-endfire scanning capability,” Electron. Lett., vol. 38, no. 23, pp. 1414–1416, Nov. 2002. [10] M. Anioniades and G. V. Eleftheriades, “Compact linear lead/lag metamaterial phase shifters for broadband applications,” IEEE Antennas Wireless Propag. Lett., vol. 2, no. 7, pp. 103–106, Jul. 2003. [11] C. Caloz and T. Itoh, “Novel microwave devices and structures based on the transmission line approach of meta-materials,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, vol. 1, pp. 195–198. [12] C.-Y. Cheng and R. W. Ziolkowski, “Tailoring double-negative metamaterial responses to achieve anomalous propagation effects along microstrip transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2306–2314, Dec. 2003. [13] Z. Zhang, Q. Zhu, and L. Zhou, “A novel structure of planar coupled line balun,” in Asia–Pacific Microw. Conf., Seoul, Korea, 2003, [CD ROM]. [14] A. Sanada, C. Caloz, and T. Itoh, “Characteristics of the composite right/left-handed transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 68–70, Feb. 2004. [15] Z. X. Zhang and S. J. Xu, “A novel balun structure with a composite right–left-handed transmission line,” Microw. Opt. Technol. Lett., vol. 45, no. 5, pp. 422–424, Jun. 2005. [16] I. Lin, C. Caloz, and T. Itoh, “A branch-line coupler with two arbitrary operating frequencies using left-handed transmission lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, 2003, vol. 1, pp. 325–327. [17] H. Okabe, C. Caloz, and T. Itoh, “A compact enhanced-bandwidth hybrid ring using a left-handed transmission line,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 798–804, Mar. 2004. [18] I. Lin, M. DeVincentis, C. Caloz, and T. Itoh, “Arbitrary dual-band components using composite right/left-handed transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1142–1149, Apr. 2004. [19] X. Q. Lin, R. P. Liu, X. M. Yang, J. X. Chen, X. X. Ying, Q. Cheng, and T. J. Cui, “Realization of arbitrary dual-band components using an improved CRLH transmission-line model,” in Proc. Asia–Pacific Microw. Conf., Suzhou, China, Dec. 4–7, 2005, vol. 1, pp. 401–404. [20] F. Giannini and L. Scucchia, “A double frequency 180 lumped-element hybrid,” Microw. Opt. Technol. Lett., vol. 33, no. 4, pp. 247–251, May 2002. [21] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications. New York: Wiley, 2004. [22] F. E. Terman, Radio Engineer Handbook. New York: McGraw-Hill, 1943. [23] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2003.

[24] “CST Microwave Studio 5 User’s Manual,” CST Ltd., Darmstadt, Germany, 2003.

Xian Qi Lin was born in Zhejiang Province, China, on July 9, 1980. He received the B.S. degree in electrical engineering from the University of Electronic Science and Technology of China, Chengdu, China, and is currently working toward the Ph.D. degree at Southeast University, Nanjing, China. He is currently with the State Key Laboratory of Millimeter Waves, Southeast University. His research interests include computational electromagnetics, metamaterial, microwave circuits, and antennas. Mr. Lin was the recipient of the Excellence Graduate Student of Sichuan Province Award.

Ruo Peng Liu was born in Xi’an, China, on September 24, 1983. He is currently working toward the Bachelor of Engineering degree from Zhejiang University, HangZhou, China. In 2002, he became a member of Chu Kechen Honors College, Zhejiang University. During his undergraduate study, he joined the State Key Laboratory of Millimeter Waves, Southeast University. His research interests include metamaterials, computational electromagnetics, wave propagation, and microwave circuits.

Xin Mi Yang was born in Jiangsu Province, China, on May 27, 1982. He received the B.S. degree in radio engineering from Southeast University, Nanjing, China, in 2005, and is currently working toward the M.S. degree in radio engineering from Southeast University. His research interests include the application of artificial left-handed materials and chiral materials on microwave circuit designs.

Ji Xin Chen was born in Jiangsu Province, China, in 1976. He received the B.S., M.S., and Ph.D. degrees in radio engineering from Southeast University, Nanjing, China, in 1998, 2002, and 2006, respectively. Since 1998, he has been with the State Key Laboratory of Millimeter Waves, Southeast University. He has been engaged in microwave circuit design, monolithic microwave integrated circuit (MMIC) design, RF front-end for mobile communications, etc.

Xiao Xing Yin received the M.Sc. and Ph.D. degrees from Southeast University, Nanjing, China, in 1989 and 2001, respectively, both in electromagnetic field and microwave technology. From 1983 to 1986 and 1989 to 1998, he was a Lecturer with the Department of Physics, University of Petroleum, Dongying, China, where he was involved with logging methods and instruments. Since 2001, he has been with the Department of Radio Engineering, Southeast University, as an Associate Professor. His current research interests include computational electromagnetics, microwave passive circuit components, image, and antennas.

LIN et al.: ARBITRARILY DUAL-BAND COMPONENTS USING SIMPLIFIED STRUCTURES OF CONVENTIONAL CRLH TLs

Qiang Cheng was born in Anhui Province, China, in 1979. He received the B.S. and M.S. degrees in electrical engineering from the Nanjing University of Aeronautics and Astronautics, Nanjing, China, in 2001 and 2004, respectively, and is currently working toward the Ph.D. degree at Southeast University, Nanjing, China. His research interests include electromagnetic theory, computational electromagnetics, and metamaterials.

Tie Jun Cui (M’98–SM’00) was born in Hebei, China, in September 1965. He received the B.Sc., M.Sc., and Ph.D. degrees in electrical engineering from Xidian University, Xi’an, China, in 1987, 1990, and 1993, respectively. In March 1993, he joined the Department of Electromagnetic Engineering, Xidian University, and became an Associate Professor in November 1993. From 1995 to 1997 he was a Research Fellow with the Institut fur Hochstfrequenztechnik und Elektronik (IHE), University of Karlsruhe, Karlsruhe, Germany.

2909

In July 1997, he joined the Center for Computational Electromagnetics, Department of Electrical and Computer Engineering, University of Illinois at Urbana–Champaign, initially as a Post-Doctoral Research Associate and then as a Research Scientist. In September 2001, he became a Full Professor with the Department of Radio Engineering, Southeast University, Nanjing, China. He is currently the Associate Director of the State Key Laboratory of Millimeter Waves and the Director of the Center for Computational Electromagnetics. He has authored four book chapters, over 90 scientific journal papers, and has presented over 30 conference papers. His research interests include wave propagation, scattering, inverse scattering, land-mine detection, geophysical subsurface sensing, fast algorithms, microwave and millimeter-wave circuits and antennas simulations, signal integrity, and metamaterials. He is currently a principal investigator of several national projects including a National Science Foundation of China (NSFC) for Distinguished Young Scholars and an NSFC major project. Dr. Cui is a member of URSI (Commission B). He was the recipient of a 1995 Research Fellowship presented by the Alexander von Humboldt Foundation, Bonn, Germany. He was a recipient of a 1999 Young Scientist Award presented by the International Union of Radio Science (URSI). He was awarded a Cheung Kong Professorship under the Cheung Kong Scholar Program by the Ministry of Education, China, in 2001. He was also the recipient of a 2003 Tai-Yang Teaching Award presented by Southeast University.

2910

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Broadband High-Efficiency Circularly Polarized Active Antenna and Array for RF Front-End Application Yin Qin, Steven Gao, Member, IEEE, and Alistair Sambell, Member, IEEE

Abstract—This paper presents a broadband high-efficiency circularly polarized (CP) active integrated antenna, and a broadband CP active array at 2 GHz. To realize the broadband CP antenna, a circular patch is aperture coupled by crossed slots in the ground plane, which are fed by an L-shaped microstrip feed line below the ground. The antenna is designed to serve the functions of both a radiator and a harmonics-terminated load for class-E high-efficiency power-amplifier (PA) integration. The broadband CP active antenna is realized by directly integrating the broadband CP antenna with the class-E PA. It achieves a 9% bandwidth (1.84–2.01 GHz) for axial ratio (AR) below 3 dB, and a 12% bandwidth for poweradded efficiency (PAE) over 60%. To form the broadband CP active array, four active antenna elements are sequentially rotated, and each element is directly integrated with broadband class-E PA. A low-cost printed-circuit-board technology is employed in fabrication and a pseudomorphic high electron-mobility transistor is used. A peak drain efficiency of 71.5% for the class-E amplifier is measured at 1.95 GHz. The active array achieves a peak-effective radiated power of 39.7 dBm, and PAE is over 50% within a 22.6% bandwidth (1.72–2.16 GHz). The AR is below 3 dB over a 27% bandwidth (1.72–2.26 GHz). Index Terms—Active antennas, active array, broadband antennas, circular polarization, class E, power amplifier (PA).

I. INTRODUCTION DVANCED communication systems require both a broad bandwidth and a high efficiency. Broad bandwidth offers the capacity to carry voice, data, and video simultaneously, thus being attractive for broadband multimedia communications. High efficiency in power amplifiers (PAs) can increase the battery life, reduce the power dissipation, relax the cooling requirement, and lower the cost [1]. The high-efficiency active integrated antenna (AIA) has been a popular research topic in recent years[2]–[4]. Using this approach, the antenna can be integrated directly with the PA; hence, the losses in the connecting cables, matching networks, and connectors can be eliminated. The integration of a highly efficient RF/microwave PA with the antenna will further reduce the size of the RF front-end, improve the efficiency, and lower the cost. Due to its high-efficiency capability and simple circuit topology, the class-E switching-mode PA is a promising choice for RF front-ends. First introduced by Sokal and Sokal [5], the design equations taking into account an ideal active device are given

A

Manuscript received December 19, 2005; revised March 13, 2006. The work of S. Gao was supported by the Engineering and Physical Sciences Research Council, U.K., under Project GR/S42538/01. The authors are with the Active Antenna Group, School of Engineering and Technology, Northumbria University, Newcastle-Upon-Tyne E1 8ST, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877437

Fig. 1. Proposed circuit diagram of class-E PA. (a) Switch model with lumped elements. (b) Switch model with transmission lines.

by Raab [6]. 100% drain efficiency can be achieved when the transistor is driven heavily into saturation. High-efficiency active antenna designs have been reported in [7] and [8] with a class-E PA and [9] with a class-F PA. Circularly polarized (CP) antennas are useful for satellite communications and the global positioning system (GPS), as polarization of a linear polarized radio wave may be rotated as the signal passes through any anomalies in the ionosphere. Furthermore, due to the position of the Earth with respect to the satellite, geometric differences may vary, especially if the satellite appears to move with respect to the fixed Earth bound station. Circular polarization will keep the signal constant regardless of these anomalies. CP AIA designs have been reported in [10]. In this paper, the novel designs of both broadband high-efficiency CP active antennas and an active array are presented. The design procedures are described as follows. Section II describes the improved design technique of broadband high-efficiency class-E PAs, and both simulated and measured results are discussed. Section III describes the design of a broadband CP active antenna, and both simulated and measured results are discussed. Section IV describes the design and results of a broadband CP active array antenna. Section V presents conclusions. II. BROADBAND HIGH-EFFICIENCY CLASS-E PA A. Broadband High-Efficiency Class-E PA The basic circuit topology for the class-E PA has been well documented in [5]–[7], [11]. It consists of an active device such as a field-effect transistor (FET), which acts as a switch, a shunt capacitor across the switch, which includes the internal capacitance of the transistor and the external capacitor, an ideal bandpass filter tuned to the fundamental frequency, and, the load resistance. The switching voltage and current waveforms do not over lap, therefore, an efficiency of 100% can be theoretically obtained.

0018-9480/$20.00 © 2006 IEEE

QIN et al.: BROADBAND HIGH-EFFICIENCY CP ACTIVE ANTENNA AND ARRAY FOR RF FRONT-END APPLICATION

2911

Fig. 2. Comparison of Z opt and calculated Z in.

Fig. 3. (a) Proposed circuit schematic of class-E PA. (b) Transmission-line version of broadband class-E PA. (Color version available online at: http://ieeexplore.ieee.org.) TABLE I COMPONENT VALUES AND THE TRANSMISSION-LINE DIMENSIONS OF THE CLASS-E AMPLIFIER

Fig. 4. (a) Simulated voltage and current waveform at 2 GHz. (b) Measured power output against frequency and the comparison of measured and simulated PAE versus frequency. (c) Comparison of measured and simulated gain at 2 GHz.

From the analysis reported in [11], the optimum impedance at the output capacitance is given by (1) It is noted that the optimum impedance given in (1) is frequency dependent. In order to achieve a high-efficiency class-Emode operation over a broad bandwidth instead of a single frequency point, the load network should provide the optimum

load impedance throughout the bandwidth of operation. The proposed load network configuration using lumped elements is shown Fig. 1(a). It consists of a series dc blocking capacitor followed by a series inductor . A parallel tuned circuit is attached at the node connecting with the load . In order to obtain the optimized solution from the given circuit configuration, it is necessary to minimize the difference between the optimum impedance and the actual impedance over a frequency bandwidth from to , i.e., it is required to minimize the error function (2)

(2)

2912

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 6. Design layout of the broadband CP active antenna. (Color version available online at: http://ieeexplore.ieee.org.)

Fig. 5. (a) Side view and (b) top view of the broadband CP antenna. (c) Detailed dimensions (in millimeters) of the proposed design. (Color version available online at: http://www.ieeexplore.ieee.org.)

over the frequency range from to with respect to the circuit design parameters and , where is the resonant frequency of the – parallel tuned circuit. The circuit input impedance is given by (3) as follows:

(3) where is the quality factor of the parallel tuned circuit. A direct integration is performed to calculate the component values. The error function is integrated over the frequency range to with respect to the circuit design parameters and . The result is minimized with respect to and by solving the simultaneous equations and . For a design frequency of 2 GHz, a quality factor of is used because the load network is going to be replaced by a passive broadband antenna, which

has an approximate quality factor of 3. The following design parameters are obtained: nH and GHz. The values are used to determine the optimum load network component values nH and pF. A value of 10 pF was chosen for . The impedance curves for and are shown in Fig. 2. The load network impedance is in good agreement with optimum impedance. The equivalent transmission-line network shown in Fig. 1(b) then replaces the lumped-element network. The circuit simulation software is Agilent Technologies’ ADS. To ensure the ideal class-E operation, the load network is required to give an open-circuit condition for all of the higher order harmonic frequencies. Optimum source and load impedances are obtained using ADS with the transistor biased at the pinchoff voltage and a drain voltage of V. An external shunt capacitor pF is also placed across the transistor. As the optimum source impedance is nearly independent of the frequency and, consequently, a simple L–C matching network, i.e., and in Fig. 3(b) is used at the source. The final amplifier circuits using lumped and transmission-line elements are shown in Fig. 3. Quarter-wave microstrip lines ( and ) are used as the bias networks both for the drain and gate of the transistor. In order to prevent short circuit at the drain of the transistor, a series Microstrip line along with a series dc blocking capacitor are connected with the dc feed. The output load network consists of , , and , which converts the optimum impedance to 50 . Instability, a major concern in this amplifier design, was tested in ADS to determine if unwanted oscillation occurs across the wide frequency range. One resistor was used in the gate bias network is shown in Fig. 3. The associated dimensions of the

QIN et al.: BROADBAND HIGH-EFFICIENCY CP ACTIVE ANTENNA AND ARRAY FOR RF FRONT-END APPLICATION

2913

Fig. 8. Measured PAE, drain efficiency, and power output.

sults show that a broadband high-efficiency class-E PA has been realized. Fig. 7. (a) Measured (AIA) total radiation pattern (2 GHz). (b) Simulated (passive) radiation patterns of the broadband active antenna (2 GHz). (Color version available online at: http://ieeexplore.ieee.org.)

feed network, together with the values of components, are given in Table I. B. Practical Design of Broadband Class-E PA Using the calculated component values as a starting point for the output network, a broadband class-E PA using a lowcost medium power packaged pseudomorphic high electronmobility transistor (pHEMT) was designed. The transistor is Agilent Technologies’ ATF34143. The design elements were then replaced by the equivalent microstrip circuitry shown in Fig. 3(b). Design procedures are presented in [12]. The circuit is fabricated by using the low-cost printed-circuit-board (PCB) technology, and measured. Fig. 4(a) shows the drain voltage and current waveforms, which are obtained by ADS simulations. As shown, the drain waveforms are nonideal compared to ideal class-E waveforms. This is due to the nonideal switching performances of the transistor. As shown in Fig. 4(b), a peak power-added efficiency (PAE) of 82.1% is measured at 1.99 GHz, and a 22.08-dBm output power is obtained for an input power at 12 dBm. The resulting bandwidth for PAE over 60% is more than 12%. Fig. 4(c) shows the measured and simulated results of gain, and a good agreement is obtained between simulation (ADS) and practical measurements. The re-

III. BROADBAND CP ACTIVE ANTENNA ELEMENT The characteristics of cross-slot coupled patch antenna have been reported in [13] and [14]. By using crossed slots to couple the electromagnetic energy from the microstrip feed to the radiating element, CP radiation can be obtained. The configuration of the proposed broadband CP antenna is shown in Fig. 5. It consists of an L-shaped microstrip feed line below the ground plane, crossed-slots with unequal lengths cut in the ground plane, and a circular patch above the ground plane. To reduce the cost, a single patch is used instead of stacked patches. The circular microstrip patch is printed on the underside of the top substrate, which is held in position by vertical supporting plastic rods. In the top view shown in Fig. 5(b), the relative positions of the feed, crossed slots, and circular patch are shown. The geometry of the feed line is obtained by examining simulation results using a uniform L-shaped feed. In order to design a CP antenna that has wide impedance and axial ratio bandwidth, the length of the L-shaped microstrip feed line between two slots is adjusted to be approximately a quarter of the wavelength, so as to introduce a 90 phase shift between two orthogonal modes. The dimensions of the crossed slot are optimized to achieve wideband circular polarization. The dimensions of the feed line are adjusted to give broad 50- bandwidth. The detailed dimensions of the antenna design are shown in Fig. 5(c). Both substrates are 0.79-mm-thick Duroid (5870). There is a 10-mm-thick air gap inserted between the slot and patch. The

2914

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 9. Broadband CP active array. (a) Layout. (b) Back view. (c) Side view. (d) Crossed slots and patched. (Color version available online at: http://ieeexplore. ieee.org.)

crossed slots excite two orthogonal modes in the antenna; the length of the L-shaped microstrip feed line between two slots is adjusted to be approximately a quarter of the wavelength so as to introduce a 90 phase shift between two orthogonal modes. Unlike the AIA designs in [10], a 50- interface is used between the antenna element and class-E PA in this design. The load network of the class-E PA remains in this design to provide the open circuit condition for higher harmonics. To form the broadband CP active antenna, the broadband CP antenna is integrated with the broadband class-E amplifier with 50- interface. It is then fabricated by using the PCB technology, and is then measured. The layout of the active antenna is given in Fig. 6, which has a size of 8.7 cm 11.6 cm. This broadband CP active antenna was measured at the center frequency of 2 GHz in an anechoic chamber with an input power of 12 dBm. A standard linearly polarized (LP) horn is used to measured the total radiation pattern at Phi and Phi . The measured radiation patterns of the active antenna at 2 GHz are given in Fig. 7(a). It shows that symmetric radiation patterns are obtained at Phi and Phi . The antenna pattern simulation (passive) is performed by using Ansoft’s Ensemble. Simulation results in Fig. 7(b) show that symmetric radiation

patterns are obtained at both Phi and Phi , and cross polarizations are well below 20 dB in the broadside direction. The active antenna achieves an AR value below 3 dB within a 9% bandwidth, i.e., from 1.84 to 2.01 GHz, as shown in Fig. 8(a). Fig. 8(a) also shows that the antenna gain is above 6.5 dBi within this frequency range. The PAE of this AIA amplifier module is determined by using the standard definition of the PAE and the Friis transmission equation shown as follows:

(4) where is the received power measured by the standard horn antenna, is the gain of the standard horn, is the power loss in the cable, and is the predetermined passive antenna gain. The measured power output, PAE, and drain efficiency are shown in Fig. 8(b). Practical measurement show an output power flatness of 1 dBm and drain efficiency above 60% over a 15% bandwidth. A peak drain efficiency of 73.3% is achieved. A 12% bandwidth for PAE over 60% is obtained with a peak output power of 21.7 dBm.

QIN et al.: BROADBAND HIGH-EFFICIENCY CP ACTIVE ANTENNA AND ARRAY FOR RF FRONT-END APPLICATION

2915

Fig. 11. Frequency dependence of: (a) Measured PAE, drain efficiency, and power and (b) measured and simulated axial ratio.

Fig. 10. (a) Measured active array total radiation pattern (2 GHz). (b) Simulated (passive) radiation patterns of the broadband active array (2 GHz). (Color version available online at: http://ieeexplore.ieee.org.)

IV. BROADBAND CP HIGH-EFFICIENCY ACTIVE ARRAY

match for 50 at the input feed point, produce a 90 phase difference between adjacent antenna elements, and ensure that each element is fed with equal input power. The active array is fabricated by using the PCB technology, and is measured. Fig. 9(b) shows the back view of the fabricated active array, while Fig. 9(c) shows its side view and Fig. 9(d) shows the crossed slots in the ground plane and the circular patches.

A. Broadband CP Active Array Sequential rotation in the feed network can improve the axialratio bandwidth of CP antennas, and many designs using this technique have been reported for passive arrays [15]–[17]. Here we report on the application of sequential rotation into the design of high-efficiency active arrays. In order to further improve the axial ratio bandwidth and produce greater power output, four broadband CP active antennas, described above, are employed in a sequential-rotation arrangement. The important issue of designing the sequential feeding network for a deeply saturated class-E PA is very critical. This is because an unequal input power level will lead to a different compression level. The feed network is designed for right-handed circular polarization, which is the same with the single CP active antenna element. The configuration and layout of the proposed broadband CP active array are shown in Fig. 9(a). Based on the single element broadband CP active antenna design, a 2 2 array is designed. The feed network consisting of seven quarter-wave transformers, which are designed to produce an impedance

B. Performances This antenna array was measured at the central frequency of 2 GHz in the anechoic chamber. The radiation pattern results are shown in Fig. 10. The total radiation patterns shown in Fig. 10(a) are measured at Phi and Phi . Simulated passive antenna patterns obtained from Ensemble are shown in Fig. 10(b). Simulated results show that symmetric radiation patterns are obtained at both Phi and Phi , and cross polarizations are well below 20 dB in the broadside direction. The frequency dependence of the PAE, drain efficiency, and power output are shown in Fig. 11. The calculation takes into account the losses in the cables. As shown in Fig. 11(a), it achieves a peak drain efficiency of 71.35%, and a peak PAE of 64.8%. The PAE is over 50% within a 22.6% bandwidth from 1.72 to 2.16 GHz. The drain efficiency is over 60% from 1.82 to 2.14 GHz. The peak output power of the class-E PA array is 27.5 dBm at 2 GHz. The AR value below 3 dB is obtained over a 27% bandwidth from 1.73 to 2.26 GHz is shown in Fig. 11(b) together with the simulated passive

2916

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

gain over the bandwidth. A close agreement is obtained between simulation and measurement. V. CONCLUSION This paper has presented novel designs of two broadband CP active antennas, i.e., a broadband high-efficiency CP active antenna and a broadband high-efficiency CP active array antenna. An improved design technique has been proposed to design broadband class-E amplifiers, which can achieve a high efficiency over a broad bandwidth. The resulting bandwidth for PAE over 60% is approximately 12%, and a peak PAE of 82.1% is obtained. The broadband CP high-efficiency active antenna is then realized by integrating a broadband single-feed CP antenna with a broadband class-E PA. The active antenna achieves an AR value below 3 dB within a 9% bandwidth, i.e., from 1.84 to 2.01 GHz. The peak ERP of the active array is 39.7 dBm at 2 GHz. A 12% bandwidth for PAE over 60% is obtained. Finally, a 2 2 broadband high-efficiency CP active array is realized by sequentially rotating the active antenna element. The PAE is over 50% within a 22.6% bandwidth from 1.72 to 2.16 GHz. The AR value below 3 dB is obtained over a 27% bandwidth from 1.73 to 2.26 GHz. The active CP antennas reported here are low cost, and achieved good performances in terms of broad bandwidth and high efficiency, thus useful for applications in satellite communications and other wireless systems. Future work will include the design of a larger array system and higher operating frequency. REFERENCES [1] S. A. Mass, Nonlinear Microwave and RF Circuits. Boston, MA: Artech House, 2003. [2] J. A. Navarro and K. Chang, Integrated Active Antennas and Spatial Power Combining. New York: Wiley, 1996. [3] K. C. Gupta and P. S. Hall, Eds., Analysis and Design of Integrated Circuit Antenna Modules. New York: Wiley, 2000. [4] K. Chang and T. Itoh, “Active integrated antennas,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 937–944, Mar. 2002. [5] N. O. Sokal and A. D. Sokal, “Class E—A new class of high-efficiency tuned single-ended switching power amplifiers,” IEEE J. Solid-State Circuits, vol. SC-10, no. 6, pp. 168–176, Jun. 1975. [6] F. H. Raab, “Idealized operation of the class E tuned power amplifier,” IEEE Trans. Circuits Syst., vol. CAS-24, no. 12, pp. 725–735, Dec. 1977. [7] S. Pajic and Z. Popovic´ , “An efficient -band 16-element spatial combiner of switched-mode power,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1863–1870, Jul. 2003. [8] J. A. Hagerty and Z. Popovic, “A 10 GHz integrated class-E oscillating annular ring element for high-efficiency transmitting arrays,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 2, pp. 1317–1320. [9] Y. Chung and T. Itoh, “AlGaN/GaN HEFT power amplifier integrated with microstrip antenna for RF front-end applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 653–659, Feb. 2003. [10] Y. Qin, S. Gao, M. Elsdon, and A. Sambell, “Broadband high efficiency circularly polarized active antenna for RF front-end application,” in Asia–Pacific Microw. Conf., Suzhou, China, Dec. 2005, accepted for publication. [11] T. B. Mader and Z. Popovic, “Switch mode high efficiency microwave power amplifiers in a free space power combiner array,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 10, pp. 1391–1397, Oct. 1998. [12] Y. Qin, S. Gao, and A. Sambell, “Design of low cost broadband class-E power amplifier using low voltage supply,” Microw. Opt. Technol. Lett., vol. 44, no. 2, pp. 103–106, Jan. 2005. [13] K. L. Wong, Compact and Broadband Microstrip Antennas. New York: Wiley, 2000.

X

[14] H. Kim and B. M. Lee, “A single-feeding circularly polarized microstrip antenna with the effect of hybrid feeding,” IEEE Antennas Wireless Propag. Lett., vol. 2, pp. 74–77, 2003. [15] P. S. Hall, “Application of sequential feeding to wide bandwidth, circularly polarized microstrip patch arrays,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 136, pp. 390–398, Oct. 1989. [16] U. R. Kraft, “An experimental study on 2 2 sequential-rotation arrays with circularly polarized microstrip radiators,” IEEE Trans. Antennas Propag., vol. 45, no. 10, pp. 1459–1466, Oct. 1997. [17] D. M. Pozar and D. H. Schaubert, Eds., Microstrip Antennas: The Analysis and Design of Microstrip Antennas and Arrays. Piscataway, NJ: IEEE Press, 1995.

2

Yi Qin received the B.Eng. degree in electronic and communication engineering from Northumbria University, Newcastle-Upon-Tyne, U.K., in 2001, and is currently working toward the Ph.D. degree at Northumbria University. He is currently a Research Fellow with the University of Birmingham, Birmingham, U.K., where he is involved with the investigation of novel techniques in adaptive digital predistortion for RF transmitter linearization in electronic and electrical engineering. His research interests include high-efficiency PAs, multifunctional AIAs, digital signal-processing algorithms, artificial intelligence techniques, predistortion, and linearization of nonlinear PAs for wireless applications.

Steven Gao (M’01) received the Ph.D. degree in microwave engineering from Shanghai University, Shanghai, China, in 1999. He is a Senior Lecturer with Northumbria University, Newcastle-Upon-Tyne, U.K., where he leads the active antenna research group. His research interests mainly include the design of multifunction planar antennas (e.g., dual-polarization, broadband, multiband, and phased array), AIAs, RF/microwave circuits, high-efficiency microwave PAs (e.g., class E and class F), numerical methods, radio propagation, and communication systems. In 1994, he was involved with radio propagation modeling with the China Research Institute of Radiowave Propagation, and then joined the Active Antenna Group, Shanghai University. He was a Post-Doctoral Research Fellow with the National University of Singapore, Singapore, then a Research Fellow with the University of Birmingham, Birmingham, U.K., and a Visiting Scientist with the Swiss Federal Institute of Technology, Zürich, Switzerland. He was a Visiting Professor with the University of California at Santa Barbara, in 2005, and a Visiting Professor with Chiba University, Chiba, Japan. He has authored or coauthored over 80 papers in refereed journals and international conferences and five book chapters. Dr. Gao was the recipient of the Japan Society of Promotion Science Fellowship in 2005, the Promising Research Fellowship Scheme Award from HEFCE, U.K., in 2004, the URSI Young Scientist Award in 2002, the Outstanding Ph.D. Dissertation Prize from Shanghai University in 2000, the Creation and Invention Award from Shanghai University in 1997, and the Science and Technology Progress Award (first-class) from the China Research Institute of Radiowave Propagation in 1996.

Alistair Sambell (M’96) received the B.Sc. and D.Phil. degrees in electronics from York University, York, U.K., in 1987 and 2001, respectively. His doctoral and subsequent postdoctoral research focused on novel III–V device structures and solar cells for space applications. Since 2001, he has been with Northumbria University, Newcastle-Upon-Tyne, U.K., where he is currently a Professor and Dean of the School of Engineering and Technology. His current research interests include the design of microwave antennas for road tolling and other applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

2917

A Low Phase-Noise CMOS VCO With Harmonic Tuned LC Tank Huijung Kim, Seonghan Ryu, Yujin Chung, Jinsung Choi, and Bumman Kim, Senior Member, IEEE

Abstract—This paper presents a phase-noise reduction technique for voltage-controlled oscillators (VCOs) using a harmonic tuned (HT) LC tank. The phase-noise suppression is achieved through almost rectangular-shaped voltage at the switching differential cell, which effectively maximizes the slope of the switching cell output voltage at a zero crossing point. In addition, the proposed technique also suppresses the down-conversion of the noise around the second harmonic frequency by the second harmonic short of the tank. One second HT VCO and two third HT VCOs are designed and implemented to evaluate the concept using a 0.35- and 0.13- m CMOS process. The figure-of-merit (FOM) of the second HT VCO, third HT VCO1, and third HT VCO2 are 180.7, 183.7, and 189.5, respectively. The best FOM performance of the VCO has phase noises of 100.4, 132.0, and 140.8 dBc/Hz at 100-kHz, 1-MHz, and 3-MHz offset frequencies at the 2-GHz carrier, respectively. This VCO consumes 3.29 mA from a 1.8-V supply with the silicon area of 500 m 750 m. Index Terms—CMOS, harmonic tuned (HT) LC tank, low phase noise, voltage-controlled oscillator (VCO).

I. INTRODUCTION MAJOR challenge in the wireless industry is the high-level integration of functional blocks using low-cost CMOS technology. Among the efforts for the single-chip radio integration, the implementation of a low phase-noise voltage-controlled oscillator (VCO) attracts a lot of attention because a phase noise of the VCO is one of the most critical parameters for the quality of service of the information transfer function. As CMOS downscaling is in progress for high level integration at a low cost, the corner frequency of the small-size transistors tends to increase and this is the crucial problem of the CMOS VCO. Moreover, the integration of a high- LC tank is not easy due to the low resistivity of the silicon substrate, and this greatly affects the phase-noise performance. This paper presents an optimization technique for the phase-noise performance of the CMOS LC VCO, which reduces the flicker noise dominated -shaped part

A

Manuscript received November 15, 2005; revised March 1, 2006. This work was supported in part by the Ministry of Education and the Ministry of Information and Communication, Korea under the Brain Korea 21 Project, and by the Institute of Information Technology Assessment under the Information Technology Research Center Support Program. H. Kim, J. Choi, and B. Kim are with the Department of Electrical Engineering, Pohang University of Science and Technology, Pohang, Korea (e-mail: [email protected]). S. Ryu is with the RF Team, System Large Scale Integration Division, Semiconductor Business, Samsung Electronics Company Ltd., Yongin, Gyeunggi, Korea. Y. Chung is with the Telecommunication System Division, Telecommunication Network Business, Samsung Electronics Company Ltd., Suwon, Gyeunggi, Korea. Digital Object Identifier 10.1109/TMTT.2006.877439

-shaped part of the noise and thermal noise dominated spectrum. The phase-noise suppression is achieved through almost rectangular-shaped voltage at the switching differential cell, which effectively maximizes the slope of the switching cell output voltage at the zero crossing point. In addition, the proposed technique also suppresses down-conversion of the noise around to the phase noise by the second harmonic short of the tank. The optimized CMOS differential VCO delivers a measured phase noise, which is 10 dB lower than a conventional one in both and regions. II. PHASE-NOISE ISSUES AND VCO DESIGN A. Phase-Noise Minimization Recently, the theory and analysis for the physical processes of the phase noise in differential oscillators have made significant progress, and the techniques to lower the phase noise have advanced through the understanding of these phase-noise mechanisms [1], [2]. The phase noise is mainly induced from various mixing phenomena of the negative switching differential pair. One of the main mixing phenomena is up- and down-conversion from the thermal noise component at the baseband and the harmonics of the oscillation frequency. The other one is up-conversion from the flicker noise in baseband to the phase noise in the fundamental frequency. The well-known phasenoise model for an oscillator is Leeson’s proportionality [3]

(1) noise that is shaped In (1), the phase noise is given as in the frequency domain by an LC tank and normalized to the power in the tank. Phase noise is scaled by a specific noise factor , which has been extracted recently for an LC oscillator [1] from the noise model of mixer with a switching differential pair [4]. The noise factor is given by

(2) where is the bias current, is the channel noise coefficient of the field-effect transistor (FET), is the load resistance, and is the transconductance of the current–source FET [4]. The first and second terms in (2) describe the phase-noise contributions from the resonator loss and differential-pair FETs, respectively. The third term in (2) signifies the phase noise produced by down-conversion of the CS noise component at the second harmonic of the oscillation frequency. The second term can be reduced by increasing , which is the voltage across the

0018-9480/$20.00 © 2006 IEEE

2918

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 1. Simulated S -parameters of the two tanks for 50- terminations.

Fig. 3. Simulated output voltage waveform for: (a) standard LC VCO and (b) third HT LC VCO.

Fig. 2. Schematic view of the third HT LC VCO.

resonator and is proportional to the slope at the zero crossing voltage of the switching cell [4]. Thus, increasing means a steep rise at the zero crossing point. To realize the concept, we have employed a harmonic tuned (HT) LC tank, which can deliver a square waveform voltage to the cell [5]. From this concept, we have fabricated three VCOs and compared them with the standard VCOs. B. Third HT LC Tank Design The proposed LC tank is tuned up to the third harmonics, open at the fundamental frequency and third harmonic, and short at the second harmonic, as shown in Fig. 1. The center tank resonates at the fundamental frequency and the two side tanks resonate at the third harmonic, while the combined one provides the second harmonic short. Fig. 2 shows the schematic of the differential LC VCO with the tanks. The simulated output waveforms of the oscillator at the output node A and B of Fig. 2 are shown in Fig. 3. This waveform indicates the reduced noise from the switching core. The HT LC tank presents shortness at the second harmonic and stabilizes the tail voltage fluctuation, as shown in Fig. 4. Thus, through harmonic tuning, we can reduce the phase noise induced by the CS. Actually, since the phase noise from the resonator loss is also induced via modulation of zero crossing instants of the differential pair, harmonic tuning can also reduce the resonator noise portion. The phase-noise close-in to the oscillation frequency is dominated by the flicker noise up-conversion and has a slope of

Fig. 4. Stabilization of the tail voltage fluctuation by the third harmonic tuning.

30 dB/decade. The noise sources for the up-conversion are the tail current source (CS) and negative switching differential pair. The flicker noise from the tail CS is converted to due to the mixing action of the VCO circuit and the noise is delivered to the resonator as an AM noise [6]. After that, the AM noise is converted into an FM noise by the tuning varactor [1]. The FM sidebands appear as the close-in phase noise. The AM to FM conversion depends on the gain of the varactor and can be minimized by reducing the size of the CMOS varactor, while a wide tuning can be implemented by a capacitor array, which is switched on and off in parallel with the LC tank [2]. The switched tuning method is not adopted in this paper for a simple structure. Instead, a pMOS CS with a large gate length of 3 m is used for less noise generation. Additionally, the tail node (the common mode node of the CS) oscillates at twice of the oscillation frequency because the CS is pulled every time each nMOS (PMOS) transistor of the differential pair switches on. As a result, the flicker noise of the CS is up-converted to through channel length modulation and it is mixed down to the oscillation frequency again

KIM et al.: LOW PHASE-NOISE CMOS VCO WITH HT LC TANK

2919

Fig. 5. Simulated result of the magnitude of the S -parameter of: (a) main and second harmonic tuning LC tanks and (b) total second HT LC tank.

and presents the close-in phase noise [6]. Therefore, to minimize the up-conversion of flicker noise from the tail CS, all even harmonics must be suppressed. The suppression of made by the second harmonic short circuit at the tail node (the common-mode node of the CS) can minimize the phase noise from the CS. The flicker noise from the switching differential pair modulates the second harmonic voltage waveform at the common source node in every half period, which induces a noisy current in the parasitic capacitance at the source of each switching pair. This current is mixed down to the fundamental frequency by the switching pair, inducing the close-in phase noise. This phase noise is actually a small portion due to the switching nature of the oscillator, but this portion can be increased with downscaling of CMOS to nanosize. Though the CS flicker noise can be minimized by using MOS transistors with relatively large gate length, the nanosize transistors should be used for the switching pair for high-frequency operation and can be a problem in the nano-CMOS process. The noise in MOSFET is mainly caused by the carrier (de)trapping in localized oxide states. The switching with 50% duty cycle removes all memory in a silicon oxide state and it can be a means to reduce the noise itself by forcing the trap to release its captured electron [7]. The proposed harmonic tuning makes the oscillating wave rectangular, much like an ideal switching with 50% duty cycle. As a result, it can reduce the noise at the switching core.

Fig. 6. VCO with the second HT LC tank.

C. Second HT LC Tank Design The third HT LC tank can reduce the phase noise significantly by maximizing the slope of the output voltage wave at the zero crossing point and by suppressing the second harmonic at the tail node. However, it needs two inductors, which increase the chip area. To compromise the tradeoff between the slope at the zero crossing point and chip size, we have tried the second HT LC tank. Similar to the third HT tank, the second HT LC tank is open at the fundamental frequency and short at the second harmonic, as shown in Fig. 5. Fig. 6 shows the proposed VCO with the second HT LC tank. It reduces the second harmonic power at the drain of the CS. The VCO also increases the slope of the output voltage wave at the zero crossing point, as shown in Fig. 7. Table I shows the simulated phase-noise contribution for the standard and second tuned LC VCOs at 100-kHz offset from the center frequency. The proposed second harmonic tuning technique can suppress the phase noise from various noise sources including the negative core transistors. Fig. 8

Fig. 7. Simulated output voltage waveform for: (a) standard LC VCO and (b) second HT LC VCO.

shows the simulated phase noises of VCO1, VCO2, and VCO3 at 1-GHz carrier frequency as a function of the offset frequency and at offset frequencies of 100 kHz and 3 MHz as a function of carrier frequency. VCO1 is a standard LC VCO, VCO2 is the second HT LC VCO, and VCO3 is a standard VCO, which has bigger capacitor to fit the oscillation frequency to VCO2. Since the VCO2 has larger parasitic capacitance than VCO1 due to some additional component, the VCO1 has slightly higher carrier frequencies than VCO2. To compare phase noises between VCOs with the same carrier frequency, the phase noise of

2920

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

TABLE I PHASE-NOISE CONTRIBUTION OF THE SECOND HT LC VCO

Fig. 8. Simulated phase noise of the three VCOs: (a) at 1-GHz carrier frequency and at (b) 100-kHz and (c) 3-MHz offset frequencies.

VCO3 is added in Fig. 8. The phase-noise improvement of the second HT LC VCO is 3–6 dB in all oscillation frequencies. D. Third HT LC Tank With Noise Filtering Technique The harmonic tuning technique reduces the switching time, from the on to the off state, of the negative cell by increasing the slope of the output voltage wave at the zero crossing point. However, it cannot reduce the phase noise from the device itself. To reduce the phase noise effectively, the noise filtering technique is commonly adopted [2]. In a standard top-biased VCO, the common-source node of the N-MOS switching pair is connected to the ground. It forces one of the N-MOSs into the triode region as the rising differential oscillation voltage crosses . Thus, the average resonator factor over a full oscillation cycle is reduced, degrading the overall phase noise. The filtering, by parallel resonation at frequency using an inductor with the parasitic capacitor at the common source, stops the differential-pair FETs in the triode region from loading the resonator,

Fig. 9. Simplified schematic diagrams of: (a) standard VCO and (b) optimized HT VCO.

preventing the degradation of the resonator [2]. As shown in Fig. 9, an is used to make a parallel resonation with the parasitic capacitance at frequency. This technique has an independent phase-noise reduction effect and the combination of the harmonic tuning and filtering techniques can reduce the phase noise significantly for and regions [8]. Using these techniques, a complementary VCO is designed to achieve the minimum phase noise. The phase-noise simulation results in Fig. 10 show the phase-noise reduction effect of each technique independently and also that of the combined case. The phase-noise contributions of the various noise components of the test VCO at 100-kHz offset frequency are summarized in Table II. The contribution of the phase noise from the noise at the negative cell is dramatically reduced, as shown in Table II. III. MEASUREMENT RESULTS In this study, three types of VCOs are designed, implemented, and measured. The first one is the VCO with the second HT

KIM et al.: LOW PHASE-NOISE CMOS VCO WITH HT LC TANK

2921

Fig. 10. Simulated phase-noise results for each technique.

TABLE II PHASE-NOISE CONTRIBUTION OF THE OPTIMIZED VCO

tank, the second one is the VCO with the third HT and noise filtering technique [8], and the last one [9] is the same architecture of the second one, but it is designed with another process to check the dependency for another process and frequency. The first is called the “second HT VCO,” the second one is called the “third HT VCO1,” and the last one is called the “third HT VCO2.” The “second HT VCO” and “third HT VCO1” are fabricated in a 0.35- m CMOS process of STMicroelectronics, Paris, France. The “third HT VCO2” is fabricated in a 0.13- m CMOS process of the Samsung Electronic Company Ltd., Yongin, Gyeunggi, Korea. The chips are measured on an HP4352S VCO/phase locked-loop (PLL) signal test system. A. Second HT VCO The microphotograph of the fabricated chip with a 0.6 mm 0.65 mm area is shown in Fig. 11. The frequency of oscillation could be tuned from 900 MHz to 1.05 GHz, which yields a tuning range of approximately 15%. Fig. 12(a) shows the phase noise versus the offset frequency for the standard VCO and second HT VCO at 1 GHz. Fig. 12(b) and (c) shows the phase noise of the two VCOs as a function of the carrier frequency, measured at offset frequencies of 100 kHz and 3 MHz, respectively. The phase-noise reduction by the second harmonic tuning is from 4 to 7 dB. These measurement results show a good agreement with the simulation results, as shown in Fig. 8.

Fig. 11. Microphotograph of the second HT VCO. (Color version available online at: http://ieeexplore.ieee.org.)

Fig. 12. Measured phase noise of the standard VCO and second HT VCO: (a) at 1-GHz carrier frequency and at (b) 100-kHz and (c) 3-MHz offset frequencies. (Color version available online at: http://ieeexplore.ieee.org.)

B. Third HT VCO1 The microphotograph of the fabricated chip with 1.2 mm 0.43 mm area is shown in Fig. 13. The VCO is tunable between 800 MHz and 1.1 GHz. Fig. 14 shows the phase noise versus the offset frequencies for the standard VCO and third HT VCO. The phase-noise reduction by the third harmonic tuning and noise filtering techniques is from 7 to 11 dB for the entire frequency range. The VCO achieves 89, 116, and 135 dBc/Hz at 10-kHz, 100-kHz, and 1-MHz offset frequencies from the carrier, respectively.

2922

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 16. Measured phase noises of the standard VCO and third HT VCO2. (Color version available online at: http://ieeexplore.ieee.org.) Fig. 13. Microphotograph of the third HT VCO1. (Color version available online at: http://ieeexplore.ieee.org.)

Fig. 14. Measured phase noises of the standard VCO and third HT VCO1.

Fig. 17. Measured phase noise versus control voltage at 100-kHz offset frequency. (Color version available online at: http://ieeexplore.ieee.org.)

TABLE III SUMMARY OF THREE VCO PERFORMANCES

Fig. 15. Microphotograph of the third HT VCO2. (Color version available online at: http://ieeexplore.ieee.org.)

C. Third HT VCO2 The microphotograph of the fabricated chip is shown in Fig. 15 with 0.3 mm 0.5 mm and 0.5 mm 0.85 mm areas for the standard and optimized VCO, respectively. Since the area of the optimized VCO is not effectively utilized for these test chips due to the location of the RF PADs, the increment of the area is relative large for the third HT VCO1. The VCO is tunable from 1.89 to 2.17 GHz. Fig. 16 shows the phase noise versus the offset frequencies for the standard VCO and third HT VCO2. The phase-noise reduction due to the third harmonic tuning and the noise filtering techniques is over 10 dB at the same frequency shown in Fig. 17. The VCO achieves 101.3, 132.0, and 140.8 dBc/Hz at 100-kHz, 1-MHz, and 3-MHz offset frequencies from the carrier, respectively. Fig. 17 shows the phase-noise improvements for all control voltages for the standard and optimized VCOs at 100-kHz offset frequency.

Table III shows the summary of three VCO performances. A figure-of-merit (FOM) has been defined in [10] to compare the VCOs’ performances dBc Hz

mW (3)

is the total single-sideband phase-noise spectral where density at an offset frequency , is total VCO power consumption, and is the pulsation of oscillation. The FOMs of the second HT VCO, third HT VCO1, and third HT VCO2 are

KIM et al.: LOW PHASE-NOISE CMOS VCO WITH HT LC TANK

TABLE IV VCO PERFORMANCE COMPARISON

180.7, 183.7, and 189.5, respectively. Table IV compares the FOMs of our VCOs with those of state-of-the-art VCOs reported [11]–[15]. IV. CONCLUSIONS We have presented a technique to lower the phase noise of LC oscillators using the HT LC tank. The phase-noise suppression is achieved through almost rectangular-shaped voltage at the switching differential cell, which effectively maximizes the slope of the switching cell output voltage at zero crossing point. Beside the sharp switching, the proposed technique suppresses the down-conversion of the noise around the second harmonic frequency by the second harmonic short of the tank. It also reduces the differential pair flicker noise itself and is useful to down-scaling of CMOS technology. Three VCOs are implemented and measured to check the concept. To compromise the tradeoff between the slope at a zero crossing point and chip size, we have tried the second HT LC tank. The second HT LC VCO has less phase-noise improvement than the third HT LC VCO, but is smaller than the third one. The improvement of the proposed technique appears over a broad control voltage range. The measured FOM of the second HT VCO, third HT VCO1, and third HT VCO2 are 180.7, 183.7, and 189.5, respectively. The best performance of the VCO has phase noises of 100.4, 132.0, and 140.8 dBc/Hz at 100-kHz, 1-MHz, and 3-MHz offset frequencies from the 2-GHz carrier, respectively. ACKNOWLEDGMENT The authors would like to thank Future Communication IC Inc., Seoungnam, Gyeunggi, Korea, and Samsung Electronic Company Ltd., Yongin, Gyeunggi, Korea, for their assistance with the chip fabrication and packaging.

2923

REFERENCES [1] J. J. Rael and A. A. Abidi, “Physical processes of phase noise in differential LC oscillators,” in Proc. Custom Integrated Circuit Conf., May 2000, pp. 569–572. [2] E. Hegazi, H. Sjoland, and A. Abidi, “A filtering technique to lower LC oscillator phase noise,” IEEE J. Solid-State Circuits, vol. 36, no. 12, pp. 717–724, Dec. 2001. [3] D. B. Leeson, “A simple model of feedback oscillator noise spectrum,” Proc. IEEE, vol. 54, no. 2, pp. 329–330, Feb. 1966. [4] H. Darabi and A. A. Abidi, “Noise in RF-CMOS mixers: A simple physical model,” IEEE J. Solid-State Circuits, vol. 35, no. 1, pp. 15–25, Jan. 2000. [5] Y. Chung and B. Kim, “Low phase noise CMOS VCO with harmonic tuned LC tank,” Microw. Opt. Technol. Lett., vol. 42, no. 2, pp. 164–167, May 2004. [6] B. D. Muer, M. Borremans, M. Steyaert, and G. L. Puma, “A 2-GHz low-phase noise integrated LC-VCO set with flicker-noise upconversion mechanism,” IEEE J. Solid-State Circuits, vol. 35, no. 7, pp. 1034–1038, Jul. 2000. [7] S. L. J. Gierkink, E. A. M. Klumperink, A. P. van der Wel, G. Hoogzaad, A. J. M. van Tuijl, and B. Nauta, “Intrinsic 1=f device noise reduction and its effect on phase noise in CMOS ring oscillators,” IEEE J. Solid-State Circuits, vol. 34, no. 7, pp. 1022–1025, Jul. 1999. [8] S. Ryu, Y. Chung, H. Kim, J. Choi, and B. Kim, “Phase noise optimization of CMOS VCO through harmonic tuning,” in IEEE Radio Freq. Integr. Circuits Symp., Long Beach, CA, Jun. 2005, pp. 403–406. [9] J. Choi, S. Ryu, H. Kim, and B. Kim, “A low phase noise 2 GHz VCO using 0.13 m CMOS process,” in Asia–Pacific Microw. Conf., Suzhou, China, Dec. 2005, pp. 2270–2272. [10] A. Wagemans, “A 3.5 mW 2.5 GHz diversity receiver and a 1.2 mW 3.6 GHz VCO in silicon-on-anything,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 1998, pp. 250–251. [11] D. Ham and A. Hajimiri, “Concepts and methods in optimization of integrated LC VCOs,” IEEE J. Solid-State Circuits, vol. 36, no. 6, pp. 896–909, Jun. 2001. [12] G. De Astis, D. Cordeu, J. Paillot, and L. Dascalescu, “A 5-GHz fully integrated full pMOS Low-phase-noise LC VCO,” IEEE J. Solid-State Circuits, vol. 40, no. 10, pp. 2087–2091, Oct. 2005. [13] N. Fong, “Design of wideband CMOS VCO for multiband wireless LAN applications,” IEEE J. Solid-State Circuits, vol. 38, no. 8, pp. 1333–1342, Aug. 2003. [14] T. Y. Kim, “High performance SOI and bulk CMOS 5 GHz VCO’s,” in IEEE Radio Freq. Integr. Circuits Symp., Philadelphia, PA, Jun. 2003, pp. 93–96. [15] P. Adreanj and H. Sjoland, “A 2.2 GHz CMOS VCO with inductive degeneration noise suppression,” in Proc. IEEE Custom Integrated Circuit Conf., San Diego, CA, May 2001, pp. 197–200.

Huijung Kim received the B.S. and M.S. degrees in electronic engineering from Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2000 and 2002, respectively, and is currently working the Ph.D. degree in electronic engineering at POSTECH. His interests include CMOS RF circuits for wireless communications, high-frequency analog circuit design, and mixed-mode signal-processing integrated-circuit design.

Seonghan Ryu was born in Seoul, Korea, in 1975. He received the B.S. degree in electronics engineering from Gyungbook National University, Daegu, Korea, in 1998, and the M.S. and Ph.D. degree in electronic and electrical engineering from Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2000 and 2005, respectively. During his doctoral studies, he was involved with analog and RF integrated circuits for wireless communications and CMOS and Bi-CMOS technologies with a particular focus on RF front-ends for multistandard applications and millimeter-wave circuits. In 2002, he was a Visiting Researcher of electrical

2924

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

engineering with the California Institute of Technology (Caltech), Pasadena. In 2005, he joined the Samsung Electronics Company Ltd., Yongin, Gyunggi, Korea, where he is currently a Senior Engineer involved with the design of RF CMOS transceivers for EDGE and wideband code division multiple access (WCDMA) wireless mobile phones. His research interests include advanced RF architectures and CMOS architectures and circuits for frequency synthesizers and transceivers.

Yujin Chung received the M.S. and Ph.D. degrees in electronic engineering from Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 1998 and 2004, respectively. In 2004, she joined the Samsung Electronics Company Ltd., Suwon, Gyeunggi, Korea, as a Senior Engineer involved with the development of satellite and terrestrial digital multimedia broadcasting mobile phones. Her interests include the phase-noise study of microwave oscillators and CMOS RF circuits for wireless communications.

Jinsung Choi received the B.S. degrees in electronic engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2004, and is currently working toward the Ph.D. degree at POSTECH. His main interests are CMOS RF circuits for wireless communications and mixed-mode signal-processing integrated-circuit design.

Bumman Kim (S’77–M’78–SM’97) received the Ph.D. degree in electrical engineering from Carnegie–Mellon University, Pittsburgh, PA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power FETs and monolithic microwave integrated circuits (MMICs). He has developed a large-signal model of a power FET, dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined the Pohang University of Science and Technology (POSTECH), Pohang, Korea, where he is a Professor with the Electronic and Electrical Engineering Department and Director of the Microwave Application Research Center, where he is involved in device and circuit technology for RFICs. In 2001, he was a Visiting Professor of electrical engineering with the California Institute of Technology, Pasadena. He has authored over 150 published technical papers. Dr. Kim is a member of the Korean Academy of Science and technology and Academy of Engineering of Korea. He is an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

2925

A V -Band Front-End With 3-D Integrated Cavity Filters/Duplexers and Antenna in LTCC Technologies Jong-Hoon Lee, Student Member, IEEE, Nobutaka Kidera, Gerald DeJean, Student Member, IEEE, Stéphane Pinel, Member, IEEE, Joy Laskar, Fellow, IEEE, and Manos M. Tentzeris, Senior Member, IEEE

Abstract—This paper presents a compact system-on-packagebased front-end solution for 60-GHz-band wireless communication/sensor applications that consists of fully integrated three-dimensional (3-D) cavity filters/duplexers and antenna. The presented concept is applied to the design, fabrication, and testing of -band (receiver (Rx): 59–61.5 GHz, transmitter (Tx): 61.5–64 GHz) transceiver front-end module using multilayer low-temperature co-fired ceramic technology. Vertically stacked 3-D low-loss cavity bandpass filters are developed for Rx and Tx channels to realize a fully integrated compact duplexer. Each dB, 3-dB filter exhibits excellent performance (Rx: dB, 3-dB BW 3.33 ). bandwidth (BW) 3.5 , Tx: The fabrication tolerances contributing to the resonant frequency experimental downshift were investigated and taken into account in the simulations of the rest devices. The developed cavity filters are utilized to realize the compact duplexers by using microstrip T-junctions. This integrated duplexer shows Rx/Tx BW of 4.20% and 2.66% and insertion loss of 2.22 and 2.48 dB, respectively. The different experimental results of the duplexer compared to the individual filters above are attributed to the fabrication tolerance, especially on microstrip T-junctions. The measured channel-to-channel isolation is better than 35.2 dB across the Rx band (56–58.4 GHz) and better than 38.4 dB across the Tx band (59.3–60.9 GHz). The reported fully integrated Rx and Tx filters and the dual-polarized cross-shaped patch antenna functions demonstrate a novel 3-D deployment of embedded components equipped with an air cavity on the top. The excellent overall performance of the full integrated module is verified through the 4.18 at 57.45 and 2.3 GHz 3.84 10-dB BW of 2.4 GHz at 59.85 GHz and the measured isolation better than 49 dB across the Rx band and better than 51.9 dB across the Tx band.

%

(

IL 2 39

%)

IL

2 37

(

%

%)

Index Terms—Bandpass filter (BPF), cavity filters, dual-band antenna, duplexer, front-end module, integrated passives, low-temperature co-fired ceramic (LTCC), millimeter wave, patch antenna, system-on-package (SOP), three-dimensional (3-D) integration, transceiver, -band.

I. INTRODUCTION ATELY THERE has been a growing interest for broadband and high-data rate 2 Gb/s wireless services such as a high-speed Internet, real-time video streaming, high-definition television (HDTV), wireless gigabit Ethernet, and automotive

L

Manuscript received December 20, 2005. This work was supported by the Asahi Glass Company, by the Georgia Institute of Technology Packaging Research Center, by the Georgia Electronic Design Center, by the National Science Foundation (NSF) under NSF CAREER Award ECS-9984761, and by the NSF under Grant ECS-0313951. J.-H. Lee, G. DeJean, S. Pinel, J. Laskar, and M. M. Tentzeris are with the Georgia Electronic Design Center, School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]; [email protected]; [email protected]). N. Kidera is with the Asahi Glass Company Ltd., 221-8755 Yokohama, Japan. Digital Object Identifier 10.1109/TMTT.2006.877440

sensor [1]–[3]. The unlicensed spectrum around 60 GHz is very well suited for such applications, especially for short ranges, because of its wider bandwidth than other wireless local area network (WLAN)/wireless personal area network (WPAN) standards [1]. In the 60-GHz band, wireless communication systems call upon miniaturization, portability, cost-saving, and performance improvement to satisfy the specifications of the next-generation multigigabit per second wireless transmission [4]. The three-dimensional (3-D) integration approach using multilayer low-temperature co-fired ceramic (LTCC) technologies has emerged as an attractive solution for these systems due to its high level of compactness and mature multilayer fabrication capability. However, the optimal integration of RF passives including duplexers and antennas into a 3-D 60-GHz ( -band) front-end module is significantly challenging since the electrical performance can be degraded by severe parasitic, interconnection, and radiation losses. The stringent isolation requirement between Rx (59–61.5 GHz) and Tx (61.5–64 GHz) channel signals of 5-GHz-band transceivers also requires the design of a highly integrated duplexer consisting of on-package low-loss and narrowband filters. The duplexer not only serves as a 3-D interconnect between the Rx/Tx monolithic-microwave integrated-circuit (MMIC) chipsets and the antenna, but also as an effective means to minimize the level of the interference between two channels. Fig. 1 shows the simplified block diagram of a -band front-end system that includes a dual-band antenna, a duplexer, and integrated RF active devices such as a power amplifier (PA), low-noise amplifier (LNA), and mixers. The development of 60-GHz-band modules for stringent system specifications has been demonstrated in a system-in-package (SIP) transmitter integrating LTCC patch arrayed antennas [5] and compact wireless transceiver modules for gigabit data-rate transmission [6]–[8]. However, the previously reported transmitter and receiver modules could suffer from the spurious and image signals because only antennas are integrated into modules without using any band select filters or duplexers in passive front-ends. Moreover, two separate antennas for the Tx and Rx channels are used and occupy a large area, which contradicts the size requirements of compact 60-GHz modules. In addition, a 60-GHz duplexer based on nonradiative dielectric (NRD) guide technology was constructed for a wireless broadband asymmetric digital subscriber line (ADSL) [9]. Recently, personal communications systems (PCS) duplexers based on surface acoustic wave (SAW) [10], [11] and film bulk acoustic resonator (FBAR) [12] filters combined with an LTCC package are reported with excellent Tx-to-Rx isolation for -band applications. However, the fully integrated 3-D cavity duplexer and dual-polarized antenna functions have not been reported

0018-9480/$20.00 © 2006 IEEE

2926

Fig. 1.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

V -band transceiver block diagram on LTCC multilayer board.

yet as a system-on-package (SOP) compact front-end solution for the LTCC-based -band modules. In this paper, we present the complete 3-D integration of all passive building blocks such as the cavity duplexers and the antennas, enabling the complete passive front-end solution for compact 3-D 60-GHz-band transceiver front-end modules. In Section II, a vertically stacked 3-D low-loss cavity bandpass filter (BPF) [13], [14] for Rx and Tx channels is designed and easily integrated into a -band module as a fundamental component of a duplexer. Section III concentrates on the design of the duplexer consisting of two resonant three-pole cavity filters similar to those developed in Section II and a microstrip T-junction, which connects the two filters and the common input reserved for an antenna. The fully integrated Rx and Tx filters and the dual-polarized antenna are then demonstrated in Section IV with a measured Tx-to-Rx isolation better than 49 dB across the Rx band and better than 51.9 dB across the Tx band. II. THREE-POLE CAVITY BPF The proposed vertically stacked cavity BPF is designed in a way that allows for its easy integration with a -band multilayer module due to its compactness and its 3-D interconnect feature as a duplexer between the active devices on the top of the LTCC board and the antenna integrated on the back side. A high level of compactness can be achieved by vertically stacking three identical cavity resonators with the microstrip feedlines vertically coupled through rectangular slots etched on the input and output resonators. The proposed devices were fabricated in an LTCC by the Asahi Glass Company, Yokohama, Japan. The relative permittivity of the substrate is 5.4 and its loss tangent ( ) is 0.0015. The dielectric layer thickness per layer is 100 m, and the metal thickness is 9 m. The resistivity of metal (silver trace) is determined to be 2.7 10 m. All designs are optimized with the aid of the finite-element method (FEM)-based full-wave High Frequency Structure Simulator (HFSS). A. Design of Cavity Resonator The cavity resonator (see Fig. 2) that is the most fundamental component of the cavity filter is built based on the conventional rectangular cavity resonator approach [15]. The cavity resonator shown in Fig. 2 consists of one LTCC cavity, two microstrip lines for input and output, and two vertically coupling slots etched on the ground planes of the cavity. The resonant

Fig. 2. 3-D overview of LTCC cavity resonator employing slot excitation with microstrip feedlines.

frequency of the fundamental by [15]

mode can be determined

(1) is the resonant frequency, is the speed of light, is where the dielectric constant, is the length of cavity, is the width of cavity, is the height of cavity, and , are the indices for the mode. The resonant frequency at 60.25 GHz establishes the initial dimensions of the cavity resonator enclosed by perfectly conducting walls. For the purpose of compactness, the height ( ) is determined to be 0.1 mm (one substrate layer). The vertical conducting walls are then replaced by double rows of via posts that are sufficient to suppress the field leakage and to enhance the quality factor ( ) [16]. In addition, the size and spacing of via posts are properly chosen to prevent electromagnetic field leakage and to achieve the stopband characteristic at the desired resonant frequency according to the guidelines specified in [16]. In our study, the minimum value ( m in Fig. 2) of center-to-center vias spacing and the minimum value ( m in Fig. 2) of the via diameter of the LTCC design rules are used. The final dimensions of the via-based cavity are determined by using a tuning analysis of the HFSS full-wave simulator ( mm, mm, mm). With the cavity size determined, microstrip lines are utilized as the feeding structure to excite the cavity via coupling slots that couple energy magnetically from the microstrip lines into the cavity. For a preliminary testing of the vertical inter-coupling of a three-pole cavity BPF, the input and output feedlines are placed on metal 1 and metal 4, respectively, as shown in Fig. 2. The coupling coefficient can be controlled by the location and size of the coupling slots etched on metal 2 and metal 3 in Fig. 2. The coupling slots are located a quarter of the cavity length from the sides and the slot length (“SL” in Fig. 2) is varied with the fixed slot width ( at 60.25 GHz) to achieve the desired frequency response [17]. To accurately estimate the unloaded quality factor , the weakly coupled cavity resonator [17] with a relatively small value of the slot length [“SL” in Fig. 3(d)] is implemented in

LEE et al.:

-BAND FRONT-END WITH 3-D INTEGRATED CAVITY FILTERS/DUPLEXERS AND ANTENNA IN LTCC TECHNOLOGIES

2927

the HFSS simulator. The unloaded quality factor can be extracted from the external quality factor and the loaded quality factor using (2)–(4) [18]

(2) (3) (4) The simulated value of 60.25 GHz.

was calculated to be 623 at

B. Design of Three-Pole Cavity BPF A vertically stacked LTCC three-pole cavity BPF is developed for 3-D integrated 59–64-GHz industrial, scientific, and medical (ISM) band transceiver front-end modules. The center frequencies of 60.25 and 62.75 GHz in the band are selected for the receiver channel (Rx channel) and the transmitter channel (Tx channel), respectively. First, the cavity BPF for the Rx channel selection is designed with a 60.25-GHz center frequency, a 3-dB insertion loss, a 0.1-dB ripple, and a 4.15% 2.5-GHz fractional bandwidth based on a Chebyshev low-pass prototype. The filter schematic is implemented with ten substrate layers of LTCC tape. Its 3-D overview, side view, top view of the feeding structure, and inter-resonator coupling structure are illustrated in Fig. 3(a)–(d), respectively. The top five substrate layers [substrate 1–5 in Fig. 3(b)] are occupied by the Rx filters, and the remaining layers are reserved for the antenna and RF active devices, which could be integrated into front-end modules. The microstrip lines on metal 1 and 6 are utilized as the feeding structure to excite the first and third cavities, respectively. Three identical cavity resonators [first, second, and third cavities in Fig. 3(b)] designed in Section II-A are vertically stacked and coupled through slots to achieve the desired frequency response with a high level of compactness. This filter is also an effective solution to connect the active devices on the top of the LTCC board and the antenna integrated on the back side. Two external slots [see Fig. 3(b)] on metal layers 2 and 5 are dedicated to magnetically couple the energy from the I/O microstrip lines into the first and third cavity resonators, respectively. To maximize magnetic coupling by maximizing the current, the microstrip feedlines are terminated with a open stub beyond the center of each external slot. The fringing field generated by an open-end discontinuity can be modeled by an equivalent length of transmission line, which is determined to be approximately . Therefore, the optimum length of the stub is approximately [“MS” in Fig. 3(c)] [13], [17]. The position and size of the external slots are the main design parameters to provide the necessary . The external quality factor that controls the insertion loss and ripple over the passband can be defined from the specifications as follows [19]:

(5)

Fig. 3. (a) 3-D overview. (b) Side view of the vertically stacked three-pole cavity BPF. (c) Top view of the feeding structure. (d) Top view of the interresonator coupling structure.

where are the element values of the low-pass prototype, is the bandwidth of the filter, and is the resonant frequency. The calculated is 24.86. The external slot is initially positioned at from the edge of the cavity, and the width [“SW” in Fig. 3(c)] of the slot is fixed to . The length [“SL” in

2928

Fig. 4. External quality factor (Q length (SL).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

) evaluated as a function of external slot

Fig. 3(c)] of the slot is then tuned until the simulated converges to the prototype requirement. Fig. 4 shows the relationship between the length variation of the external slots and the extracted from the simulation using [19]

(6) is the frequency difference between the 90 where phase response of . The latter internal slots on metal 3 and 4 [see Fig. 3(b)] are employed to couple energy from the first and third cavity resonators into the second resonator, and their design procedure is similar to that of the external slots. The internal slots are located a quarter of the cavity length from the sides. The desired inter-resonator coupling coefficients are obtained by [19]

(7) can be physically realized varying This desired prototype the slot length [“CL” in Fig. 3(d)] with a fixed slot width [ in Fig. 3(d)]. Full-wave simulations are employed to find the two characteristic frequencies that are the resonant frequencies in the transmission response of the coupled structure [19] and its plot versus frequency is shown in Fig. 5(a). These characteristic frequencies are associated to the inter-resonator coupling between the cavity resonators as follows [19]:

(8) Fig. 5(b) shows the internal coupling as a function of the variation of the internal slot length [“CL” in Fig. 3(d)]. By adjusting

Fig. 5. (a) Two characteristic frequencies (f ; f ) of the coupled cavities to ). (b) Inter-resonator coupling calculate the internal coupling coefficients (k coefficient (k ) as a function of internal slot length (CL).

the slot length, the optimal size of an internal slot can be determined for a given prototype value. Using the initial dimensions of the external (SW, SL) and internal slot (CW, CL) size as the design variables, we optimized the design variables to realize the desired frequency response. The design can be fine tuned afterwards considering the minimum and maximum of the fabrication tolerances. The final variable values that match the desired frequency response can then be determined. To allow on-wafer characterization using coplanar probes, the input and output probe pads have to be on the same layer, which requires an embedded microstrip line to CPW vertical transition at port 2. The vertical transition consists of five stacked signal vias penetrating through circular apertures [see Fig. 6(a)] on the ground planes (metals 2–5) and connecting an embedded microstrip line on metal 6 to a coplanar waveguide (CPW) measurement pads on metal 1. In order to match to the 50- feedlines, the diameter of the circular apertures is optimized to be 0.57 mm for a signal via diameter of 130 m.

LEE et al.:

-BAND FRONT-END WITH 3-D INTEGRATED CAVITY FILTERS/DUPLEXERS AND ANTENNA IN LTCC TECHNOLOGIES

2929

Fig. 6. (a) 3-D overview of vertically stacked three-pole cavity BPF with CPW pads and vertical transitions. (b) Cavity BPF fabricated on LTCC. (Color versoin available online at: http://ieeexplore.ieee.org.)

Eight shielding vias (two of shielding vias: connecting from metals 1 (CPW ground planes) to 5, six of shielding vias: connecting from metals 2 to 5) are also positioned around the apertures to achieve an optimum coaxial effect [20]. The number of shielding vias is determined with regard to the LTCC design rules. The filters including CPW pads and a vertical transition were fabricated in LTCC by the Asahi Glass Company and measured on an HP8510C vector network analyzer using short-open-loadthru (SOLT) calibration. Fig. 6(a) depicts the 3-D overview of the complete structure that was simulated. The “Wincal” software gives us the ability to deembed capacitance effects of CPW open pads and inductive effects of short pads from the measured -parameters so that the loading shift effect could be negligible. Fig. 6(b) shows the photograph of the fabricated filter with CPW pads and a transition whose size is 5.60 3.17 1 mm . The cavity size is determined to be 1.95 1.284 0.1 [ in Fig. 3(a)] mm . Fig. 7(a) shows the comparison between the simulated and measured -parameters of the three-pole vertically stacked BPF. The filter exhibits an insertion loss 2.37 dB, which is higher than the simulated value of 1.87 dB. The main source of this discrepancy might be caused by the radiation loss from the “thru” line that could not be deembedded because of the nature of SOLT calibration. The filter exhibits a 3-dB bandwidth approximately 3.5% 2 GHz comparable to the simulated 3.82% 2.3 GHz . The narrower bandwidth in measurements might be due to the fabrication accuracy of the slot design that has been optimized for the original resonant frequencies and not for the shifted frequencies. The center frequency shift from 60.2 to 57.5 GHz might be attributed to

Fig. 7. Comparison between measured and simulated S -parameters (S 11 and S 21) of Rx three-pole cavity band filter. (a) Measurement versus simulation with  = 5:4 and originally designed cavity size (1.95 1.284 0.1 mm ). (b) Measurement versus simulation with  = 5:5 and modified cavity size (2.048 1.348 0.1 mm ).

2

2

2

2

the dielectric-constant variation at these high frequencies and the fabrication accuracy of vias positioning caused by shrinkage. The HFSS simulation is re-performed in terms of two aspects, which are as follows. 1) The dielectric constant of 5.4 was extracted using cavity resonator characterization techniques [21] at 35 GHz. The dielectric constant is expected to increase to 5.5 across 55–65 GHz [17]. 2) The tolerance of shrinkage is expected to be 15 . shrinkage specification was released after design tape out; thus, we could not have accounted it at the design stage. shrinkage can significantly affect the via positioning that is the major factor to determine the resonant frequency of a cavity filter. From our investigation, the averaged relative permittivity was evaluated to be 5.5 across 55–65 GHz [17], and the cavity size was modified to 2.048 1.348 0.1 mm with 5% of shrinkage effect. The exact coincidence between the measured center

2930

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

TABLE I DESIGN PARAMETERS OF CAVITY RESONATORS

frequency (57.5 GHz) and the simulated frequency (57.5 GHz) is observed in Fig. 7(b). All design parameters for the modified Rx filter are summarized in Table I. The same techniques were then applied to the design of the cavity BPF for the Tx channel (61.5–64 GHz). The Chebyshev prototype filter was designed for a center frequency of 62.75 GHz, a 3-dB insertion loss, a 0.1-dB band ripple, and a 3.98% 3-dB bandwidth. To meet the specified center frequency specs, the cavity width ( ) was decreased. The cavity size was then determined to be 1.95 1.206 0.1 [ in Fig. 3(a)] mm . The external and internal coupling slot sizes are used as the main design parameters to obtain the desired external quality factors and coupling coefficients, respectively. The measured results of the Tx filter exhibit an insertion loss of 2.39 dB with a 3-dB bandwidth of 3.33% 2 GHz at the center frequency of 59.9 GHz. The center frequency is downshifted approximately 2.72 GHz, which is similar to the Rx filter. A new theoretical simulation was performed with and the 5% increase in the volume of cavity (2.048 1.266 0.1 mm ), and the measured and simulated results are presented in Fig. 8. The simulation showed a minimum insertion loss of 1.97 dB with a slightly increased 3-dB bandwidth of 4% ( 2.4 GHz). The center frequency of the simulated filter was 59.9 GHz. The center frequency shift is consistent through all devices using this LTCC process because of the fabrication tolerances mentioned. All design parameters for the modified Tx filter are summarized in Table I. III. DUPLEXER (60/62.8 GHZ) The development of a 3-D fully integrated compact duplexer is crucial in a 3-D 59–64-GHz ISM band transceiver front-end module to isolate the power transmit stage from a sensitive receiving stage sharing a common antenna. A low insertion loss in the transmitter and receiver paths is the most fundamental requirement because a low loss enhances the sensitivity of the receiver and prevents the excessive power consumption in the transmitter. In addition, the high channel-to-channel isolation must be accomplished by minimizing the electrical coupling level between the transmitter and receiver. We have designed and fabricated one duplexer consisting of the two resonant three-pole cavity filers developed in Section II and one microstrip T-junction, which connects the two

Fig. 8. Comparison between measured and simulated S -parameters (S 11 and S 21) of Tx three-pole cavity band filter (simulation with  = 5:5 and modified cavity size (2.048 1.266 0.1 mm ) versus measurement).

2

2

filters and the common input reserved for an antenna. The two channels of the duplexer are centered at 60 GHz for the Rx channel (first channel) and 62.8 GHz for the Tx channel (second channel). The insertion loss 3 dB and bandwidths 2.5 GHz are desired for both channels. The 3-D overview and top view of the duplexer including the vertical transitions and CPW pads are illustrated in Fig. 9(a) and (b), respectively. The duplexer that dominates five substrate layers is implemented into a module with ten layers of LTCC tape. The remaining five substrate layers are used for burying RF circuitry that includes the antenna and integrated active devices. The 60-GHz cavity filter occupies the left portion of the duplexer and 62.8-GHz cavity filter occupies the right portion, as shown in Fig. 9(a) and (b). The same configurations of the 3-D cavity filters [see Fig. 3] developed in Section II are employed to the duplexer except from a slight modification of the cavity widths ( and in Fig. 9) corresponding to the resonant frequencies of two channels. The modification was necessary to achieve the high level of channel-to-channel isolation that is aimed to be more than 30 dB over operating frequency bands. The cavity sizes are determined to be 1.95 1.29 0.1 mm for the first channel and 1.95 1.201 0.1 mm for the second channel. The spacing of two filters is 1.6 mm. The lengths of the microstrip lines [ and in Fig. 9(b)] connecting the T-junction to the Rx and Tx filters are the most important design parameters to achieve the good isolation between two channels. First we set up and to be equal to a half guided wavelength at the resonant frequencies of the first channel and second channel filters accordingly. In our case, one guided wavelength is utilized as the initial value of and since a length equal to one half guided wavelength would cause an overlap between two filters. The length of is then optimized to reflect an open circuit at the resonant frequency of the second channel in the HFSS simulator. The length is also optimized against the first channel in the same way as applied to mm and mm. The optimized lengths are compensated for the fringing effects of the open stubs.

LEE et al.:

-BAND FRONT-END WITH 3-D INTEGRATED CAVITY FILTERS/DUPLEXERS AND ANTENNA IN LTCC TECHNOLOGIES

2931

Fig. 9. (a) 3-D overview and (b) top view of the proposed LTCC cavity duplexers using microstrip T-junction.

Fig. 10(a) and (b) shows the measured and simulated insertion-loss results of the LTCC duplexer for the first channel and second channel, respectively. In the simulation, the higher dielectric constant and 5% increase in the volume of cavities were taken into account based on the investigation in Section II. All design parameters depicted in Fig. 9(b) for the modified duplexer are summarized in Table II. The Rx filter exhibits an insertion loss 2.22 dB, which is slightly higher than the simulated value of 2.07 dB, and a 3-dB bandwidth of approximately 2.4 GHz 4.20 at the center frequency of 57.2 GHz compared to a simulated value of a 3-dB bandwidth of 2.7 GHz 4.71 at the center frequency of 57.25 GHz, as shown in Fig. 10(a). In Fig. 10(b), the insertion loss for the second channel is measured to be 2.48 dB, which is very close to the simulated value of 2.46 dB. The Tx measurement shows a narrower 3-dB bandwidth of 1.6 GHz 2.66 at the center frequency of 60.1 GHz than the simulated 3-dB bandwidth of 2.6 GHz 4.32 at the center frequency of 60.15 GHz. The discrepancy between the measured and simulated insertion loss could be attributed to the metal loss from the roughness of screen printing on LTCC. The fabrication accuracy of the layer alignment could be responsible for the narrower bandwidth in the measurement because it could affect the external slots position that is a major factor to decide the bandwidth. Fig. 11(a) and (b) shows the measured and simulated return loss and the measured channel-to-channel isolation, respectively. The measured isolation is better than 35.2 dB across the first band and better than 38.4 dB across the second band. The summary of cavity duplexer results is presented in Table III.

Fig. 10. (a) S 21 response in the first channel of the duplexer [mea= 5:5 and modified cavity size surement versus simulation with  (2.048 1.354 0.1 mm )]. (b) S 31 response in the second channel of the duplexer [measurement versus simulation with  = 5:5 and modified cavity size (2.048 1.261 0.1 mm )].

2

2

2

2

TABLE II DESIGN PARAMETERS OF CAVITY DUPLEXERS

IV. INTEGRATION (FILTERS AND ANTENNA) The optimal integration of antennas and filters into a 3-D 59–64 GHz transceiver front-end module is significantly de-

sirable since it not only reduces cost, size, and system complexity, but also achieves a high level of band selectivity and

2932

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 12. (a) 3-D overview and (b) cross-sectional view of the 3-D integration of the filters and antennas using LTCC multilayer technologies. (Color versoin available online at: http://ieeexplore.ieee.org.)

Fig. 11. (a) S 11 response of the duplexer (measurement versus simulation with  = 5:5 and modified cavity sizes). (b) Measured S 32 response in the isolation between the first and second channels.

TABLE III PERFORMANCE OF CAVITY DUPLEXERS

spurious suppression. Although cost, electrical performance, integration density, and packaging capability are often at odds in RF front-end designs, the performance of the module can be significantly improved by employing the 3-D integration of filters and antennas using the flexibility of multilayer architecture on LTCC. Here, the fully integrated Rx and Tx filters and the dual-polarized antenna that covers Rx (first) and Tx (second) channels are proposed employing the presented designs of the

filters. The filters’ matching 10 dB toward the antenna and the isolation 45 dB between Rx and Tx paths comprise the excellent features of this compact 3-D design. The stringent demand of high isolation between two channels induces the advanced design of a duplexer and an antenna as a fully integrated function for the -band front-end module. The 3-D overview and the cross-sectional view of the topology chosen for the integration are shown in Fig. 12(a) and (b), respectively. A cross-shaped patch antenna [4] designed to cover two bands between 59–64 GHz (first channel: 59–61.5 GHz, second channel: 61.75–64 GHz) is located at the most bottom metal layer [ in Fig. 12(b)]. The cross-shaped geometry was utilized to decrease the cross-polarization, which contributes to unwanted sidelobes in the radiation pattern [4]. The cross-channel isolation can be improved by receiving and transmitting signals in two orthogonal polarizations. The feedlines and patch are implemented into different vertical metal layers ( and , respectively), and then the end-gap capacitive coupling is realized by overlapping the end of the embedded microstrip feedlines and the patch. The overlap distance for the Rx and Tx feedline is approximately 0.029 and 0.03 mm, respectively. The common ground plane for the feedlines and the patch is placed one layer above the feedlines, as shown in Fig. 12(b). The two antenna feedlines [Rx feedline and Tx feedline in Fig. 12(b)] are commonly utilized as the filters’ feedlines that excite the Rx and Tx filters accordingly through external slots placed at in Fig. 12(b). The lengths

LEE et al.:

-BAND FRONT-END WITH 3-D INTEGRATED CAVITY FILTERS/DUPLEXERS AND ANTENNA IN LTCC TECHNOLOGIES

2933

Fig. 13. Top view of the integrated function of Rx/Tx cavity filters and crossshaped patch antenna with the air cavity top.

of Rx and Tx feedlines [ and in Fig. 12(a)] connecting the cross-shaped antenna to the Rx and Tx filters, respectively, are initially set up to be one guided wavelength at the corresponding center frequency of each channel and are optimized using the HFSS simulator in the way discussed in Section III ( mm, mm). The 3-D Rx and Tx filters (see Fig. 3) designed in Section II are directly integrated to the antenna, exploiting the design parameters listed in Table I. The integrated filters and antenna function occupies six substrate layers (S5–S10 : 600 m). The remaining four substrate layers [S1–S4 in Fig. 12(b)] are dedicated to the air cavities reserved for burying RF active devices (RF receiver and transmitter MMICs) that are located beneath the antenna on purpose not to interfere with the antenna performance and to be highly integrated with the microstrip (Rx/Tx) feedlines, leading to significant volume reduction, as shown in Fig. 12. The cavities are fabricated removing the inner portion of the LTCC material outlined by the successively punched vias. The deformation factor of a cavity that is defined to be the physical depth difference between the designed one and the fabricated one is stable in the LTCC process when the depth of the cavity is less than two-thirds of the height of the board. Since we have chosen the air cavity depth of 400 m, which is suitable for Rx/Tx MMIC chipsets, to enable the full integration of MMICs and passive front-end components, we can limit the fabrication tolerances effect of an air cavity to the other integrated circuitries. Fig. 13 shows a photograph of the integrated device, which is equipped with one air cavity at the top layers. The device occupies an area of 7.94 7.82 1 mm including the CPW measurement pads. Fig. 14 shows the simulated and measured return losses ( / ) of the integrated structure. In the simulation, the higher dielectric constant and 5% increase in the volume of cavity were applied. It is observed from the first channel that the 10-dB return-loss bandwidth is approximately 2.4 GHz 4.18 at the center frequency of 57.45 GHz that is slightly wider than the simulation of 2.1 GHz 3.65 at

Fig. 14. Comparison between measured and simulated return loss. (a) S 11 of the first channel. (b) S 22 of the second channel.

57.5 GHz, as shown in Fig. 14(a). The slightly increased bandwidth may be attributed to parasitic radiation from the feedlines or the measurement pads. In Fig. 14(b), the return-loss measurement from the second channel also exhibits a wider bandwidth of 2.3 GHz 3.84 at the center frequency of 59.85 GHz compared to the simulated value of 2.1 GHz 3.51 at that of 59.9 GHz. The measured channel-to-channel isolation is illustrated in Fig. 15. The measured isolation is better than 49.1 dB across the first band (56.2–58.6 GHz) and better than 51.9 dB across the second band (58.4–60.7 GHz), which is significantly improved in comparison with the cavity duplexer using the T-junction presented in Section III. V. CONCLUSION We have successfully demonstrated the fully integrated 3-D cavity filters/duplexers and antennas as a SOP passive front-end solution of the -band LTCC transceiver module. The advanced

2934

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

REFERENCES

Fig. 15. Measured channel-to-channel isolation (S 21) of the integrated structure.

design of high-performance 60-GHz passive building blocks and their compact 3-D integration using the very mature fabrication capability of LTCC has been reported with high integration potential. Vertically stacked 3-D low-loss cavity BPFs have been developed for Rx and Tx channels to realize a compact duplexer integrated into a 3-D -band transceiver module. Excellent performance for both channels has been observed with low insertion loss such as 2.37 and 2.39 dB, respectively. The resonant frequency downshift about 2.7 GHz has been observed through all devices fabricated on this LTCC process. The fabrication tolerances such as the dielectric constant variation (5.5 versus 5.4) at these high frequencies and the accuracy of vias positioning caused by shrinkage 5 have been interpreted as major factors for theses phenomena and considered in the simulations of the rest devices. The duplexer consisting of the developed cavity filters were then demonstrated with the specific passband characteristics (4.2% at 57.2 GHz, 2.66% at 60.1 GHz), low insertion loss (2.22/2.48 dB), and a high level of channel-to-channel isolation (35.2/38.4 dB). Finally, the fully integrated functions of Rx and Tx filters and the dual-polarized antenna that covers Rx and Tx channels have been proposed employing a novel 3-D deployment of embedded components with an air cavity. The excellent overall performance of the integration has been verified through 10-dB BW of 2.4 GHz 4.18 at 57.45 GHz and 2.3 GHz 3.84 at 59.85 GHz and the measure isolation better than 49 dB across the Rx band and better than 51.9 dB across the Tx band. The proposed 3-D integrated functions can be easily integrated with Rx/Tx MMICs to realize an LTCC -band transceiver front-end module.

ACKNOWLEDGMENT The authors would like to thank Prof. J. Papapolymerou, Georgia Institute of Technology, Atlanta, for his insightful comments on resonator issues.

[1] C. H. Doan, S. Emami, D. A. Sobel, A. M. Niknejad, and R. W. Brodersen, “Design considerations for 60 GHz CMOS radios,” IEEE Commun. Mag., vol. 42, no. 12, pp. 132–140, Dec. 2004. [2] K. Kornegay, “60 GHz radio design challenges,” in GaAs IC Symp. Dig., San Diego, CA, Nov. 2003, pp. 89–92. [3] Y. Takimoto, H. Yatsuka, A. Inoue, T. Yokoyama, T. Aoyagi, K. Ohata, T. Saito, T. Negishi, and N. Okubo, in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 1996, pp. 509–512. [4] J.-H. Lee, G. DeJean, S. Sarkar, S. Pinel, K. Lim, J. Papapolymerou, J. Laskar, and M. M. Tentzeris, “Highly integrated millimeter-wave passive components using 3-D LTCC system-on-package (SOP) technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2220–2229, Jun. 2005. [5] Y. C. Lee, W.-I. Chang, Y. H. Cho, and C. S. Park, “A very compact 60 GHz transmitter integrating GaAs MMICs on LTCC passive circuits for wireless terminals applications,” in IEEE MTT-S Int. Microw. Symp. Dig, Fort Worth, TX, Oct. 2004, pp. 313–316. [6] K. Ohata, T. Inoue, M. Funabashi, A. Inoue, Y. Takimoto, T. Kuwabara, S. Shinozaki, K. Maruhashi, K. Hosaya, and H. Nagai, “60-GHz-band ultra-miniature monolithic T/R modules for multimedia wireless communication systems,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2354–2360, Dec. 1996. [7] K. Ohata, K. Maruhashi, M. Ito, S. Kishimoto, K. Ikuina, T. Hashiguchi, K. Ikeda, and N. Takahashi, “1.25 Gbps wireless gigabit ethernet link at 60 GHz-band,” in IEEE MTT-S Int. Microw. Symp. Dig, Philadelphia, PA, Jun. 2003, pp. 373–376. [8] J. Mizoe, S. Amano, T. Kuwabara, T. Kaneko, K. Wada, A. Kato, K. Sato, and M. Fujise, “Miniature 60 GHz transmitter/receiver modules on AIN multi-layer high temperature co-fired ceramic,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, Jun. 1999, pp. 475–478. [9] F. Kuroki, Y. MUrata, and T. Yoneyama, “Filter-based NRD-guide duplexer with low loss and high isolation at 60 GHz,” Electron. Lett., vol. 40, no. 13, pp. 808–810, Jun. 2004. [10] T. Matsuda, J. Tsutsumi, S. Inoue, Y. Iwamoto, and Y. Sato, “High-frequency SAW duplexer with low-loss and steep cut-off characteristics,” in IEEE Ultrason. Symp., Munich, Germany, Oct. 2002, pp. 71–76. [11] F. M. Pitschi, J. E. Kiwitt, B. Bader, and K. C. Wagner, “On the design of an FBAR PCS duplexer in LTCC chip-sized package,” in IEEE Ultrason. Symp., Montreal, QC, Canada, Aug. 2004, pp. 1525–1528. [12] S. Marksteiner, M. Handtmann, H.-J. Timme, and R. Aigner, “A miniature BAW duplexer using flip-chip on LTCC,” in IEEE Ultrason. Symp., Honolulu, HI, Oct. 2003, pp. 1794–1797. [13] L. Harle and L. P. B. Katehi, “A vertically integrated micromachined filter,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2063–2068, Sep. 2002. [14] J.-H. Lee, N. Kidera, S. Pinel, J. Papapolymerou, J. Laskar, and M. M. Tentzeris, “A highly integrated 3-D millimeter-wave filter using LTCC system-on-package (SOP) technology for V -band WLAN gigabit wireless systems,” in Proc. 17th Asia–Pacific Microw. Conf., Suzhou, China, 2005, pp. 3–5. [15] R. E. Collin, Foundations for Microwave Engineering. New York: McGraw-Hill, 1992. [16] M. J. Hill, R. W. Ziolkowski, and J. Papapolymerou, “Simulated and measured results from a Duroid-based planar MBG cavity resonator filter,” IEEE Microw. Wireless Compon. Lett., vol. 10, no. 12, pp. 528–530, Dec. 2000. [17] J.-H. Lee, S. Pinel, J. Papapolymerou, J. Laskar, and M. M. Tentzeris, “Low loss LTCC cavity filters using system-on-package technology at 60 GHz,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 231–244, Dec. 2005. [18] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [19] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [20] J. Heyen, A. Gordiyenko, P. Heide, and A. F. Jacob, “Vertical feedthroughs for millimeter-wave LTCC modules,” in IEEE Eur. Microw. Conf., Munich, Germany, Oct. 2003, pp. 411–414. [21] D. C. Thomson, O. Tantot, H. Jallageas, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou, “Characterization of liquid crystal polymer (LCP) material and transmission lines on LCP substrates from 30 to 110 GHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1343–1352, Apr. 2004.

LEE et al.:

-BAND FRONT-END WITH 3-D INTEGRATED CAVITY FILTERS/DUPLEXERS AND ANTENNA IN LTCC TECHNOLOGIES

Jong-Hoon Lee (S’98) received the B.S. degree in electrical engineering from Pennsylvania State University, University Park, in 2001, the M.S. degree from the Georgia Institute of Technology, Atlanta, in 2004, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology. He is a member of the Georgia Institute of Technology ATHENA Research Group, National Science Foundation (NSF) Packaging Research Center, and the Georgia Electronic Design Center, Atlanta. He has authored or coauthored over 27 papers in referred journals and conference proceedings. His research interests are packaging technology for microwave/ millimeter-wave systems, passive/active circuits for RF/wireless systems, and digital signal processing (DSP)-based predictor to improve the computational efficiency of the simulation. He is currently involved in research and development of LTCC SOP modules for millimeter-wave wireless systems and the high-frequency material characterization for millimeter-wave 3-D modules.

Nobutaka Kidera received the B.S and M.S. degrees in electrical engineering from Kyushu University, Fukuoka, Japan in 1992 and 1994, respectively. In 1994, he joined the Asahi Glass Company Ltd., Yokohama, Japan, where he has engaged in research and development on electrically conductive materials, wiring circuits and antennas for automotive applications. He is currently engaged in research on RF embedded passives using LTCC for microwave applications. From 2003 to 2005, he was a Visiting Scholar with the Microwave Application Group, Georgia Electronic Design Center, Georgia Institute of Technology, Atlanta. Mr. Kidera is a member of the International Microelectronics and Packaging Society (IMAPS).

Gerald DeJean (S’03) received the Bachelor’s of Science degree in electrical engineering (with high honors) from Michigan State University, East Lansing, in 2000, and is currently working toward the Ph.D. degree in electrical engineering at the Georgia Institute of Technology, Atlanta. He is currently with the ATHENA Research Group, Georgia Institute of Technology. He is also a member of the Georgia Electronic Design Center and the National Science Foundation (NSF) Packaging Research Center. His current research interests include the design of compact antennas for integration into 3-D transceiver design, integration of antennas on multilayer substrates, equivalent-circuit modeling of antennas, and RF packaging and design.

Stéphane Pinel (M’05) received the B.S. degree from Paul Sabatier University, Toulouse, France, in 1997, and the Ph.D. degree in microelectronics and microsystems (with highest honors) from the Laboratoire d’Analyze et d’Architecture des Systemes, Centre National de la Recherche Scientifique, Toulouse, France, in 2000. For three years, he has been involved with an UltraThin Chip Stacking (UTCS) European Project. He is currently a Research Engineer with the Microwaves Applications Group, Georgia Institute of Technology, Atlanta. He has authored or coauthored over 110 journal and proceeding papers, two book chapters, and numerous invited talks. He holds four patents/invention disclosures. His research interests include advanced 3-D integration and pack-

2935

aging technologies, RF and millimeter-waves embedded passives design using organic and ceramic material, RF microelectromechanical systems (MEMS) and micromachining techniques, SOP for RF front-end modules, and system-on-insulator (SOI) RF circuit design. Dr. Pinel has participated and organized numerous workshops. He was the recipient of the First Prize Award presented at the 1998 Society of Electronic and Electro-technique (SEE), the Second Prize Award presented by the 1999 International Microelectronics and Packaging Society (IMAPS), and the Best Paper Award presented at the 2002 International Conference on Microwave and Millimeter-Wave Technology, Beijing, China.

Joy Laskar (S’84–M’85–SM’02–F’05) received the B.S. degree (with highest honors) in computer engineering with math/physics minors from Clemson University, Clemson, SC, in 1985, and the M.S. and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana-Champaign, in 1989 and 1991, respectively. Prior to joining the Georgia Institute of Technology, Atlanta, in 1995, he held faculty positions with the University of Illinois at Urbana-Champaign and the University of Hawaii. At the Georgia Institute of Technology, he holds the Joseph M. Pettit Professorship of Electronics and is currently the Chair for the Electronic Design and Applications Technical Interest Group and the Director of the Georgia Electronic Design Center. With the Georgia Institute of Technology, he heads a research group of 25 members with a focus on integration of high-frequency electronics with optoelectronics and integration of mixed technologies for next-generation wireless and opto-electronic systems. He has authored or coauthored over 200 papers and several book chapters (including three textbooks in development). He has more than 20 patents pending. His research has focused on high-frequency integrated-circuit (IC) design and their integration. His research has produced numerous patents and transfer of technology to industry. Most recently, his research has resulted in the formation of two companies. In 1998, he cofounded the advanced WLAN integrated-circuit company RF Solutions, which is now part of Anadigics. In 2001, he cofounded the next-generation interconnect company Quellan Inc., Atlanta, GA, which develops collaborative signal-processing solutions for enterprise applications, video, storage, and wireless markets. Dr. Laskar has presented numerous invited talks. For the 2004–2006 term, he has been appointed an IEEE Distinguished Microwave Lecturer for his Recent Advances in High Performance Communication Modules and Circuits seminar. He was a recipient of the 1995 Army Research Office’s Young Investigator Award, 1996 recipient of the National Science Foundation (NSF) CAREER Award, 1997 NSF Packaging Research Center Faculty of the Year, 1998 NSF Packaging Research Center Educator of the Year, 1999 corecipient of the IEEE Rappaport Award (Best IEEE Electron Devices Society journal paper), the faculty advisor for the 2000 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Best Student Paper Award, 2001 Georgia Institute of Technology Faculty Graduate Student Mentor of the Year, a 2002 IBM Faculty Award, 2003 Clemson University College of Engineering Outstanding Young Alumni Award, and 2003 Outstanding Young Engineer of the IEEE MTT-S.

Manos M. Tentzeris (SM’03) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece, in 1992, and the M.S. and Ph.D. degrees in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 1993 and 1998, respectively. He is currently an Associate Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta. He has authored or coauthored over 170 papers in refereed journals and conference proceedings, eight book chapters, and is currently authoring two books. He has helped develop academic programs in highly integrated/multilayer packaging for RF and wireless applications, microwave MEMS, SOP-integrated antennas and adaptive numerical electromagnetics

2936

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

(finite difference time domain (FDTD), multiresolution algorithms) and heads the ATHENA Research Group (15 researchers). He is the Georgia Institute of Technology National Science Foundation (NSF) Packaging Research Center Associate Director for RF Research and the RF Alliance Leader. He is also the leader of the Novel Integration Techniques Subthrust of the Broadband Hardware Access Thrust of the Georgia Electronic Design Center (GEDC) of the State of Georgia. During the summer of 2002, he was a Visiting Professor with the Technical University of Munich, Munich, Germany, where he introduced a course in the area of high-frequency packaging. He has given more than 40 invited talks in the same area to various universities and companies in Europe, Asia, and the U.S. Dr. Tentzeris is a member of URSI Commission D, an associate member of EuMA, and a member of the Technical Chamber of Greece. He was the 1999 Technical Program co-chair of the 54th ARFTG Conference, Atlanta, GA, and he is the vice-chair of the RF Technical Committee (TC16) of the IEEE Components, Packaging, and Manufacturing Technology (CPMT) Society. He has organized various sessions and workshops on RF/Wireless Packaging and Integration in IEEE ECTC, IMS, and AP-S Symposia, for all of which he is a member of

the Technical Program Committee in the area of components and RF. He was the recipient of the 2003 National Aeronautics and Space Administration (NASA) Godfrey “Art” Anzic Collaborative Distinguished Publication Award for his activities in the area of finite-ground low-loss low-crosstalk CPWs, the 2003 IBC International Educator of the Year Award, the 2003 IEEE CPMT Outstanding Young Engineer Award for his work on 3-D multilayer integrated RF modules, the 2002 International Conference on Microwave and Millimeter-Wave Technology Best Paper Award (Beijing, China) for his work on compact/SOP-integrated RF components for low-cost high-performance wireless front-ends, the 2002 Georgia Tech-ECE Outstanding Junior Faculty Award, the 2001 ACES Conference Best Paper Award, the 2000 NSF CAREER Award for his work on the development of multiresoluation time-domain (MRTD) technique that allows for the system-level simulation of RF integrated modules, and the 1997 Best Paper Award of the International Hybrid Microelectronics and Packaging Society for the development of design rules for low-crosstalk finite-ground embedded transmission lines.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

2937

Analysis and Implementation of Six-Port Software-Defined Radio Receiver Platform Xinyu Xu, Renato G. Bosisio, Life Fellow, IEEE, and Ke Wu, Fellow, IEEE

Abstract—With recent advances in semiconductor processing technology and the development of reconfigurable devices, high bit-rate software-defined radio (SDR) has become practical for commercial applications. This paper proposes an SDR receiver platform based on a new substrate integrated waveguide six-port structure. This SDR receiver platform operates from 22 to 26 GHz and it is designed to be robust, low cost, and suitable for different communication schemes. In this study, the receiver is demonstrated to support quadrature phase-shift keying and 16 quadrature amplitude modulation schemes. System-level simulation is made and prototype circuits are fabricated to evaluate the system performance. It is found that the combination of SDR and six-port technology can provide a great flexibility in system configuration, a significant reduction in system development cost, and also a high potential for software reuse. The proposed receiver shows a possible application of universal direct demodulator for future SDR terminals in various wireless communication systems. Index Terms—Digital receiver, quadrature amplitude phaseshift keying (QPSK), six-port junction, 16 quadrature amplitude modulation (QAM16), software-defined radio (SDR), substrate integrated waveguide (SIW).

I. INTRODUCTION

OFTWARE-DEFINED radio (SDR) is an information transfer system (ITS) that combines technologies from the historically separated fields of computers and radios. Emerging from military applications, SDR has gained much attention among researchers and practitioners working in the wireless communication field and it has been identified as one potential method to enhance the flexibility and usability of wireless communication systems. In the past, the limited operating speed of analog-to-digital converter (ADC) and limited processing ability of reconfigurable chips for digital signal processing were known to slow down the development of SDR towards useful commercial applications. With recent advances in semiconductor processing technology and the development of reconfigurable devices such as digital signal processors (DSPs) and field-programmable gate arrays (FPGAs), SDR has now become practical in system solutions including wireless local area networks (LANs), audio, television broadcasting, and interoperability between different radio services.

S

Manuscript received December 20, 2005; revised March 11, 2006. This work was supported in part by the National Science Engineering Research Council of Canada. The authors are with the Poly-Grames Research Centre, École Polytechnique de Montréal, Montréal, QC, Canada H3V 1A2 (e-mail: [email protected]; [email protected]@polymtl.ca; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877449

Fig. 1. Block diagram of typical SDR receiver.

The block diagram of a typical practical SDR receiver is shown in Fig. 1. The RF signals are first down-converted, then such down-converted signals are digitized and sent to the DSP, and all of the subsequent processing is implemented in software. SDR consists of adaptable hardware components coexisting with software modules that govern the hardware behavior. Depending upon a specific application, SDRs may also need to perform source and channel coding/decoding, multiple-access processing, frequency spreading/de-spreading, and encryption/decryption. While these basic functions are the same for SDRs and traditional radios, the implementation of these functions may be very different. The primary distinction between SDRs and traditional radios lies in the programmability or configurability of SDRs, whereas traditional radios either do not have or have a limited capability in this respect. With SDR, software functions define the baseband and protocol elements and provide an environment for easy application development. Without introducing new hardware, an SDR can change operating characteristics or parameters such as the operating frequency range, modulation type, bandwidth, maximum radiated or conducted output power, and network protocols by changing the software programs executing in processing resources. This enables a single wireless device to be reprogrammed for using different modulation, coding, and access protocols. [1]–[5] This great flexibility of SDR provides a tremendous opportunity for solving interoperability problems between the many different existing standards, implementing new standards, and minimizing the amount of hardware necessary to perform required communications across these different standards. SDR also allows more efficient use of the spectrum by facilitating spectrum sharing and allowing equipment to be reprogrammed to more efficient modulation types. Its capability of being programmed also enhances interoperability between different radio services. II. PLATFORM ARCHITECTURE One key point of SDR is to have a digital-processing kernel with almost infinite processing ability. Although DSP and

0018-9480/$20.00 © 2006 IEEE

2938

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

semiconductor technology have been developed rapidly over the past ten years, the operating speed level of the current DSP chip cannot completely support a multichannel multimodulation SDR at the IF level. Therefore, certain software radio systems adopt multichip architecture and parallel algorithm, thereby increasing the design complexity and potential cost. Instead of digitalizing signals at IF, signals can also be digitalized at baseband to reduce the processing requirement for DSP chips. As a new solution of SDR design, the direct demodulator architecture, based on six-port technology, or “multiport demodulator,” is used in our proposed RF software receiver. Signals are down-converted from RF to baseband directly by a six-port junction. Six-port technology has been under development for the past 30 years starting with microwave and millimeter-wave measurement and network analyzer applications. The vector ratio of incident waves at two input ports can be calculated using the output power readings at the remaining four ports. In doing this, there is no need of down-converting the signal to IF to make phase comparison. In 1994, the first six-port receiver was proposed as a direct digital receiver [6]. In principle, the sixport consists of linear circuits with signal dividers and combiners (or couplers) interconnected in such a way that four or “ ” different vectorial combinations of the reference signal and signal to be measured (receiver signal) are obtained. The uses of different phase shifts and attenuation between the components such that the two RF input signals generate different amplitudes and phases at four output ports. The signal levels of the four baseband output signals are detected using Schottky diode detectors or other RF quadratic detectors. By applying suitable baseband signal-processing algorithms, the magnitude and phase of the unknown received signal can be determined from the four output signal levels for the given modulation and coding scheme [6]. As we know, a wideband down-converter is not readily available at millimeter-wave frequencies. In a six-port receiver, the six-port junction plus power detectors work as a down-converter, which offers a cost-effective approach to directly downconvert the RF signal to baseband signal. In addition, the relative low-frequency baseband signals reduce the ADC’s sampling and DSP capability requirement. On the other hand, the six-port receiver needs a set of four baseband bandpass filters (BPFs) and ADCs, which potentially increase the system cost, but with the recent development of ASIC technology, the price of these components was significantly reduced, therefore, the six-port SDR receiver structure is economically efficient. Fig. 2 shows the structure of the proposed six-port SDR receiver. The RF front-end consists of a low-noise amplifier (LNA), a BPF, a six-port junction, and four power detectors. The six-port junction works as an RF down converter in the proposed receiver. Port 2 connects to the RF signal and port 1 connects to the local oscillator (LO), the other four ports are connected to RF power detectors. The output voltages of the RF power detectors represent power levels of the four output ports of the six-port circuit. Signals from ports 1 and 2 are directly down-converted from RF to baseband frequency in the form of the output of power detectors. The signals from power detectors are then sent to the DSP section after passing

Fig. 2. Architecture of six-port software receiver.

a set of BPFs and baseband amplifiers. The DSP section is responsible for the baseband signal processing such as demodulation and decoding. The receiver is designed to operate at the millimeter-wave frequency and operates over a wideband for multimode schemes. As shown in previous research, the six-port receiver approach offers wideband accommodation to ever-changing communication specifications required in SDR systems. Some six-ports can offer impressive widebands, namely, 2–2200 MHz [7], 0.5–9 GHz [8], and 22–31 GHz [9]. Therefore, the six-port receiver can be used in various applications such as FM radio, TV, cordless phones, paging, global positioning systems (GPSs), digital audio broadcasting (DAB), mobile satellite (MSAT), personal communications systems (PCSs), radar satellite, satellite multimedia, local multipoint communication systems (LMCSs), and so on. Compared with the traditional SDR receiver system, the six-port direct SDR receiver has wider bandwidth, low cost for RF circuits, and low DSP capability requirement. III. SIW SIX-PORT CIRCUIT DESIGN As a key RF component of the proposed receiver, an SIW six-port circuit [10] was designed for the proposed SDR receiver platform. The SIW technology, as a part of the substrate integrated circuits (SICs) family, has been proposed recently [11], [12] as an attractive technology for low-loss, low-cost and highdensity integration of microwave and millimeter-wave components and subsystem. It is appropriate for the design of the sixport receiver and radar. The SIW is a type of rectangular dielectric-filled waveguide that is synthesized in planar substrate with arrays of metallic vias to realize bilateral edge walls, and its transitions with planar structures such as microstrip and coplanar waveguide (CPW) are designed and integrated on the same substrate. In this case, the planar and nonplanar structures can be integrated within the same planar platform, which leads to the design and development of low-cost millimeter-wave integrated circuits (ICs) and systems [12]. The prototype of the SIW six-port circuit is shown in Fig. 3. The circuit is fabricated on a Rogers RT/Duroid 5880 laminates substrate with . It consists of two SIW power dividers, two SIW 90 3-dB hybrid couplers, and some in-line phase shifters. SIW-to-microstrip transitions are also integrated in the circuit. Unlike the other six-port junctions [6], [9] for the direct receiver system, the proposed six-port structure is a true

XU et al.: ANALYSIS AND IMPLEMENTATION OF SIX-PORT SDR RECEIVER PLATFORM

2939

Fig. 4. Flowchart of six-port receiver algorithm.

that, at the center frequency, the reflection coefficients and are less than 21 dB and the isolation between the RF and LO ports ( ) is less than 21 dB. The transmission coefficients are close to the theoretically predicted value ( 6 dB). The measured transmission losses are higher than the simulated counterparts, which might be partly because of the effects of K connectors in the measurement, and the offset of metallic holes location restricted to the fabrication limitation. IV. RECEIVER ALGORITHMS

Fig. 3. Prototype of the SIW six-port junction (with K connectors).

TABLE I MEASURED AND SIMULATED S -PARAMETERS OF SIW SIX-PORT

“six-port” without need for any external connecting terminals. Therefore, the structure is more compact at lower cost. The SIW six-port circuit is designed to operate over the frequency band of 22–26 GHz with the center frequency at 24 GHz. The scattering parameters of the proposed SIW six-port circuit are summarized in Table I for the center frequency at 24 GHz. The six-port junction is simulated using Agilent’s High-Frequency Structure Simulator (HFSS) 5.6, the simulation model includes SIW power dividers, SIW couplers, SIW phase shifters, and SIW-to-microstrip transitions. All the SIW components are modeled using the equivalent rectangular waveguide with effective width. Considering the time efficiency of simulation, the K connectors are not included in the HFSS simulation model, while the measurement results naturally involve effects of the K connectors at each port. It can be found

For an SDR receiver platform, the DSP computation algorithms and calibration methods are crucial. Fig. 4 shows the algorithm flowchart of the SDR six-port receiver. After the data acquired from the antenna, some samples are selected for calibration. After calibration, the six-port calibration coefficients can be generated, the coefficients are then used in six-port computation to calculate the in-phase and quadrature (I-Q) data. Following a decision algorithm, the signals are thus demodulated. This process is a universal demodulation algorithm for six-port receivers. Most calibration algorithms proposed for six-port reflectometers (SPRs) are based on the physical calibration method [13]. This method is accomplished simply by measuring a number of (usually at least nine) arbitrary, but different external physical terminations at input ports. However, for a wireless receiver, this physical calibration method is entirely impractical. It is, therefore, necessary to develop a calibration method free from any external connection. As an application for a direct receiver, online six-port calibration technologies were under development over the past years. Some calibration algorithms have been proposed. In the proposed receiver platform, a real-time six-port calibration method [14] is adopted and the demodulation results for different modulation schemes are analyzed. For the six-port receiver, the ratio of amplitude, frequency, and phase between the LO signal (port 1) and RF signal (port 2) can be calculated from the power output at the other four ports with the complex constants known by calibration procedures. For a six-port receiver circuit, normally the leakage of the received signal to the LO reference port is small and may be neglected. In this case, the relationship between the output data of the SPR and the three power ratios of the detectors of the six-port become linear, and can be expressed as follows: (1) (2)

2940

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 5. Signal constellations for: (a) QPSK and (b) QAM16 modulation.

TABLE II DEMODULATION RESULT FOR QPSK SIGNAL

Fig. 6. Input and output waveforms of QPSK signals (a) Signal amplitude. (b) Signal phase.

V. TEST RESULTS TABLE III DEMODULATION RESULT FOR QAM16 SIGNAL

where and are the calculated output data, whereas , ( ) and , are calibration parameters to be determined. , , and are power ratios at the output detectors of the six-port. The simulated demodulation results of the SIW six-port junction for quadrature phase-shift keying (QPSK) and 16 quadrature amplitude modulation (QAM16) schemes (Signal constellations shown in Fig. 5) are given in Tables II and III. With the use of MATLAB 6.5 for six-port computation, the demodulation results show that the receiver has accuracy at 5 in phase and 0.4 dB in amplitude for QPSK and QAM16 modulations. In certain cases, for example, for some modulation scheme, the demodulation signal can be extracted directly from the relationship of power detectors output signal level. Therefore, the calibration procedure can be omitted and the demodulation algorithm could be simplified [9].

Within the operating frequency band of the receiver (22–26 GHz), two modulation schemes (i.e., QPSK, QAM16) are selected to test performances of the proposed SDR receiver. System-level simulation is made using HP-ADS and MATLAB-Simulink, and the bit error rate (BER) measurement results of the proposed receiver platform are also presented. The simulated input and output amplitudes and phases of QPSK signals are given in Fig. 6. The INPUT is pseudorandom bit sequence QPSK signals at the input of the vector modulator. The OUTPUT is the same bit sequence, which is demodulated after six-port computation and decision. It can be seen the input signals and output signals are exactly the same, which confirms the operating principle of the proposed SDR receiver. The simulated input and output amplitudes and phases of QAM16 signals are given in Fig. 7. In the same way as that of the QPSK signal in Fig. 6, the INPUT is a pseudorandom bit sequence QAM16 signal and the OUTPUT is the demodulated signal after six-port computation and decision. The input and output signals are matched very well. Figs. 8 and 9 show the simulated output signal constellations for both QPSK and QAM16 with various signal-to-noise ratios (SNRs). A white noise is added to the input signal and the output signal constellations are presented in Fig. 8(a)–(c) for the QPSK signal with SNR equal to 25, 10, and 5 dB, respectively. Demodulation results (after decision algorithms) are presented in Fig. 8(d). Fig. 9(a)–(c) shows the QAM16 signal with SNR equal to 30, 15, and 8 dB, respectively. Demodulation results (after decision algorithms) are presented in Fig. 9(d). It is found that the output constellation is definitely stable. The signal is correctly demodulated if the SNR has an acceptable value. The block diagram of the BER measurement setup is described in Fig. 10. A 1-Mbit/s pseudorandom bit sequence is generated from an Anritsu MP1630B BER analyzer and the signal is then fed to vector signal modulator for modulation. QPSK/QAM16 modulated signals and reference signals are

XU et al.: ANALYSIS AND IMPLEMENTATION OF SIX-PORT SDR RECEIVER PLATFORM

Fig. 7. Input and output waveforms of QAM16 signals. (a) Signal amplitude. (b) Signal phase.

2941

Fig. 9. Simulated output signal constellations for QAM16 with different SNR.

Fig. 10. Block diagram of BER measurement setup.

Fig. 8. Simulated output signal constellations for QPSK with different SNR.

generated using an HP-8782B vector signal modulator. The modulated signals and reference signals are up-converted to 24 GHz by an Anritsu MG3694A signal generator and two SU26A21D sideband up-converters and then connected to the SIW six-port circuit. The output signals from the six-port circuit are sent to four Wiltron 75KC50 RF power detectors and the RF signals from the six-port output ports are then down-converted to baseband. The baseband signals from power detectors are then sent to two synchronized Altera FPGA DSP boards passing through a Texas Instruments Incorporated four-channel THS7002 programmable-gain amplifier evaluation module where the signals are 20 dB amplified and 20 MHz low-pass filtered. The signals are digitalized by four AD9433

ADC integrated in two FPGA boards. The receiver algorithms are implemented in the two FPGA processors. The BER analyzer receives the demodulated signal from DSP board1 and evaluates the BER values of the receiver system. An additional white noise generator Agilent N8975A is used for the adjacent signal interference or noise measurements. Fig. 11 shows a photograph of our test setup. It consists of one RF signal generator, one vector signal modulator, one dc power supply, one 3-dB power divider and two RF up-converters, an SIW six-port with RF power detectors, one baseband amplifier evaluation module board, and two FPGA development boards. Simulated and measured BER versus for the two modulation schemes are presented in Figs. 12 and 13, where is the average energy of a modulated bit and is the noise power spectral density. The QPSK and QAM16 signals are generated from a vector modulator without coding. The simulated BER results are obtained from MATLAB-Simulink with carrier RF frequency from 22 to 26 GHz, considering the same conditions of power inputs and interferences. The measured BER curve is obtained at the center frequency (24 GHz) of the receiver platform. It can be seen that the simulated and measured BER curves are in excellent agreement. It is observed that the receiver BER is

2942

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

have been designed and the demodulation results and BER performance of the SDR receiver for two different modulation schemes (QPSK, QAM16) have been described. The simulation and measurement results are very encouraging, showing a possible universal receiver solution for future software-defined radio applications in various wireless communication systems. Our current effort is to implement orthogonal frequency division multiplexing (OFDM) demodulation in this SDR receiver platform. ACKNOWLEDGMENT Fig. 11. Test-bed setup for receiver performance evaluation.

The authors would like to acknowledge the technical assistance of Y. Zhao and S. Dubé, both of the Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada. REFERENCES

Fig. 12. Simulated and measured BER for QPSK signal.

Fig. 13. Simulated and measured BER for QAM16 signal.

less than for 15 dB (QAM16).

higher than 10.5 dB (QPSK) and

VI. CONCLUSION This paper has presented recent results obtained on the analysis of SDR technology in a direct six-port receiver designed for multimode RF and millimeter-wave communications. A six-port SDR receiver platform has been analyzed and implemented [15]. This platform adopts a new SIW six-port structure at the RF front-end, which realizes wideband direct down conversion for low-cost and mass-producible SDR applications. Based on the six-port front-end, the demodulation algorithms

[1] J. Mitola, “The software radio architecture,” IEEE Commun. Mag., pp. 26–38, May 1995. [2] J. Mitola, “Software radio technology challenges and opportunities,” presented at the 1st Eur. Software Radios Workshop May 1997. [3] W. Tuttlebee, “The impact of software radio,” presented at the Software Radio Workshop Brussels, Belgium, May 1997. [4] D. L. Tennenhouse and V. G. Bose, “The spectrumware approach to wireless signal processing,” Wireless Networks, vol. 2, no. 1, pp. 1–12, Jan. 1996. [5] V. Bose, M. Ismert, M. Welborn, and J. Guttag, “Virtual radios,” IEEE J. Sel. Areas Commun., vol. 17, no. 4, pp. 591–602, Apr. 1999. [6] J. Li, R. G. Bosisio, and K. Wu, “Computer and measurement simulation of a new digital receiver operating directly at millimeter-wave frequencies,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2766–2772, Dec. 1995. [7] J. Hesselbarth, F. Wiedmann, and B. Huyart, “Two new six-port reflectometers covering very large bandwidths,” IEEE Trans. Instrum. Meas., vol. 46, no. 4, pp. 966–970, Aug. 1997. [8] M. Abe, N. Sasho, R. Morelos-Zaragoza, and S. Haruyama, “An RF architecture for software defined receivers,” presented at the Microw. Workshop & Exhibition Yokohama, Japan, Dec. 2002. [9] S. O. Tatu, E. Moldovan, K. Wu, and R. G. Bosisio, “A new direct millimeter-wave six-port receiver,” IEEE Trans Microw. Theory Tech., vol. 49, no. 12, pp. 2517–2522, Dec. 2001. [10] X. Xu, R. G. Bosisio, and K. Wu, “A new six-port junction based on substrate integrated waveguide technology,” IEEE Trans Microw. Theory Tech., vol. 53, no. 7, pp. 2267–2273, Jul. 2005. [11] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Guided Wave Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [12] K. Wu, “Integration and interconnect techniques of planar and nonplanar structures for microwave and millimeter-wave circuits—Current status and future trend,” in Asia–Pacific Microw. Conf., Taipei, Taiwan, R.O.C., Dec. 3–6, 2001, pp. 411–416. [13] G. F. Engen, “Calibrating the six-port reflectometer by means of sliding terminations,” IEEE Trans Microw. Theory Tech., vol. MTT-26, no. 12, pp. 951–957, Dec. 1978. [14] Y. Xu and R. G. Bosisio, “On the real time calibration of six-port receivers (SPRs),” Microw. Opt. Technol. Lett., vol. 20, no. 5, pp. 318–322, 1999. [15] X. Xu, R. G. Bosisio, and K. Wu, “Analysis and implementation of software defined radio receiver platform,” in Asia–Pacific Microw. Conf., Suzhou, China, Dec. 4–7, 2005, pp. 3221–3224. Xinyu Xu received the B.S. and M.S. degrees in electrical engineering from the University of Science and Technology of China (USTC), Hefei, China, in 1997 and 2000 respectively, and is currently working the Ph.D. degree in electrical engineering from the École Polytechnique de Montréal, Montréal, QC, Canada. His research interests lie in the areas of wireless communications signal processing including microwave/millimeter-wave circuit design, telecommunications systems, and SDR techniques.

XU et al.: ANALYSIS AND IMPLEMENTATION OF SIX-PORT SDR RECEIVER PLATFORM

Renato G. Bosisio (M’79–F’95–LF’04) was born on June 28, 1930, in Monza, Italy. He received the B.Sc. degree in mathematics and physics from McGill University, Montréal, QC, Canada, in 1951, and the M.A.Sc. degree in electrical engineering from the University of Florida, Gainesville, in 1963. From 1951 to 1953, he was a Research Scientist with the Defense Research Telecommunications Establishment (Electronics Laboratory), Ottawa, ON, Canada. From 1953 to 1959, he was with the Canadian Marconi Company, Montréal, QC, Canada, and was also with the English Electric Valve Company, Chelmsford, U.K., where he was involved with microwave magnetrons. From 1959 to 1964, he was with the Sperry Electron Tube Division, Gainesville, FL, where he performed research and development work on microwave-crossed field devices. From 1964 to 1965, he was with the Varian Associates of Canada, Georgetown, ON, Canada, where he was engaged in the development of traveling-wave tubes. He then joined the faculty of the University of Montréal, Montréal, QC, Canada, where he became Associate Professor of electrical engineering with the École Polytechnique de Montréal, QC, Canada, in 1965, Professor and Head of the Electromagnetic and Microwave Group in 1971, Head and founder of the Advanced Microwave Research Group (Grames) in 1990, and Emeritus Professor in 1995. In 1994, he founded and headed the Poly-Grames Research Centre, Montréal, QC, Canada, for graduate student research in the design, fabrication, and measurement of microwave/millimeter wave devices, components, and subassemblies destined for use in advanced radar and communication systems. He has authored or coauthored 190 journal publications, 230 refereed conference presentations, and numerous technical reports and industrial consultations in microwaves and millimeter waves. He has also been involved in numerous microwave innovations and holds 12 patents. His research interests cover a wide area in microwave/millimeter waves related to advanced wireless LANs, satellite/satellite/earth communication links, and point-to-point communications and automotive guidance systems. His research topics include automated and computer-aided microwave/millimeter-wave instrumentation and measurements, characterization of dielectric materials and electron devices, high power microwave power applications, design/fabrication/test of microwave/millimeter-wave monolithic and hybrid ICs, and the development of new microwave/millimeter-wave six-port technology for direct digital receivers, radar and intelligent integrated sensors. Prof. Bosisio is a member of the Sigma Xi Research Society at McGill University, the Phi Kappa Phi Learned Society at the University of Florida (1963), and the l’Ordre des Ingénieurs du Québec (1965). He was the recipient of a number of awards including the McNaughton Medal of IEEE Canada (2004), the IEEE Canada Outstanding Educator Award (1996), the École Polytechnique Outstanding Professor Award (1973), and The Inventor Award (1971) presented by Canada Patents and Development Limited.

2943

Ke Wu (M’87–SM’92–F’01) is a Professor of electrical engineering and the Tier-I Canada Research Chair in Radio-Frequency and Millimeter-Wave Engineering at the École Polytechnique de Montréal, Montréal, QC, Canada. He was a Visiting or Guest Professor with many universities around the world. He also holds an honorary visiting professorship and a Cheung Kong endowed chair professorship with Southeast University, Nanjing, China, and an honorary professorship at the Nanjing University of Science and Technology, Nanjing, China. He has been the Director of the Poly-Grames Research Center as well as the Founding Director of the Canadian Facility for Advanced Millimeter-wave Engineering (FAME). He has authored or coauthored over 450 refereed papers and several books/book chapters. His current research interests involve SICs, antenna arrays, advanced computer-aided design and modeling techniques, and development of low-cost RF and millimeter-wave transceivers. He is also interested in the modeling and design of microwave photonic circuits and systems. He serves on the Editorial Board of Microwave Journal, Microwave and Optical Technology Letters, and Wiley’s Encyclopedia of RF and Microwave Engineering. He is an Associate Editor of the International Journal of RF and Microwave Computer-Aided Engineering. Dr. Wu is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities) and a member of the Electromagnetics Academy, Sigma Xi, and the URSI. He has held many positions in and has served on various international committees, including the vice chairperson of the Technical Program Committee (TPC) for the 1997 Asia–Pacific Microwave Conference, the general co-chair of the 1999 and 2000 SPIE’s International Symposium on Terahertz and Gigahertz Electronics and Photonics, the general chair of the 8th International Microwave and Optical Technology (ISMOT’2001), the TPC Chair of the 2003 IEEE Radio and Wireless Conference (RAWCON’2003), and the general co-chair of the RAWCON’2004. He has served on the Editorial or Review Boards of various technical journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He served on the 1996 IEEE Admission and Advancement Committee and the Steering Committee for the 1997 joint IEEE Antennas and Propagation Society (AP-S)/URSI International Symposium. He has also served as a TPC member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium. He was elected to the Board of Directors of the Canadian Institute for Telecommunication Research (CITR). He is currently the chair of the joint IEEE chapters of MTT-S/AP-S/LEOS in Montréal, QC, Canada, the chapter coordinator for MTT-S Region 7. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2009 and serves as the chair of the IEEE MTT-S Transnational Committee. He was the recipient of a URSI Young Scientist Award, the Oliver Lodge Premium Award of the Institute of Electrical Engineer (IEE), U.K., the Asia-Pacific Microwave Prize, the University Research Award ”Prix Poly 1873 pour l’Excellence en Recherche” presented by the École Polytechnique on the occasion of its 125th anniversary, the Urgel-Archambault Prize (the highest honor) in the field of physical sciences, mathematics, and engineering from the French-Canadian Association for the Advancement of Science, and the 2004 Fessenden Medal of the IEEE Canada. In 2002, he was the first recipient of the IEEE MTT-S Outstanding Young Engineer Award.

2944

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Characterization of a Quasi-Optical NbN Superconducting HEB Mixer Ling Jiang, Wei Miao, Wen Zhang, Ning Li, Zhen Hui Lin, Qi Jun Yao, Sheng-Cai Shi, Member, IEEE, Sergey I. Svechnikov, Yury B. Vakhtomin, Sergey V. Antipov, Boris M. Voronov, Natalia S. Kaurova, and Gregory N. Gol’tsman

Abstract—In this paper, the performance of a quasi-optical NbN superconducting hot-electron bolometer (HEB) mixer, cryogenically cooled by a close-cycled 4-K refrigerator, is thoroughly investigated at 300, 500, and 850 GHz. The lowest receiver noise temperatures measured at the respective three frequencies are 1400, 900, and 1350 K, which can go down to 659, 413, and 529 K, respectively, after correcting the loss and associated noise contribution of the quasi-optical system before the measured superconducting HEB mixer. The stability of the quasi-optical superconducting HEB mixer is also investigated here. The Allan variance time measured with a local oscillator pumping at 500 GHz and an IF bandwidth of 110 MHz is 1.5 s at the dc-bias voltage exhibiting the lowest noise temperature and increases to 2.5 s at a dc bias twice that voltage. Index Terms—Allan variance, conversion loss, 4-K close-cycled cryocooler, noise temperature, superconducting hot-electron bolometer (HEB) mixer.

I. INTRODUCTION HE double-sideband (DSB) receiver noise temperature of phonon-cooled NbN superconducting hot-electron bolometer (HEB) mixers has approached eight times the quantum limit ( ) [1] and the required local oscillator (LO) power is only tens of nanowatts. Hence, superconducting HEB mixers are becoming the heterodyne receiver technology of choice in the terahertz region. To develop this heterodyne receiver technology for real astronomical and atmospheric observations, which usually require long-period operation, we have been concentrated on the performance characterization of phonon-cooled NbN superconducting HEB mixers with a close-cycled 4-K refrigerator. It has been indeed demonstrated that although being with an ultrathin NbN film 3.5 nm , phonon-cooled NbN superconducting HEB mixers can survive the mechanical vibration

T

Manuscript received December 29, 2005. This work was supported by the National Natural Science Foundation of China under Contract 10390163 and Contract 10211120645, by the Chinese Academy of Sciences under Contract KJCX2-SW-T2, and by the Russian Foundation for Basic Research under Contract 04-02-39016. L. Jiang, W. Miao, N. Li, Z. H. Lin, and Q. J. Yao are with the Purple Mountain Observatory, National Astronomical Observatories, Chinese Academy of Sciences, and the Graduate School, Chinese Academy of Sciences, Nanjing, JiangSu 210008, China (e-mail: [email protected]). W. Zhang, S. I. Svechnikov, Y. B. Vachtomin, S. V. Antipov, B. M. Voronov, N. S. Kaurova, and G. N. Gol’tsman are with the Department of Physics, Moscow State Pedagogical University, Moscow 119992, Russia. S.-C. Shi is with the Purple Mountain Observatory, National Astronomical Observatories, Chinese Academy of Sciences, Nanjing, JiangSu 210008, China. Digital Object Identifier 10.1109/TMTT.2006.877450

Fig. 1. Measured quasi-optical NbN superconducting HEB mixer chip with the NbN bridge measuring 1.8-m wide, 0.15-m long, and 3.5-nm thick.

and temperature fluctuation of 4-K close-cycled cryocoolers (GM two-stage type) [2]. The preliminary noise performance measured at 300 GHz was reported in [3]. In this paper, we systematically measure and analyze the noise performance of a quasi-optical NbN superconducting HEB mixer at three different frequencies (i.e., 300, 500, and 850 GHz) to understand the frequency dependence of phonon-cooled superconducting HEB mixers. In addition, the IF output power stability of this quasi-optical superconducting HEB mixer is thoroughly studied by measuring its Allan variance time [4] at an LO pumping at 500 GHz. II. MEASURED HEB MIXER AND SETUP The measured quasi-optical NbN superconducting HEB mixer chip was fabricated at Moscow State Pedagogical University (MSPU), Moscow, Russia. As shown in Fig. 1, it is simply made up of a log spiral antenna and an ultrathin (3.5 nm) NbN superconducting film bridge across the antenna’s feed point, where mixing happens owing to the strong nonlinearity of the NbN film resistance around its critical temperature. The RF and LO signals are coupled to the ultrathin NbN superconducting film bridge through the log spiral antenna. The 3.5-nm-thick NbN superconducting film was deposited by dc reactive magnetron sputtering on a heated high-resistivity silicon substrate, while its bridge area, measuring 0.15- m long and 1.8- m wide, was fabricated through electron-beam lithograph. The thin NbN superconducting film bridge had a normal state resistance of 103 and a superconducting critical current of 179 A at 4.2 K. Its critical temperature and transition width were 8.9 and 1 K, respectively. The quasi-optical NbN superconducting HEB mixer chip was firstly glued onto the flat surface of a hyper-hemispherical silicon lens of a diameter of 12 mm, which was adopted to focus

0018-9480/$20.00 © 2006 IEEE

JIANG et al.: CHARACTERIZATION OF QUASI-OPTICAL NbN SUPERCONDUCTING HEB MIXER

2945

Fig. 2. Measurement setup with: (a) inside the 4-K cryostat and (b) outside the 4-K cryostat.

the RF and LO signals to the log-spiral antenna. The hyperhemispherical silicon lens with the superconducting HEB mixer chip was then put into a copper mixer block, which includes a 50- microstrip line with its one port connected to the HEB mixer chip (via indium) and the other to the IF and dc output port. To further block infrared (IR) thermal radiation into the superconducting HEB mixer, we used a copper shield to cover the whole mixer block. The shield indeed had a window of 25-mm diameter covered with a layer of Zitex G1081 for RF and LO signal coupling. The whole mixer block and the 4-K shield were both mounted on the 4-K cold plate of the close-cycled cryostat, as shown in Fig. 2(a). Notice that the 4-K cryostat already had an IR filter made of two layers of Zitex A155 on its 40-K shield. We used the conventional -factor method to measure the noise performance of the quasi-optical NbN superconducting HEB mixer. The measurement setup is displayed in Fig. 2(b). A beam splitter made of a 15- m-thick Mylar film2 was employed to couple the RF and LO signals into the vacuum window (15- m-thick Mylar film) of the 4-K cryostat. The RF signal was from a chopper, indeed a 295- and 77-K blackbody. The 300-GHz LO signals was provided by a solid-state source (Gunn oscillator plus multiplier), while the 500- and 850-GHz one was provided by a backward-wave oscillator (BWO). The IF output signal of the quasi-optical superconducting HEB mixer went through a bias tee, a 1.2–1.8-GHz cooled HEMT low-noise amplifier (of 10-K noise temperature and 30-dB gain) and a room-temperature amplifier (of 45-dB gain), a bandpass filter 1.5 0.055 GHz , and was finally measured by a square-law detector of a sensitivity of 1 mV/ W. III. MEASUREMENT RESULTS We measured the DSB receiver noise temperature of the quasi-optical superconducting HEB mixer at 300, 500, and 850 GHz for different LO pumping levels and dc biases. The lowest DSB receiver noise temperature was found to be 1400 K at 300 GHz, 900 K at 500 GHz, and 1350 K at 850 GHz. Fig. 3 displays the distribution of the receiver noise temperature measured at 850 GHz for different LO pumping levels and dc biases. Obviously, there is a quite large region demonstrating good noise performance. The detected IF output signal at the 1ZITEX 100% PTFE Membranes, Saint-Gobain Performance Plastics Corporation, Paris, France, 2006. 2Mylar Polyester Films, Dupont Corporation, Wilmington, DE, 2006.

Fig. 3. (a) Measured noise temperature at 850 GHz for different LO pumping levels and dc biases. (b) IF output power for 295- and 77-K input loads versus time at the optimum dc bias.

optimum dc bias voltage (0.7 mV, corresponding to a current of 26 A) is also shown in Fig. 3. It appears that the IF output power is fairly stable. The LO power absorbed by the superconducting HEB device was found to be 90 nW at the optimum LO pumping level by means of the isothermal technique. To understand the frequency dependence of the phononcooled superconducting HEB mixer, we evaluate the intrinsic noise temperature of the measured quasi-optical superconducting HEB mixer by correcting the loss and associated noise contribution of its quasi-optical system including beamsplitter, vacuum window, IR filter, and hyper-hemispherical silicon lens. The lowest receiver noise temperature went down to 659 K at 300 GHz, 413 K at 500 GHz, and 529 K at 850 GHz, as summarized in Table I. It indicates that phonon-cooled superconducting HEB mixer can perform well in a broad frequency range. We also measured the single-sideband (SSB) receiver conversion loss of the quasi-optical NbN superconducting HEB mixer using a U-factor technique [5], assuming that HEB devices in the superconducting state without LO pumping have a zero IF

2946

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

TABLE I DSB RECEIVER NOISE TEMPERATURE, CORRECTED NOISE TEMPERATURE, TOTAL CONVERSION LOSS, AND QUASI--OPTICAL LOSS AT 300, 500, AND 850 GHz FOR THE MEASURED QUASI--OPTICAL SUPERCONDUCTING HEB MIXER

impedance at zero dc bias. The U-factor is defined as the ratio of the IF output powers at its operating point and zero dc bias , and is given by

(1) where and are effective radiation temperatures derived from the Callen–Welton formula [6] for the physical temperatures of 295 and 4.2 K at the measurement frequency, respectively, and is the equivalent input noise temperature of the IF chain (11.5 K). The total conversion loss is, therefore, written as

Fig. 4. Allan variance plot for two dc biases. The solid symbol shows the Allan variance with the effect of 1-s period from the 4-K close-cycled refrigerator, and the hollow symbol with the 1-s period effect calibrated.

. Here, was taken as 5000 for our experiment. These data are grouped in groups of data points, and averaged within the groups

(2) (4) We found that the quasi-optical superconducting HEB mixer had an SSB conversion loss of 14 dB at 300 GHz, 11 dB at 500 GHz, and 14.2 dB at 850 GHz at respective optimum dc bias voltages. A 3-dB higher conversion loss at 300 GHz than at 500 GHz was most probably attributed to a lower coupling efficiency of the log-spiral antenna. The Fourier transform spectrometer (FTS) response measurement of the log-spiral antenna did demonstrate a sharp decrease at 300 GHz [7]. It is indeed the reason why we also measured a higher receiver noise temperature at 300 GHz. Receiver stability is another important parameter besides the receiver noise temperature for real heterodyne receivers. The sensitivity of heterodyne receivers can be given by [8]

(3) is the minimum detectable antenna temperature where resulting from signal input, is a constant depending on the receiver configuration, is the system noise temperature, and and represent the IF bandwidth and integration time, respectively. Clearly, long integration time can enhance the receiver sensitivity. The longest integration time (i.e., Allan variance time) of a receiver, however, is limited by the stability of the receiver. To measure the Allan variance time of the quasi-optical superconducting HEB mixer, we sampled its IF output power as a function of time. The sampled data points were denoted as

The Allan variance is then calculated as

(5) The variance is plotted as a function of the integration time . Here, is the sample time between the data points. We calculated the Allan variance at 500 GHz for two dc biases with one corresponding to the lowest noise temperature and the other twice the optimum dc bias voltage. Note that the two dc biases are located on the same LO pumping level. To get rid of the effect of unstable LO power, we employed a very stable 500-GHz solid-state LO source (Gunn oscillator plus 5 multiplier). A 36- m-thick Mylar film beamsplitter was used to have sufficient LO power. The calculated results are plotted in Fig. 4. Obviously, the effect of the 1-s period form the 4-K close-cycled refrigerator is evident. We got the real Allan variance time of the quasi-optical superconducting HEB mixer by subtracting the effect of the 1-s period, and we found that the Allan variance time changes from 1.5 to 2.5 s while the dc bias voltage changes from its optimum point to a voltage twice the optimum one. IV. CONCLUSION We have thoroughly investigated the receiver noise temperature and stability of a quasi-optical phonon-cooled NbN superconducting HEB mixer, which is cooled by a 4-K close-cycled

JIANG et al.: CHARACTERIZATION OF QUASI-OPTICAL NbN SUPERCONDUCTING HEB MIXER

refrigerator. The measured lowest DSB receiver noise temperatures are 1400 K at 300 GHz, 900 K at 500 GHz, and 1350 K at 850 GHz, and down to 659, 413, and 529 K, respectively, after correcting the quasi-optical loss and associated noise contribution. The Allan variance time is found to be 1.5 s at the optimum dc-bias voltage, which is fairly good for real astronomical and atmospheric applications.

2947

Wei Miao was born in JiangSu, China, on January 20, 1981. He received the B.S. degree in physics from Nanjing University, Nanjing, China, in 2003, and is currently working toward the Ph.D. degree at the Chinese Academy of Sciences (CAS), Nanjing, China. He is currently with the Purple Mountain Observatory (PMO), CAS. His research interests include superconducting HEB mixers and quasi-optical antennas at terahertz frequencies.

ACKNOWLEDGMENT The authors would like to thank Prof. J. Chen, Nanjing University, Nanjing, China, for lending the 500-GHz LO source. The authors are also very grateful to D. R. Yang and S. H. Chen, Purple Mountain Observatory (PMO), Nanjing, China, for technical support. REFERENCES [1] J. J. A. Baselmans, M. Hajenius, J. R. Gao, T. M. Klapwijk, P. A. J. de Korte, B. Voronov, and G. Gol’tsman, “Doubling of sensitivity and bandwidth in phonon cooled hot electron bolometer mixers,” Appl. Phys. Lett., vol. 84, no. 11, pp. 1958–1960, 2004. [2] L. Jiang, J. Li, W. Zhang, Q. J. Yao, Z. L. Lin, S. C. Shi, Y. B. Vachtomin, S. V. Antipov, S. I. Svechnikov, B. M. Voronov, and G. N. Goltsman, “Characterization of NbN HEB mixers cooled by a close-cycled 4-K refrigerator,” IEEE Trans. Appl. Supercond., vol. 15, no. 2, pp. 511–513, Jun. 2005. [3] W. Miao, L. Jiang, Y. Luo, Z. H. Lin, Q. J. Yao, and S. C. Shi, “Characterization of a quasi-optical NbN superconducting HEB mixer at 300 GHz,” in Asia–Pacific Microw. Conf., SuZhou, China, Dec. 2005, pp. 2439–2442. [4] R. Schieder and C. Kramer, “Optimization of heterodyne observations using Allan variance measurement,” Astron. Astrophys., vol. 373, pp. 746–756, 2001. [5] S. Cherednichenko, M. Kroug, H. Merkel, P. Khosropanah, A. Adam, E. Kollberg, D. Loudkov, G. Gol’tsman, B. Voronov, H. Richter, and H. Huebers, “1.6 THz heterodyne receiver for the far infrared space telescope,” Phys. C: Supercond. and Its Applicat., vol. 372–376, pp. 427–431, 2002. [6] A. R. Kerr, “Suggestions for revised definitions of noise quantities, including quantum effects,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 3, pp. 325–329, Mar. 1999. [7] D. Loudkov, P. Khosropanah, S. Cherednichenko, A. Adam, H. Merkel, E. Kollberg, and G. Gol’tsman, “Broadband Fourier transform spectrometer (FTS) measurements of spiral and double-slot planar antennas at THz frequencies,” in 13th Int. Space Terahertz Technol. Symp., Cambridge, MA, Mar. 2002, pp. 373–381. [8] K. Rohlfs and T. L. Wilson, Tools of Radio Astronomy, 3rd ed. Berlin, Germany: Springer, 2000.

Wen Zhang was born in ChongQing, China, in 1975. He received the B.S. degree in applied electronics from Nanjing University of Science and Technology (NUST), Nanjing, China, in 1999, the M.S. degree in astrophysics from the Graduate School of Chinese Academy of Sciences (CAS), Nanjing, China, in 2002, and is currently working toward the Ph.D. degree at Moscow State Pedagogical University, Moscow, Russia. From 2002 to 2003, he was an Assistant Researcher with the Purple Mountain Observatory (PMO), National Astronomical Observatories (NAOC), Nanjing, China. His research interests include superconducting HEB mixers and quasi-optical antennas at terahertz frequencies.

Ning Li was born in SuZhou, China, on May 13, 1983. He received the B.S. degree from Southeast University, Nanjing, China, in 2005, and is currently working toward the M.S. degree at the Chinese Academy of Sciences (CAS), Nanjing, China. He is currently with the Purple Mountain Observatory (PMO), CAS. His research interests include superconducting HEB mixers, and data acquisition and analysis.

Zhen Hui Lin was born in Fujian, China, in 1976. He received the B.S. degree in information engineering from Hohai University, Nanjing, China, in 1999. Since 1999, he has been with the Millimeter- and Submillimeter-Wave Laboratory, Purple Mountain Observatory (PMO), Chinese Academy of Sciences (CAS), Nanjing, China, where he is engaged in the development of astronomical backend spectrometers such as acoustooptic spectrometer (AOS) and digital fast Fourier transforms (FFTs). His other research interests include automatic control, signal detection, and processing.

Ling Jiang was born in Hubei, China, in 1979. She received the B.S. degree in electronic engineering from HuaZhong Normal University, WuHan, China, in 2001, the M.S. degree in astrophysics from the Graduate School of Chinese Academy of Sciences (CAS), Nanjing, China, in 2004, and is currently working toward the Ph.D. degree in astrophysics from the Chinese Academy of Sciences. Her doctoral thesis concerns the characterization of a quasi-optical superconducting HEB mixer cryogenically cooled by a close-cycled 4-K cryocooler. She is currently with the Purple Mountain Observatory (PMO), CAS. Her research concerns three-dimensional (3-D) electromagnetic field simulation and design of quasi-optical systems.

Qi Jun Yao received the B.S. and M.S. degrees in astronomy from Nanjing University, Nanjing, China, in 1992 and 1995, respectively. In 1995, he joined the Millimeter- and Submillimeter-Wave Laboratory, Purple Mountain Observatory (PMO), Chinese Academy of Sciences (CAS), Nanjing, China, where he is currently a Professor of radio astronomy engaged in the development of millimeter- and submillimeter-wave receivers for astronomical applications.

2948

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Sheng-Cai Shi (M’97) was born in Nanjing, China, on January 10, 1965. He received the B.S. degree in electronic engineering from Southeast University, Nanjing, China, in 1985, the M.S. degree in electronic engineering from the Graduate School of Chinese Academy of Sciences (CAS), Nanjing, China, in 1988, and the Ph.D. degree in radio astronomy from the Graduate University for Advanced Studies, Tokyo, Japan, in 1996. From 1988 to 1992, he was a Research Associate with Purple Mountain Observatory (PMO), CAS. From 1992 to 1998, he was with the Nobeyama Radio Observatory (NAOJ), Nobeyama, Japan. In 1998, he rejoined the PMO, where he is currently a Professor of radio astronomy and director of the Millimeter- and Submillimeter-Wave Laboratory. His research interests include superconducting device and detector technology, Gaussian optics and quasi-optical system design, and three-dimensional (3-D) electromagnetic problems.

Sergey I. Svechnikov was born in Moscow, Russia, in 1973. He received the M.S. and Ph.D. degrees in radiophysics from Moscow State Pedagogical University, Moscow, Russia, in 1995 and 2000, respectively. In 2000, he became an Assistant Professor with the Department of Physics, Moscow State Pedagogical University, and an Associate Professor in 2002. His scientific interests are nonequilibrium superconductivity, hot-electron phenomena in superconducting thin films, and low-noise mixers/receivers for terahertz frequencies applications.

Yury B. Vakhtomin was born in Archangelsk, Russia, in 1975. He received the M.S. degree in physic and computer science from Pomor State University, Arkhangelsk, Russia, in 1998, and the Ph.D. degree in radiophysics from Moscow State Pedagogical University, Moscow, Russia, in 2005. His scientific interests are in nonequilibrium superconductivity, hot-electron phenomena in superconducting thin films and two-dimensional electron gas (2DEG) heterostructures, low-noise mixers/receivers for far- and middle-IR applications, and superconducting single-photon detectors for visible- to middle-IR range.

Sergey V. Antipov was born in Bryansk, Russia, in 1976. He received the M.S. degree in physics and mathematics from Bryansk State Pedagogical University, Bryansk, Russia, in 1999, and the Ph.D. degree from Moscow State Pedagogical University, Moscow, Russia, in 2006. His current research interests include development of low-noise far-IR heterodyne mixers based on the hot-electron effect in thin superconducting films, design and simulation of far-IR planar antenna integrated structures, characterization of low-noise microwave circuits, including cryogenic high electron-mobility transistor (HEMT) amplifiers, mixers, and other active and passive RF components.

Boris M. Voronov was born in Moscow, Russia, in 1947. He received the M.S. degree from the Moscow Steel and Alloys Institute, Moscow, Russia, in 1969. Over the last two decades, he has been Head of the Processing Laboratory, Moscow State Pedagogical University, Moscow, Russia. He has authored or coauthored approximately 60 publications in scientific journals. His professional interests include solid-state physics and vacuum science and technology, particularly ultrathin superconducting film deposition and resulting films investigations.

Natalia S. Kaurova , photograph and biography not available at time of publication.

Gregory N. Gol’tsman received the Ph.D. degree in radiophysics and Doctor of Science degree in semiconductor and dielectric physics from Moscow State Pedagogical University, Moscow, Russia, in 1973 and 1985, respectively. He is currently the Chairperson of the General and Experimental Physics Department, Moscow State Pedagogical University. He has authored or coauthored over 160 publications in scientific journals and has given over 170 presentations at scientific conferences. His scientific interests are in the areas of superconductivity, nonequilibrium phenomena in superconductors, semiconductors, and far-IR spectroscopy, as well as terahertz and IR detectors (including single-photon detectors) and terahertz mixers.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

2949

A Low Gate Bias Model Extraction Technique for AlGaN/GaN HEMTs Guang Chen, Vipan Kumar, Randal S. Schwindt, and Ilesanmi Adesida, Fellow, IEEE

Abstract—The small-signal equivalent circuit of AlGaN/GaN high electron-mobility transistors is discussed. A new modeling procedure is introduced in this paper that does not bias the device at a untenable high gate voltage in order to extract the parasitic inductance and resistance. Simulated results show good agreement with measurements up to 40 GHz. Index Terms—Gallium–nitride (GaN) high electron-mobility transistor (HEMT), small-signal modeling.

I. INTRODUCTION HE AlGaN/GaN high electron-mobility transistor (HEMT) is a promising candidate for microwave applications due to its high power and low noise characteristics at such frequencies. Power AlGaN/GaN amplifier design requires large-signal modeling of the device. In bottom-up modeling techniques, the large-signal model is based on small-signal models derived at different bias conditions. In addition, noise performance analyses also require the knowledge of the smallsignal model in order to extract the intrinsic noise parameters. The small-signal equivalent-circuit modeling method is well established for traditional GaAs devices [1]–[3]. However, this method can not be applied to AlGaN/GaN HEMTs directly due to their high contact resistance and gate differential resistance [4][6]. Burm et al. [4] showed that accurate small-signal modeling of AlGaN/GaN devices should include the capacitance in a transmission-line model due to its large contact resistance. However, ohmic contact values have greatly improved in recent time [5]. Currently, the contact resistances are sufficiently low such that simple resistors can be used to represent source and drain parasitic contact resistances. The gate differential resistance of AlGaN/GaN HEMTs is still a problem for small-signal modeling. Chigaeva and Walthes [6] suggested that a very high gate bias can suppress the gate differential resistance. However, these approach can easily destroy the Schottky diode gate of AlGaN/GaN HEMTs or change the Schottky diode properties permanently. In this paper, the equivalent model circuit under different bias conditions will be analyzed and we propose a new reliable small-signal modeling method that does not use very high forward gate bias voltage.

T

Fig. 1. Small-signal equivalent circuit of AlGaN/GaN HEMTs.

Fig. 2. Equivalent circuit for the device without mesa and gate structure.

II. MEASUREMENT AND EXTRACTION PROCEDURE The fabrication of the AlGaN/GaN on SiC HEMT devices used in this study has been described elsewhere [7]. The silicon–nitride-passivated device had 0.25- m-long T-gates, 2.7- m source–drain spacing, a gate-to-source distance of 0.8 m, and a total gatewidth of 100 m. Typical device characteristics were A/mm, mS/mm, V, GHz, and GHz. The device characteristics were measured using an Agilent 4142 semiconductor parameter analyzer and Agilent 8510C network analyzer with a frequency range of 45 MHz–40 GHz. The complete small-signal equivalent circuit [1], [3], [6] is shown in Fig. 1, where the intrinsic device and parasitic parameters are shown. The parasitic components, which are bias independent, include and . The other parameters are intrinsic components, which are bias dependent. The parasitic components are typically extracted first by the cold modeling technique [2]. The intrinsic circuit -parameter can then be computed after the parasitic components have been deembedded [2], [3]. A. Parasitic Capacitance Extraction

Manuscript received October 15, 2005; revised March 1, 2006. G. Chen, V. Kumar, and I. Adesida are with the Department of Electrical and Computer Engineering and Micro and Nanotechnology Laboratory, University of Illinois at Urbana-Champaign, Urbana, IL 61801 USA (e-mail: [email protected]; [email protected]). R. S. Schwindt is with the Department of Engineering, Union University, Jackson, TN 38301 USA. Digital Object Identifier 10.1109/TMTT.2006.877047

A passive HEMT device was used to extract the parasitic capacitances and . The device was fabricated on the same wafer and had the same layout, but did not have the gate and mesa structure. Fig. 2 shows the equivalent circuit of such a passive device. Since there is no connection between source, drain, and gate

0018-9480/$20.00 © 2006 IEEE

2950

Fig. 3.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Y

-parameter of a mesaless and gateless device.

Fig. 5. Measured S

Fig. 4. Equivalent circuit of intrinsic AlGaN/GaN HEMTs device biased at V = 2:5 V. V = 0 V r is the channel resistance per unit length and c is the gate capacitance per unit length.

0

1

pad, the influence of the parasitic inductance and resistance are negligible [2]. The -parameter of the passive device is

(1) Fig. 3 shows the imaginary part of the measured -parameter data of the device. It shows excellent linearity, which clearly demonstrates a capacitance behavior. The linear fit of the curve gives fF, fF, and fF. These parasitic capacitors can be deembedded from measured -parameters using the procedure described by Anholt [3]. B. Parasitic Inductance and Resistance Extraction In order to determine the parasitic inductance and resistance, a positive bias voltage is traditionally applied to the HEMT’s gate [1], [2], [6]. Under this bias condition, the gate can be modeled as a gate differential resistor shunted by a gate capacitor. As the gate bias voltage increases, the resistance becomes smaller and smaller, which makes the capacitance negligible and, consequently, the parasitic resistance and inductance can be extracted without difficulty. However, this method when applied to AlGaN/GaN HEMT requires very high positive bias due to their high gate differential resistance [6]. This very high positive bias often damages or destroys the Schottky gate. To solve this problem, we introduce a new method, which uses a low gate bias voltage to extract the parasitic inductance and resistance. At low bias voltages of V and V, the equivalent circuit of the intrinsic device can be simplified to that shown in Fig. 4. From

at various gate bias voltage, V

= 0 V.

transmission-line theory, the impedance matrix of this two-port circuit is

(2)

in which and is the channel resistance per unit length and is the gate capacitance per unit length. For gate lengths m and , (2) can be simplified to

(3)

is the channel resistance and is the in which gate capacitance. After the parasitic inductance and resistance are added on, the impedance matrix can be written as

(4) Comparing this result with the traditional cold-field-effect transistor (FET) technique in which [3], the imaginary part of is not a linear function of frequency; this is caused by the term associated with the gate capacitance. Thus, a simple linear fit can not be used to calculate . In the traditional method, the gate capacitance is neglected since it is shunted by the gate differential resistance, which can be made very small by application of a high gate bias voltage. With an AlGaN/GaN HEMT, it is not safe to apply such a high gate bias. Fig. 5 shows the measurement of of a typical AlGaN/GaN HEMT at various gate bias voltages. When the

CHEN et al.: LOW GATE BIAS MODEL EXTRACTION TECHNIQUE FOR AlGaN/GaN HEMTs

2951

Fig. 7. Imaginary part of Z of AlGaN/GaN HEMTs biased at = 2:5 V after deembedding the parasitic capacitance. V

0

Fig. 6. Z -parameters of AlGaN/GaN HEMTs biased at 2:5 V after deembedding the parasitic capacitance.

0

V

= 0 V,

V

V

= 0 V,

=

device is biased at V is still less than zero, which means that the gate capacitance is still not negligible. In Fig. 5, curves A and C show for a device biased at 2 V before and after applying a high gate voltage of 5 V. It shows that the devices behavior has been permanently altered. Therefore, for AlGaN/GaN HEMTs, a new method is needed to determine without forward biasing the device at a very high voltage. From the imaginary parts of and , we can calculate and by (5) and

(6) Fig. 6 shows the measured results of -parameters under the bias conditions V and V after deembedding the parasitic capacitance components. The frequency independence of the real part of the -parameter (6) and the linearity of the imaginary part of -parameters (5), except , demonstrates the validity of the proposed model. To extract the parasitic inductance , we need to solve the imaginary part of (4), which can be written as

Fig. 8. Determination of effective gate voltage.

R

+

R

from the dependence of Re(Z ) on the

and the fitted curve. The curve fits the measured data well with an error that is less than 1%. We computed pH. In this step, the high gate bias voltage is avoided and the parasitic component can be determined with high accuracy. The method introduced above is not only useful to AlGaN/GaN HEMTs, but also useful to other HEMT and MESFET structures with high gate resistance. To determine the four unknowns in the real part of the impedance matrix out of three (6), we need one more expression. The sum of the parasitic resistances and can be determined by linear interpolation of the real part of versus [8]. Fig. 8 shows the measurement data and the fitted line from which we extract . Combining all the equations above, we can calculate all the parasitic resistances and inductances to be

(7) The least squares method is used to fit the quadratic trend of the measured data. The advantage of using the least squares method rather than an optimization routine is its simplicity and the independence of initial values. Fig. 7 shows the measured data of

pH pH pH

(8)

2952

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

TABLE I INTRINSIC PARAMETERS OF SMALL-SIGNAL EQUIVALENT CIRCUIT AT DIFFERENT BIAS CONDITIONS

and does not involve biasing the device at dangerously high gate voltages. It is suitable for extracting the model parameters of devices with high gate differential resistance such as AlGaN/GaN HEMTs. The simulated result shows good agreement with measured data up to 40 GHz. REFERENCES [1] M. Berroth and R. Bosch, “High-frequency equivalent circuit of GaAs FET’s for large-signal applications,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 224–229, Feb. 1991. [2] G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small signal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 7, pp. 1151–1159, Jul. 1988. [3] R. Anholt, Electrical and Thermal Characterization of MESFETs, HEMTs, and HBTs. Norwood, MA: Artech House, 1995. [4] J. Burm, W. J. Schaff, L. F. Eastman, H. Amano, and I. Akasaki, “An improved small-signal equivalent circuit model for III–V nitride MODFET’s with large contact resistances,” IEEE Trans. Electron Devices, vol. 44, no. 5, pp. 906–607, May 1997. [5] V. Kumar, W. Lu, R. Schwindt, A. Kuliev, G. Simin, J. Yang, M. A. Khan, and I. Adesida, “AlGaN/GaN HEMTs on SiC with f of over 120 GHz,” Electron Device Lett., vol. 23, no. 8, pp. 455–457, Aug. 2002. [6] E. Chigaeva and W. Walthes, “Determination of small-signal parameters of GaN-based HEMTs,” in Proc. IEEE/Cornell High Performance Devices Conf., 2000, pp. 115–122. [7] V. Kumar, G. Chen, S. Guo, and I. Adesida, “AlGaN/GaN HEMTs with power density of 9.1 W/mm at 18 GHz by field plate optimization,” in Dev. Res. Conf., Jun. 20–22, 2005, p. 61. [8] M. Berroth and R. Bosch, “Broad-band determination of the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 7, pp. 891–895, Jul. 1990.

Guang Chen received the B.S. degree in physics from Tsinghua University, Beijing, China, in 1998, the M.S. degree in electrical engineering from Peking University, Beijing, China, in 2001, and is currently working toward the Ph.D. degree at the University of Illinois at Urbana-Champaign. His current research interests include monolithic microwave circuit design, GaN device modeling, and test- and software-defined radio. Fig. 9. Comparison of the simulated and measured S -parameter at different = 2:5 V, and I = 48:5 mA. bias condition. (a) V = 10 V, V (b) V = 10 V, V = 3:5 V, and I = 13:7 mA. (c) V = 10 V, = 1 V, and I = 88:6 mA. (d) V = 20 V, V = 3 V, and V = 38:1 mA. I

0

0

0

0

C. Intrinsic Component Extraction After deembedding the parasitic components, the intrinsic components were computed [1], [6] under different bias conditions (hot modeling). Table I shows the intrinsic parameters at different bias conditions. Fig. 9 shows the corresponding measured -parameter data and simulated results. The model shows good agreement with the measured data. The total error is less than 5% for frequencies up to 40 GHz for all bias conditions tested. This accuracy is similar to the published result by Chigaeva and Walthes [6]. III. CONCLUSION A model parameter-extraction procedure for AlGaN/GaN HEMTs has been introduced. This method uses a simple model

Vipan Kumar received the Ph.D. degree from the Indian Institute of Technology (I.I.T.), Delhi, India, in 1994. He then joined the Central Electronics Research Institute, Pilani, India, where he was involved with the design and fabrication of InP-based photodetectors and GaAs power MESFETs. From April 1999 to February 2000, he was a Post-Doctoral Fellow with the Center for Quantum Devices, Northwestern University, Evanston, IL, where he was involved with solar blind photodetectors. Since March 2000, he has been with the Micro and Nanotechnology Laboratory, University of Illinois at Urbana-Champaign (UIUC). His current interests focus on the design and fabrication of GaN devices.

Randal S. Schwindt received the B.S. degree in mathematics and physics from Hardin-Simmons University, Abilene, TX, in 1990, the M.S. degree in electrical engineering from Texas A&M University, College Station, in 1993, and the Ph.D. degree from the University of Illinois at Urbana-Champaign, in 2004. He is currently an Assistant Professor with the Department of Engineering, Union University, Jackson, TN.

CHEN et al.: LOW GATE BIAS MODEL EXTRACTION TECHNIQUE FOR AlGaN/GaN HEMTs

Ilesanmi Adesida (F’99) received the B.S., M.S., and Ph.D. degrees in electrical engineering from the University of California at Berkeley, in 1974, 1975, and 1979, respectively. From 1979 to 1984, he was with what is now known as the Cornell Nanofabrication Facility and the School of Electrical Engineering, Cornell University. From 1985 to 1987, he was the Head of the Electrical Engineering Department, Tafawa Balewa University, Bauchi, Nigeria. He then joined the University of Illinois at Urbana-Champaign, where he is currently the Donald Biggar Willett Professor of Engineering, the Director

2953

of the Center for Nanoscale Science and Technology, and the Interim Dean of the College of Engineering. His research interests include nanofabrication and high-speed opto-electronic devices and circuits. Dr. Adesida is a Fellow of the Optical Society of America (OSA), American Association for the Advancement of Science (AAAS), and the American Vacuum Society (AVS). He has been involved in the organization of numerous international meetings and conferences including the International Electron Devices Meeting (IEDM), Indium Phosphide and Related Materials (IPRM), and Electromagnetic Compatibility (EMC). He is currently the president of the IEEE Electron Devices Society and an elected member of the National Academy of Engineering.

2954

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

On the Simulation of Low-Frequency Noise Upconversion in InGaP/GaAs HBTs Matthias Rudolph, Senior Member, IEEE, Friedrich Lenk, Member, IEEE, Olivier Llopis, and Wolfgang Heinrich, Senior Member, IEEE

Abstract—Residual phase-noise measurements of GaAs heterojunction bipolar transistors (HBTs) with different low-frequency noise properties are used to investigate how accurate a compact HBT model can predict the upconversion of low-frequency noise under nonlinear operation. We find that the traditional low-frequency source implementation, as well as a cyclostationary noise source implementation, have shortcomings under different operation conditions. While, in general, the cyclostationary approach yields much better results, it fails under certain operation conditions. Experimental evidence is given that this is caused by overestimated correlation between baseband noise and RF noise sidebands. It is shown that a model based on cyclostationary sources with reduced cross-correlation yields good agreement between measurement and simulation in all cases. Index Terms—Amplifier noise, burst noise, equivalent circuit, heterojunction bipolar transistor (HBT), noise, oscillator noise, phase noise, semiconductor device modeling, semiconductor device noise, shot noise.

I. INTRODUCTION HE QUESTION of how the low-frequency noise sources in semiconductor devices contribute to the noise spectrum under nonlinear operation is still a subject of intensive research. In linear operation, they cause , burst, or flicker noise with a single-pole low-pass spectrum, Lorentzian-like or shaped. In the nonlinear regime, however, this low-frequency noise is converted to high frequencies due to mixing processes. Most prominent is the contribution to the phase noise of oscillators, where the low-frequency noise dominates the spectrum close to the carrier [1]. At the device level, noise descriptions for the small-signal regime are well established in transistor models. In the largesignal case, however, it is still a subject of discussion how these sources are to be described correctly. The main extension over the small-signal assumption refers to noise sources, which depend on bias. Under large-signal operation, namely, the current or voltage controlling the noise, the power level is no longer identical to the (time invariant) dc-bias value, but now consists of a time-varying signal with often high harmonic content. There has been a good deal of work in the literature on this subject, both from the fundamental point-of-view [2]–[6] and

T

Manuscript received November 12, 2006; revised March 3, 2006. M. Rudolph, F. Lenk, and W. Heinrich are with the Ferdinand-Braun-Institut für Höchstfrequenztechnik, D-12489 Berlin, Germany (e-mail: [email protected]). O. Llopis is with the Laboratoire d’Analyse et d’Architecture des Systèmes du Centre National de la Recherche Scientifique, 31 077 Toulouse, France, and also with the University Paul Sabatier, 31 077 Toulouse Cedex 4, France. Digital Object Identifier 10.1109/TMTT.2006.877055

with regard to noise description in circuits [7], [8], primarily oscillators. The purpose of this paper is to link those results to a special class of transistors, the GaAs heterojunction bipolar transistor (HBT), providing both original experimental data and advancing the modeling approach. This paper is organized as follows. In Section II, the basics of large-signal noise descriptions are reviewed focusing on the GaAs HBT case. Section III then addresses the HBT noise model. In Section IV, the HBT devices-under-test are presented, followed by a description of the measurement conditions in Section V. Finally, Section VI presents measurement and simulation results and discusses the consequences. II. HBT LARGE-SIGNAL NOISE DESCRIPTION The bias-dependent noise sources in the HBT are functions of the collector current. Therefore, treating the HBT as a nonlinear electrical system, the basic question regarding nonlinear noise description reads: Will the noise sources follow the dc current only, or will it be the instantaneous current? While the first alternative leaves the spectrum of the noise sources unchanged, the second one results in mixing of low-frequency noise with the large-signal current. Thereby, noise sidebands are generated even without any mixing process external to the noise source. To include the latter case, the common noise description has to be extended. A low-frequency noise source, for instance, is not fully characterized only by its baseband noise spectral density in the large-signal case. Additionally, the noise-sideband spectra at the harmonics, as well as the interfrequency cross-correlation terms between them, have to be specified. This can be written in the form of a sideband correlation matrix, which, in case of a single harmonic signal, reads

(1) , the noise sideband at the with the baseband noise spectrum fundamental frequency , and the interfrequency cross-correlation . If higher harmonics are present, additional terms and the corresponding cross-correlation information have to be included. Thus, for harmonics, becomes a matrix of rank . The most critical issue in nonlinear HBT noise description is the low-frequency noise source, usually considered as a noise current source between base and emitter, with a power spectral density being a function of the emitter current. At first glance, it is not obvious why such a noise source that shows a distinct low-pass behavior should be controlled by the instantaneous current. It would mean that the physical process causing

0018-9480/$20.00 © 2006 IEEE

RUDOLPH et al.: ON THE SIMULATION OF LOW-FREQUENCY NOISE UPCONVERSION IN InGaP/GaAs HBTs

Fig. 1. Schematics illustrating the behavior of low-frequency noise under large-signal excitation. (a) Low-pass noise and (b) cyclostationary noise are transferred through the device by a possibly nonlinear function h(I ; V ; t).

the noise follows fast changes of the signal, and one would expect white noise from such a fast process, not a -type spectrum. However, more detailed investigations show that this is not contradictory. For example, it has been pointed out that the basic physical process responsible for generation-recombination noise (i.e., the transition of electrons between different energy levels) is, in fact, a white noise process. The low-pass characteristics are observed only when expressing this random process in terms of fluctuations in carrier number or current in the device [9], [10]. Beyond this, various authors have investigated the generation of noise sidebands from low-frequency noise sources using physical device simulation [2]–[6]. As a result, one can conclude: observing -type noise characteristics at the device level does not necessarily mean that the physical process generating this noise has a low-pass behavior. In other words, it is not clear a priori that a noise source is of the low-pass type and, thus, cannot follow fast variations in the controlling current. Instead, this question has to be clarified by experimental investigations. In equivalent-circuit based models, noise is represented by lumped noise sources. Fig. 1 shows schematics of two possible implementations [3]. In the traditional approach [see Fig. 1(a)], the noise level is determined as a function of current , and the resulting noise signal is low-pass filtered. Hence, only the baseband spectrum exists, while the other elements of the sideband correlation matrix are all equal to zero. We refer to this type as to a “low-pass” source. In the case of the implementation shown in Fig. 1(b), on the other hand, a constant low-frequency noise is multiplied with a function of current . Hence, noise sidebands will be observed at all harmonics of the current function , even if the device is still in linear operation. In this case, all elements of the sideband correlation matrix are different from zero. This implementation is commonly referred to as “cyclostationary.” These two cases illustrate two extreme situations. This does not mean, however, that they occur in reality in their pure form. One should remember that the noise sources in a compact transistor model are the result of integrating the contributions of all microscopic sources, which are

2955

Fig. 2. Schematic showing implementation of the partly correlated cyclostationary noise source. Baseband noise S and RF noise sideband S are derived from different low-frequency noise sources, which are correlated by an arbitrary value.

transformed through a nonlinear system to the port or branch where the lumped equivalent noise source is located. Therefore, it can be expected that the resulting noise source is neither purely low-pass, nor purely cyclostationary. Bonani et al. compared physical simulations and a compact model for a homogeneous semiconductor sample [3] and a pn diode [5], [6]. Indeed, they found that, in general, it is not a priori sure whether a low-pass or a cyclostionary source will be the better approximation. Furthermore, even if the baseband and upconverted noise levels are predicted with decent accuracy, this might not hold true for the interfrequency cross-correlation terms. The latter observation points at a weakness of the cyclostationary description according to Fig. 1(b). Due to the simple multiplication, all noise sidebands are correlated. Since according to [3]–[6] this is not necessarily true, we need to modify the cyclostationary description of Fig. 1(b) in a way to allow a degree of freedom in the definition of the sideband correlation matrix. For this purpose, we introduce a “partly correlated cyclostationary” noise source implementation, as shown in Fig. 2. The implementation is similar to the cyclostationary source, but the noise sidebands of the harmonics are generated independently from the baseband noise. The current that excites the noise source is split into its dc and RF component. Two low-frequency noise sources, for which an arbitrary correlation can be specified, are then multiplied with these current components. One of them yields the baseband noise , the other one the noise sidebands around the RF signal . Hence, the correlation between the low-frequency noise sources determines the interfrequency correlation . This implementation is identical to the cyclostationary noise source if the low-frequency noise sources are fully correlated. Recent empirical studies of low-frequency field-effect transistor (FET) [7] and GaAs HBT [8] noise showed that at least some of the noise sources in compact models are to be implemented as cyclostationary sources. The traditional low-pass description did not yield satisfactory agreement with measured mixer or oscillator phase-noise data. In this paper, we investigate the implementation of low-frequency noise sources in a compact large-signal model for GaAs

2956

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

HBTs. The devices-under-test were fabricated using the 4-in InGaP/GaAs HBT process line of the Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH), Berlin, Germany [11]. We measure the residual phase noise, i.e., the noise upconversion mechanisms, in an open-loop configuration with the transistor being operated as an amplifier. These measurements were performed at the Laboratoire d’Analyse et d’Architecture des Systèmes du Centre, National de la Recherche Scientifique (LAASCNRS), Toulouse, France [12]. The benefit of this approach over the analysis of oscillator circuits is the reduced complexity and the unambiguous way the measurement data can be related to noise generation inside the HBT. We treat the full phase-noise spectrum for a range of input power and source resistance, which, to the best of the authors’ knowledge, has not been published thus far. It will be shown that using cyclostationary sources significantly improves the accuracy of the phase-noise simulation, while relying on low-pass sources yields unacceptable results in most cases. This is in line with recent publications [8]. However, we will show for the first time that even the model based on lumped cyclostationary sources fails under certain conditions. Evidence is given that this behavior is due to the fact that lumped cyclostationary sources overestimate the correlation between the noise sidebands at the harmonic frequencies and the baseband low-frequency noise. This is the reason why we need a description with variable correlation between the noise spectra at different harmonics according to Fig. 2. III. HBT NOISE MODEL The large-signal model employed is the FBH model developed for GaAs-based HBTs [16], [17]. The equivalent circuit including noise sources is shown in Fig. 3. All resistances and exhibit thermal noise at the actual junction temperature . Shot noise is included by two correlated noise sources according to [18]. The base–emitter shot-noise current is driven by , and the collector–emitter shot-noise current is driven by . The correlation time constant is approximated by the constant time-delay parameter of the large-signal model. This simplification does not impact the accuracy of the noise simulation since the HBT is operated at only 3.5 GHz, which corresponds to 10% of , where the correlation is still of minor importance [18]. The shot noise sources read

(2) with the electron charge and the noise bandwidth . The low-frequency noise model has two noise sources [19]. The first one is the noise–current source in parallel with the base–emitter junction

Fig. 3. Large-signal and noise equivalent circuit: (a) intrinsic (b) extrinsic HBT. All resistances contribute thermal noise, hji j i and hji j i describe shot j i, and at the emitter noise. The sources at the base–emitter junction hji resistance hjv j i contribute low-frequency noise.

Additionally, the model accounts for a second noise source that describes the Hooge noise contribution of the bulk emitter. Its voltage spectrum is defined as

(4) , , and . In order to allow a with the parameters comparison of the different possible assumption on the noise sidebands, the low-frequency noise sources are implemented in three different ways, which will be explained in the following for the case of current sources as an example. Noise voltage sources can be handled accordingly. • Low-pass noise sources The built-in low-frequency noise sources provided by the modeling interface of the circuit simulator (ADS by Agilent Technologies, Palo Alto, CA) are used. These return a baseband spectrum only and are used for the low-pass noise source implementation. • Cyclostationary noise sources A subcircuit is implemented that forces the simulator to use the instantaneous current when calculating the noise sources in order to obtain a cyclostationary source (see also [7]). Such a noise source generates noise sidebands at large-signal excitation by itself. Fig. 4 shows the circuit setup, which needs a low-frequency noise source and two controlled current sources. In order to obtain a spectrum given by

(3) with the fitting parameters

and

.

(5)

RUDOLPH et al.: ON THE SIMULATION OF LOW-FREQUENCY NOISE UPCONVERSION IN InGaP/GaAs HBTs

2957

Fig. 4. Cyclostationary implementation of low-frequency noise sources.

with current , frequency , and the parameters and , the function is split into two parts, i.e., the frequencydependent noise source

(6) and the current-dependent part (7) Both current sources are connected to a 1- resistance in order to access their instantaneous value. The voltage thereby is equivalent to the current , while is equivalent to . Multiplication of these two values by a controlled current source yields the desired spectrum. • Partly correlated cyclostationary noise sources In order to allow a variation of the correlation between RF noise sidebands and baseband noise, several cyclostationary sources that are excited by only one harmonic of the large-signal current are connected in parallel. In the case of the measurements under consideration here, only two components, i.e., dc and fundamental frequency, are included. Since the HBT is operated near to linear operation, the second harmonic is approximately 30 dB below the fundamental. The implementation is similar to the cyclostationary source, but the noise sidebands of the harmonics are generated independently from the baseband noise. This requires separated noise sources, and low- and high-pass filtering of the current (see Fig. 2). In the small-signal regime, the three descriptions are equivalent and no differences are observed. In order to determine the approach best suited in the nonlinear regime, all three approaches are employed and compared.

Fig. 5. Low-frequency noise of 3 2 30 m HBT, V = 3V, I = 2:5; 5; 10; 20 mA, wafer A. Measurements (solid lines) compared to simulation (broken lines). (a) 10- source resistance. (b) 10-k source resistance.

IV. DEVICES-UNDER-TEST AND LOW-FREQUENCY RESULTS HBTs with an emitter size of 2 30 m from two different wafers were measured. The sole difference between the wafers is that the emitter layer of wafer B was grown in the MOVPE at a temperature that was 20 K higher than that used in the case of wafer A. Both were processed in the same batch, and no difference in the electrical behavior was observed. However, the low-frequency noise is different, as shown in Figs. 5 and 6. The noise was measured with two different source resistances. In the case of a low source impedance (10 ), the measured collector noise current is mainly determined by the emitter noise source , while the base–emitter noise source is almost short circuited. This measurement condition is shown in

2

Fig. 6. Low-frequency noise of 3 = 3 V, 30 m HBT, V I = 2:5; 5; 10; 20 mA, wafer B. Measurements (solid lines) compared to simulation (broken lines). (a) 10- source resistance. (b) 10-k source resistance.

Figs. 5(a) and 6(a). The emitter noise measured on wafer A is approximately 10 dB higher than that measured on wafer B. In the case of a high-impedance source (10 k ), the contribution of the base–emitter noise source is at its maximum, while the emitter noise source is almost of no importance. This measurement condition is shown in Figs. 5(b) and 6(b). The frequency slope of the base–emitter noise measured for wafer

2958

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

0 0 0 06 dBm into the device. The phase

Fig. 7. Circuit setup used for determination of residual phase noise. The source injects powers of P = 22; 16; 11; noise is measured at the 50- load resistance. The supply voltage is V = 3 V, the base current I is chosen to obtain I =

A is less steep than that of wafer B. Also, the current dependence of the noise is less pronounced for wafer A. On the other hand, the noise measured for wafer B contains a component with a Lorentzian spectrum that flattens the noise spectrum above 100 kHz. This component is not observed in the case of wafer A, but it might be shadowed by the generally higher noise level. It can be concluded that the slightly different growth conditions lead to different Hooge noise in the emitter cap layer [plotted in Figs. 5(a) and 6(a)] and also to different noise of the base–emitter pn junction that is caused mainly by recombination [shown in Figs. 5(b) and 6(b)], although the electrical properties, in general, are not affected. V. NONLINEAR SIMULATION SETUP The residual phase-noise measurement setup was presented in [12]. Fig. 7 shows a slightly idealized model for this setup used in the simulation. The HBT under test is operated in a weakly nonlinear power amplifier mode. The residual phase noise, i.e., the noise sidebands of the fundamental tone, are measured at the load resistance . A 50- source was used to inject input powers of 22 16 11 and 6 dBm at 3.5 GHz into the device. The bias tees approximate the characteristics of a commercial device. The values of and are not critical with respect to their influence on simulation results in a wide range of values. An ideal current source is used to bias the HBT. Collector bias V is provided by an ideal voltage source. Phase-noise simulations can be performed either in the time or frequency domain [13]–[15]. In this study, we rely solely on the commercial harmonic-balance circuit simulator ADS 2003 C by Agilent Technologies that simulates the residual phase noise based on conversion matrices in the frequency domain. This standard method is also available in other commercial simulation codes. The FBH HBT model [16], [17] is used. The different types of noise sources are implemented into the software using its C-code [20] and Verilog-A [21] interfaces. In measurement and simulation, a 20- F shunt capacitor was placed in parallel with the high-impedance current source [12]. Its purpose was to cause the low-frequency source impedance

30 mA.

Fig. 8. Simulated magnitude of source impedance at the input of the HBT Z including bias tee (see Fig. 7).

j

j

to drop significantly above 100 Hz. Fig. 8 shows simulated values for the magnitude of including bias tee, presented to the HBT according to Fig. 7. The source resistance in the range Hz, will be referred to as the “high-impedance” source, while the range Hz, will be referred to as the “low-impedance” source in the following. The transition frequency is controlled by the value of the shunt capacitor. VI. RESIDUAL PHASE-NOISE RESULTS Figs. 9 and 10 present the residual phase-noise measurements together with the simulation results. The data in Fig. 9 refers to wafer A, that in Fig. 10 to wafer B. Simulation results for three types of noise-source implementations are included, i.e., low-pass, cyclostationary, and partly correlated cyclostationary sources. For both wafers, a model relying on low-pass noise sources underestimates the phase noise (chain-dotted lines in Figs. 9 and 10) and, therefore, is not suited to describe nonlinear noise. In contrast, the model employing cyclostationary sources (dashed lines) shows significantly better results. It should be mentioned that both wafers have one dominant noise source: it is the emitter noise source in the case of wafer A, while it is the base–emitter noise source in the case of wafer B. In fact, neglecting the nondominant noise source does not change the residual phase-noise result. However, this approach also fails for some measurement conditions. For wafer A, simulation shows a dip at 150 Hz at

RUDOLPH et al.: ON THE SIMULATION OF LOW-FREQUENCY NOISE UPCONVERSION IN InGaP/GaAs HBTs

2959

1

2

= 022

016

1

2

= 022

016

Fig. 9. Double sideband residual phase noise versus frequency offset f of a 3 30 m HBT on wafer A, input power: (a) P dBm, (b) dBm, (c) V, I mA. Measurements compared to simulation: model implementation using low-pass sources dBm, and (d) dBm at 3.5 GHz, V , cyclostationary sources -- , and partly correlated cyclostationary sources with correlation 0.65 (—).

011 (0 1 1 0)

06

()

=3

= 30

Fig. 10. Double-sideband residual phase noise versus frequency offset f of a 3 30 m HBT on wafer B, input power: (a) P dBm, (b) dBm, V, I mA. Measurements compared to simulation: model implementation using low-pass sources dBm, and (d) dBm at 3.5 GHz, V (c) , cyclostationary sources -- , and partly correlated cyclostationary sources with correlation 0.25 (—).

011 (0 1 10)

06

()

=3

= 30

dBm and dBm. For wafer B, the results below 50 Hz are not always satisfying [see Fig. 10(b)], which correlates with the frequency range where the source is of high impedance. At this operation condition, on the other hand, upconversion of the baseband noise due to HBT nonlinearity (i.e., outside the noise sources themselves) is strong. The chain-dotted lines in Figs. 9 and 10 are a measure for this effect.

This is the key to understanding what happens in the critical regions in Figs. 9(a) and (b) and particularly Figs. 10(b) and (c). The low-frequency noise is upconverted by external mixing and interferes with the sidebands of the cyclostationary noise source around the harmonics. Since for the cylostationary formulation according to Fig. 1(b), the baseband LF noise and that around the higher harmonics are strongly correlated, this interference

2960

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

may lead to significant cancellation effects. In other words, the noise generated by upconversion (due to device nonlinearity) and that caused by the cyclostationary source cancel each other. In fact, the noise sidebands of a compact cyclostationary source at dc and harmonics are strongly correlated, which is inherent to the implementation. Hence, reduction of the interfrequency cross-correlation by employing only partly correlated cyclostationary sources can improve simulation accuracy. The optimum fit is achieved for different levels of correlation. In the case of wafer A, the noise sources are still quite strongly correlated (0.65), while this does not apply to wafer B (0.25) (solid lines in Figs. 9 and 10). The differences in the correlation coefficients can be attributed to differences in the dominant noise processes. In the case of wafer A, it has been observed that the phase noise is governed by the emitter noise source, while the base–emitter noise source dominates in case of wafer B. It, therefore, can be expected that different physical noise mechanisms contribute to the overall phase noise with different weights for the two wafers.

VII. CONCLUSIONS This paper addresses the influence of low-frequency noise sources under nonlinear operating conditions and their implementation in a compact large-signal HBT model. Simulation data is compared to residual phase noise measurements, with the HBT operating in amplifier mode at different power levels, focusing on the phase noise close to the fundamental frequency. Devices-under-test are nominally identical InGaP/GaAs HBTs from the same process run, but with different low-frequency noise levels. Hence, the differences in residual phase noise can be attributed to differences in the low-frequency noise alone. Thanks to the residual phase-noise measurement, it is possible to analyze the phase-noise generation mechanisms in the HBTs directly. We take into account the full frequency spectrum, different power levels, and the dependence on source impedance. This comprehensive amount of information is presented here for the first time. First, it is shown that a model based on cyclostationary sources is clearly superior to the traditional approach relying on low-pass noise sources. This validates the findings of recently published papers. However, it turns out that under certain conditions (input power level, frequency, and source impedance), the conventional cyclostationary noise model also fails. Experimental evidence suggests that these failures are caused by overestimating the correlation of the noise sidebands in the compact cyclostationary source: the simulated phase noise is significantly too low, even lower than the upconverted baseband noise alone. One finds that using partially correlated cyclostationary sources yields excellent agreement between measurement and simulation for all conditions. It can be concluded that while the approach based on cyclostationary noise sources commonly yields good results, it does not cover all cases properly. It should be improved by accounting for a variable interfrequency cross-correlation, i.e., not unity, such as assumed for the conventional cyclostationary approach. This also means that predicting phase noise based on

the knowledge of low-frequency noise behavior only is not possible. It requires additional measurements to extract the actual correlation values for a specific device. ACKNOWLEDGMENT The authors would like to thank S. Gribaldo, Laboratoire d’Analyse et d’Architecture des Systèmes du Centre National de la Recherche Scientifique (LAAS-CNRS), Toulouse, France, for performing residual phase-noise measurements, and S. Schulz, Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH), Berlin, Germany, for performing -parameter and low-frequency noise measurements. REFERENCES [1] D. Leeson, “A simple model of feedback oscillator noise spectrum,” Proc. IEEE, vol. 54, no. 2, pp. 329–330, Feb. 1966. [2] S. Pérez, T. González, S. L. Delage, and J. Obregon, “Microscopic analysis of generation-recombination noise in semiconductors under DC and time-varying electric fields,” J. Appl. Phys., vol. 88, no. 2, pp. 800–807, Jul. 15, 2000. [3] F. Bonani, S. D. Guerrieri, and G. Ghione, “Noise source modeling for cyclostationary noise analysis in large-signal device operation,” IEEE Trans. Electron Devices, vol. 49, no. 9, pp. 1640–1647, Sep. 2002. [4] J. E. Sanchez, G. Bosman, and M. E. Law, “Two-dimensional semiconductor device simulation of trap-assisted generation-recombination noise under periodic large-signal conditions and its use for developing cyclostationary circuit simulation models,” IEEE Trans. Electron Devices, vol. 50, no. 5, pp. 1353–1362, May 2003. [5] F. Bonani, S. D. Guerrieri, and G. Ghione, “Compact conversion and cyclostationary noise modeling of pn-junction diodes in low-injection—Part I: Model derivation,” IEEE Trans. Electron Devices, vol. 51, no. 3, pp. 467–476, Mar. 2004. [6] F. Bonani, S. D. Guerrieri, and G. Ghione, “Compact conversion and cyclostationary noise modeling of pn-junction diodes in low-injection—Part II: Discussion,” IEEE Trans. Electron Devices, vol. 51, no. 2, pp. 477–485, Feb. 2004. [7] M. Margraf and G. Böck, “Analysis and modeling of low-frequency noise in resistive FET mixers,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 7, pp. 1709–1718, Jul. 2004. [8] J.-C. Nallatamby, M. Prigent, M. Camiade, A. Sion, C. Gourdon, and J. J. Obregon, “An advanced low-frequency noise model of GaInP/GaAs HBT for accurate prediction of phase noise in oscillators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1601–1612, May 2005. [9] C. M. Van Vliet, “Macroscopic and microscopic methods for noise in devices,” IEEE Trans. Electron Devices, vol. 41, no. 11, pp. 1902–1915, Nov. 1994. [10] F. Bonani and G. Ghione, Noise in Semiconductor Devices, Modeling and Simulation. Berlin, Germany: Springer-Verlag, 2001, pp. 13–23. [11] J. Hilsenbeck, F. Lenk, W. Heinrich, and J. Würfl, “Low phase -band applications with improved noise MMIC VCOs for GaInP/GaAs-HBT technology,” in IEEE GaAs IC Symp. Dig., 2003, pp. 223–226. [12] G. Cibiel, L. Escotte, and O. Llopis, “A study of the correlation between high-frequency noise and phase noise in low-noise silicon-based transistors,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 183–190, Jan. 2004. [13] F. X. Kaertner, “Determination of the correlation spectrum of oscillators with low noise,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 1, pp. 90–101, Jan. 1989. [14] A. Hajimiri and T. H. Lee, “A general theory of phase noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 179–194, Feb. 1998. [15] A. Suárez, S. Sancho, S. Ver Hoeye, and J. Portilla, “Analytical comparison between time- and frequency-domain techniques for phasenoise analysis,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2353–2361, Oct. 2002. [16] M. Rudolph, R. Doerner, K. Beilenhoff, and P. Heymann, “Unified model for collector charge in heterojunction bipolar transistors,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1747–1751, Jul. 2002.

Ka

RUDOLPH et al.: ON THE SIMULATION OF LOW-FREQUENCY NOISE UPCONVERSION IN InGaP/GaAs HBTs

[17] M. Rudolph and R. Doerner, “Consistent modeling of capacitances and transit times of GaAs-based HBTs,” IEEE Trans. Electron Dev., vol. 52, no. 9, pp. 1969–1975, Sep. 2005. [18] M. Rudolph, R. Doerner, L. Klapproth, and P. Heymann, “An HBT noise model valid up to transit frequency,” IEEE Electron Device Lett., vol. 20, no. 1, pp. 24–26, Jan. 1999. [19] P. Heymann, M. Rudolph, R. Doerner, and F. Lenk, “Modeling of lowfrequency noise in GaInP/GaAs hetero-bipolar transistors,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 1967–1970. [20] “User defined models” Agilent Technol., Palo Alto, CA, Dec. 2003. [Online]. Available: http://eesof.tm.agilent.com/ docs/adsdoc2003C/ pdf/modbuild.pdf [21] “Using Verilog-A in advanced design system” Agilent Technol., Palo Alto, CA, Dec. 2003. [Online]. Available: http://eesof.tm.agilent.com/ docs/adsdoc2003C/pdf/veriloga.pdf Matthias Rudolph (M’99–SM’05) received the Dipl.-Ing. degree in electrical engineering from the Berlin University of Technology, Berlin, Germany, in 1996, and the Dr.-Ing. degree from Darmstadt University of Technology, Darmstadt, Germany, in 2001. He is currently a Senior Scientist with the Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH), Berlin, Germany. His research is focused on modeling of FETs and HBTs and on the design of power, broadband, and low-noise amplifiers. He authored or coauthored over 40 publications in refereed journals and conferences and Introduction to Modeling HBTs (Artech House, 2006).

Friedrich Lenk (M’00) was born in Lübbecke/Westfalen, Germany, in 1966. He received the Dipl.-Ing. degree in electrical engineering and Dr.-Ing. from the Berlin University of Technology, Berlin, Germany, in 1995 and 2003 respectively. He is currently with the Ferdinand-Braun-Insititut (FBH), Berlin, Germany. His focus is on modeling and design of monolithic microwave integrated circuits (MMICs) with FET and HBT devices.

2961

Olivier Llopis was born in Albi, France, on March 16, 1965. He received the Diploma of Telecommunications engineer from (ENSTB), Brest, France, in 1987, and the Ph.D. degree in electronics from the University Paul Sabatier, Toulouse, France, in 1991. He is currently with the Laboratoire d’Analyse et d’Architecture des Systèmes du Centre National de la Recherche Scientifique, Toulouse, France, where he leads the Microwave Team, and more particularly, within this team, the scientific field of optical and microwave systems for signal transmission with low additive noise. His interests are in the study of microwave sources, and more generally, nonlinear circuits, both with theoretical and experimental approaches. He has proposed different techniques to investigate the phase noise in microwave oscillators, and designed ultra-low phase-noise microwave sources. He is now also involved in the development of optical-microwave systems for time and frequency applications. He has authored or coauthored over 100 papers either in scientific journals or international conferences.

Wolfgang Heinrich (M’84–SM’95) received the Dipl.-Ing., Dr.-Ing., and Habilitation degrees from the Technical University of Darmstadt, Darmstadt, Germany, in 1982, 1987, and 1992, respectively. Since 1993, he has been with the Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH), Berlin, Germany, where he is the Head of the Microwave Department and Deputy Director of the Institute. His current research activities focus on MMIC design with emphasis on oscillators, GaAs and GaN power transistors, electromagnetic simulation, and millimeter-wave packaging. Dr. Heinrich served as a Distinguished Microwave Lecturer for the 2003–2005 term. He was chairman of the German IEEE Microwave Theory and Techniques (MTT-S)/Antennas and Propagation (AP) Chapter for the 2002–2006 election period.

2962

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Bi-Directionally Fed Phased-Array Antenna Downsized With Variable Impedance Phase Shifter for ISM Band Masatoshi Tsuji, Student Member, IEEE, Toshio Nishikawa, Fellow, IEEE, Kikuo Wakino, Life Fellow, IEEE, and Toshihide Kitazawa, Senior Member, IEEE

Abstract—A novel bi-directionally fed phased-array antenna (BiPA) is presented. A BiPA can operate at half the phase shift of the conventional antenna with the same performance, leading to smaller size and lower cost. Main components of a BiPA are antenna elements and variable impedance phase shifters (VIPSs). The VIPS consists of three resonant circuits that include variable capacitors, it is applicable for both functions as a power divider and as an impedance-matching device, since the input/output impedance and the phase shift can be independently varied. The BiPA with a VIPS is simulated and evaluated at a 2.45-GHz industrial–scientific–medical band. The measured results agree well with the simulated ones. The performances of the VIPSs are confirmed as 1.4 dB in insertion loss, and 17 dB in return loss for 80 with the control voltage from 0- to 3.5-V a phase shift of 0 DC, and the measured radiation pattern of the BiPA is 30 in the steering angle, 24 in beamwidth, and 9 dB in the sidelobe. Furthermore, an enhancement of the sidelobe suppression can be expected by changing the power ratio of each antenna element. Index Terms—Antenna array feeds, phased arrays, phase shifters, varactors.

I. INTRODUCTION IMPLE, small, and reasonably low-priced microwave radars utilizing electronically scanned narrow beams are expected to become popular as large-area intruder sensors [1]. Phased-array antennas and adaptive antennas have been studied as antennas having electronically scanned radiation patterns [2]–[7]. They require expensive phase shifters and power controllers, and they are used mainly in high-accuracy radar systems such as for military or satellite communications, except in quite rare special cases for consumer application. Parasitic antennas are inexpensive and can scan a beam [8], [9]. However, it is problematic to apply them to microwave sensors or radar systems because the beams produced by parasitic antennas are relatively wide and have high a sidelobe, and it is rather difficult to detect the directions of targets. Phase shifters, which are the most expensive components in the phased-array antenna, have been studied using varactor

S

Manuscript received January 11, 2006; revised March 24, 2006. This work was supported in part by the Ministry of Education, Science, Sports and Culture under Grant-in-Aid for Cooperation of Innovative Technology and Advanced Research in Evolution Area Project in the South Biwako Area 2004–2006. The authors are with the Department of Electrical and Electronic Engineering, Ritsumeikan University, Kusatsu 525-8777, Japan (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877420

diode or p-i-n diodes in order to reduce their cost and size [10]–[13]. The reduction in cost and size can be achieved by reducing the phase shift of phase shifter. Thus, it is required to use a feeding technique that can realize a large steering angle with a small phase shift. Three kinds of feeding methods are shown in Fig. 1. The parallel feed [see Fig. 1(a)] is a popular conventional feeding method. In the parallel feed, if the number of antenna elements is ” and the phase difference between each antenna element is “ , the required maximum phase shift is . A series feed with series phase shifters [see Fig. 1(b)] enables the phased-array antenna to use phase shifters with small phase shifts. In the series feed, the phase shift is the same as the phase between each antenna. Thus, it is expected to develop the low-priced and small-sized phased-array antenna. However, phase shifters connected in series accumulate the loss as the signal passes through phase shifters. The expensive low-loss parts are required to achieve the acceptable insertion loss, and it is not practical to adopt this type of feeding method for phased-array antennas [14], [15]. A new feeding method of a low-priced and small-sized phased-array antenna was reported [16]; in the feeding method, power is supplied alternately through the two electric ports mounted at both ends of the array antenna in the conventional series feed with series phase shifters [see Fig. 1(c)]. By this feeding method, the required phase shift is reduced to half of that for the conventional one-way feeding method. As a result, this feeding method can solve the difficulty of high insertion loss of the series feeding method, and reduce the size and cost of the device. The phased-array antenna with this feeding method is termed as a bi-directionally fed phased-array antenna (BiPA). Since the power ratio of each antenna element in a BiPA can be adjusted at a desired value, the beamwidth and sidelobe in a BiPA can be designed to meet the system requirements. In order to design a BiPA, the development of a variable impedance phase shifter (VIPS) with a new concept is required. In [16], the same structure of circuits reported by Tombak and Mortazawi [13] and de Mingo et al. [17] is applied to the VIPS, and the VIPS is fabricated and evaluated. In this paper, the characteristics and theory of the BiPA are reported in detail, and the description for the widening of phase shift range of the VIPS by using resonance circuits and the consideration on the relation between phase shift and loss are added to the study [16]. Next, an array antenna is fabricated, the BiPA is assembled with the prototype VIPS and array antenna, and the radiation pattern is measured. Finally, the suppression of the sidelobe is discussed.

0018-9480/$20.00 © 2006 IEEE

TSUJI et al.: BiPA DOWNSIZED WITH VIPS FOR ISM BAND

2963

9 is the required range of phase shift for the phase shifters when the

Fig. 1. Feeding methods and the range of the phase shift required for the phase shifters ( beam is steered from side to side).

Fig. 3. Impedances matching of phase shifters in BiPA. Fig. 2. Schematic diagram of BiPA and the radiation pattern.

When the antennas are isotropic, the beam angle is obtained by the following equation [14]:

in Fig. 2

II. THEORY The circuit diagram and typical beam shift of the radiation pattern of the BiPA are shown in Fig. 2. The BiPA consists of a linear array of four antenna elements, input/output power divider with three phase shifters, and switch circuits to select the input port. In order to set the power ratio of each antenna element to the desired value, the feeding point of each antenna element is connected to the corresponding output port of the power divider through impedance transformers and . represents the impedance transformer to match the input port of and to the power source. and represent the VIPS, whose impedance can be varied by dc-bias voltage. is a conventional phase shifter. and represent typical radiation patterns, and they are symmetrical with respect to the vertical axis. When is turned to side “ ” and is on, the signal is fed from the left side, and the radiated beam is directed to . On the contrary, when is turned to side “ ” and is on, the signal is fed from the right side and the radiated beam is directed to . Next, when the phase of the phase shifter is increased and the signal is fed from the left or right sides, the beam is turned to or , respectively. By changing the feeding direction, the beam direction hops to the opposite direction, the bi-directional feed reduces the required phase shift by half [18].

(1) where phase difference between the antenna elements; interval of the antenna elements; wavelength in air. The impedance-matching condition that depends on the feeding direction is shown in Fig. 3. The input impedances of the antenna elements are set to and the antenna elements are directly connected to the power dividers; the input power fed to each antenna element is one-fourth of the total power fed to the BiPA assuming the lossless condition in the circuit. Fig. 3(a) shows the case of feeding from the left port ( ) and Fig. 3(b) shows the case of feeding from the right port ( ). When the feeding direction is from the left port ( ), the calculations for input and output impedance matching at each node ( , ) are as follows. The impedance of node connected to is , which is equal to the impedance of . The resultant impedance at node combining and is , which coincides with the impedance of . Similarly, the resultant impedance at node combining and is , which coincides with the impedance of . The resultant impedance at node is . When the feeding direction is from the right port ( ), the similar process is taken place by inverting the impedances of and .

2964

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

TABLE I ABBREVIATIONS AND SYMBOLS

Fig. 4. (a) Basic circuit of VIPS [17]. (b) Modified circuit of VIPS.

Now let us assume that the loss of each component is not taken into consideration, power ratio , , and power is fed from the left side. is the parameter to control the sidelobe. , , , and are the power fed to and . is the impedance of transformed by . Though can take an arbitrary value, it is set to an appropriate value so as to easily manufacture the total system. The impedance of each component in Fig. 2 is then determined by the following equations:

phase-shift range of the VIPS. The phase-shift range of the VIPS can be widened by replacing the reactance components with resonant circuits. A series resonant circuit provides the reactance zero condition and a parallel resonant circuit provides the reactance infinity condition, and combining these two circuits, the impedance can be varied from zero to infinity. A VIPS modified to expand phase-shift range is shown in Fig. 4(b). The inductors connected parallel to the capacitors allow the phase shift down to 0 . connected serially to allow the phase shift up to almost 180 . and are calculated by the following equations (the loss is not taken into consideration to simplify the equation):

(5)

(2) where , ,

, ,

characteristic impedance of impedance of input impedance of BiPA.

;

(6)

; where ,

III. VIPS

,

impedance of

.

A. Equivalent Circuit

B. Design and Simulation

The basic principle of the VIPS is shown in Fig. 4(a); it is the low-pass circuit consisting of three variable reactances. Assuming that the input and output impedance of VIPS ( ) is a parameter, the impedances of and are related to the phase shift . The equation is as follows [19]:

The VIPS is designed for a 2.45-GHz industrial–scientific–medical (IMS) band based on the equivalent circuit shown in Fig. 4(b). The impedance of the VIPS ( ) is chosen as three parameters (16.6, 25, 50 ), these values are calculated by setting , in (2). Abbreviations and symbols are described in Table I. Fig. 5 shows the capacitances and versus the phase-shift characteristics calculated by (5) and (6). Here, the inductors , , and are set to 5.6, 4.4, and 0.9 nH, respectively, and the loss is not considered. By changing the capacitance from 0.6 to 5 pF, the phase shift of the VIPS can be changed from 0 to almost 180 . Variable reactance devices must have high in order to reduce the insertion loss of the VIPS. There are varactor diodes, ferrite inductors, and ferroelectric material capacitors like BST [20] as variable reactance devices. The loss of the ferrite inductor increases at higher frequency. The widely tunable ferroelectric capacitor still has difficulties to manufacture [21]. The varactor diode ESVD301 (Sanyo, Osaka, Japan) manufactured with GaAs is chosen as the variable reactance device, which has

(3)

(4) where normalized reactance; normalized susceptance. The variable reactances, shown in Fig. 4(a), have practically minimum and maximum values, which limits the attainable

TSUJI et al.: BiPA DOWNSIZED WITH VIPS FOR ISM BAND

2965

Fig. 5. Capacitance versus phase shift for each impedance of VIPS at 2.45 GHz.

Fig. 7. (a) Circuit diagram of VIPS with varactor diodes. (b) Schematic layout of VIPS for ISM band.

Fig. 6. Equivalent circuit and voltage characteristics of varactor diode at 2.45 GHz. Model: ESVD301.

a high , small size, and low price. The equivalent circuit and voltage characteristics of the varactor diode at 2.45 GHz are shown in Fig. 6. The junction capacitance is varied from 0.25 to 4 pF with a reverse-bias voltage from 0 to 10 V. The circuit diagram of the designed VIPS is shown in Fig. 7(a), where is the bypass capacitor (22 pF) and is an RF blocking inductor. The inductor of series resonance is composed by utilizing the parasitic inductance in the varactor diode. Fig. 7(b) shows a schematic layout of the circuit diagram shown in Fig. 7(a). The circuit pattern is designed and simulated by using SNAP (modified nodal analysis [22]). The inputted parameter, physical dimensions, and geometry for the calculation of are as follows: the characteristics of substrate are , , and thickness mm, the conductivity of metal is 5.7 10 S m; the varactor diode is changed into the equivalent circuit shown in Fig. 6, and , obtained by (5) and (6), are adopted as of the equivalent diode circuit. The simulated pattern is the same as that of the evaluation board shown in Fig. 8, and two transmission lines of impedances and are connected to both ends of VIPS for impedance matching. When the impedance of

Fig. 8.

S -parameter evaluation board of VIPS. Zv is 16.6 .

the VIPS ( ) is 50 , the characteristic impedance of the transmission line ( and ) is 50 . When is 16.6 , is changed to 87 . is the short stub with 0.8-mm width and 10-mm length, and is 0.2-mm width and 5.6-mm in length. Fig. 9 shows the insertion loss versus phase shift up to 150 simulated for , , and . The insertion loss increases exponentially with the phase shift. Therefore, the applicable maximum value of the phase shift is practically limited by the allowable value of the insertion loss. This result also shows the advantage of reducing the required phase-shift range

2966

Fig. 9. Simulated jS up to 150 .

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

j

versus phase shift for Zv

= 16 6 25

:

;

;

and 50

with the BiPA. In the BiPA [see Fig. 1(c)], provided the required phase-shift range between each antenna element is 60 , the maximum insertion loss is 1.4 dB at , as shown in Fig. 9. In the conventional method with series phase shifters [see Fig. 1(b)], the required phase shift range is 120 , and the insertion loss is 10 dB. Such a large loss value is not accepted in the practical system [14]. C. Fabrication and Performance On the evaluation board, a trial sample is fabricated on the basis of the simulation results. The substrate is copper clad PPE, which has the same characteristics as the values applied in the simulation. The pattern is made by milling, and the devices are assembled by the surface-mount technology. An -parameter measurement system is set up with a vector network analyzer (HP 8722D) and the evaluation board with connectors [subminiature A (SMA)]. It is calibrated by the short-open-load-thru (SOLT) method, and the input signal level is 0 dBm. The RF blocking inductor is formed by a high-impedance line and a radial stub. Fig. 10 shows the measured and simulated versus phase shifts and the applied bias voltage characteristics for the VIPS with impedances of 50 and 16.6 . The phase of the evaluated VIPS can be shifted from 0 to 80 for both impedances of 16.6 and 50 . The measured characteristics agree well with the simulated data. The measured insertion loss is less than 1.4 dB in the phaseshift range. The maximum phase shift of the VIPS utilized in the BiPA is decided to be 80 on the basis of the practically acceptable loss value. The VIPS can be controlled by the low bias voltage of 0 to 3.5 V. Fig. 11 shows measured versus phase shift for and 16.6 . is less than 16 dB over the measured range; this shows that the impedance of the VIPS is appropriately matched to the impedance of the ports.

Fig. 10. Measured and simulated jS j versus phase shift in control voltages of each diode at 2.45 GHz. (a) Z v is 50 . (b) Z v is 16.6 .

Fig. 11. Measured 2.45 GHz.

jS

j

versus phase shift for

Zv

= 16 6 and 50 at :

IV. BiPA A. Construction and Design Fig. 12 shows the circuit diagram of the BiPA, which is a miniaturized form of the BiPA shown in Fig. 2. In this paper, the circuit within the dotted line excluding is fabricated as the prototype at the 2.45-GHz IMS band, and the radiation pattern of the BiPA is evaluated. The images of three fabricated VIPSs and impedance transformers are shown in Fig. 13. The following parts are changed to decrease the size of the BiPA. The RF blocking inductor in the VIPS is replaced

Fig. 12. Circuit diagram of the small-sized BiPA.

k . The layouts of bias1, bias2, and with a resistor varactor diodes are changed to bring the output of the VIPS and the input of the next VIPS nearer. is fabricated with the same circuit as and in order to simplify the design process. When the feeding direction is from the left side, each impedance of the VIPS is calculated using (2) as follows:

TSUJI et al.: BiPA DOWNSIZED WITH VIPS FOR ISM BAND

2967

Fig. 13. Assembly VIPSs and =4 transformers.

Fig. 14. Image of the fabricated BiPA consisting of VIPSs and patch array antenna. Dimensions of the antenna element.

, , and , where and . When the input impedance of the BiPA is 50 , the characteristic impedance of the impedance transformer is set to 25 with (2), and it is replaced with the transformer with two transmission lines: (impedance ) and (impedance ) since the impedance transformer of 25 becomes a wide transmission line. In the actual BiPA, and are also used as transmission lines to connect VIPS to . A rectangular patch array antenna with four elements is employed in the BiPA. The image of the patch array antenna and the dimensions are shown in Fig. 14. The size of the patch antenna element is 18.7 ( ) 18.7 ( ) mm. The feeding point is displaced from the center by 2.6 mm in the vertical direction, and the impedance of the antenna is 50 . The coaxial feeding method is employed. The patch array antenna is manufactured on the substrate made of high dielectric constant (PPO, Panasonic, Osaka, Japan) in order to reduce the antenna size. The characteristics of the substrate are , , and thickness mm. The patch antennas are arrayed on an -plane that is a low mutual coupling arrangement between antenna elements [23], and their intervals are reduced to in order to decrease the size and increase the beam steering angle. Four semirigid cables are used as transmission lines from VIPSs to the antennas for trial measurement; these cables have identical length (110 mm) and the impedance is 50 . B. Measurements and Simulations First, the return loss of each element of the patch array antenna is measured and it is less than 20 dB at 2.45 GHz;

Fig. 15. Measured S

frequency response of BiPA with the array antenna.

thus, it is confirmed that each element of the patch antenna is matched to 50 . Subsequently, the BiPA is fabricated with the patch array antenna with four elements and three series VIPSs (Fig. 13), as shown in Fig. 14. Fig. 15 shows the measured frequency response of the fabricated BiPA. At 2.45 GHz, the return loss is 13 and 17 dB at the phase shift of the VIPS of 0 and 80 , respectively. The impedance of the BiPA is well matched to the impedance of input port 50 . Next, the simulation and measurement for the radiation pattern of the BiPA are verified. The radiation pattern of the BiPA is estimated by using an EM simulator (Sonnet Software, North Syracuse, NY: moment method [24]). The structure of the simulated array antenna is identical to that of the fabricated patch antenna (Fig. 14). The power ratio of each antenna inputted in the electromagnetic (EM) simulator is obtained by calculating the circuit shown in Fig. 12 with the simulator (SNAP), when the feeding direction is from the left side, the simulated power ratio is the value obtained by subtracting the loss of the VIPS from

2968

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

TABLE II SIMULATED POWER RATIO OF EACH ANTENNA ELEMENT

Fig. 17. Simulated radiation pattern of BiPA considering the suppression of sidelobe. = 0:36, Z = 50 , Z = 109 , Z in = 50 .

C. Switch Circuit and Bias Circuit

Fig. 16. Measured and simulated radiation pattern of BiPA. 50 , Z = 50 , Z in = 50 .

= 1, Z

=

the power divided equally to each antenna element ( ). The results of the simulation for and are shown in Table II(a). The radiation pattern of the BiPA is measured using the fabricated BiPA as a transmitting antenna and the calibration antenna (3115, EMCO, TX) as a receiving antenna at a distance of 1 m between both antennas. Fig. 16 shows the simulated radiation patterns with phase shift and feed directions of 0 left, 80 left, and 80 right. Fig. 16 also shows the measured radiation patterns with phase shift and feed directions of 0 left and 80 left. The measured radiation patterns agree well with the simulated patterns for the main lobe. The measured maximum beam angle is 30 , the maximum sidelobe is 9 dB, and the beamwidth is 24 at the boresight. The beam angle calculated by (1) is 33.7 , whereas the measured beam angle is slightly narrower due to the directivity of the patch antenna. For the applications of radars or microwave sensors, a lower sidelobe is required to detect the target direction. The sidelobe can be suppressed by reducing the power ratio of both ends of the array antenna: reducing the parameter of the power ratio ( ). , , , and are set to 0.36, 50, 50, and 109 , respectively, in (2), and the impedance of each component are set as or , , , , and . The power ratios of each antenna calculated by the simulator are shown for and in Table II(b). The simulated radiation pattern considering the suppression of the sidelobe is shown in Fig. 17. The beamwidth is 35 at the boresight. The maximum sidelobe is 19 dB, which is the improvement of 10 dB for the prototype BiPA.

Three switch circuits , , and are used to complete the BiPA. The required characteristics of the switch circuits are low insertion loss, high isolation, and good impedance matching of the input/output. For impedance matching, and are matched to 12.5 and is matched to 50 in Fig. 12. A p-i-n diode is used in a single-pole single-throw switch circuit for and , and two p-i-n diodes are used in a single-pole double-throw switch circuit for . The required specifications of the p-i-n diode are low series resistance for the forward current, and small junction capacitance for the reverse current. p-i-n diodes, which are small in size, inexpensive, and meet the specifications of the BiPA, are commonly available. For instance, the specifications of HVC131 (Hitachi, Tokyo, Japan) and MA2SP01 (Panasonic, Osaka, Japan) are , pF. The bias voltage of the VIPS can be controlled by a simple D/A converter with inexpensive microprocessors, and the bias current is less than the order of microamperes since the varactor diodes are used with reverse biases. V. CONCLUSION A novel phased-array antenna fed bi-directionally from two feeding ports (BiPA) has been proposed. The BiPA produces a large steering angle with a small phase shift, it can reduce the loss caused by the series feed with series phase shifter, and the low-cost and small-sized phased-array antenna is realized by the BiPA. The VIPS is designed to expand the phase-shift range by the resonant circuits and fabricated at 2.45 GHz. The measured characteristics are 0 80 in phase shift, 1.4 dB in insertion loss, and 17 dB in return loss. The bias voltage is low from 0- to 3.5-V DC. The BiPA consisting of the patch array antenna with four elements and VIPSs are designed and fabricated. The measured radiation pattern agrees well with simulated data with respect to the main lobe, and the measured performances are 30 in the steering angle, 24 in the beamwidth, and 9 dB in the sidelobe. The reduction of the sidelobe is considered and the level is improved by 19 dB. The BiPA fabricated in this study consists of only nine varactor diodes, and it is completed by adding three switch circuits. The dimensions of the array antenna and VIPSs are 60 ( ) 190 ( ) and 20

TSUJI et al.: BiPA DOWNSIZED WITH VIPS FOR ISM BAND

( ) 38 ( ) mm, respectively. This novel phased-array antenna is a promising as the low-cost, small-size, low control voltage, and narrow beam-steering antennas for the ISM band.

REFERENCES [1] M. Tsuji, “Security system,” Patent pending 2005-184661, Jan. 2005. [2] R. J. Mailloux, Phased Array Antenna Handbook. Norwood, MA: Artech House, 1994. [3] R. C. Hansen, Phased Array Antenna. New York: Wiley, 1998. [4] N. Fourikis, Phased Array-Based Systems and Applications. New York: Wiley, 1997. [5] A. A. Tolkachev, V. V. Denisenko, A. V. Shishlov, and A. G. Shubov, “High gain antenna systems for millimeter wave radars with combined electronical and mechanical,” in IEEE Phased Array Syst. Technol. Int. Symp., Oct. 1996, pp. 266–271. [6] K. Chang, M. Li, T.-Y. Yun, and C. T. Rodenbeck, “Novel low-cost beam-steering techniques,” IEEE Trans. Antennas Propag., vol. 50, no. 5, pp. 618–627, May 2002. [7] B. Widrow, P. E. Mantey, L. J. Griffiths, and B. B. Goode, “Adaptive antenna systems,” Proc. IEEE, vol. 55, no. 12, pp. 2143–2159, Dec. 1967. [8] Y. Urata, M. Haneishi, and Y. Kimura, “Beam-adjustable planar arrays composed of microstrip antennas,” IEICE Trans., vol. J87-C, no. 1, pp. 100–111, Jan. 2004. [9] R. J. Ginger, “Reactive steered adaptive array using microstrip patch elements at 4 GHz,” IEEE Trans. Antennas Propag., vol. AP-32, no. 8, pp. 848–856, Aug. 1984. [10] F. Ellinger, H. Jackel, and W. Bachtold, “Varactor-loaded transmission-line phase shifter at C -band using lumped elements,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1135–1140, Apr. 2003. [11] M. Matsunaga, S. Nakahara, and T. Katagi, “Switched branch line PIN diode phase shifter,” IEICE Trans., vol. J76-C1, no. 5, pp. 181–188, May 1993. [12] T. M. Hancock and G. M. Rebeiz, “A 12-GHz SiGe phase shifter with integrated LNA,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 977–983, Mar. 2005. [13] A. Tombak and A. Mortazawi, “A novel low-cost beam-steering technique based on the extended-resonance power-dividing method,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 664–670, Feb. 2004. [14] M. Skolnik, Radar Handbook, 2nd ed. New York: McGraw-Hill, 1990. [15] M. I. Skolnik, Introduction to Radar Systems, 3rd ed. New York: McGraw-Hill, 2001. [16] M. Tsuji, T. Nishikawa, K. Wakino, and T. Kitazawa, “Bi-directionally fed phased array antenna with variable impedance phase shifter for ISM band,” in IEEE MTT-S Radio and Wireless Symp., Jan. 2006, WE4B-4. [17] J. de Mingo, A. Valdovinos, A. Crespo, D. Navarro, and P. Garcia, “An RF electrically controlled impedance tuning network design and its application to an antenna input impedance automatic matching system,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 489–497, Feb. 2004. [18] M. Tsuji, “Simplified phased array antenna,” Patent pending 2005-023016, Jan. 2005. [19] I. Bahl and P. Bhartia, Microwave Solid State Circuit Design. New York: Wiley, 1988. [20] P. Scheele, F. Goelden, A. Giere, S. Mueller, and R. Jakoby, “Continuously tunable impedance matching network using ferroelectric varactors,” in IEEE MTT-S Int. Microw. Symp., Long Beach, CA, Jun. 2005, pp. 603–606, WE2B-5. [21] M. Tsuji, T. Nishikawa, K. Wakino, and T. Kitazawa, “An accurate measurement method of high permittivity materials by numerical analysis of coplanar waveguide,” in IEEE MTT-S Asia–Pacific Microw. Conf., Dec. 2005, vol. 4, pp. 2374–2377. [22] “SNAP User’s Manual,” 3rd ed. MEL, Nagoya, Japan, 1997. [23] A. Benalla and K. C. Gupta, “Multiport network approach for modeling the mutual coupling effects in microstrip patch antennas and arrays,” IEEE Trans. Antennas Propag., vol. 37, no. 2, pp. 148–152, Feb. 1989. [24] “Sonnet User’s Manual,” 10th ed. Sonnet Software, North Syracuse, NY, 2004.

2969

Masatoshi Tsuji (S’04) was born on September 6, 1963, in Gifu, Japan. He received the B.E. degree in electrical and electronics engineering from the Aich Institute of Technology, Toyota, Japan, in 1985, the M.E. degree in electrical and electronics engineering from Ritsumeikan University, Kusatsu, Japan, in 2005, and is currently working toward the D.E. degree in electrical and electronics engineering at Ritsumeikan University. In 1991, he joined the ICOM Company Ltd., Osaka, Japan. In 1997, he joined the ROHM Company Ltd., Kyoto, Japan. In 2000, he joined the OPTEX Company Ltd., Shiga, Japan. His research interest is microwave sensors. Mr. Masatoshi is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Toshio Nishikawa (M’86–SM’90–F’97) was born on July 10, 1935, in Hakusan, Japan. He received the B.E. degree in electrical engineering and Doctor of Engineering degree from Kanazawa University, Ishikawa, Japan, in 1958 and 1990, respectively. In 1961, he joined the Murata Manufacturing Company Ltd., Kyoto, Japan. In 2000, he joined the Toyo Corporation, Tokyo, Japan. From 2000 to 2004, he was also a Guest Professor with the Cooperative Research Center and Technical Faculty, Saitama University, Saitama, Japan, and is currently a Lecturer with the Department of Electrical and Electronic Engineering, Ritsumeikan University, Kusatsu, Japan. His research activities are mainly concerned with dielectric resonators and their application to microwave components. Dr. Nishikawa is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, and the Information Processing Society (IPSJ), Japan.

Kikuo Wakino (M’72–SM’89–F’92–LF’99) received the B.S. degree in physics and Ph.D. degree in electrical engineering from Osaka University, Osaka, Japan in 1950 and 1980, respectively. In 1952, he joined the Murata Manufacturing Company Ltd., Kyoto, Japan, as a Research Engineer of electronic ceramics for ceramic capacitors, piezoelectric ceramic devices, and microwave dielectric resonators. From 1992 to 2003, he was a Visiting Professor with the Institute of Science and Technology, Ritsumeikan University, Kusatsu, Japan. He is currently an Advisor with the Institute of Science and Technology, Ritsumeikan University. Dr. Wakino is a Fellow of the American Ceramic Society. He is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, and The Japan Ceramic Society.

Toshihide Kitazawa (M’84–SM’89) received the B.E., M.E., and D.E. degrees in electronics engineering from Hokkaido University, Sapporo, Japan, in 1972, 1974, and 1977, respectively. From 1979 to 1980, he was a Post-Doctoral Fellow of the Japan Society for the Promotion of Science. In April 1980, he joined the Kitami Institute of Technology, Kitami, Japan, as an Associate Professor of electronic engineering. From 1982 to 1984, he was a Visiting Assistant Professor of electrical engineering with the University of Illinois at Urbana-Champaign. From 1989 to 1990, he was a Visiting Scholar of electrical and computer engineering with The University of Texas, Austin. In September 1991, he joined Ibaraki University, Hitachi, Japan, as an Associate Professor of electrical engineering. In April 1996, he joined Ritsumeikan University, Kusatsu, Japan, as a Professor of electrical and electronic engineering. He is also a Visiting Professor with the Shiga University of Medical Science, Shiga, Japan.

2970

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

New 5.8-GHz Circularly Polarized Retrodirective Rectenna Arrays for Wireless Power Transmission Yu-Jiun Ren and Kai Chang, Fellow, IEEE

Abstract—This paper proposes two new circularly polarized 2 array and a retrodirective rectenna arrays, including a 2 4 array. A proximity-coupled microstrip ring antenna is 4 used as the retrodirective rectenna array element, which can automatically block harmonic signals up to the third order from reradiating by the rectifying circuit. These arrays are printed on = 2 2 with a two-layer a Rogers Duroid 5880 substrate of structure, with a total thickness of 1.5748 mm (or 62 mil). The new retrodirective rectenna array can track the incoming power source signals automatically and is less sensitive to the power incident angle variations, i.e., main-beam alignment deviation. It can provide a nearly constant dc output voltage within 10 and 90% dc output voltage within 45 . The conversion efficiencies of the two arrays are 73.3% and 55%, respectively, when the power density is 10 mW cm2 . The retrodirective rectenna array can be used in the low-power density applications for microwave wireless power transmissions. Index Terms—Circularly polarized, microwave power transmission, rectenna, retrodirective array.

I. INTRODUCTION N THE future, space solar power transmission (SPT) and microwave wireless power transmission (WPT) could play an important role in gathering clean energy from the space [1], [2]. The rectenna, rectifying antenna, is one of the primary components in the application of SPT and WPT. The rectenna can be used for ground-to-ground, ground-to-space, space-to-ground, and space-to-space power transmissions, and its development has been reviewed in [3]. Recently, many rectennas have been reported, including a rectenna using a dual rhombic hula loop antenna [4], a dual-frequency rectenna [5], a dual-diode rectenna [6], and rectennas with various patch antennas [7]–[10]. The circular polarization (CP) has become one of the important considerations in these rectenna designs. The advantage of using CP is that the antenna performance will not be significantly affected due to the rotation of the circuit because the CP does not require the polarization alignment of the electric field at the transmitting and receiving antennas. Even with CP, the efficient power transmission still requires a precise main-beam alignment between the transmit antenna and the receive rectenna arrays. The transmit antenna usually has a quite narrow beamwidth at the broadside. Despite the fact

I

Manuscript received February 5, 2006; revised March 31, 2006. This work was supported in part by the National Science Foundation. The authors are with the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX 77843-3128 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877422

that the circularly polarized antenna can preserve the output voltage constant when the transmitter or the receiver rotates, it cannot prevent the output voltage variations due to the improper main-beam alignment. In [11], it was proposed that using a nonuniform antenna array replaces the traditional uniform antenna array in the microwave power transmission applications. The nonuniform array can be designed to have a flatten pattern with a wide beamwidth. The rectenna with a broadened main beam can keep the output voltage invariant even if the rectenna has an improper beam alignment. Although this method indeed makes the main beam broadened, numerous antenna elements with various sizes are needed and the nonuniform array gain may be lower than that of the uniform array. The process is complicated and difficult to implement. The second method to solve this problem is to use a retrodirective antenna array [12]–[14]. A retrodirective array does not require accurate information of the source location, but is able to resend the incident wave toward to where it comes from. Its automatic beam-steering feature has been widely used in many wireless communication systems [15]–[18], including multipath fading reduction [19] and spatial power combining [20]. The retrodirective antenna has two basic array architectures: the phase-conjugated array and the Van Atta array. The phase-conjugated array needs a mixer circuit that requires a large frequency difference between RF and local oscillator (LO) signals and the RF leakage has to be suppressed for good performance. It has many circuit components and is difficult to integrate with the rectenna. The Van Atta array is simpler. It consists of array elements connected by transmission lines. The Van Atta array can be a passive or an active type, unlike the phase-conjugated array that always requires active devices [21]. The advantages of the Van Atta array make it easy to combine with the rectennas. The other consideration of designing the rectenna is its harmonic-rejection ability. The harmonic signals are created by the rectifying circuit and will be reradiated by the receiving antenna, which interfere with other signals and result in the rectenna efficiency reduction. Usually the magnitude of the third-order harmonic is much smaller than the second-order harmonic, however, it was found in [22] that the power level of the third-order harmonic may still have a relatively large level as compared with the power level of the second-order harmonic. Therefore, a high-order harmonic-rejection rectenna is preferred to suppress the second-order, third-order, and even higher order harmonic signals simultaneously. A microstrip proximity-coupled antenna that inherently blocks harmonics in the rectenna is used in this approach. Alternatively, a frequency-selective surface can be used to diminish the reradiated harmonics [23].

0018-9480/$20.00 © 2006 IEEE

REN AND CHANG: NEW 5.8-GHz CIRCULARLY POLARIZED RETRODIRECTIVE RECTENNA ARRAYS FOR WPT

Fig. 1. Geometry of the proximity-coupled microstrip ring antenna and the two-layer dielectric structure. All dimensions are in millimeters.

In this paper, two novel retrodirective rectenna arrays are demonstrated. They are a 2 2 array and a 4 4 array. A circular polarized proximity-coupled microstrip antenna is selected as the array element because this antenna can be designed to block harmonic signals and can be used to easily build the Van Atta array. The antenna array elements are located on one dielectric layer and the circuits of the retrodirective array and the rectenna are located on the other dielectric layer. This two-layer structure provides easy design and fabrication for a large retrodirective rectenna array. The new retrodirective array can track the power source automatically and, hence, keep the output voltage nearly constant. The 4 4 retrodirective rectenna arrays can be viewed as a four-series-connected 2 2 array so its output voltage should be four times when an optimum load is used. The design methods of the 2 2 and 4 4 retrodirective rectenna arrays are described in Sections II and III, respectively. The measurement results of the retrodirective rectenna arrays are presented in Section IV. Finally, conclusions are given in Section V. II. 2

2 RETRODIRECTIVE RECTENNA DESIGN

A. Circularly Polarized Microstrip Antenna The circular polarized proximity-coupled microstrip ring antenna is chosen as the antenna element of the retrodirective array [24]. Its geometry is shown in Fig. 1. The advantages of the proximity-coupled microstrip antenna are its circularly polarized characteristic and its two-layer structure. When designing the Van Atta array, the transmission line connecting two elements may have a length of multiple wavelengths and its schematic may be complicated. Separating the antenna elements and the transmission-line networks on different dielectric layers will reduce the unnecessary coupling between the antenna elements and the transmission lines and provide more space for the retrodirective rectenna array circuits. A full-wave three-dimensional (3-D) electromagnetic simulator IE3D by Zeland, Fremont, CA, is used to design the antenna elements and the retrodirective rectenna array. The proximity-coupled antenna is designed at the center frequency of 5.8 GHz and is printed on a Rogers Duroid 5880 substrate. The two layers are of the same material with a thickness mm mil, a dielectric constant ,

2971

Fig. 2. Measured return loss of the single-ring antenna element.

and the conductor (copper) thickness of 0.0356 mm (equivalent to 1-oz metallization). At 5.8 GHz, the effective dielectric con) of the transmission line between the two layers is stant ( 1.92 and (guided wavelength) is 37.34 mm. The transmission line has a characteristic impedance ( ) of 50 , which is chosen to match the impedances of the antenna and the diode to reduce the signal reflections between these components [3], [4]. The dumbbell slot in the antenna center has to be designed carefully for good antenna performance, especially for low axial ratio (AR). The dumbbell slot yields a left-handed CP. A right-handed CP can be obtained by rotating the dumbbell by 90 . Fig. 2 shows a good agreement between the measured return loss and simulated return loss. The bandwidth of 2 : 1 voltage standing-wave ratio (VSWR) at the fundamental frequency of 5.8 GHz is approximately 3.3%. It has a measured gain of 5.89 dBi and an AR of 1.7 dB. The AR can be reduced by tuning the dumbbell slot. While the antenna in perfect CP (i.e., dB) has its highest CP gain, the corresponding rectenna conversion efficiency is increased until the rectifying diode saturates. While the proximity-coupled microstrip antenna is used as the antenna element of the retrodirective array, the beamwidth of the array main beam will not influence the rectenna performance much. This is because the retrodirectivity of the array will require the main beam constantly focused on the direction of the incoming waves. B. 2

2 Retrodirective Array

The 2 2 retrodirective rectenna array is shown in Fig. 3(a) and (b). This array consists of two pairs of antenna elements. Each pair of antenna elements is equally spaced from the array center and, hence, has a transmission line of equal length ( and ). The transmission line between the two antennas is used to invert the phase of the incident wave and then steer the main beam of the array toward to where the incident waves come. Each transmission line is connected together through the gap when the diode is turned on by the incident microwave power, as shown in Fig. 3(c). At that time, the retrodirectivity activates and the diodes also convert RF power to dc power. Therefore, the diode in the gap acts as a switch for the retrodirective circuit and as a rectifier for the rectenna circuit. The remaining circuits belong to the rectenna rectifying circuit and will be discussed in Section II-C.

2972

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

2

Fig. 3. Geometry of the 2 2 retrodirective rectenna array. (a) Antenna array elements. (b) Rectenna circuit. (c) Retrodirective array equivalent microstrip line network when the diodes are ON for retrodirective action.

The transmission lines connecting each pair of antenna elements should have the same length or have a length difference equal to a multiple of the microstrip line guided wavelength ( ), i.e., , where . To avoid the grating lobes, the spacing between antenna elements has to be considered. The element spacing should satisfy

(1) where is the element spacing, is the free-space wavelength, and is the incident angle of the incoming signals. It assumes the incident angle scans from 90 to 90 so should be smaller than . Here, is chosen as mm at 5.8 GHz. The lengths of the two transmission lines are equal to , i.e., , with . The bistatic patterns of the 2 2 retrodirective array are shown in Fig. 4 for three different angles. To measure the bistatic patterns, the transmitting horn and the retrodirective array are stationary while the receiving horn scans from 90 to 90 , as shown in Fig. 4(a). During the scan, both the transmitting power source output and the distance between the array and the source are kept constant. In Fig. 4(b), the incoming waves come from , 25 , and 50 and the patterns are separately normalized to 0 dB, which means their peak gains may be different. The corresponding 3-dB beamwidths of the main beam for the array are 18 , 18 , and 13 and the 10-dB beamwidths are 36 , 32 , and 28 . It is observed that the 2 2 retrodirective array can track the incoming signals well. C. Rectenna Circuits of the 2

2 Retrodirective Array

A rectenna usually consists of a receiving antenna or array, a low-pass or bandpass filter to suppress the second- and/or the third-order harmonic signals, a rectifying diode for RF-to-dc conversion, a dc pass filter, and a resistive load. The diode is the key component in determining the RF-to-dc conversion effi-

Fig. 4. (a) Measurement setup for the bistatic patterns. (b) Measured bistatic patterns of the 2 2 retrodirective array at different incoming signal directions from 0, 25, and 50 .

0

2

0

ciency. The resistive load also affects the output voltage and the rectenna performance. The 2 2 retrodirective rectenna circuit is shown in Fig. 3(b). In this study, the low-pass or bandpass filter is not needed since a harmonic-rejection antenna is employed. The harmonics of the circular patch antenna is the solution of the Bessel’s function. Therefore, the harmonic frequencies of the circular patches are different from those of the diodes. The antenna element designed here has such an advantage, which can be observed from Fig. 2. The return loss at 5.8 GHz (fundamental frequency) is 22.35 dB and the return losses at 11.6 and 17.4 GHz (harmonic frequencies) are 2.15 and 3.02 dB, respectively. The en-

REN AND CHANG: NEW 5.8-GHz CIRCULARLY POLARIZED RETRODIRECTIVE RECTENNA ARRAYS FOR WPT

2973

2

Fig. 5. Geometry of the 4 4 retrodirective rectenna array. (a) Antenna array. (b) Retrodirective rectenna circuit. The insets show the mounted direction of the diode and diode , where i = 1; 3; 5; 7; and j = 2; 4; 6; 8.

ergy re-radiated by the antenna is then at 5.8 GHz due to these high harmonic return losses, as well as the fact the energy after mixing process is significantly smaller at the harmonic frequencies comparing to the fundamental frequency. This advantage reduces the space for the rectenna circuit and makes it more compact. The Schottky diode MA4E1317 by M/A COM is used to convert RF power to dc power. Usually higher dc output voltage results in a smaller junction capacitance, which also gives better conversion efficiency. A broadband capacitor from Dielectric Laboratories, Cazenovia, NY (model C08BLBB1X5UX) is chosen as the dc pass filter. The dc pass filter not only tunes out the reactance of the diode, but also blocks the unwanted RF signals from reaching the resistive load. This capacitance is in shunt with the loading resistance. The 2 2 retrodirective rectenna array can be viewed as two series-connected rectenna elements. Each rectenna element includes a pair of antenna elements and a rectifying diode. Each antenna element couples the energy to the connecting transmission line and sends it to the other antenna element for the retrodirective purpose. For power rectification, the diode is mounted across the transmission line at its midpoint by using silver epoxy. Rectenna elements are series connected by using a thin high-impedance transmission line with RF chokes to reject the unwanted RF signals from each diode and to avoid RF signals leaking. These two series-connected rectenna elements share a resistive load where the dc output voltage is detected. As the diode is ON, signals received by one antenna element can be reradiated by the other antenna element and the beam steering is completed. In other words, the retrodirectivity of the array and the rectifying process will be activated at the same time. It is noted that every rectenna element is behaved as a unilateral device and, hence, their outputs can be added together. III. 4

4 RETRODIRECTIVE RECTENNA DESIGN

There are two methods to build a 4 4 retrodirective rectenna array. The first one is to arrange four 2 2 retrodirective rectenna arrays described in Section II and connect them by series or parallel arrangement. Both series and parallel connec-

tions should collect the same amount of dc power. This method is easy to implement and can be used to build a large rectenna array. A dc power combiner can be connected to collect higher output power. It is noted the circuit of the multiway dc power combiner may couple with the transmission-line network of the retrodirective rectenna array, which affects the array pattern and reduces the antenna gain. The second method is to build the 4 4 retrodirective rectenna array by designing another distinct transmission-line network, as shown in Fig. 5. The structure and the operation process of the 4 4 array are similar to the 2 2 array. Eight sections of transmission lines ( to ) are used for the retrodirective function. They are also used to link the rectenna elements with other thinner sections to the load resistance. Eight diodes are used for the rectifying purpose. The lengths of the transmission lines are given by , , and . The antenna element spacing is the same as that of the 2 2 array, i.e., . Same as the 2 2 retrodirective rectenna array, the rectifying diode is mounted at the midpoint of each transmission line, as shown in Fig. 5(b). The two diodes beside each other (Diode and Diode ) are to be mounted in opposite directions, i.e., the anode of one diode links the cathode of the other diode. Intuitionally, this array can be viewed as a series-connected rectenna array because eight rectenna elements are series connected together via the transmission-line network with RF chokes and share the same loading resistance. Measured bistatic patterns of the 4 4 array are shown in Fig. 6. The patterns are separately normalized to 0 dB. The incoming waves come from 0 , 20 , and 40 . The corresponding 3-dB beamwidths are 19 , 22 , and 19 . The 10-dB beamwidths are 34 , 36 , and 35 . These results demonstrate that the 4 4 retrodirective array can effectively perform the beam steering to align the rectenna array with the power transmitting antenna. IV. RETRODIRECTIVE RECTENNA ARRAY MEASUREMENTS A. Broadside Measurements The measurement method and the equipment setup for the rectenna test have been studied in [3]. The rectenna measure-

2974

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

2

Fig. 6. Measured bistatic patterns of the 4 4 retrodirective rectenna array at different incoming signal directions from 0, 20, and 40.

Fig. 7. Measured dc output voltages of the 2 broadside.

2 2 array and the 4 2 4 array at

ments can be carried out in free space or in waveguides. Freespace measurements were conducted here. The RF-to-dc efficiency ( ) of the rectenna is defined as

(2) is the dc output power and is the power received where by the array that is calculated by using the Friss transmission equation. By changing the distance between the transmitting antenna and the retrodirective rectenna array, the efficiencies for different power densities can be determined. The power density ( ) is given by

(3) is the horn antenna gain, where is the transmitting power, and is the distance between the horn antenna and the center of the rectenna array. The dc output voltages and the RF-to-dc conversion efficiencies of a 2 2 retrodirective rectenna array are shown in Figs. 7 and 8, respectively, as a function of the power densities at the broadside. The external resistive load of the 2 2 array is chosen as 150 for the maximum dc output voltage. The calculated results are computed by using the equations described in [4]. The measured results match the calculated results well. When the power density ( ) is 10 mW cm , the 2 2 array has an output of 2.48 V and a conversion efficiency of 73.3%. The output voltage increases while the power density becomes larger, and so does the conversion efficiency. However, the rectifying circuit may be burned out due to the excessive incoming power. A Zener device can be used to protect the rectifying circuit from breakdown. A linear equivalent model [25] is applied to verify the linearity of the 4 4 retrodirective rectenna array to the 2 2 array. The linear model has been shown to be effective in predicting the output power or voltage when the optimum load resistance is used for the rectenna. According to the model, four series-connected rectenna elements should generate four times the output voltage of the single rectenna element. In our experiments, the 4 4 array can be viewed as an array series connected by four 2 2 arrays so it should have four times dc output

Fig. 8. Measured conversion efficiencies of the 2 broadside.

2 2 array and 4 2 4 array at

voltage under the optimum condition. Here, the load resistance of the 4 4 array is chosen as 600 . The output voltage of the 4 4 array ( ) is shown in Fig. 7 in which a 4 2 2 array output voltage ( ) is also plotted as a comparison. It is observed that the 4 4 array has a good performance and agree well with , especially at low levels. For example, at mW cm , . At mW cm , , while the conversion efficiency is 55% for the 4 4 array, as shown in Fig. 8. From these measurement results, when the power density increases, the 4 4 array does not work as well as those at lower power density. One possible reason is that the incident power density is not uniform for a large array. Therefore, not all of the rectenna elements have the same output voltage due to their different positions. When the rectenna elements with different outputs are connected in series or parallel, the rectenna array output will be less than the summation that assumes that same individual rectenna element output. Under this condition, the array output decreases and, hence, its conversion efficiency is reduced. The other reason affecting the rectenna array performance is the imperfect CP of the array. Furthermore, the sidelobes of the array patterns also result in the degradation. These characteristics become significant when the incident angle changes, while the power source scans.

REN AND CHANG: NEW 5.8-GHz CIRCULARLY POLARIZED RETRODIRECTIVE RECTENNA ARRAYS FOR WPT

Fig. 9. Measured dc output voltages as a function of incident angles for the: (a) 2 2 array and (b) 4 4 array. Solid line: P = 0:2 mW=cm . Dotted line: P = 1 mW=cm . Dashed line: P = 5 mW=cm .

2

2

2975

Fig. 4(a). During the measurement, the distance between the transmitting horn antenna that provides the microwave power and the retrodirective rectenna array is constant. Fig. 9 shows measured dc output voltages of the retrodirective rectenna arrays as a function of the RF signal incident angles ( ) for three different power densities. In the past rectenna experiments, the maximum output voltage is confined to be detected at the broadside direction and it drops sharply when the main beam is not aligned with the rectennas. By using the retrodirective arrays, it is obvious that this drawback has been improved significantly. Whether the main-beam beamwidth is narrow or wide, the rectenna array becomes less sensitive to the power incident angle variations, i.e., main-beam alignment deviation. The voltage ratios (VRs) versus the incident angles are shown in Fig. 10. The VR is defined as the ratio of the output voltage at to that at . For both 2 2 and 4 4 arrays, the VR within 10 is larger than 0.98, except the results of 2 2 array with mW cm . This may be due to the low power density resulting in lower output voltage that cannot drive all the rectifying diodes well. In most cases, the VR is very close to 0.9 as . When , the VR starts to reduce because the gain of the retrodirective rectenna array decreases. The VR becomes smaller than 0.5 when . Compared with the traditional rectennas, the retrodirective rectenna arrays indeed can automatically align its main beam toward to the power source and achieves good rectenna performance. V. CONCLUSIONS

2

Fig. 10. Output VRs as a function of incident angles for the: (a) 2 2 array and (b) 4 4 array. Solid line: P = 0:2 mW=cm . Dotted line: P = 1 mW=cm . Dashed line: P = 5 mW=cm .

2

In this paper, a 2 2 and a 4 4 -band circular polarized retrodirective rectenna arrays have been developed. No bandpass filter is needed in the retrodirective rectenna array because the antenna element of the array is inherently able to reject the reradiated harmonic signals. The antenna element is a proximity-coupled microstrip ring antenna that has a circular polarized gain of 5.89 dBi and an AR of 1.7 dB. At the broadside, the conversion efficiencies of the 2 2 and 4 4 retrodirective rectenna arrays are 73.3% and 55%, respectively, when the incident power density is 10 mW cm . The dc output voltages are 2.48 and 8.59 V, respectively. The output voltage and the conversion efficiency can be higher if a larger incident power density is used. The main beam of the retrodirective rectenna array can steer toward to the power source automatically. The output voltage is almost constant within 10 of the incident angle. For , the VR is still as high as 0.9. These results show that the dc output voltage will not change due to the improper beam alignment. This technique is very suitable for the WPTs with a high gain, but narrow beamwidth transmitting antenna. The antenna is usually consists of many elements and, hence, the tracking is very critical. ACKNOWLEDGMENT

B. Scanning Measurements The retrodirectivity of the rectenna arrays was tested by using the same procedure of measuring the bistatic patterns shown in

The authors would like to thank M.-Y. Li, Texas A&M University, College Station, for his technical assistance and S.-H. Hsu, Texas A&M University, for his helpful suggestion on measurements.

2976

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

REFERENCES [1] W. C. Brown and E. E. Eves, “Beamed microwave power transmission and its application to space,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 9, pp. 1239–1250, Sep. 1992. [2] J. O. McSpadden and J. C. Mankins, “Space solar power programs and microwave wireless power transmission technology,” IEEE Micro, vol. 3, no. 4, pp. 46–57, Dec. 2002. [3] B. H. Strassner and K. Chang, “Rectifying antennas (rectennas),” in Encyclopedia of RF and Microwave Engineering. Hoboken, NJ: Wiley, 2005, vol. 5, pp. 4418–4428. [4] B. Strassner and K. Chang, “5.8-GHz circularly polarized rectifying antenna for wireless microwave power transmission,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1870–1876, Aug. 2003. [5] J. Heikkinen and M. Kivikoski, “A novel dual-frequency circularly polarized rectenna,” IEEE Antennas Wireless Propag. Lett., vol. 2, no. 1, pp. 330–333, 2003. [6] Y.-J. Ren and K. Chang, “5.8 GHz circularly polarized dual-diode rectenna and rectenna array for microwave power transmission,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1495–1502, Apr. 2006. [7] J. Heikkinen and M. Kivikoski, “Low-profile circularly polarized rectifying antenna for wireless power transmission at 5.8 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 4, pp. 162–164, Apr. 2004. [8] C.-H. Chin, Q. Xue, and C. H. Chan, “Design of a 5.8-GHz rectenna incorporating a new patch antenna,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 175–178, 2004. [9] M. Ali, G. Yang, and R. Dougal, “A new circularly polarized rectenna for wireless power transmission and data communication,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 205–208, 2005. [10] J.-Y. Park, S.-M. Han, and T. Itoh, “A rectenna design with harmonic-rejecting circular-sector antenna,” IEEE Antennas Wireless Propag. Lett., vol. 3, pp. 52–54, 2004. [11] Y. Murao and T. Takano, “An investigation on the design of a transmission antenna and a rectenna with arrayed apertures for microwave power transmission,” Electron. Commun. Jpn., vol. 83, no. 2, pt. 1, pp. 1–9, 2002. [12] L. H. Hsieh, B. H. Strassner, S. J. Kokel, C. T. Rodenbeck, M. Y. Li, K. Chang, F. E. Little, G. D. Arndt, and P. H. Ngo, “Development of a retrodirective wireless microwave power transmission system,” in IEEE AP-S Int. Symp. Dig., Jun. 2003, vol. 2, pp. 393–396. [13] K. Hashimoto and H. Matsumoto, “Microwave beam control system for solar power satellite,” in Proc. IEEE Asia–Pacific Radio Sci. Conf., Aug. 2004, pp. 616–617. [14] C. Rodenbeck, M. Li, and K. Chang, “A phased-array architecture for retrodirective microwave power transmission from the space solar power satellite,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 1679–1682. [15] V. F. Fusco and S. L. Karode, “Self-phasing antenna array techniques for mobile communications applications,” IEE Electron. Commun. Eng. J., vol. 11, no. 6, pp. 279–286, Dec. 1999. [16] R. Y. Miyamoto and T. Itoh, “Retrodirective arrays for wireless communications,” IEEE Micro, vol. 3, no. 1, pp. 71–79, Mar. 2002. [17] K. M. K. H. Leong, R. Y. Miyamoto, and T. Itoh, “Moving forward in retrodirective antenna arrays,” IEEE Potentials, vol. 22, no. 3, pp. 16–21, Aug.–Sep. 2003. [18] W. A. Shiroma, R. Y. Miyamoto, G. S. Shiroma, A. T. Ohta, M. A. Tamamoto, and B. T. Turakumi, “Retrodirective systems,” in Encyclopedia of RF and Microwave Engineering. Hoboken, NJ: Wiley, 2005, vol. 5, pp. 4493–4507. [19] J. Tuovinen, G. S. Shiroma, W. E. Forsyth, and W. A. Shiroma, “Multipath communications using a phase-conjugate array,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 3, pp. 1681–1684. [20] B. Subbarao and F. Fusco, “Radial cavity-fed spatial power combiner with retrodirective array behavior,” IEEE Trans. Antennas Propag., vol. 52, no. 5, pp. 1281–1285, May 2004. [21] S.-J. Chung, S.-M. Chen, and Y. -C. Lee, “A novel bi-directional amplifier with applications in active Van Atta retrodirective arrays,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 542–547, Feb. 2003. [22] Z. L. Wang, K. Hashimoto, N. Shinohara, and H. Matsumoto, “Frequency-selective surface for microwave power transmission,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2039–2042, Oct. 1999. [23] J. O. McSpadden, T. Yoo, and K. Chang, “Theoretical and experimental investigation of a rectenna element for microwave power transmission,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2359–2366, Dec. 1992.

[24] R. R. Ramirez, F. D. Flaviis, and N. G. Alexopoulos, “Single-feed circularly polarized microstrip ring antenna and arrays,” IEEE Trans. Antennas Propag., vol. 48, no. 7, pp. 1040–1047, Jul. 2000. [25] N. Shinohara and H. Matsumoto, “Dependence of dc output of a rectenna array on the method of interconnection of its array elements,” Electr. Eng. Jpn., vol. 125, no. 1, pp. 9–17, 1998.

Yu-Jiun Ren received the B.S.E.E. degree from National Chung-Hsing University, Taiwan, R.O.C., in 2000, the M.S. degree in communication engineering from National Chiao-Tung University, Taiwan, R.O.C., in 2002, and is currently working toward the Ph.D. degree in electrical and computer engineering at Texas A&M University, College Station. From 2002 to 2003, he was a Research Assistant with the Radio Wave Propagation and Scattering Laboratory, National Chiao-Tung University, where he was involved in radio-wave propagation and channel modeling. His research interests include microwave circuits and devices, microwave power transmission, and radio channel modeling.

Kai Chang (S’75–M’76–SM’85–F’91) received the B.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1970, the M.S. degree from the State University of New York at Stony Brook, in 1972, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 1976. From 1972 to 1976, he was a Research Assistant with the Microwave Solid-State Circuits Group, Cooley Electronics Laboratory, The University of Michigan at Ann Arbor. From 1976 to 1978, he was with Shared Applications Inc., Ann Arbor, MI, where he was involved with computer simulation of microwave circuits and microwave tubes. From 1978 to 1981, he was with the Electron Dynamics Division, Hughes Aircraft Company, Torrance, CA, where he was involved in the research and development of millimeter-wave solid-state devices and circuits, power combiners, oscillators, and transmitters. From 1981 to 1985, he was with TRW Electronics and Defense, Redondo Beach, CA, as a Section Head, where he developed state-of-the-art millimeter-wave integrated circuits and subsystems including mixers, voltage-controlled oscillators (VCOs), transmitters, amplifiers, modulators, upconverters, switches, multipliers, receivers, and transceivers. In August 1985, he joined the Electrical Engineering Department, Texas A&M University, College Station, as an Associate Professor and became a Professor in 1988. In January 1990, he was appointed Raytheon E-Systems Endowed Professor of Electrical Engineering. He has authored and coauthored several books, including Microwave Solid-State Circuits and Applications (Wiley, 1994), Microwave Ring Circuits and Antennas (Wiley, 1996; 2nd ed. 2004), Integrated Active Antennas and Spatial Power Combining (Wiley, 1996), RF and Microwave Wireless Systems (Wiley, 2000), and RF and Microwave Circuit and Component Design for Wireless Systems (Wiley, 2002). He has served as the Editor of the four-volume Handbook of Microwave and Optical Components (Wiley, 1989 and 1990; 2nd ed. 2003). He is the Editor of Microwave and Optical Technology Letters and the Wiley Book Series on “Microwave and Optical Engineering” (over 70 books published). He has authored or coauthored over 450 papers and numerous book chapters in the areas of microwave and millimeter-wave devices, circuits, and antennas. He has graduated over 25 Ph.D. students and over 35 M.S. students. His current interests are microwave and millimeter-wave devices and circuits, microwave integrated circuits, integrated antennas, wideband and active antennas, phased arrays, microwave power transmission, and microwave optical interactions. Dr. Chang has served as technical committee member and session chair for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the IEEE Antennas and Propagation Society (IEEE AP-S), and numerous international conferences. He was the vice general chair for the 2002 IEEE International Symposium on Antennas and Propagation. He was the recipient of the 1984 Special Achievement Award presented by TRW, the 1988 Halliburton Professor Award, the 1989 Distinguished Teaching Award, the 1992 Distinguished Research Award, and the 1996 Texas Engineering Experiment Station (TEES) Fellow Award presented by Texas A&M University.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

2977

High-Order Subharmonically Pumped Mixers Using Phased Local Oscillators Zhiyang Liu, Member, IEEE, and Robert M. Weikle II, Senior Member, IEEE

Abstract—A high-order subharmonically pumped mixer architecture that utilizes multiple pairs of antiparallel diodes is described. A key component of the mixer architecture is a -way power-divider/phase shifter that divides the local oscillator (LO) to multiple ports with equal magnitude and prescribed phase shifts. Undesired mixing products are eliminated through phase cancellation by symmetry rather than relying on intermediate idler circuits. As a proof-of-principle demonstration, an eighth-order mixer is implemented based on this approach and has shown a measured conversion loss of 8 dB at 8 GHz. The mixer architecture is suitable for applications in the millimeter and submillimeter-wave regions where high-frequency LOs are difficult to implement.

Fig. 1. Circuit architecture for the high-order subharmonically pumped mixer using identical pairs of antiparallel diodes.

K

Index Terms—Antiparallel diode, harmonic mixer, power divider, Schottky diode, subharmonic mixer.

I. INTRODUCTION UBHARMONICALLY pumped mixers employing antiparallel diodes have been used for many years to realize high-order heterodyne receivers. The antiparallel diode mixer topology—originally described in 1955 by Carlson [1], mentioned in Saleh’s classic text on resistive mixers [2], and investigated by Büchs in 1971 [3]—received widespread attention for its application to millimeter-wave receivers following the work of Schneider and Snell [4], [5] and Cohn et al. [6], [7] in 1974. The continuing interest in subharmonically pumped mixers based on antiparallel diodes derives from the circuit architecture’s inherent advantages. Since the local oscillator (LO) and RF signals are well separated, their isolation is readily achieved through filtering. The antiparallel configuration naturally idles even-order harmonics that circulate in the circuit loop formed by the diode pair [8], allowing the mixer’s performance to be optimized through an appropriate choice of the circuit’s loop inductance [9], [10]. In addition, the antiparallel diode geometry constitutes a true balanced mixer—a circuit architecture with several well-known benefits, including suppression of downconverted AM noise and spurious signals [8]. The requirement for a relatively low-frequency LO (at approximately half the RF) is attractive for applications in the submillimeter-wave region

S

where high-frequency and high-power LOs are difficult to realize. Moreover, with proper design, such a mixer is capable of achieving a conversion loss comparable to that of a fundamental mixer [8], [11]–[13]. In recent years, a number of investigators have reported fourth-order subharmonic mixers utilizing antiparallel diode pairs (requiring an LO at 1/4 of the RF frequency). These mixers are based on reactively terminating undesired mixing products with properly designed idler circuits that are typically realized with electromagnetic-bandgap (EBG) structures [14] or stub filters [15], [16]. Adapting this approach, however, to higher order mixers (such as the eighth order or beyond) becomes increasing difficult (and probably impractical) due to the increased number of intermediate mixing products that must be simultaneously suppressed. In this paper, we describe a circuit architecture for realizing high-order subharmonic mixers using multiple antiparallel diode pairs. The technique is based on proper phasing of the LO using a -way power divider/phase shifter. Intermediate mixing products are eliminated through phase cancellation at the IF port of the circuit, in principle eliminating the requirement for idlers. Based on this approach, a prototype eighth-order subharmonic mixer has been implemented at 8 GHz as a proof-of-concept demonstration and has shown a conversion gain of 8 dB with LO/IF and RF/IF port isolation better than 35 dB. II. CIRCUIT ARCHITECTURE AND ANALYSIS

Manuscript received November 4, 2005; revised March 20, 2006. This work was supported by the National Science Foundation under Grant AST-9987104, by the U.S. Army Research Office under Grant ARO-MURI-DAAD19-00-R0010, and by the U.S. Army National Ground Intelligence Center under Grant DASC01-01-C-0009. The authors are with the School of Engineering and Applied Science, University of Virginia, Charlottesville, VA 22904 USA (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.876986

Fig. 1 shows the basic architecture of the phased-LO mixer described in this study. An LO distribution network feeds equal-amplitude pump signals to pairs of antiparallel diodes with prescribed phase offsets, while the RF input is divided and fed to the diode pairs in phase. It is well established that a mixer’s small-signal properties (such as conversion loss and noise temperature) are governed by the LO signal and can

0018-9480/$20.00 © 2006 IEEE

2978

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

be understood in terms of the conductance and capacitance waveforms of the pumped diode [17]. For antiparallel diode pairs, the conductance and capacitance waveforms are readily expressed as Fourier series that contain only even harmonics of the fundamental pump frequency. An offset in the phase of the LO applied to a diode pair is equivalent to a time delay in its conductance and capacitance waveforms

The term in brackets is a geometric series that can be summed explicitly and expressed in closed form as follows:

(1)

(7)

where is the phase offset, is the time delay, and is the radial pump frequency of the LO. In the Fourier domain, this time delay corresponds to a linear (with frequency) phase shift of each Fourier component of the conductance and capacitance waveforms. Consequently, for an LO offset phase of (corresponding to a time delay of ), the Fourier coefficients of the conductance ( ) and capacitance ( ) waveforms can be expressed as

Equations (6) and (7) underlie the operation of the mixer. Choosing the phase offset , for the LO signals fed to adjacent diode pairs to be (8) and noting that must be an even integer for an antiparallel diode pair allows (7) to be evaluated as

(2) where and are the coefficients for the conductance and capacitance waveforms with no relative LO phase offset. The elements of the mixer small-signal conversion matrix relate the voltages and currents at all sideband frequencies present at the mixer’s ports and are functions of the coefficients given in (2). The output current at sideband for diode pair (corresponding to LO phase offset ) can be expressed as

(3) is the small-signal voltage at sideband and is where the th element of the conversion admittance matrix given by

(4) In the above expressions, we follow the conventional notation used in the literature where is the current sideband index, is the voltage sideband index, is the IF, and is the LO frequency. Note that current and voltage sideband indices of and correspond to the IF frequency. Using the above relations, we find the total IF current to be

(5)

Choosing the phase of the th oscillator to be interchanging the order of the summations yields

and

(6)

if otherwise (9) where is an integer. Consequently, with this choice for , each sideband term in the sum [see (6)] is zero unless is a multiple of ( ). For those sideband terms where index is a multiple of , the IF currents flowing through the diode pairs add in phase yielding a total IF current of

(10) Thus, the mixer’s fundamental response occurs for RF frequencies of and results in a th-order subharmonically pumped mixer that requires no idler circuit. A complete analysis of the mixer architecture that accounts for the effect of embedding impedances on conversion loss and noise temperature can be carried out using the harmonic-balance approach described by Kerr for multiple diode topologies, and readers are referred to [9] for details. It is worthwhile to note that the circuit architecture presented in Fig. 1 is not limited to pairs of antiparallel diodes. The basic approach can be applied to individual diodes [or other devices such as field-effect transistors (FETs)] to result in a th-order subharmonically pumped mixer provided that the prescribed phase shifts of the LO distribution network correspond to integer multiples of [18]. In fact, mixers based on the standard antiparallel diode pair can be viewed as a special case of the more general architecture presented in this paper—the antiparallel orientation of the diodes automatically provides the 180 phase offset of the applied LO that is necessary for realizing a second-order subharmonically pumped

LIU AND WEIKLE: HIGH-ORDER SUBHARMONICALLY PUMPED MIXERS USING PHASED LOs

2979

TABLE I METELICS INC. MG S902 PARAMETERS

TABLE II TRANSMISSION LINE DESIGN PARAMETERS

Fig. 2. (a) Block diagram illustrating the architecture of the prototype eighthorder mixer. (b) Microstrip layout for the mixer circuit.

mixer. Likewise, FET mixer topologies in which two transistors are driven out-of-phase by the LO [19] represent another specific example. III. IMPLEMENTATION To investigate the circuit topology described above, a prototype eighth-order mixer was designed and implemented in microstrip. Fig. 2(a) shows the basic structure of the mixer, while Fig. 2(b) details the circuit layout. The circuit is fabricated on a Roger’s RT/Duriod 5880 substrate ( and thickness of 0.381 mm). A branch-line hybrid designed for operation at the LO frequency ( 1 GHz) is employed to provide equal-amplitude pump signals to two antiparallel pairs of diodes with quadrature phasing. Two short-circuited quarter-wave (at the LO frequency) stubs are included and serve a number of functions. Foremost, these provide an RF ground at the hybrid ports (as they are approximately two wavelengths long at the RF). Moreover, they provide an IF return-to-ground path since their electrical lengths are negligible at that frequency. It is also noteworthy that these stubs ground the intermediate mixing products produced by individual diode pairs (at , where is an integer) and prevent these from propagating into the hybrid. A meandered open-circuited quarter-wave (at the LO frequency) stub placed on the RF/IF side of the diode pairs is included to provide an LO ground. A quarter-wave (at the RF frequency of 8 GHz) open-circuited stub placed at the IF port is used to separate the IF output

from the RF input. In addition, a tuning stub is included to idle mixing products generated with the fourth harmonic of the LO ). This stub is the only idler used and is (i.e., at necessary to realize an eighth-order mixer with a quadrature hybrid. Alternatively, a four-way power divider/phase shifter with progressive phase offsets of 45 would allow this idler to be omitted. The idler stub presents a short circuit at both (where it is long) and (where it is long). A lumped chip capacitor of 0.3 pF is included at the RF port as a dc/IF block. Two antiparallel beam lead diode chips are soldered to the circuit at the positions indicated in Fig. 2(b). These chips are model MG S902 GaAs Schottky diodes manufactured by Aeroflex/Metelics Inc., Sunnyvale, CA, and their electrical parameters are provided in Table I. Identical microstrip transmission lines on either side of the diode chips [labeled A–C in Fig. 2(b)] are tailored to optimize the embedding impedance presented to the diodes and minimize the mixer conversion loss. This optimization is performed with Agilent’s Advanced Design System (ADS) software using device parameters provided by the manufacturer. The dimensions of these microstrip lines are provided in Table II. IV. MEASUREMENTS The prototype eighth-order mixer was characterized using a pair of microwave sources (an Agilent E8247C PSG signal generator and an HP8720C vector network analyzer) for the LO and RF signals and a spectrum analyzer (HP8565E) to measure the IF output. To confirm elementary operation of the mixer, the IF response of the mixer was measured as a function of mixing index , where . For this measurement, the LO freGHz), the frequency of the quency was fixed (at RF input was varied, and the IF output at MHz was measured. Fig. 3, which shows the result of this measurement, clearly demonstrates that the largest IF response of the mixer . The next largest response occurs for an RF input at and is 25 dB lower than occurs for a mixing index of the eighth-order product. All other mixing products measured were more than 30 dB below the fundamental response. The

2980

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 3. Upper sideband conversion loss versus mixing index (n) where f = f nf . The LO frequency is fixed at 0.99 GHz with 12.5 dBm of available power. The IF is fixed at 50 MHz.

0

Fig. 4. Measured and simulated USB conversion loss versus RF frequency, f = 0:05 GHz, and optimum LO pump power at each frequency.

simulation shown in Fig. 3 was carried out with Agilent’s ADS software. Fig. 4 shows the measured single (upper) sideband conversion loss as a function of RF frequency. During this measurement, the IF output remains fixed at 50 MHz and the LO frequency is tuned appropriately. Moreover, the LO power is adjusted to minimize the conversion loss at each measurement frequency. A minimum conversion loss of 8 dB is achieved at an RF frequency of 7.97 GHz with a 3-dB bandwidth of approximately 400 MHz (5%). Although the frequency response of the mixer is ultimately limited by the power divider/phase shifter, the bandwidth limitations evident in the present implementation result primarily from the stub tuners and filters. The LO power required for minimum conversion loss as a function of LO frequency is shown in Fig. 5. At the design frequency of 8 GHz, the required LO power is 12.5 dBm (approximately 6.5 dBm per diode). Over the 7.33–8.37-GHz band, the conversion loss is lower than 16 dB with no greater than 14 dBm of pump power required. Fig. 6 shows the mixer conversion loss as a function of LO power (with RF fixed at 7.97 GHz, LO at 1 GHz, and IF of 50 MHz).

Fig. 5. Measured LO power for optimum conversion loss versus LO frequency, = 0:05 GHz. f

Fig. 6. Measured and simulated USB conversion loss versus LO power, f = 0:99 GHz, and f = 0:05 GHz.

7:97 GHz, f

=

Fig. 7. Simulated mixer conversion loss as a function of phase error.

Critical to the operation of the mixer is proper phasing and amplitude balance of the pumps signals fed to the various diode pairs. Fig. 7 shows the simulated conversion loss of the eighthorder mixer as a function of hybrid phase error. Clearly, performance of the mixer drops with increasing phase error (from

LIU AND WEIKLE: HIGH-ORDER SUBHARMONICALLY PUMPED MIXERS USING PHASED LOs

Fig. 8. Measured and simulated RF–IF isolation versus RF frequency.

2981

Fig. 10. Measured and simulated isolation between the LO and RF ports as a function of LO frequency. Also shown is the measured leakage of the eighth harmonic of the LO to the RF port.

V. DISCUSSION

Fig. 9. Measured and simulated LO–IF isolation versus LO frequency.

90 ), but the architecture can tolerate phase errors as great as 10 and yield a conversion loss better than 12 dB. A common issue in mixer designs that employ multiple diodes is insufficient LO-to-RF or LO-to-IF isolation, which may prevent adequate LO power from being applied to the mixer. Significant LO leakage to the IF port, for instance, may limit the dynamic range or saturate subsequent low-noise amplifiers in the IF output chain. Consequently, isolation between the various mixer ports is a parameter of interest for the mixer architecture described here. Leakage between the different ports was measured under the same conditions as those used for Fig. 4. Figs. 8 and 9 show the isolation of the IF port as a function of LO and RF frequency, respectively. In general, the IF isolation is better than 20 dB, and is maximum (greater than 35 dB) near the design frequency. For the LO-to-IF isolation measurement, a matched termination was placed at the RF port. Measured isolation between the LO and RF ports is given in Fig. 10. For this measurement, the IF port is matched. Note that leakage of both the LO and the eighth harmonic of the LO to the RF port are considered. Since the eighth LO harmonic is near the RF frequency, it is not readily filtered out. The mixer exhibits an LO-to-RF isolation typically better than 40 dB over the range of measurements.

A new high-order low conversion-loss subharmonically pumped mixer architecture has been described and prototyped. An eighth-order proof-of-concept implementation of the architecture has yielded an upper sideband conversion loss of 8 dB with a 12.5 dBm of LO pump power. The measured upper-sideband conversion loss is no worse than 16 dB over the RF range of 7.33–8.37 GHz. To the authors’ knowledge, this represents the lowest conversion loss for an eighth-order subharmonically pumped mixer thus far reported in literature. The new architecture holds promise for significantly lowering the required LO frequency for millimeter- and submillimeter-wave mixers, providing an attractive alternative to more conventional fundamental or lower order subharmonically pumped mixers. The crucial component to the mixer architecture is an appropriately designed power divider/phase shifter. For mixers using two antiparallel diode pairs, a quadrature hybrid such as a Lange coupler or a branch-line coupler can serve as the power-divider/90 phase shifter. Since the power divider/phase shifter operates at the LO frequency—which is significantly lower than the RF—adaptation of this circuit topology to the millimeter- and submillimeter-wave bands is expected to be relatively straightforward. High-order mixers utilizing more than two antiparallel diode pairs can employ corporative feeding networks (such as those used extensively for phased-array systems) for proper phasing of the LO. Alternatively, a MMIC-based oscillator core that produces multiple outputs with a prescribed phase offset, such as that described by Hashemi et al. [20] may be used. ACKNOWLEDGMENT The authors are grateful to Dr. T. Kerr, National Radio Astronomy Observatory, Charlottesville, VA, for his helpful comments and suggestions, as well as for pointing out the earlier references on subharmonically pumped mixers. The authors also acknowledge D. Hui, University of Virginia, Charlottesville, for providing valuable assistance during the preparation of this paper’s manuscript.

2982

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

REFERENCES [1] W. L. Carlson, “High frequency signal conversion system,” U.S. Patent 2 706 775, Apr. 19, 1955. [2] A. A. M. Saleh, Theory of Resistive Mixers. Cambridge, MA: MIT Press, 1971, p. 167. [3] J.-D. Büchs, “Ein subharmonisch gesteuerter Schottkydiodenmischer in streifenleitungstechnik,” Arch. Elektron. Uebertag., vol. 25, pp. 52–53, 1971. [4] M. V. Schneider and W. W. Snell, “Stripline downconverter with subharmonic pump,” Bell Syst. Tech. J., vol. 53, no. 6, pp. 1179–1183, Jul.–Aug. 1974. [5] ——, “Harmonically pumped stripline down-converter,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 3, pp. 271–275, Mar. 1975. [6] M. Cohn, J. E. Degenford, and B. A. Newman, “Harmonic mixing with an antiparallel diode pair,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 12–14, 1974, pp. 171–172. [7] ——, “Harmonic mixing with an antiparallel diode pair,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 8, pp. 667–673, Aug. 1975. [8] S. A. Maas, Microwave Mixers. New York: Artech House, 1993. [9] A. R. Kerr, “Noise and loss in balanced and subharmonically pumped mixers: Part I—Theory,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 12, pp. 938–943, Dec. 1979. [10] ——, “Noise and loss in balanced and subharmonically pumped mixers: Part II—Application,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 12, pp. 944–950, Dec. 1979. [11] T. F. McMaster, M. V. Schneider, and W. W. Snell, “Millimeter-wave receivers with subharmonic pump,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 12, pp. 948–952, Dec. 1976. [12] E. R. Carlson, M. V. Schneider, and T. F. McMaster, “Subharmonically-pumped millimeter-wave mixers,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 10, pp. 706–715, Oct. 1978. [13] T. Y. Yum, Q. Xue, and C. H. Chan, “Novel subharmonically pumped mixer incorporating dual-band stub and in-line SCMRC,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2538–2547, Dec. 2003. [14] M. W. Chapman and S. Raman, “A 60-GHz uniplanar MMIC 4 subharmonic mixer,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2580–2588, Nov. 2002. [15] Q. Xue, K. M. Shum, and C. H. Chan, “Low conversion-loss fourth subharmonic mixers incorporating CMRC for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1449–1454, May 2003. [16] T. J. Ellis and G. M. Rebeiz, “A planar circuit design for high order subharmonic mixers,” in IEEE MTT-S Int. Microw. Symp. Dig., Denver, CO, Jun. 1997, pp. 1039–1042. [17] D. N. Held and A. R. Kerr, “Conversion loss and noise of microwave and millimeter-wave mixers: Part I—Theory,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 2, pp. 49–55, Feb. 1978.

2

[18] K. Lee, J. Park, J.-W. Lee, S. W. Lee, H. K. Huh, D.-K. Jeong, and W. Kim, “A single-chip 2.4-GHz direct-conversion CMOS receiver for wireless local loop using multiphase reduced frequency conversion technique,” IEEE J. Solid-State Circuits, vol. 36, no. 5, pp. 800–809, May 2001. [19] J. W. Archer and M. G. Shen, “176–200 GHz receiver module using indium phosphide and gallium arsenide MMICs,” Microw. Opt. Technol. Lett., vol. 43, no. 6, pp. 458–462, Dec. 2004. [20] H. Hashemi, X. Guan, A. Komijani, and A. Hajimiri, “A 24-GHz SiGe phased-array receiver—LO phase-shifting approach,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 614–626, Feb. 2005.

Zhiyang Liu (S’02–M’04) received the B.S. degree in astrophysics from Peking University, Beijing, China, in 1997, and the M.A. degree in astronomy and Ph.D. degree in electrical engineering from the University of Virginia, Charlottesville, in 1999 and 2004, respectively. He is currently a Post-Doctoral Research Associate with the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia, Charlottesville.

Robert M. Weikle II (S’89–M’92–SM’05) received the B.S. degree in electrical engineering and physics from Rice University, Houston, TX, in 1986, and the M.S. and Ph.D. degrees from the California Institute of Technology, Pasadena, in 1987 and 1992, respectively. In 1992, he was a Post-Doctoral Researcher with Chalmers University of Technology, Göteborg, Sweden. Since 1993, he has been a member of the faculty with the University of Virginia, Charlottesville, where he leads a research group in the study of submillimeter-wave electronics, terahertz semiconductor and superconductor devices, and high-frequency measurement techniques. During the 2001–2002 academic year, he was a visiting Fulbright Scholar with Chalmers University of Technology. Prof. Weikle is a member of Phi Beta Kappa, Tau Beta Pi, and Eta Kappa Nu. He was a corecipient of the 1993 IEEE Microwave Prize for his work on quasi-optical power-combining techniques.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

2983

G-Band Metamorphic HEMT-Based Frequency Multipliers

Yolanda Campos-Roca, Member, IEEE, Christoph Schwörer, Arnulf Leuther, and Matthias Seelmann-Eggebert

Abstract—Two monolithic -band active frequency multipliers have been designed and fabricated using coplanar-waveguide technology. The monolithic microwave integrated circuits are a frequency tripler for an output frequency of 140 GHz and a 110–220-GHz frequency doubler. The tripler demonstrates a maximum conversion gain of 11 dB for an input power of 9 dBm, whereas the doubler achieves a conversion gain of 7 dB for a 2.5-dBm input signal. The circuits have been realized using two InAlAs/InGaAs-based metamorphic high electron-mobility transistor processes with different gate lengths of 100 and 50 nm, respectively. Index Terms—Coplanar waveguide (CPW), frequency mul-band, harmonic terminations, metamorphic high tiplier, electron-mobility transistor (MHEMT), millimeter wave, monolithic microwave integrated circuit (MMIC).

I. INTRODUCTION HE atmospheric windows at 140 and 220 GHz are of great interest for several applications like remote atmospheric sensing, aircraft landing, through-wall imaging, concealed weapon detection, or the next generation of automotive collision-avoidance radar. An important limitation in the development of the RF subsystems for these applications is the lack of high-performance low-cost signal sources. In order to achieve a cost-effective production, usually a highly integrated solution is preferred. Besides the cost, monolithic microwave integrated circuits (MMICs) can provide the size and weight advantages needed for these subsystems. Achieving good phase-noise performance by means of a voltage-controlled oscillator (VCO) at these high frequencies is difficult. However, signal generation can be implemented by frequency multiplication from a lower frequency source. This second option has the advantage of allowing the use of a superior oscillator technology in terms of stability and phase noise at lower frequencies. Frequency multipliers can be based on transistors (active type) or on diodes (passive type). Beyond 100 GHz, frequency multipliers are usually of the second type (see [1] and [2]

T

Manuscript received November 20, 2005; revised March 2, 2006. This work was supported by the German Ministry of Education and Research, by the Fraunhofer Institut für Angewandte Festkörperphysik, and by the Regional Government Junta de Extremadura, Spain under Project PRI 2PR02A052. Y. Campos-Roca is with the Departamento de Informática, University of Extremadura, E-10071 Cáceres, Spain (e-mail: [email protected]). C. Schwörer was with the Fraunhofer Institut für Angewandte Festkörperphysik, D-79108 Freiburg, Germany. He is now with Siemens VDO Automotive AG, D-88131 Lindau, Germany. A. Leuther and M. Seelmann-Eggebert are with the Fraunhofer Institut für Angewandte Festkörperphysik, D-79108 Freiburg, Germany. Digital Object Identifier 10.1109/TMTT.2006.877034

for Schottky-diode based multipliers, and [3] and [4] for heterostructure barrier varactor (HBV) multipliers). However, advances in the field-effect transistor (FET)’s high-frequency (HF) performance have made transistor-based electronics in the 140–220 GHz ( -band) frequency range realizable. In contrast to the passive type, active multipliers show higher input–output isolation and are very suitable for monolithic integration with oscillators and amplifiers. InP-based high electron-mobility transistors (HEMTs) have been shown to be the best performing three-terminal devices, achieving excellent results in the considered frequency range. The combination of high gain and low noise has been demonstrated by many realized devices and circuits with operating frequencies beyond 100 GHz. Regarding frequency multipliers, [5] and [6] present examples of InP-based active doublers at 164 and 180 GHz, respectively. However, a drawback of InP-based HEMTs is the substrate itself. Manufacturing these devices at a high production level is difficult due to its brittle nature, high cost, and limited wafer size availability. To combine the advantages of GaAs substrates with the advantages of InP-based heterostructures, metamorphic InGaAs/ InAlAs quantum-well structures can be grown on GaAs [7], [8]. To accommodate the lattice mismatch between the device active layers and the GaAs substrate, a compositionally graded metamorphic buffer is grown. This buffer layer traps dislocations, preventing them from propagating into the device channel. Advantages of this metamorphic high electron-mobility transistor (MHEMT) technology based on GaAs instead of InP substrates include better mechanical stability, larger wafer size availability, and, therefore, lower cost. Due to the good low-noise properties of MHEMT transistors, most of the results at circuit level reported up to now concern low-noise amplifiers. However, as the technology gradually matures, it is to expect that the set of possible circuit applications broadens. Regarding frequency multipliers, to the knowledge of the authors, only a -band single-ended frequency doubler [9] and a balanced -band doubler [10] have been reported thus far. In this paper, two compact single-ended -band frequency multipliers are presented. The first circuit is a 110–220-GHz frequency doubler. The MMIC has been developed using a 50-nm gate-length MHEMT process. The second chip is a frequency tripler for an output frequency of 140 GHz. In this case, the MMIC is based on a 100-nm gate-length MHEMT process. To the knowledge of the authors, this is the first active frequency tripler reported at frequencies above 100 GHz. The highest frequency active tripler previously published is a chip for an output frequency of 99.5 GHz [11].

0018-9480/$20.00 © 2006 IEEE

2984

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

TABLE I ELECTRICAL DC AND RF PARAMETERS OF THE 100- AND 50-nm GATE-LENGTH MHEMT PROCESSES

Fig. 1. Schematic layer structure of the MHEMTs.

Active triplers or higher order multipliers are found less usually in the literature than frequency doublers. One of the reasons is that the design difficulty increases with the multiplication factor. When designing a doubler, the device provides a strong second-order nonlinearity. However, when the multiplication factor is increased, the levels of unwanted lower order harmonics are high compared to the desired harmonic level. In this study, the design difficulty has been overcome by developing a design strategy based on the investigation of the influence of the harmonic terminations. This paper is organized as follows. In Section II, a brief description of the technology is given. The design of both circuits is described in Section III. Section IV deals with the characterization of both multiplier MMICs. Finally, some conclusions are drawn in Section V. II. TECHNOLOGY The metamorphic HEMT processing technology used in this study has been developed at the Fraunhofer Institut für Angewandte Festkörperphysik (Fraunhofer IAF), Freiburg, Germany [12]. The MHEMT structures are grown on 4-in semi-insulating GaAs substrates by molecular beam epitaxy (MBE). Two processes with different gate lengths (100 and 50 nm) are available. The tripler MMIC is based on the former, whereas the latter is used by the doubler. The channel of the 100-nm process is based on an In Al As In Ga As In Ga As heterostructure capped with a n -doped InGaAs layer. To adapt the lattice constant, a metamorphic buffer was grown with a linear In Al Ga As transition in composition. Fig. 1 shows the layer structure of these devices. Gate definition is performed using 100-kV electron beam lithography with a JEOL JBX 9300-FS in a three-layer (PMMA) resist process. A Pt–Ti–Pt–Au layer sequence is used for the gate metallization. A T-gate with a large cross section is developed for reducing the gate resistance and improving the device noise figure. The active device area is mesa defined using a chemical wet etch, and NiGeAu was deposited for ohmic contacts, which were alloyed on a hot plate. The gate recess was etched using a succinic acid-based solution. The electrical dc and RF parameters of the 100-nm MHEMT technology are summarized in Table I. An extrinsic transit frequency ( ) of 220 GHz and a maximum oscillation frequency ( ) of 300 GHz have been achieved for a 2 30 m common-source device. At a drain–source bias of 1.2 V, a

Fig. 2. Measured dc I–V characteristics of the 100-nm gate-length MHEMTs.

maximum extrinsic transconductance of 1200 mS/mm has been obtained. The off-state breakdown voltage of the transistors is 4.3 V and the on-state breakdown voltage is approximately 3 V. The measured dc I–V characteristics of the 100-nm gate-length MHEMTs are given in Fig. 2. The reliability of this process was determined by accelerated lifetime tests, which were performed in air at V. The failure criterion was a 10% degradation of . Based on a log-normal distribution, an activation energy of 1.8 eV and a median time to failure of 3 10 h at a channel temperature of 125 C were obtained. The 50-nm gate-length MHEMT process was developed to extend the frequency range up to submillimeter-wave frequencies. The 50-nm gate was realized by using 100-kV electron beam lithography with a JEOL JBX 9300-FS in combination with a four-layer resist (PMMA) process. Furthermore, the channel indium content was increased to 80%, leading to an improved transit frequency of approximately 380 GHz. The electrical dc and RF parameters of the 50-nm MHEMT technology are summarized in the last column of Table I. With respect to the long-term stability of this process, the results were an activation energy of 1.6 eV and a median lifetime of 2 10 h. The conditions and failure criterion were the same described as above for the 100-nm process. The processes further include 250-nm-thick chemical vapor deposited (CVD) SiN passivation, 50- sq NiCr thin-film resistors, 0.225-fF m metal–insulator–metal (MIM) capacitors,

CAMPOS-ROCA et al.:

-BAND METAMORPHIC HEMT-BASED FREQUENCY MULTIPLIERS

2985

Fig. 3. Large-signal equivalent circuit of MHEMTs.

and two levels of metal interconnects with a 2.7- m-thick plated Au layer air-bridge technology. Coplanar waveguide (CPW) technology is very attractive at millimeter- and submillimeter-wave frequencies due to the simplified fabrication without the need of backside processing, lower dispersion, high isolation between adjacent lines, and its compatibility for flip-chip packaging. III. DESIGN APPROACH BASED ON HARMONIC TERMINATING IMPEDANCES Both frequency multiplier designs are based on a commonsource single-ended topology. No buffer amplifiers are used. In order to obtain the best possible performance, a design approach based on the optimization of the harmonic terminations has been performed. In the doubler case, the number of terminations to control is practically four: fundamental and second harmonic at the input and at the output of the transistor [13], [14]. However, when the multiplication order increases, the design task becomes more complicated since the number of unwanted harmonics that are generated with high efficiency also increases [15], [16]. In practice, it is extremely difficult to synthesize networks that provide optimum terminations to all present harmonic frequencies. Thus, it is very important to study the influence of each termination. To do this, multiharmonic load–pull simulations have been performed. The goal is to quantify the effect of the different harmonic terminations on multiplier performance. Once this influence is known, suitable weights can be assigned to different goals (one for each relevant harmonic termination) during the linear optimization process of input and output networks. For the simulation of the transistors, an in-house analytical large-signal model from the Fraunhofer IAF has been used. The model topology is illustrated in Fig. 3. The model includes two charge sources assigned to the source- and drain-sided part of the gate charge, which are controlled by low-pass filtered voltages. The low-pass filters (indicated by the delay signs) are described by bias-independent time constants of approximately 0.5 ps. The nonlinear charge functions are chosen to be complementary in the sense that the small-signal low-frequency limit results in a symmetric capacitance matrix. These delayed voltages also control the drain current. Low-frequency dispersion is

Fig. 4. Transconductance as a function of V

with V

as a parameter.

taken into account by the use of two separate current sources. In Fig. 3, low- and high-pass filters indicate that the dc and HF current sources are active only at low and high frequencies, respectively. In both current sources, self-heating is implemented by a temperature-dependent factor. Temperature variation is related to power consumption by a simple linear differential equation according to a low-pass filter. The relaxation time of the low-frequency dispersion and the thermal effects is a very insensitive parameter, as long as it is a few orders smaller than the frequency of operation. All model functions for charge and currents satisfy for all biases the drain–source symmetry required for a symmetric device and are scalable with gatewidth. The intrinsic transistor is embedded in a parasitic shell containing resistors, inductors, and capacitors, which scale with number and length of gate fingers. The values of the parasitic elements was determined from -parameter datasets by a multibias procedure and resulted in good agreement up to a frequency of 120 GHz. The parasitic pad capacitors were found to be less than 20 fF. For a 4 45 m 100-nm gate-length transistor, the multibias extraction yields , , pH, pH, and pH. The behavior of the nonlinear elements as a function of the control voltages is represented in Figs. 4–6. They also correspond to a 100-nm gate-length device with a 4 45 m geometry. All the simulations were performed using Agilent’s Advanced Design System (ADS) software. Fig. 4 shows the modeled HF transconductance as a function of the gate–source voltage with the drain–source voltage as a parameter. Fig. 5 shows the modeled HF output conductance as a function of with as a parameter. The modeled nonlinear capacitances as a function of (with as a parameter) are given in Fig. 6. The dominant nonlinear source for both frequency multiplier designs is the transconductance dependence with . Fig. 7 shows the simulation setup. Ideal harmonic terminations have been placed at the device input and output to allow variation of the termination at each harmonic in an independent way without affecting the other frequency components. The implementation is based on impedance black boxes, available in

2986

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 8. Schematic circuit diagram of the 110–220-GHz doubler.

Fig. 5. Output conductance as a function of V

with V

as a parameter.

nations must be purely reactive in order to avoid unnecessary power losses, and there is always an optimum phase of the reflection coefficient, which maximizes the conversion gain. The idea is to reflect unwanted components with the right phase to constructively add to the wanted harmonic. In a real transistor, the inner feedback and the presence of reactive-type components make multiplier performance very sensitive to the phase of the source and load reflection coefficients at the different frequency components. This is mainly a linear circuit effect. This fact was not considered by the conventional theory about frequency multiplication [17]. Based on the assumption that the active device is simply represented by a transfer characteristic, this theory proposes placing ideal short or open terminations at unwanted frequency components. The consideration of the inner feedback and the reactive components in the transistor model introduces a big complexity in the design problem in relation to the ideal case, especially for multiplication factors higher than 2. When it is not possible to synthesize the optimum terminations to all relevant frequencies, a compromise solution must be found. The designs of the two circuits (doubler and tripler) are considered below. Besides the results of the multiharmonic load–pull simulations, some design strategies that follow from these results are also explained. A. Design of a 110/220-GHz Single-Stage Doubler

Fig. 6. Nonlinear capacitances as a function of V

with V

as a parameter.

Fig. 7. Multiharmonic load–pull simulation setup. The terminations are represented as reflection coefficients.

ADS. They allow to define either the impedance or the reflection coefficient by means of conditional sentences. These terminations are represented as reflection coefficients ( and ) on the schematic. The magnitudes of the reflection coefficients are denoted by or , whereas the phases are denoted by or . In both cases, the input termination at the fundamental and the output termination at the desired harmonic must provide conjugate matching under large-signal conditions. All other termi-

The circuit is based on a 2 30 m MHEMT. The bias point was selected near the pinchoff region in order to generate a drain current with high second harmonic content [17]. The schematic circuit diagram of the doubler is shown in Fig. 8. The component values are fF, fF, fF, , , , , and , , , and , where is the fundamental wavelength. Two parallel quarter-wave open-ended stubs can be observed at the output in order to synthesize a reactive termination at the fundamental frequency. The use of a double stub increases the bandwidth in comparison to the solution based on a single stub. The influence of this termination on doubler performance is shown in Fig. 9. Here, the conversion gain, normalized with respect to the maximum value, is plotted as a function of . The other terminations were set to their optimum values, determined by means of an initial global optimization of all terminations. The optimum values are , , , , , , , and

CAMPOS-ROCA et al.:

-BAND METAMORPHIC HEMT-BASED FREQUENCY MULTIPLIERS

2987

Fig. 9. Variation of conversion gain versus load reflection coefficient at the fundamental frequency. The device is operated as frequency doubler from 110 to 220 GHz.

Fig. 10. Variation of conversion gain versus source reflection coefficient at the second harmonic with the input power level as a parameter. The device (MHEMT) is operated as frequency doubler from 110 to 220 GHz.

. At higher harmonics, the terminations have a very small influence. They have been set to ideal short circuits in the simulation. The simulation has been performed for different values of the magnitude . The curves versus have similar shapes, although the maximum variation of conversion gain becomes lower as decreases. Two of the resulting curves are shown in Fig. 9 for (practically ideal reactive termination) and . The latter corresponds to the value finally obtained by simulation of the synthesized CPW load network. The optimum phase of the load reflection coefficient at the fundamental ( ) is slightly lower than radians (observe the dashed line in Fig. 9). This phase is easily obtained by separating the double stub ( , in Fig. 8) and the active device through a transmission line with a small length ( ). The output network after the double stub provides large-signal conjugate matching at the second harmonic. However, a different design approach has been used at the input. Here it is also necessary to control the fundamental and second harmonic terminations. In general, it is difficult to synthesize a passive network, which provides optimum terminations at both frequencies. A linear optimization of a network based on a low-pass topology has been performed in order to achieve the best compromise between conjugate matching at the fundamental frequency and an optimum reactive terminating impedance at the second harmonic. This compact low-pass input network includes a shunt MIM capacitor. CPW technology offers the possibility of using this type of capacitors up to very high frequencies. Fig. 10 shows the influence of on doubler performance. The simulations have been performed for (final value obtained by simulation of the synthesized CPW source network). The other terminations were set to their optimum values (indicated above). This plot justifies why a different design approach has been used in comparison to the usual one (a quarter-wave short-circuited stub, to create a reactive termination, combined with a transmission line, whose length

). In Fig. 10, is calculated to obtain the optimum phase of the best values in terms of conversion gain are in the range between and rad (in this range, the variation is small). In order to achieve a suitable value by using the conventional solution, at least a transmission line would be required, which would be too large for a compact design. Thus, this approach is not recommendable. However, the value obtained in this design by using the proposed CPW low-pass network is very close to 0 rad (see dashed line in Fig. 10). The three curves in Fig. 10 correspond to different input power levels. As can be observed, the range of values, which provide good conversion gain, does not vary appreciably with the drive level. The best conversion gain was obtained at an input power level of 0 dBm. B. Design of a 46.6/140-GHz Single-Stage Tripler In this case, the active device is a 4 45 m FET cell. For the considered MHEMTs, the maximum third harmonic conversion gain is obtained when the transistor is operating in class AB. Thus, multiharmonic load–pull simulations have been performed for a bias point in this region. Besides the source and load terminations at the fundamental and desired harmonic, the second harmonic terminations must also be taken into account in a tripler design. Figs. 11 and 12 show the influence of the load fundamental and second harmonic terminations on tripler performance. In Fig. 11, and the other terminations were set to their optimum values, previously determined by means of an initial global optimization of all terminating impedances. These optimum values are , , , , , , , , , , , and . In Fig. 12, two curves are represented. One of them corresponds to the case that all other terminations and were set to the optimum values (indicated above). The second curve represents the results in the case that all other terminations and

2988

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 13. Schematic circuit diagram of the 140-GHz tripler.

Fig. 11. Variation in conversion gain of MHEMT as frequency tripler from 46.6 to 140 GHz versus load reflection coefficient at the fundamental.

Fig. 14. Transmission coefficient of the filtering network at the output of the tripler.

Fig. 12. Variation in conversion gain of MHEMT as frequency tripler from 46.6 to 140 GHz versus load reflection coefficient at the second harmonic.

were set to the final simulated values obtained in the design (once the source and load CPW networks have been calculated). These values are , , , , , , , , , , , and . As can be observed in Fig. 12, the difference between both curves is larger in the range of sharp variation (for between and ). This range must be avoided when there are several terminations playing an important role (so that it is extremely difficult to obtain the optimum impedances at all relevant harmonics). The reason is that the design would be very sensitive to the other terminations. Therefore, it is more recommendable to lose some conversion gain and synthesize a network, which provides a value in a flatter region of the curves. As it can be observed, these flatter regions are less affected by load interactions. Analogous conclusions could be drawn taking the frequency into account. If the frequency were increased or decreased with

respect to the center value (46.6 GHz), the curves in Fig. 12 would keep their shapes, but shift slightly, i.e., the values where the maximum and minimum conversion gain is achieved would move slightly to the left or to the right. Thus, the best way of achieving a good performance in terms of bandwidth is also values where the conversion gain to avoid the ranges of varies sharply. In these ranges, there is a high dependence of the conversion gain on the frequency. This also applies to other harmonic terminations, not only to . In the design of odd-order multipliers, it is not possible to impose a reactive termination to the fundamental at the output by means of a quarter-wave open-circuited stub since this stub would also eliminate the desired harmonic. Instead, a shorter stub with a shunt capacitor has been used (observe components , , and in Fig. 13). This stub provides fundamental suppression, while the second minimum of the corresponding transmission coefficient lies far away from the third harmonic frequency. In order to synthesize a reactive termination at the second harmonic, an open-circuited stub, a quarter-wave long at this frequency, has been used. Fig. 14 shows the transmission coefficient of the resulting filtering network. In order to achieve a good value of and , a transmission line has been placed between the MHEMT drain and stubs. Since it was not possible to achieve the optimum phase of both terminations with just one parameter, the length of this line has been chosen as a compromise solution between both. The resulting terminations are indicated by dashed lines in Figs. 11 and

CAMPOS-ROCA et al.:

-BAND METAMORPHIC HEMT-BASED FREQUENCY MULTIPLIERS

2989

, where

, and is the fundamental wavelength.

IV. PERFORMANCE OF BOTH MULTIPLIER MMICS After fabrication, the frequency multiplication characteristics of both circuits were tested on-wafer. The following sections describe the experimental characterization of both MMICs. A. 110/220-GHz Doubler

Fig. 15. Variation in conversion gain of MHEMT as frequency tripler from 46.6 to 140 GHz versus source reflection coefficient at the second and third harmonics. The dashed and dashed–dotted lines indicate the final simulated and values, respectively, obtained in the design.

9

9

12. Fig. 11 shows how the resulting value, similar to in Fig. 12, is also far away from the high slope region of the curve. The other components of the load network, after the stubs, are chosen to provide conjugate matching at the third harmonic. Regarding the input network, three terminations were considered in the multiharmonic load–pull simulations. Fig. 15 shows the influence of the source second harmonic and third harmonic terminations on tripler performance. In each case, the terminations not involved in the sweep are set to the optimum values indicated above. As can be observed, both terminations play a less relevant role than and , with being the least relevant one. Similar to the doubler design, the CPW input network is based on a low-pass topology. In this case, this network has been optimized to provide the best compromise between conjugate matching at the fundamental and optimum second harmonic termination. The dashed line in Fig. 15 indicates the final simulated value that takes in this design. In this concrete case, the inclusion of an additional goal to control has a negligible influence on the result. The final value obtained is indicated by means of a dashed–dotted line in Fig. 15. Fig. 16 shows how the tripler conversion gain varies with the fundamental source termination and the third harmonic load termination. The smaller contours represent higher conversion gain. As can be observed, matching of the fundamental at the input is more critical than matching of the third harmonic at the output. The schematic circuit diagram of the tripler is shown in Fig. 13. The component values are fF, fF, fF, fF, , , , , , , , , ,

The chip photograph of the fabricated doubler is shown in Fig. 17. Due to the geometrical restrictions of the layout, one of the output stubs includes a right-angled bend. Since a bend has a smaller electrical length than a straight line, the global physical length of this lower stub has been increased to take this effect into account. The doubler MMIC has been tested on-wafer using a measurement setup based on the power sensor DPM-05 (140–220 GHz) from the company Elva-1, St. Petersburgh, Russia. Fig. 18 shows the schematic diagram of the measurement setup. As a -band source, an HP83650B signal generator followed by an HP83558A (75–110 GHz) frequency multiplier have been used. This source module gives roughly 0 dBm of output power over the entire -band. The module is followed by an in-house medium power amplifier. The connections at the input and output of the MMIC were done with WR-10 and WR-5 waveguides, respectively. The output power was measured with a -band picoprobe tip and fed directly into the power meter. The input and output power levels entering and leaving the doubler chip were corrected to take the losses of the wafer probes into account. These losses have been measured and are from 2 to 3 dB (in the case of the waveguide picoprobe model 220) and from 1 to 1.5 dB (in the case of the waveguide picoprobe model 120), both in the considered frequency range. The performance of this circuit is plotted in Figs. 19 and 20. The bias point was a gate voltage of 0.35 V and a drain voltage of 1.15 V. The doubler achieves a maximum conversion gain of 7 dB for a 2.5-dBm 110-GHz input signal and delivers a maximum output power of 3.7 dBm. The difference between simulated and measured performance in Fig. 19 comes from the fact that both the transistor model and the passive component models were extracted and verified with measurements up to 120 GHz, whereas the design has an output frequency of 220 GHz. In Fig. 20, the measurement curve corresponds to the frequency range from 180 to 220 GHz. The center frequency of the design was 220 GHz. Since the source module was limited to 110 GHz, it was not possible to measure above. The cutoff frequency of the WR-5 output waveguide is 118 GHz, assuring that no fundamental power could leak into the power meter. The use of a single-ended topology results in a very compact chip size 1 1 mm . The dc power consumption is also quite low (from 6 to 14 mW for input power levels from 1 to 4 dBm). B. 46.6/140-GHz Tripler A photograph of the fabricated MMIC is shown in Fig. 21. The chip has an area of 1 1.5 mm . The measurement configuration for the characterization of the tripler is shown in Fig. 22. In this case, the HP83650B signal

2990

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 16. Effect of source termination at the fundamental (left) and load termination at the third harmonic (right) on tripler performance. The stars represent the = 0 V, optimum terminations, which provide conjugate matching. Conversion gain contours for 1–3 dB below the maximum conversion gain are plotted. V V = 1:4 V, P = 5 dBm.

Fig. 18. Configuration of the on-wafer measurement system for the characterization of the 110/220-GHz doubler.

Fig. 17. Chip photograph of the 110/220-GHz doubler. It has a size of 1 1 mm .

2

generator was followed by the commercial amplifier Agilent 83051A (45 MHz–50 GHz). The input power was measured using an Omni Spectra 13-dB coupler (2–50 GHz) and an HP8487A (50 MHz–50 GHz) power sensor. The chip input and output are contacted by means of a Cascade ACP 50 probe and a -band waveguide picoprobe (model 170), respectively. The output is then connected to a DPM-06 (110–170 GHz) power sensor from the company Elva-1. Figs. 23 and 24 show the performance of the tripler as a function of the input power and frequency, respectively. The circuit achieves a maximum conversion gain of 11 dB for an input power of 9 dBm. It was possible to measure up to a maximum output power of 1.5 dBm, which was achieved for an input power of 9.5 dBm. Due to saturation of the driver amplifier, it was not possible to increase the input power further.

Fig. 19. Output power and conversion gain of the 110/220-GHz doubler as a function of the input power. V = 0:35 V, V = 1:15 V.

0

The given input and output power levels have been calculated taking the losses of the probes into account. The waveguide picoprobe model 170 has a measured insertion loss from 1.8 to 2 dB, whereas the Cascade ACP 50 probe shows losses from

CAMPOS-ROCA et al.:

-BAND METAMORPHIC HEMT-BASED FREQUENCY MULTIPLIERS

Fig. 20. Output power of the 110/220-GHz doubler as a function of the frequency for an input power of 2 dBm . V = 0:35 V, V = 1:15 V.

2991

Fig. 23. Measured and simulated output power and conversion gain of the 140-GHz tripler as a function of the input power. V = 0 V, V = 1:0 V.

0

Fig. 21. Chip photograph of the 140-GHz tripler. The chip size is 1

2 1.5 mm . Fig. 24. Measured and simulated output power of the 140-GHz tripler as a = 0 V, function of the input frequency for an input power of 8 dBm. V V = 1:0 V.

measure up to an output frequency of nearly 150 GHz. The bias point was a gate voltage of 0 V (class-AB condition) and a drain voltage of 1 V. In the considered range of input power levels, the circuit has a maximum dc power consumption of 40 mW. V. CONCLUSION

Fig. 22. Configuration of the on-wafer measurement system for the characterization of the tripler MMIC.

0.3 to 0.5 dB, both in the considered frequency range. Similar to the doubler case, the measurement curve in Fig. 24 (frequency sweep) is not symmetrical with respect to the center frequency of the design (140 GHz). The reason is again the frequency limitation of the source module. In this case, it was possible to

Submicrometer device scaling has made transistor-based electronics in the 140–220-GHz ( -band) frequency range realizable. Two monolithic MHEMT-based frequency multipliers have been designed, fabricated, and tested. The MMICs are a frequency doubler and a frequency tripler for output frequencies of 220 and 140 GHz, respectively. To the authors’ knowledge, the tripler is the first active one that has been published above 100 GHz. The successful implementation of the two demonstrated MMICs has proven the potential of MHEMT technology as a cost-effective alternative to InP for HF applications.

2992

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

ACKNOWLEDGMENT The authors would like to acknowledge the Technology Department, Fraunhofer Institut für Angewandte Festkörperphysik (Fraunhofer IAF), Freiburg, Germany, for MMIC processing. The authors would also like to thank H. Massler, Fraunhofer IAF, for measurements. The authors also highly appreciated the interest and support from A. Tessmann, Fraunhofer IAF, and M. Schlechtweg, Fraunhofer IAF. REFERENCES [1] A. Maestrini, J. S. Ward, J. J. Gill, H. S. Javadi, E. Schlecht, C. TriponCanseliet, G. Chattopadhyay, and I. Mehdi, “A 540–640-GHz highefficiency four-anode frequency tripler,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2835–2843, Sep. 2005. [2] T. Newman and N. Erickson, “A planar varactor array multiple chain to 300 GHz,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 135–138, 1999. [3] X. Mélique, A. Maestrini, R. Farré, P. Mounaix, M. Faureau, O. Vanbésien, J.-M. Goutoule, F. Mollot, G. Beaudin, T. Närhi, and D. Lippens, “Fabrication and performance of InP-based heterostructure barrier varactors in a 250-GHz waveguide tripler,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 1000–1006, Jun. 2000. [4] Q. Xiao, Y. Duan, J. L. Hesler, T. W. Crowe, and R. Weikle II, “A 5 mW and 5% efficiency 210 GHz InP-based heterostructure barrier varactor quintupler,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 4, pp. 159–161, Apr. 2004. [5] V. Radisic, M. Micovic, M. Hu, P. Janke, C. Ngo, L. Nguyen, L. Samoska, and M. Morgan, “164-GHz MMIC HEMT doubler,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 241–243, Jun. 2001. [6] Y. Kwon, D. Pavlidis, P. Marsh, M. Tutt, G. Ng, and T. Brock, “180 GHz InAlAs/InGaAs HEMT monolithic integrated frequency doubler,” GaAs IC Symp., pp. 165–168, 1991. [7] M. Haupt, K. Köhler, P. Ganser, S. Müller, and W. Rothemund, “Molecular beam epitaxy of Al0.48In0.52As/Ga0.47In0.53As heterostructures on metamorphic AlGaInAs buffer layers,” J. Cryst. Growth, vol. 175-176, no. 2, pp. 1028–1032, May 1997. [8] C. Whelan, P. Marsch, W. Hoke, R. McTaggart, C. McCarroll, and T. Kazior, “GaAs metamorphic HEMT (MHEMT): An attractive alternative to InP HEMTs for high performance low noise and power applications,” in Indium Phosphide Rel. Mater. Conf., May 2000, pp. 337–340. [9] Y. Campos-Roca, C. Schwörer, A. Leuther, M. Seelmann-Eggebert, and H. Massler, “A -band frequency doubler MMIC based on a 100 nm metamorphic HEMT technology,” IEEE Microw. Guided Wave Lett., vol. 15, no. 7, pp. 466–468, Jul. 2005. [10] C. Schwörer, Y. Campos-Roca, A. Leuther, A. Tessmann, M. Seelmann-Eggebert, H. Massler, M. Schlechtweg, and G. Weimann, “A 150 to 220 GHz balanced doubler MMIC using a 50 nm metamorphic HEMT technology,” Eur. Gallium Arsenide and Other Compound Semiconduct. Applicat. Symp., pp. 565–568, Oct. 2005. [11] H. Fudem and E. C. Niehenke, “Novel millimeter-wave active MMIC triplers,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 387–390, 1998. [12] A. Leuther, A. Tessmann, M. Damman, W. Reinert, M. Schlechtweg, M. Mikulla, M. Walther, and G. Weimann, “70 nm low noise metamorphic HEMT technology on 4 inch GaAs wafers,” Indium Phosphide and Rel. Mater. Conf., pp. 215–218, May 2003. [13] C. Rauscher, “High-frequency doubler operation of GaAs field-effect transistors,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 6, pp. 462–472, Jun. 1983. [14] P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, “On the optimum design of microwave active frequency doublers,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 1423–1426, 1995. [15] J. E. Johnson, G. R. Branner, and J. P. Mima, “Design and optimization of large conversion gain active microwave frequency triplers,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 7, pp. 457–459, Jul. 2005.

D

[16] G. Zhao, S. El-Rabaie, and F. M. Ghannouchi, “The effects of biasing and harmonic loading on MESFET tripler performance,” Microw. Opt. Technol. Lett., vol. 9, no. 4, pp. 189–194, Apr. 1995. [17] S. A. Maas, Nonlinear Microwave Circuits. Reading, MA: Artech House, 1988.

Yolanda Campos-Roca (M’05) was born in Guitiriz (Lugo), Spain, in 1970. She received the Dipl.-Ing. degree and Ph.D. degree in telecommunication engineering from the University of Vigo, Vigo, Spain, in 1994 and 2000, respectively. Her doctoral dissertation concerned the design of millimeter-wave frequency multipliers. From 1996 to 2000, she was with the Fraunhofer Institut für Angewandte Festkörperphysik (Fraunhofer IAF), Freiburg, Germany, as either a Guest Researcher or Staff Member. In 2000, she joined the University of Extremadura, Cáceres, Spain, as an Assistant Professor, and in 2002, became an Associate Professor. Her main research interests are in the area of MMIC design in the millimeter-wave range.

Christoph Schwörer was born in Freiburg, Germany, in 1973. He received the Dipl. Ing. degree in electrical engineering from the University of Ulm, Ulm, Germany, in 2000. From 2000 to 2002, he was with United Monolithic Semiconductors, Orsay/Paris, France, where he was involved in MMIC design for telecom applications. From 2002 to 2005 he was with the Fraunhofer Institut für Angewandte Festkörperphysik (Fraunhofer IAF), Freiburg, Germany, where he was involved with MMIC design and packaging in the frequency range up to 220 GHz. In 2005, he joined Siemens VDO Automotive AG, Lindau, Germany, where he is involved with advanced driver assistance systems on a RADAR basis.

Arnulf Leuther received the Dipl. Phys. degree and Ph.D. degree in physics from the Technical University of Aachen, Aachen, Germany, in 1992 and 1996, respectively. Since 1996, he has been with the Fraunhofer Institut für Angewandte Festkörperphysik (Fraunhofer IAF), Freiburg, Germany. His research has focused on the development of III/V-process technology for HF MMICs.

Matthias Seelmann-Eggebert received the Diploma degree and Ph.D. degree in physics from the University of Tübingen, Tübingen, Germany, in 1980 and 1986, respectively. From 1980 to 1996, he was involved with research and development related to infrared detectors based on HgCdTe and developed electrochemical and surface physical methods for the characterization of compound semiconductor surfaces. From 1990 to 1991, he was a Visiting Scientist with Stanford University. From 1997 to 2000, he was engaged in the growth of CVD diamonds. Since 2001, he has been with the Department of High Frequency Electronics, Fraunhofer Institut für Angewandte Festkörperphysik (Fraunhofer IAF), Freiburg, Germany, where he is concerned with the preparation and development of simulation models for active and passive III–V devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

2993

Phase-Noise Measurement Using Two Inter-Injection-Locked Microwave Oscillators Morteza Nick, Ali Banai, Member, IEEE, and Forouhar Farzaneh, Senior Member, IEEE

Abstract—Phase noise in two mutually coupled oscillators is analyzed by the describing function method, and the after-lock phase noise of the oscillators is calculated in terms of their free-running phase noise. A new phase-noise measurement technique based on inter-injection locking of two similar oscillators is proposed. Experimental results are presented, which confirm the theory. It is shown that in the case of zero phase of coupling coefficient, the system is in the optimum state where the only required parameter for the measurement is the locking bandwidth. In this optimum state, as far as the locking bandwidth is measured correctly, imperfections such as the frequency drift, parameters discrepancy, and nonlinear susceptance of the oscillators have no serious effect on the measurement accuracy. The proposed method is compared to the conventional ones. Index Terms—Describing function, inter-injection locking, microwave oscillator, phase noise, phase-noise measurement.

I. INTRODUCTION SCILLATORS are nonlinear time-varying systems due to the large-signal oscillation within their circuits. This makes the phase-noise analysis in the oscillators a challenging problem, which has been the area of investigation for several decades. There are three major approaches to the problem. The simplest approach to the phase-noise analysis uses a linear time invariant (LTI) model for oscillators. The well-known Leeson model for the phase noise is based on this method [1]. While often of great practical importance, this approach has two major defects. One is that it cannot correctly describe the up conversion of the low-frequency flicker noise into the phase noise around the carrier and the other is that it predicts infinite output power [2], [3]. Using a linear time variant (LTV) model for the oscillator, Hajimiri and Lee [3] has proposed a phase-noise analysis method, which explains this up-conversion phenomenon, but it again fails to correctly predict the phase noise at frequency offsets very close to carrier. To overcome this problem, a nonlinear analysis is required. Among these methods are the harmonic-balance and Monte Carlo methods, which are suitable for numerical calculation of phase noise [4], [5]. Demir [2] presents a general method that can correctly predict the spectrum of the phase noise, but it is more suitable for numerical calculations. Both the LTV and nonlinear approaches are mainly used for the numerical calculation of phase noise and they are not suitable for extracting closed-form relations for the phase noise. In this paper, the describing function concept, first introduced in [6], is

O

Manuscript received January 5, 2006; revised March 12, 2006. The authors are with the Electrical Engineering Department, Sharif University of Technology, Tehran, Iran (e-mail: [email protected]; banai@sharif. edu; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877423

used for the analysis of phase noise in two mutually coupled oscillators. Considering noise as a small perturbation around the steady-state point, a linear approximation of the describing functions is used to extract the dynamic equations. This is an LTI method, but since here after-lock phase noise is calculated in terms of the free-running phase noise, some drawbacks of the LTI models do not affect the analysis. For example, it is not required to regard the up-conversion phenomenon because the phase noise is not calculated in terms of the noise sources in the oscillator circuit. Phase noise in synchronized oscillators has been investigated by several authors. Kurokawa [7] has shown that in the near-carrier offsets, the phase-noise power spectral density of an externally injected oscillator is equal to that of the injected signal. Phase-noise analysis in inter-injection-locked (IIL) oscillators has been performed recently by Shumakher and Eisenstien [8] preceded by Chang et al. [9] and Makino et al. [10]. It is shown that in an array of synchronized oscillators, the near-carrier phase noise of the oscillators becomes that of their free-running values. None of the previous analyses are useful for phase-noise measurement by inter-injection locking. In this paper, phase noise in two mutually coupled oscillators is analyzed by a different approach and the after-locked phase noise is calculated in terms of the free-running phase noise of the oscillators, which would be suitable for phase-noise measurement. Due to the role of phase noise in the design of RF front-end systems, phase-noise measurement is of great importance. The phase detector and delay-line FM discriminator are two widely used methods for the measurement of phase noise. The former is expensive and complicated and the latter is of inferior performance due to the increased loss of long delay line at higher frequencies [11]. In addition, the conventional phase-detector method is not suitable for the phase-noise measurement of relatively unstable oscillators. In these types of oscillators, the oscillation frequency has a relatively wide span of variation requiring a wide loop bandwidth in the conventional phase-detector method, which limits the performance of the phase-noise measurement system. Zhang et al. [12] introduced a new method, which is similar to the phase-detector method, but it uses injection locking to synchronize the oscillators. Synchronizing the oscillators by injection locking is much simpler than phase-locked looping them. In addition, it is not required that one of the oscillators have the electronic tuning capability. In the injection-locking method, by approaching the carrier, the phase-noise floor of the system increases with a 20-dB/dec slope. In this paper, inter-injection locking is used to synchronize the oscillators for phase-noise measurement. In “injection locking,” one oscillator affects the other, while in “inter-injection locking,” the oscillators mutually interact with

0018-9480/$20.00 © 2006 IEEE

2994

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 1. Describing function model of an externally injected oscillator. resents the oscillator load.

G

Fig. 2. Describing function model of two inter-injected oscillators. rep-

each other. Thus, the dynamic equations are more complicated and the locking bandwidth is twice that of the injection-locking technique leading to easier synchronization. In this paper, phase noise in two mutually coupled oscillators is analyzed. Locked oscillator steady-state analysis has been performed in the authors’ previous paper [13], [14] and it is not repeated here. In Section II, dynamic equations of an externally injected oscillator are cited, which are the basis for the subsequent analysis. Phase noise in two mutually coupled oscillators is then analyzed and after-lock phase noise is calculated in terms of the free-running phase noise of the oscillators. In Section III, a new phase-noise measurement technique based on inter-injection locking of two similar oscillators is introduced. The results of Section II are used to analyze the proposed system. Experimental results are presented, which validate the analysis. The effect of imperfections such as the frequency drift, parameters discrepancy, and nonlinear susceptance of the oscillators are inspected and the proposed method for the phase-noise measurement is compared to the conventional ones. II. PHASE-NOISE ANALYSIS IN TWO MUTUALLY COUPLED OSCILLATORS A. Dynamics of an Externally Injected Oscillator When an external signal is injected into a free-running oscillator, the amplitude and phase of the oscillation are perturbed. Dynamic equations describe the behavior of the oscillator under injection. These equations are based on the describing function model of the oscillator [6]. In this model, the oscillator is separated into active (nonlinear) and passive (linear) parts. The active part, which powers up the oscillation, is represented by an amplitude-dependent describing function and the passive part, which includes the resonator and oscillator load, is modeled by a frequency-dependent admittance . The external signal is modeled by the current source (Fig. 1). It is noteworthy to state that, in practice, the active part is a weak function of frequency, which with good accuracy, can be regarded as frequency independent within the resonator bandwidth. In the presence of an injected signal, the oscillation is perturbed as

(1) In (1), and , respectively, represent the phase and amplitude perturbation around the free-running oscillation point .

), the dynamic In the case of weak injection ( equations have been derived in [15] and are cited here for subsequent reference as follows:

(2)

All the derivations in (2) are calculated in the free-running oscillation point. These equations are the basis for the subsequent analysis. B. Steady State of Two IIL Oscillators Suppose that two similar oscillators are mutually coupled to each other through a reciprocal path with the coupling coefficient of (Fig. 2). If the free-running frequency difference of the oscillators ( ) is less than a specific value, called the locking bandwidth ( ), the oscillators would be synchronized and oscillate with the same frequency ( ). Due to the free-running frequency difference, a steady-state phase difference ( ) is produced between the locked oscillators. Steady-state analysis has been performed in [13] and the results are as follows:

where

(3) (4)

is the average of the free-running freIn the above relations, quency of the oscillators and is the external quality factor of the oscillators. In (3) and (4), the effect of the nonlinear susceptance of the oscillator model has been neglected, which will be taken into account later in this paper. According to (4), the after-lock phase difference is a function of the free-running frequency difference of the oscillators. This fact is the key to the phase-noise analysis in the Section II-C. C. Phase-Noise Analysis in Two Mutually Coupled Oscillators Existing noise sources in the oscillator circuit perturb the phase of the output signal, thereby produce the phase noise. Noise sources in two free-running oscillators are independent, therefore their phase noises are also independent. In two IIL oscillators, the noise sources in one oscillator affect the phase noise of the other within the locking bandwidth; consequently the phase noise of the oscillators have joint sources and, thus,

NICK et al.: PHASE-NOISE MEASUREMENT USING TWO IIL MICROWAVE OSCILLATORS

are correlated. Here, the after-lock phase noise of the oscillators are calculated in terms of their free-running phase noise. This is required for the analysis of the phase-noise measurement setup introduced in Section III. The approach to compute the phase noise in mutually locked oscillators in this paper is different from what has been done in [9]. While in [9] the free-running phase noise and the after-lock phase noise are calculated separately in their corresponding circuit model, in this paper, we compute the after-lock phase noise directly in terms of the free-running phase noise as it will be followed. In addition to the phase noise (PM noise), amplitude noise (AM noise) is also produced by the existing noise sources in the oscillator circuit. PM noise and AM noise interact with each other through AM-to-PM and PM-to-AM conversions. According to (2), nonlinear susceptance of the oscillator model accounts for these conversions. It is well known that in the near-carrier offsets, AM noise is much smaller than PM noise, hence the amount of AM noise converted to PM noise has a small contribution in the total PM noise [7]. This justifies the neglect of AM noise in PM noise calculations here. By (2), one can easily observe that if AM noise is neglected, there would be no nonlinear susceptance effect in noise calculations. Thus, in order to evaluate the effect of nonlinear susceptance, AM noise should be included in the analysis, as will be done in Section III-C. Suppose two oscillators are of similar construction. It is assumed that they have the same oscillation amplitude and the same external quality factor, but they may have different phase noises. Once synchronized, they will both oscillate at frequency and will have a definite after-lock phase difference ( ) due to the difference in their free-running frequencies. The free-running frequency of each oscillator has small fluctuations ( ) around its nominal value ( ), which is called the free-running frequency noise. Frequency noise is related to the phase noise in the frequency domain by the relation . As was stated above, free-running frequency difference of the oscillators results in a steady-state after-lock phase difference between them. Consequently free-running frequency fluctuations of oscillators are translated into after-lock phase noise ( ). The relationship between and is now extracted. With respect to Fig. 2, one will have

2995

Note that the oscillators have the same amplitude and the AM noise is ignored here. is the after-lock phase noise of the oscillators. By substituting (5) into (2), one obtains

(6) In (6), the free-running frequency fluctuations have been introduced into the equations after the substitution of (5) into (2). Since free-running fluctuations are small, (6) is reduced to (7) by Taylor’s expansion

(7)

Equation (7) shows the relation of the after-lock phase noise to free-running frequency noise of the oscillators in the time domain. The term can be substituted with , which is half the locking bandwidth when . By this substitution, after Fourier transformation of both sides of (7) and by solving for and , one obtains (8), shown at the bottom of this page, where the boldface characters denote the Fourier transform of the time-domain signals and is the frequency offset from the carrier. In (8), after-lock phase noise of the oscillators ( ) has been calculated in terms of their free-running phase noise. Investigating (8), it is revealed that, for the frequency offsets much smaller than the locking bandwidth, the after-lock phase noise of the oscillators are the same and equal to the average of the free-running phase noise of the oscillators, and in the frequency offsets much greater than the locking bandwidth, they go back to their free-running values. These results are in accordance with what has been stated in [11]. Equation (8) is used in Section III for the phase-noise measurement by inter-injection locking. III. PHASE-NOISE MEASUREMENT USING TWO IIL OSCILLATORS A. Phase-Noise Measurement Setup and Theory

(5)

All the phase-noise measurement techniques use a mixer and a low-pass filter as a phase detector to demodulate the phase

(8)

2996

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Here, denotes gain of the mixer when (8) into (9), one obtains

. Substituting

or

and (10) is the detected signal amplified by the low-noise amplifier (LNA) and finally the fast Fourier transform (FFT) analyzer shows the spectral density of . From (10), the average of the power spectral density of the oscillators can be extracted as follows: Fig. 3. Proposed phase-noise measurement setup. Two ultra-low-noise AD797 op-amps were used in the construction of the LNA. The LNA gain was set to 70 dB.

where noise of the oscillator(s). The signals at the mixer ports should have the same frequency to avoid producing beat note at the mixer IF port. For those methods, which use two oscillators for the measurement, a provision should be made to synchronize the oscillators. The conventional phase-detector method uses a phase-locked loop (PLL) for the synchronization of the oscillators. Zhang et al. [12] used injection locking for synchronization. In this paper, inter-injection locking is used to synchronize the oscillators. It is notable that in injection locking, only one oscillator is under injection, while in inter-injection locking, the two oscillators interact with each other. Thus, the dynamic equations are different and the locking bandwidth is twice that of the injection-locking technique leading to an easier synchronization. The proposed measurement setup is depicted on Fig. 3. The two oscillators are synchronized by inter-injection locking. The injection path consists of directional couplers, an attenuator (which controls the coupling coefficient magnitude) and a phase shifter (which controls the phase of coupling coefficient). The signals of synchronized oscillators are fed into the mixer input ports. Isolators are used to prevent unwanted injection through the mixer ports. If an isolator is used in the injection path, the setup will be converted to injection locking rather than inter-injection locking. Phase shifter 1 is adjusted to make the signals at mixer ports in quadrature when the oscillators are in-phase. It will be shown in Section III-C that this adjustment makes the system insensitive to frequency drift of the oscillators. The signal detected by the mixer is proportional to the after-lock phase-noise difference between the oscillators

(9)

(11) Therefore, the measured signal spectrum ( ) should be calibrated by (11) to retrieve the average of the free-running phase noise of the oscillators. In this case, the calibration factor is the term that is multiplied by in (11). In this paper, we call the phase-noise measurement by the inter-injection-locking technique the “IIL method.” It can be noted that if the phase-noise power spectral densities of the oscillators are identical, their average equals their individual values. If the phase noise of one oscillator is much greater than that of the other, the average of their phase noise is 3 dB less than that of the noisier oscillator. B. Experimental Verification Two Gunn oscillators at frequencies about 10.5 GHz were used in the setup of Fig. 3 to validate the proposed method. The power level of the oscillators was approximately 11 dBm. The gain of the LNA was set to 70 dB and the detected signal was sampled at a rate of 3-Msamples/s with Analog Devices’ 16-bit A/D converter. For each plot, 100 measurements were taken to average out the spurious fluctuations. To verify the measurement validity, five sets of measurement were performed for five different locking bandwidths. The locking bandwidth was changed by altering the magnitude of the coupling coefficient. In Fig. 4, the measured spectra of detected signals , as well as the spectra of the calibrated phase noise, are depicted for all five measurements. It is clear that while the spectra of the detected signals are different for five different locking bandwidths, the spectra of the calibrated phase noise converge to the same values. To further investigate the validity of our method of measurement, the free-running phase noise of each oscillator was sepa-

NICK et al.: PHASE-NOISE MEASUREMENT USING TWO IIL MICROWAVE OSCILLATORS

Fig. 4. Results of phase-noise measurement with the IIL technique. The numbers show the value of the locking bandwidth for each measurement. The magnitude of coupling coefficient was altered to change the locking bandwidth. The oscillators center frequencies were approximately 10.5 GHz. The sampling duration was 66.66 ms. The 150-Hz, 250-Hz, etc. spurs are due to the leakage of the ac power line harmonics into the measurement system.

2997

Fig. 6. Results of phase-noise measurement with inter-injection locking technique for several values of . The locking bandwidth for = 0 was 1300 kHz. The oscillators center frequencies were approximately 10.5 GHz. The sampling duration was 66.66 ms.

TABLE I MEASUREMENT ERROR DUE TO THE FREQUENCY DRIFT OF THE OSCILLATORS. THE LOCKING BANDWIDTH IS 1 MHz

C. Measurement Errors

Fig. 5. Phase noise measured by the setup of Fig. 3 compared to the free-running phase noise of the oscillators measured by the delay-line method. The locking bandwidth is 1300 kHz. It is clear that the measured phase noise by the IIL method is average of the free-running phase noise of individual oscillators. The oscillators center frequencies were approximately 10.5 GHz. The sampling duration was 66.66 ms.

rately measured using a 110-ns delay-line method. The free-running phase noise of the oscillators and the phase noise measured by the setup of Fig. 3 are compared in Fig. 5. As is seen in Fig. 5, the measured phase noise by the IIL method is the average of the free-running phase noise of the oscillators as predicted by the theory. In the measurements of Fig. 4, the locking bandwidth was changed by altering the magnitude of the coupling coefficient ( ). It is also possible to change the locking bandwidth by altering the coupling coefficient phase ( ). The measurement was repeated with a fixed value of and several values of . The results are shown in Fig. 6. Similarly varying the value of changes the level of the detected signal, but the calibrated phase noise is virtually the same for all the measurements.

1) Measurement Error Due to the Frequency Drift of the Oscillators: It was shown that a steady-state phase difference ( ) is produced between the synchronized oscillators due to the difference in their free-running frequencies. Practical oscillators have slow free-running frequency drifts due to the oscillator environment. Therefore, during the measurement process, the free-running frequency difference of the oscillators varies, which leads into the variation of the after-lock phase difference. One may anticipate that the measurement becomes erroneous due to the frequency drift of the oscillators. Careful investigation of (11) reveals that is present in the denominator as it is in the numerator; as a result, the calibration factor has a minor sensitivity to . Table I shows the measurement error at different frequency offsets due to the free-running frequency drift of the oscillators. The locking bandwidth is assumed to be 1 MHz. It is seen that even in the case of 600-kHz frequency drift, the phase-noise measurement error at frequency offsets up to 1 MHz is less than 1 dB. It can be concluded that, for most practical cases, the frequency drift of the oscillators has a negligible effect on the phase-noise measurement. 2) Measurement Error Induced by Discrepancy in Parameters of the Oscillators: Thus far, it has been assumed that the oscillators have the same amplitude and external quality factors. In practice, even the oscillators with the same circuit design do not have identical amplitudes and external quality factors. Here, the effect of discrepancy in parameters of the oscillators is investigated. Note that since AM noise is much smaller than the PM noise, the nonlinear susceptance of the oscillators have a small

2998

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 7. Phase-noise measurement with the inter-injection technique when the oscillators have 7.5-dB output power level difference. The free-running phase noise of the oscillators was measured by the delay-line method with 110-ns delay. It is clear that the measured phase noise by the IIL method is the average of the free-running phase noise of individual oscillators. The oscillators’ center frequencies were approximately 10.5 GHz. The sampling duration was 66.66 ms. The 150- and 250-Hz spurs are due to the leakage of the ac power line harmonics into the measurement system.

If the oscillators have different external quality factors, with the same line of reasoning, one can conclude that the discrepancy in external quality factors of the oscillators just affects the locking bandwidth and (11) remains unchanged. It can be concluded that as far as the locking bandwidth is measured correctly, the discrepancy in parameters of the oscillators does not affect the measurement validity. 3) Measurement Error Induced by Nonlinear Susceptance of Oscillator Model: In the analysis of Section II-C, AM noise was neglected. Since the nonlinear susceptance of oscillator model produces AM-to-PM and PM-to-AM conversion, neglecting AM noise causes to be removed from the equations. In order to determine the effect of , AM noise should be entered into the analysis. The steady-state analysis of two mutually coupled oscillators, which takes into account the effect of nonlinear susceptance, has been performed in [13]. It is shown that if the phase of the coupling coefficient is zero, does not affect the locking bandwidth and (3) with remains valid. In order to extract the equations for after-lock phase noise, one should substitute (5) into (2) for each of the oscillators. The results are as follows:

role in the interactions and, thus, there is no need to regard the difference in the nonlinear susceptance of the oscillators. For the sake of simplicity, is set to zero. The steady-state analysis of two oscillators with different amplitudes ( and ), which are inter-injection locked to each other, has been worked out in [16]. It is shown that in this case, the locking bandwidth is for

(12)

denotes the locking bandwidth when and when the oscillators have different amplitudes. Phase-noise analysis when the oscillators have different amplitudes is straightforward following the procedure in Section II-C. The result is that the detected signal by the mixer in Fig. 3 becomes the following: for (13) The form of (13) is exactly the same as that of (10) when is zero. The only difference is that is replaced with . In the other words, the difference in the amplitude of the oscillators just alters the locking bandwidth, and if the locking bandwidth is correctly measured and used in (11), no error is induced on the measurement. To practically verify this argument, two oscillators with the output power level difference of 7.5 dB were used in the setup of Fig. 3. The measured locking bandwidth was 1400 kHz. The measurement result is depicted on Fig. 7. It can be seen that even in the case of 7.5-dB output power difference between the oscillators, the measured phase noise by the IIL method is, with a good approximation, the average of the phase noise of the oscillators. It can be concluded that the difference in the output power of the oscillators has a minor effect on the measurement.

(14) The free-running frequency fluctuations have been introduced into the equations after the substitution of (5) into (2). It is noteworthy to mention that since AM noise has not been considered in (5), and in (14) are that portion of AM noise that has been produced by PM-to-AM conversion. By careful investigation of (14), it is revealed that and have similar expressions and, thus, are equal . Using this fact and subtracting the second line of each couple of (14) from one another, one obtains

(15) After Fourier transformation and some manipulations, one obtains

(16)

NICK et al.: PHASE-NOISE MEASUREMENT USING TWO IIL MICROWAVE OSCILLATORS

2999

From (3), (9), and (16), the detected signal by the mixer in Fig. 3 is calculated as follows: (17) The form of (17) is exactly the same as that of (10). In other words, the nonlinear susceptance of the oscillators has no effect on the detected signal and on the calibration process provided that the phase of the coupling coefficient is zero. is the optimum One can conclude that the state of state, which makes the system insensitive to imperfections such as nonzero nonlinear susceptance and discrepancy in parameters of the oscillators. Note that the locking bandwidth can be conveniently varied by altering the magnitude of the coupling coefficient. D. Comparison of the Proposed Method With the Conventional Methods Phase-noise measurement by inter-injection locking of two oscillators is simple and easy to implement. Synchronization of the oscillators by inter-injection locking is relatively easy and the calibration process is straightforward: after measuring the locking bandwidth, one should use (11) for calibrating the phase noise. In contrast, the conventional two-oscillator method is expensive because it requires one of the oscillators to be electronically tunable and, in addition, it uses the PLL to synchronize the oscillators. Synchronization of the oscillators by the PLL is difficult and the loop bandwidth of the PLL limits the application of the method only to the relatively stable oscillators. Thus, one advantage of the proposed method over the conventional phase-detector method is that it can be used for the phase-noise measurement of relatively unstable free-running oscillators where the conventional phase-detector method is difficult to implement. Compared to the conventional delay-line method, phase-noise measurement by the IIL method can be conveniently used for millimeter-wave oscillators where the conventional delay-line method fails to work due to the considerable loss of the delay line. The phase-noise floor of the proposed method is determined by replacing in (11) with , where is the voltage noise floor of the system mainly produced by the noise sources in the mixer and LNA (18) To measure , an RF signal is split and fed into the mixer ports. The phase noise of the signals at the mixer ports are the same and are canceled out at the mixer output. The remaining signal is then the voltage noise floor of the system. The voltage noise floor of the setup of Fig. 3 was measured and its phase-noise floor was calculated for different locking bandwidths, which are depicted in Fig. 8. According to (8), by decreasing the locking bandwidth, the after-lock phase noises of the oscillators become less correlated and, thus, the strength of the detected signal is increased compared to the system noise floor. This means that the system phase-noise floor is decreased, as is obvious from

Fig. 8. Phase-noise floor of the setup of Fig. 3 for different locking bandwidths. Phase-noise floor of the phase detector and the delay-line method are also depicted. The spurs are due to the ac line harmonics.

(18) and Fig. 8. In Fig. 8, approaching the carrier, the phasenoise floor of the proposed method increases with the slope of 20 dB/dec. Since the flicker noise corner frequency of the system was near 1 kHz, the voltage noise floor of the system was rather flat with frequency, therefore, the term in the numerator of (18) accounts for this slope. If the same mixer and LNA were used in the conventional phase-detector method, the phase-noise floor would be what is labeled as “PLL” in Fig. 8. It is evident that the phase-noise floor of the proposed method is higher than that of the phase-detector method. This renders the performance of the method introduced in this paper inferior to the conventional phase-detector method in case of small loop bandwidth. However, in Fig. 8, the effect of PLL loop bandwidth has not been taken into account. The phase-noise floor of the conventional phase-detector method drastically increases within the loop bandwidth [11]. Thus, if the oscillator under test has a broad span of frequency deviation, a wide loop bandwidth is required for synchronization, which severely degrades the phase-noise floor. In addition, as far as the oscillators not going out of lock, the phase-noise floor of the setup of Fig. 3 can be improved by decreasing the locking bandwidth. If the same mixer and LNA were used in the conventional delay-line method with a delay of 110 ns (30-m length of ultra-low-loss cable), the phase-noise floor would be what is labeled as the “110 ns delay line” in Fig. 8. It is seen that the proposed method with a locking bandwidth of 1 MHz has a lower phase-noise floor than the delay-line method. A locking bandwidth of 1 MHz is sufficient for most practical cases. Therefore, in general, the performance of the inter-injection technique is superior to the delay-line method. IV. CONCLUSION The proposed IIL setup, which is based on inter-injection locking of two oscillators, measures the free-running phase-noise average of the oscillators. For most oscillators, the presented theory is accurate for offsets down to approximately 100 Hz where the phase noise of the oscillator can be interpreted as a small perturbation around carrier. Experimental results showed the validity of the proposed technique. It was

3000

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

shown that, in the case of a zero phase-coupling coefficient, the only pre-required parameter for the measurement is the locking bandwidth, and if the locking bandwidth is measured correctly, the measurement results are not vulnerable to error due to imperfections such as the drift, discrepancy in oscillator parameters, and nonlinear susceptance of the oscillators. In contrast to the conventional phase-detector method, the proposed measurement setup and calibration is easy and applicable to even relatively unstable oscillators. The phase-noise floor of the IIL technique is controllable and is lower than that of the delay-line method, but it is higher than that of the phase-detector method with a small loop bandwidth. In addition, the proposed setup is simple and easy to implement with readily available components. The IIL method can be conveniently used for millimeter-wave oscillators where phase-noise measurement by the delay-line method is not practical.

REFERENCES [1] D. B. Leeson, “A simple model of feedback oscillator noise spectrum,” Proc. IEEE, vol. 54, no. 2, p. 329, Feb. 1966. [2] A. Demir, A. Mehrotra, and J. Roychowdhury, “Phase noise in oscillators: A unifying theory and numerical methods for characterization,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 47, no. 5, pp. 655–674, May 2000. [3] A. Hajimiri and T. H. Lee, “A general theory of phase noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 179–194, Feb. 1998. [4] E. Mehrshahi and F. Farzaneh, “An analytical approach in calculation of noise spectrum in microwave oscillators based on harmonic balance,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 5, pp. 822–831, May 2000. [5] V. Rizolli, F. Masteri, and D. Masotti, “General noise analysis of nonlinear microwave circuits by piecewise harmonic balance technique,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 5, pp. 807–819, May 1994. [6] L. Gustafsson, G. H. Bertil, and K. I. Lundstrum, “On the use of describing functions in the study of nonlinear active microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 6, pp. 402–409, Jun. 1972. [7] K. Kurokawa, “Noise in synchronized oscillators,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 4, pp. 234–240, Apr. 1968. [8] E. Shumakher and G. Eisenstien, “On the noise properties of injectionlocked oscillators,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1523–1537, May 2004. [9] H. C. Chang, X. Cao, M. Vaughan, U. K. Mishra, and R. A. York, “Phase noise in coupled oscillators: Theory and experiment,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 604–615, May 1997. [10] T. Makino, N. Nakajima, and J. I. Ikenoue, “Noise reduction mechanism of a power combining oscillator array,” Electron. Commun. Jpn., vol. 62-b, no. 4, pp. 37–44, Apr. 1979. [11] D. B. Sullivan, D. W. Allan, D. A. Howe, and F. L. Walls, “Characterization of clocks and oscillators,” NIST, Boulder, CO, TN-1337, May 1990. [12] X. Zhang, B. J. Rizzi, and J. Kramer, “A new measurement approach for phase noise at close-in offset frequencies of free-running oscillators,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2711–2717, Dec. 1996.

[13] A. Banai and F. Farzaneh, “Locked and unlocked behavior of mutually coupled microwave oscillators,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 147, no. 1, pp. 13–18, Feb. 2000. [14] ——, “Output power variations in two mutually coupled microwave oscillators and the effect of non-linear reactance on the locking bandwidth,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 150, no. 2, pp. 61–66, Apr. 2003. [15] K. Kurokawa, “Injection locking of microwave solid-state oscillators,” Proc. IEEE, vol. 61, no. 10, pp. 1386–1410, Oct. 1973. [16] A. Banai, “Active and passive analysis of an array of mutually coupled oscillators,” Ph.D. dissertation, Dept. Elect. Eng., Sharif Univ. Technol., Tehran, Iran, 1999.

Morteza Nick was born in Marvdasht, Iran, in 1981. He received the B.S. degree from the Isfahan University of Technology, Isfahan, Iran, in 2003, and the M.S. degree from the Sharif University of Technology, Tehran, Iran, in 2005, both in electrical engineering. His research interests include nonlinear microwave circuits and numerical techniques in passive microwave structures. He possesses experience in the design of microwave filters, mode launchers, and oversized waveguides.

Ali Banai (M’01) was born in Mashhad, Iran, in 1968. He received the B.S., M.S., and Ph.D. degrees in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 1991, 1994, and 1999, respectively. Since 1999, he has been as a faculty member with the Department of Electrical Engineering, Sharif University of Technology. His main interests are nonlinear microwave circuits, synchronization of coupled oscillators, and numerical techniques in passive microwave circuits. Dr. Banai was the corecipient of the 2001 Maxwell Premium Award of the Proceedings of the IEE—Microwave, Antennas, and Propagation.

Forouhar Farzaneh (S’82–M’84–SM’96) received the B.S. degree in electrical engineering from the University of Shiraz, Shiraz, Iran, in 1980, the Master degree from Ecole Nationale Supérieure des Télécommunications (ENST) Paris, Paris, France, in 1981, and the DEA and Doctorate degrees from the University of Limoges, Limoges, France, in 1982 and 1985, respectively. From 1985 to 1989, he was an Assistant Professor with the Tehran Polytechnic University. Since 1989, he has been with the Sharif University of Technology, Tehran, Iran, where he is currently a Professor of electrical engineering. He has authored or coauthored numerous journal and conference papers in the field of microwave and millimeter-wave circuits. He has also authored a book in Persian about RF circuit design. His main area of interest is nonlinear microwave circuits and numerical methods associated with their analysis. Dr. Farzaneh was a corecipient of the 1985 European Microwave Prize. He was the recipient of the 2001 Maxwell Premium Award of the Proceedings of the IEE—Microwave, Antennas, and Propagation.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

3001

Operation, System Architectures, and Physical Layer Design Considerations of Distributed MAC Protocols for UWB Nathaniel J. August, Member, IEEE, and Dong Sam Ha, Senior Member, IEEE

Abstract—Impulse-based ultra wideband (I-UWB) is an attractive radio technology for large ad hoc and sensor networks due to its robustness to harmful multipath effects, sub-centimeter ranging ability, simple hardware, and low radiated power. To scale to large sizes, networks often implement distributed medium access control (MAC) protocols. However, most MAC protocols for I-UWB are centralized, and they target small wireless personal area networks and cellular networks. We propose three distributed MAC protocols suitable for I-UWB. Two multichannel protocols, called multichannel pulse sense multiple access (M-PSMA) and multichannel ALOHA achieve high aggregate throughput. A busy-signal protocol, called busy-signal multiple access (BSMA), reduces the energy wasted from re-transmitted packets. This paper describes the three protocols in terms of the protocol’s operation, the supporting system architecture, and the I-UWB physical layer. Physical layer simulations confirm the feasibility of implementing the proposed systems and also provide parameters for network simulations. Network simulations show that the throughput of M-PSMA exceeds that of a centralized time-division multiple-access protocol and that the energy efficiency of BSMA far surpasses that of other distributed protocols. Index Terms—Ad hoc and sensor networks, busy-signal multiple access (BSMA), medium access control (MAC), pulse sense, ultrawideband (UWB).

I. INTRODUCTION

MPULSE-BASED ultra-wideband (I-UWB) is an attractive radio technology for ad hoc and sensor networks due to its low radiated power, robustness to harmful multipath effects, sub-centimeter ranging ability, and simple hardware [1]–[4]. Most medium access control (MAC) protocols for I-UWB are centralized, and they target small wireless personal area networks (WPANs) and cellular networks [5]–[18]. For ad hoc and sensor networks with a large number of nodes, these protocols impose impractical constraints such as central coordination (which leads to a central point of failure), more complex hardware, or control traffic overhead.

I

Manuscript received January 2, 2006; revised March 8, 2006. N. J. August was with the Very Large Scale Integration for Telecommunications Laboratory, Bradley Department of Electrical and Computer Engineering, Virginia Polytechnic Institute and State University, Blacksburg, VA 24061 USA. He is now with the Intel Corporation, Portland, OR 97124 USA (e-mail: [email protected]). D. S. Ha is with the Very Large Scale Integration for Telecommunications Laboratory, Bradley Department of Electrical and Computer Engineering, Virginia Polytechnic Institute and State University, Blacksburg, VA 24061 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877424

To scale to a large size, networks generally implement distributed MAC protocols because distributed protocols do not complicate hardware, require central coordination, or add control traffic overhead [19]–[23]. In a previous study [24], we characterized the network performance of distributed MAC protocols suitable for I-UWB. In this paper, we further analyze the operation of three MAC protocols and describe the supporting system architectures. In addition, this paper presents simulations that reflect physical layer considerations such as channel effects. These physical layer simulations confirm the feasibility of implementing the proposed systems and also provide parameters for network simulations. Two of the proposed protocols are classified as multichannel protocols and the third is classified as a busy-signal protocol. First, we explore the two multichannel protocols: multichannel ALOHA (M-ALOHA) and multichannel pulse sense multiple access (M-PSMA). Each sub-channel in M-PSMA and M-ALOHA operates at the full channel data rate, whereas each sub-channel in a traditional multichannel protocol operates at a fraction of the full channel data rate. An optional multiuser receiver, which can receive on multiple sub-channels simultaneously, further improves performance with moderate additional hardware complexity. Next, we investigate the busy-signal protocol, i.e., busy-signal multiple access (BSMA). BSMA improves energy efficiency by decreasing the number of collisions and also by reducing the energy wasted on the collisions that do occur. Whereas narrowband systems require two transceivers to implement a busy-tone MAC, the proposed I-UWB system requires only a single transceiver to save cost, power, and circuit complexity. This paper is organized as follows. Section II reviews I-UWB signaling, our base transceiver architecture, and related work by others on MAC protocols. Section III focuses on the multichannel protocols M-PSMA and M-ALOHA, while Section IV concentrates on the busy-signal protocol BSMA. Sections III and IV explain the operation, system architecture, and physical layer considerations for the proposed protocols. Sections III and Section IV also present physical layer simulation results. Section V presents network simulation results, and Section VI concludes this paper II. PRELIMINARIES A. I-UWB Signaling An I-UWB signal consists of a series of sharp pulses with duration of a few hundred picoseconds to a few nanoseconds.

0018-9480/$20.00 © 2006 IEEE

3002

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

The pulses repeat at a pulse repetition interval (PRI) that ranges from nanoseconds to microseconds. Our proposed MAC protocols benefit from I-UWB signals with a PRI in the approximate range from 1 s to 10 ns. We claim that PRIs in this range are moderate, as described below. For PRIs shorter than our moderate range, systems must overcome several challenges. One challenge is that the channel delay spread starts to become longer than the PRI so an I-UWB communications system encounters significant inter-symbol interference (ISI). Another challenge is that, as pulses appear more frequently, each pulse must decrease in energy to meet the Federal Communications Commission (FCC)’s average (over many PRIs) power limits of 41.3 dBm/MHz [25]. In addition, the amplitude of spectral lines relative to the average power increases with decreasing PRI. Thus, a system designer cannot arbitrarily decrease the PRI to improve throughput without some cost. For PRIs longer than our moderate range, the pulse repetition frequency (PRF) approaches the bandwidth of narrowband victim receivers. The FCC regulates the peak power of a single pulse1 to prevent overloading nearby narrowband victim receivers. Thus, a system designer cannot arbitrarily increase the PRI to improve the signal-to-noise ratio (SNR). B. Base I-UWB Transceiver Our base I-UWB transceiver targets CMOS implementation because the low power dissipation and low cost are suited to ad hoc and sensor networks. All the critical front-end transceiver components have been fabricated and tested in a 0.18- m CMOS process [26]–[29]. The fabricated components include a power amplifier (PA), variable gain amplifiers, peak detectors, a low-noise amplifier (LNA), a phase-locked loop, an analog-todigital converter, the filters, and a transmit/receive (T/R) mechanism. Instead of using a typical T/R switch, our system toggles the disable inputs to the PA and LNA. This scheme improves the switching time to 250 ps (which is much faster than our fastest PRI of 10 ns), and it avoids the additional noise and insertion loss of a T/R switch. A pulse sensor unit quickly and reliably detects I-UWB traffic just as carrier sense detects narrowband signals [30]. The pulse sensor’s analog components occupy less than 3% of the total transceiver area, and its digital circuitry requires a few hundred transistors. The remaining design work consists of digital blocks for baseband signal processing and for implementing our proposed MAC protocols. System-level simulations and CMOS measurements of the transceiver show that the performance is more than adequate for ad hoc and sensor networks at moderate pulse rates. The transceiver achieves a bit error rate (BER) of approximately 2 10 for a link distance of 10 m in extreme nonline-of-sight (NLOS) channel conditions at a data rate of 100 Mb/s without channel coding [31], [32]. Lowering the data rate (via spreading or reducing the pulse rate to around 50 ns, where FCC regulations begin to limit peak power) can increase the link distance or improve the BER. The transceiver dissipates an estimated 600 W of power when actively transmitting and 180 mW when actively receiving. Low power design techniques, such as reduced ADC 1The peak power limit is 20 log (RBW/50 MHz), where “RBW” denotes the victim receiver bandwidth centered on the frequency of peak UWB power [23].

resolution or sleep modes, can significantly reduce the average power from the active power levels [33]. Although the complete transceiver is not integrated in a single chip, we believe that the fabrication and testing of the front-end components and the simulations of the system show that there are no significant barriers to implementing an integrated system. C. MAC Protocols Most MAC protocols for I-UWB are centralized, and they target small WPANs and cellular networks [5]–[18]. A central controller assigns concurrent transmissions to multiple sub-channels via time division multiple access (TDMA) [9], [10], time-hopping codes [5]–[8], frequency-hopping codes [11]–[14], or direct sequence codes [15]–[18]. Such centralized multichannel approaches are a good strategy for small networks with heavy traffic and strict quality of service (QoS) requirements. However, in large ad hoc and sensor networks, the centralized control does not scale well. Control traffic significantly increases the amount of overhead, thus wasting bandwidth and energy. Further, because nodes may not be easily serviceable (e.g., battlefields), a central failure would render the network useless. Some multichannel protocols are modified to distributively determine a transmission’s sub-channel based on the address of the receiver [34] or the sender [35]. However, to prevent simultaneous transmissions to one node, these protocols incur overhead to establish a link [34], [35] and may require techniques such as adaptive coding to mitigate strong multiuser interference [34]. Design guidelines for distributed multichannel protocols are suggested in [36]. In addition to controlling medium access, a distributed management system may also control QoS [37]. Instead of altering existing I-UWB protocols to become more distributed, we propose fundamentally distributed approaches to scale to large ad hoc and sensor networks. In a distributed protocol, each node independently decides to transmit without central guidance. This reduces control overhead, and there is no central synchronization or central point of failure. However, as described below, adoption of some existing distributed MAC protocols is impractical for I-UWB [19]–[23]. ALOHA is a basic distributed MAC protocol that can be applied to I-UWB in a straightforward manner. In ALOHA, a node may transmit a data packet anytime, unless it is busy with another packet. If the data transmission succeeds, the target node responds with an acknowledgment (ACK) packet. Otherwise, the source node waits a random period of time to retransmit the data. ALOHA performs well under light traffic, but poorly under heavy traffic. In narrowband systems, carrier sense multiple access (CSMA) improves on ALOHA by requiring a node to check for a busy medium before transmitting [19]–[21]. For I-UWB, a pulse sensor enables an analogous protocol, i.e., pulse sense multiple access (PSMA) [30]. However, hidden terminal conditions cause poor performance in CSMA and PSMA. Narrowband systems mitigate hidden terminal conditions via collision avoidance (CA) with time-duplexed request-to-send (RTS) and clear-to-send (CTS) packets. For I-UWB, the RTS and CTS packets add excessive overhead in PSMA with CA

AUGUST AND HA: OPERATION, SYSTEM ARCHITECTURES, AND PHYSICAL LAYER DESIGN CONSIDERATIONS OF DISTRIBUTED MAC PROTOCOLS

Fig. 1. Throughput for PSMA, PSMA/CA as acquisition time increases. The transmitting nodes are hidden from one another.

(PSMA/CA) [20], [38]. The narrow pulses, low radiated power, harsh channel conditions, and strict FCC power limits combine to produce long acquisition times, which results in excessively long preambles for the RTS and CTS packets. Fig. 1 shows the shortcomings of PSMA and PSMA/CA in a linear network of three I-UWB nodes A–B–C. Nodes A and C are hidden from one another, and they both transmit to B with a 1-Mb/s data rate. The time between packets follows a Poisson distribution such that nodes A and C transmit at an average of 0.5 offered load. Using serial correlation, practical acquisition times for I-UWB range from 800 to 1600 s (800 to 1600 symbols), compared to approximately 100 s for a narrowband system. Such long acquisition times significantly degrade the throughput for PSMA/CA due to the overhead of the RTS and CTS packets. PSMA performs poorly due to the hidden terminal condition. Our proposed MAC protocols exploit the unique signaling of I-UWB to improve performance over PSMA and PSMA/CA. III. MULTICHANNEL MAC PROTOCOLS FOR I-UWB SYSTEMS Multichannel MAC protocols are known to reduce collisions without the overhead of RTS and CTS packets [22]. A multichannel MAC protocol divides a channel of bandwidth into sub-channels of bandwidth , where is the spreading factor or number of time slots. Note that is not necessarily equal to , especially in code division. Although multichannel protocols reduce the link data rate by a factor of , they increase overall network throughput at high offered load. A node may select from a greater number of (ideally) orthogonal sub-channels so there is a smaller probability of collisions. However, the reduced data rate of each sub-channel incurs a delay penalty at low offered load. A. Operation of M-ALOHA and M-PSMA We propose two distributed multichannel MAC protocols, called M-ALOHA and M-PSMA, that exploit the inherently low duty cycle of I-UWB to implement sub-channels. Depending

3003

Fig. 2. Multichannel MAC operation [24].

on the pulse rate and channel conditions, I-UWB signals may contain a large amount of “dead time” between pulses. This dead time is used to time-interleave additional sub-channels. Each sub-channel maintains the full data rate, so the network increases throughput without increasing delay. In M-PSMA, nodes may transmit any time they sense an idle channel. If a node senses activity, it waits until after the medium is free to retransmit. A source node also waits for a random period of time to retransmit if it does not receive an acknowledgement. The random time period is bounded by a binary exponential. The operation of M-ALOHA is similar, but it does not check for an idle channel before transmitting. The M-PSMA and M-ALOHA protocols provide multiple time-interleaved channels by allowing concurrent transmissions of nonoverlapping pulse trains. During an initial reception, the receiver may acquire (for a multiuser receiver) or ignore (for a single-user receiver) other concurrent nonoverlapping transmissions. For example, in Fig. 2, two nodes sense an idle channel at time so they simultaneously start transmitting at time to the same receiver. The receiver detects an incoming transmission through the pulse sensor. Transmitter2 is closer, so its first pulse arrives at time , while Transmitter1’s first pulse arrives at . After some time, a single acquisition circuit detects the arrival time of the two pulse trains within a PRI. If the receiver is a multiuser receiver, two clock recovery circuits track Transmitter2’s pulse train starting at and Transmitter1’s pulse train starting at . The receiver time-shares a single demodulator between the incoming signals. If the transmissions target different nodes, the receiver would track and decode only its own transmission. If the receiver is single user, it would track only Transmitter2’s pulse train and ignore Transmitter1’s pulse train because Transmitter2’s pulse train precedes Transmitter1’s. If two or more nodes transmit simultaneously, a collision can occur only if the pulses (including multipaths) overlap within a PRI. To quantify this, consider a version of M-ALOHA that allows up to sub-slots2 per PRI, so there may be maximum of simultaneous transmissions that do not share any common 2We use the term “sub-slot” to denote the number of multipath-delay-spreadsized time units within a PRI. This is to differentiate a sub-slot from a slot, which often denotes a packet-sized unit of time in a slotted MAC protocol.

3004

sub-slots. For nodes transmitting, the probability or more nodes share a slot is

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

that two

(1) We now consider the performance of a system with slots because a long multipath delay spread is 25 ns [39], a 1-Mp/s pulse rate has a PRI of 1000 ns, and . From (1), it is improbable that two concurrent transmissions overlap in time at a single receiver. gradually approaches 100% as increases to , but it also becomes increasingly improbable that many more than two nodes transmit concurrently because the number of possible interfering transmissions is limited by the number of neighbors. To ensure a connected topology in large networks with power control, note that the critical number of neighbors is approximately , where is the total number of nodes [40]. The probability that neighbor nodes transmit during a packet time is designated ( nodes transmit), and this probability depends on the application. For illustrative purposes, we assume the nodes transmit with a Poisson distribution at a mean rate of packets per packet time. Since the number of nodes transmitting is independent of the time of transmission within a PRI, and are independent. The probabilities of collision for the proposed M-ALOHA protocol and for a single-channel protocol are

receiver [22]. However, traditional multiuser receivers are unsuitable for large ad hoc and sensor networks. A receiver under a TDMA protocol is inherently multiuser, but it requires centralized control. A multiuser receiver under a code-division protocol requires separate correlators for each sub-channel, and a multiuser receiver under a frequency-division protocol requires a separate front-end for each channel. Under M-PSMA and M-ALOHA, an I-UWB system can implement a multiuser receiver with simpler hardware and no central control [41]. Each supported sub-channel requires only a dedicated clock recovery circuit. If the receiver detects more than one incoming transmission during acquisition, it assigns an available clock recovery circuit to each nonoverlapping incoming transmission. After acquisition, the acquisition circuit continues to look for nonoverlapping transmissions. Since the resolvable transmissions do not overlap, they may time-share a single front end and a single decision block. C. Physical Layer Design Considerations When the pulses from two interleaved pulse trains appear close in time to each other, the multipath spread from the first pulse interferes with the second. The effective interference is determined by the delay between the pulses, the signal to interfering signal (S/I) level, the channel power delay profile, and the channel delay spread. The receiver must separate the intended signal from the received signal in (4) as follows:

(2) (4) (3) For

such that , must be less than because . This decreased probability of collision is a huge benefit compared to a single-channel protocol in which the probability of collision is 100% if two or more nodes transmit simultaneously. For the proposed multichannel I-UWB protocols, the probability of a collision remains low even when is greater than the number of packets per packet time, i.e., the protocol can offer a throughput larger than the aggregate data rate. For example, with , the above M-ALOHA protocol has a 90% chance of a successful transmission, whereas a single channel protocol has only a 20% chance of success. Thus, M-ALOHA and M-PSMA can mitigate the reduction in throughput caused by collisions without the overhead of handshaking packets. B. System Architecture For each sub-channel, the receiver in Section II (in either a single-userormultiuserconfiguration)collectssignalenergyover a time window ns around the strongest multipath cluster from the signal of interest. The receiver can resolve a single signal within so 3 ns is also the minimum sub-slot time. Multiuser receivers, which can receive on several sub-channels concurrently, improve performance over a single channel

where

,

data signal from a node , the set of all nodes whose transmissions experience a collision; data signal from a node , the set of all nodes whose transmissions experience interference, but not a collision; data signal from a node , the set of all nodes whose transmissions do not experience interference or a collision; time offset of arrival within a PRI of signal from node . , s.t. for and receiver time window ; time offset of arrival within a PRI of signal from node . , s.t. for ; also, , , for , where is the multipath delay spread; time offset of arrival within a PRI of signal from node . , , for ; channel response from node to the receiver; channel response from node to the receiver; channel response from node to the receiver; noise at the receiver.

As implied by (4), nodes can be broken into the three groups , , and . First, consider the case with a received transmission

AUGUST AND HA: OPERATION, SYSTEM ARCHITECTURES, AND PHYSICAL LAYER DESIGN CONSIDERATIONS OF DISTRIBUTED MAC PROTOCOLS

3005

Fig. 3. Interference from overlapping transmissions. Fig. 4. Types of duplexing [45].

from node . Since the receiver in Section II cannot differentiate between signals that arrive within one time window of each other, it discards all transmissions from nodes in group , and they are considered as collisions. Using physical layer simulations in Agilent’s Advanced Design System (ADS), we characterize the interference from a node to a node or . The simulations use binary phase-shift keying (BPSK) modulation, and the second arriving pulse is 6 dB over the receiver’s minimum sensitivity level. The power level of results in S/I levels from 10 dB to 10 dB to encompass a 10-m radius. Although an S/I level of 10 dB places the average interference power below the sensitivity level, the instantaneous power may affect reception. We also vary the delay between the pulses. We start with a delay of (3 ns) and stop when no longer interferes with . Fig. 3 shows simulation results from an average of 20 random implementations of the IEEE 802.15.3a channel model CM4 [39]. As expected, the interference decreases as the delay between pulses increases and as the S/I ratio increases. For a node , the pulses arrive at the receiver at least one time window later than a pulse from node , and multipaths from interfere with . For example, with an S/I of 10 dB, Fig. 3 shows that adds an average of approximately 8.5 dB of noise to when the time difference is and an average of 2 dB of noise when the time difference is two rms delay spreads. For a node , multipaths from do not significantly interfere with . We consider a transmission to be from a node in if it experiences an effective noise level less than a cutoff of 0.1 dB. For an S/I of 10 dB, Fig. 3 shows that this occurs around a time difference of five rms delay spreads. Results similar to Fig. 3 characterize the interference among transmissions for the network simulations in Section V. Instead of using an average, each link has a unique channel model. The added noise is obtained for each pair-wise set of transmissions from lookup tables indexed by the S/I ratio, the channel model, and the time difference between pulses. IV. BUSY-SIGNAL MAC PROTOCOL FOR I-UWB SYSTEMS M-ALOHA and M-PSMA improve throughput, but collisions still waste energy by forcing nodes to retransmit entire packets.

Collision detection or CA could reduce the wasted energy. However, collision detection normally requires an additional transceiver to duplex feedback signals in a frequency band separate from the data. From Section II, CA adds excessive overhead in I-UWB. An energy-efficient busy-signal MAC protocol, called BSMA, that avoids the hardware cost of collision detection and the overhead of CA is proposed here. A busy signal provides three important services to the MAC layer, which are: 1) preventing nodes within range of the destination from initiating a transmission; 2) informing the source node of a successful transmission; and 3) requesting the source node to terminate transmission of a corrupt packet so it does not waste energy transmitting the entire packet. The busy signal effectively acts as a symbol-level ACK. BSMA may require a busy signal to be emitted by any node that detects a transmission [42], or by the destination node only [43]; or first, by any node that detects a transmission and then, by only the destination node after address decoding is complete [23]. Our implementation follows that in [23]. A. Duplexing To implement a busy signal, a transceiver must be capable of full duplex operation [44]. Narrowband radios implement full duplex operation with frequency division duplexing (FDD), which requires two transceivers in different frequency bands. The FDD system in Fig. 4(a) can transmit a busy signal and receive a data signal simultaneously, but the additional frequency band is inefficient in hardware complexity, power dissipation, and spectral usage. Since FDD is expensive, narrowband systems usually implement time-domain duplexing (TDD), as shown in Fig. 4(b). CA protocols use TDD, and the acquisition overhead for each time-duplexed packet incurs penalties in throughput, energy efficiency, and latency. Further, a TDD system cannot detect a packet error until after the transaction completes, thus it wastes energy transmitting corrupted data. TDD is especially unattractive for energy-sensitive networks operating in harsh channel conditions We propose a fine-grained half duplex for I-UWB that achieves full duplex performance with a single transceiver.

3006

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

C. Physical Layer Design Considerations A node under BSMA can be a source node, a destination node, or an idle node. The busy signal should not degrade data reception at a destination node, and it should be easily detectable by a source node or an idle node with data to transmit. Interference complicates these goals. After the destination node transmits a busy signal, the multipath channel causes a long ring down time, and some of the busy-signal multipaths could interfere with data reception. Likewise, the source node’s data signal may interfere with busy-signal detection. When multiple nodes emit busy signals, they may interfere with both data reception and busy-signal detection. The received signal for a node is given in (5) as follows: Fig. 5. Full duplex system architectures [24].

An I-UWB signal is not continuous in time like a narrowband signal, thus the idle time between data pulses can serve as a feedback channel for a busy signal. The I-UWB system in Fig. 4(c) achieves full duplex performance without the energy, latency, and throughput penalty of the TDD system and without the additional frequency band of the FDD system. Starting in receive mode, a transceiver receives a data pulse. It then switches to transmit mode and transmits a busy-signal pulse. After transmitting, it switches to receive mode and prepares for the next data pulse. The fine-grained half duplex switches between receive and transmit modes on a symbol level, but it appears as full duplex at the MAC level.

B. System Architecture Fig. 5 compares an FDD architecture for narrowband radios to the proposed fine-grained half duplex architecture for I-UWB radios. An ad hoc network has no base station to translate between frequency bands for inter-node communication. Therefore, the narrowband radio in Fig. 5(a) must be capable of operating in either band, depending upon whether it is a source or destination node. The dual bands result in a radio, with two transceivers and two circulators, that demands more than twice the power and hardware cost of a single transceiver. The feedback channel also degrades spectral efficiency. With the proposed I-UWB system in Fig. 5(b), the low duty cycle allows a single transceiver to access a feedback channel in the same frequency band as the transmitted data. Since the data signal and the busy signal share a band, they also share RF circuitry. The switching time between transmit and receive modes determines the minimum PRI. The receiver in Section II toggles the disable inputs to the PA and the LNA to achieve a switching time of 250 ps, which is much faster than our fastest PRI of 10 ns. The proposed I-UWB transceiver significantly reduces circuit cost and power dissipation as compared to a narrowband FDD transceiver. Further, the system leverages the low transmit power of I-UWB by transmitting a busy signal for the duration of a successful transaction, while only periodically checking for a busy signal.

(5) where impulse response of the PA in state on off ; impulse response of the LNA in state off on ; signal transmitted by node (data or busy signal); signal received by node from its link partner, node ; signal from a node , the set of all nodes transmitting a busy signal, including if it is a destination; signal from a node , the set of all nodes transmitting a data signal, including if it is a source; channel impulse response of any node to node ; propagation delay from any node to node ; internal delay from the transmitter to the receiver; the receiver noise at node . A node should mitigate the strong interference from its own such that ideally transmission

(6) Since the switching time is not instantaneous, we enable/disable the PA/LNA such that either or is always off. Thus, to prevent interference from , the timing sequence consists of 0.25 ns for the transition on off , 0.25 ns for the transition off on , the transmitted pulsewidth time (usually less than 1 ns), 0.25 ns for the transition on off , and 0.25 ns for the transition off on .

AUGUST AND HA: OPERATION, SYSTEM ARCHITECTURES, AND PHYSICAL LAYER DESIGN CONSIDERATIONS OF DISTRIBUTED MAC PROTOCOLS

3007

To completely avoid overlap, both the source and destination nodes may wait for the maximum multipath delay spread of between receiving a busy-signal (data) pulse and transmitting a data (busy signal) pulse. Thus, for a maximum link distance of , a PRI can satisfy (8) if [45] (9) (a) Link Distance

=c*PRI.

(b) Link Distance

= 0.75*c*PRI.

Fig. 6. Overlap effect at source node [45].

Next, a node should separate from other data and busy signals and from its own reflected multipaths such that ideally

(7) To mitigate the interference in (7), we separate the data signal from the busy signal. Spreading the busy signal, as in direct-sequence ultra-wideband (DS-UWB), differentiates the busy signal from the data signal [17]. To prevent multiple busy signals from combining destructively, the DS-UWB code minimizes autocorrelation. Orthogonal pulse shapes also separate the busy signal from the data signal. Finally, node estimates and equalizes the reflections from its own transmitted signal. Such equalization is relatively simple because the signal is known. The phenomenon of overlap may also degrade performance [44], [45]. Depending on the link distance, a busy-signal pulse may overlap a data pulse in time at either the source node or destination node. For clarity, we allow the destination to time its busy-signal transmission to avoid any overlap with the received data signal. Thus, a source node may lose a portion of the busy signal when it transmits a data pulse with its PA enabled on and its LNA disabled off . Fig. 6 illustrates overlap at a source node. At Time 1, the source node transmits a pulse, which arrives one propagation time , later at the destination node at Time 2. At Time 3, the destination sends a busy-signal pulse exactly s after the arrival of the first data pulse. Finally, at Time 4, the source node receives the busy-signal pulse. In Fig. 6(a), the link distance is m so the round-trip propagation time is s. Therefore, the busy-signal pulse arrives s after the corresponding data pulse. In Fig. 6(b), the link distance is m, the round-trip propagation time is s, and the busy-signal pulse arrives s after the corresponding data pulse. Since the source node is transmitting, it loses energy from the busy signal. Note that Fig. 6 shows only the first multipath of a busy signal; in reality, a receiver could detect some portion of the multipath energy. A source node should mitigate overlap such that ideally

(8)

At shorter PRIs, the source node may lose up to 2 ns of the busy-signal energy from overlap and from the enable/disable timing resulting from (6). The DS-UWB scheme reduces the energy loss by spreading the busy-signal energy over a longer time period. Further, the energy from multipath reflections is available over a much longer period than 2 ns. To mitigate the interference in (7), we have suggested three techniques, which are: 1) separating the busy signal from the data signal via DS-UWB and orthogonal pulse shapes; 2) minimizing destructive busy-signal interference by using a spreading code with low autocorrelation; and 3) equalizing self-interference. Fig. 7 compares the simulated performance of our proposed techniques (labeled Proposed) to a baseline I-UWB busy signal with none of the techniques (labeled I-UWB) and to an ideal case with no interference or overlap. The figure considers three interference scenarios. In the first, there is no overlap and a single busy signal. In the second, there is a single busy signal, and the strongest busy-signal multipaths overlap the data signal. In the third scenario, six busy signals may encounter overlap and are further corrupted by the data signal multipaths. Fig. 7(a) shows the performance from the perspective of the source node. We simulate the probability of detection versus the probability of false alarm for a busy signal arriving at the source node with 3 dB less power than the data signal reflections. The destination limits its power so the strongest received busy signal has an SNR of 4 dB after an 11-dB noise figure at the antenna terminals. This SNR corresponds to a 10-m link distance at 100 Mb/s under FCC limits. The SNR is purposefully low to better compare the relative performance of the proposed methods to the ideal case. Actual systems can achieve significantly better absolute performance with multiple looks, lower data rates, or shorter link distances. The proposed techniques improve performance for all three scenarios as compared to the baseline I-UWB case. Without overlap, equalization provides most of the performance gain. With overlap, the DS-UWB signal is responsible for the gain because the source node receiver loses less busy-signal energy. For multiple busy signals, the techniques result in smaller gains over the baseline I-UWB case because the source can detect any of the I-UWB busy signals. Note that one data point for Scenario 3 attains a higher probability of detection (100%) than the ideal case, and this is because the simulations require an impractical number of symbols to report any missed detections. Fig. 7(b) shows the performance of the proposed techniques from the perspective of the destination node at different PRIs. The reflections from the busy signal result in a data signal to interference ratio of 3 dB. We consider the same scenarios as for the source node with the exception of Scenario 2 because the destination node times its transmission to avoid overlap.

3008

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 7. Performance of the techniques proposed to mitigate interference and overlap in a busy-signal MAC protocol.

In the ideal case, the busy signal adds zero noise to the incoming data transmission. Of the proposed techniques, equalization is mostly responsible for reducing the noise, and the small amount of noise is due to 8-bit quantization. The estimation and equalization process is relatively simple because the busy signal is known. Without our techniques, a busy signal adds significant noise to the received signal at short PRIs. Further, multiple busy signals add more noise than a single busy signal because the destination node cannot control the time at which it receives the other busy signals. Without our techniques, a designer may need to considerably adjust the PRI (and, thus, the data rate) to meet link budget constraints. We apply results similar to Fig. 7 in network simulations. Each pair-wise link obtains an interference level from lookup tables indexed by link distance, PRI, and channel instance. V. NETWORK PERFORMANCE RESULTS In [24], we presented basic network simulation results. Here, we incorporate the physical layer effects in Sections III and IV into the ns-2 simulation models. The results improve slightly over the worst case assumptions in [24]. We characterize the network

performance in terms of throughput, delay, and energy efficiency. The throughput is defined as sum of the rates (bits per second) of traffic the physical layer offers to the MAC layer of each destination node. The delay is defined as the average time a successful packet spends between the source MAC layer and the destination MAC layer. The energy efficiency is defined as the energy expended for a successful data packet divided by the total energy expended for all transmitted and received signals (note that [24] considered only the energy for data packets, not for all signals). These quantities are plotted against the offered load, which is defined as the sum of the rates (bits per second) of traffic that the network layer offers to the link layer over all nodes. Twenty different random topologies are averaged to obtain the graphs for throughput, delay, and energy efficiency. For each simulation, we place 225 stationary nodes in random positions in a 75 m 75 m square area. Within a simulation, each node transmits 50 000 packets at power limits that result in a maximum link distance of approximately 10 m. Each topology produces a large variation of distances and S/I values over all possible links, but the results follow very similar trends. The I-UWB physical layer andchannel model are implemented as custom blocks in ns-2. The packet format is from [17] with a maximum data size of 4095 B and an acquisition period of 900 bits. The large maximum packet size allows the receiver to offset the overhead of the acquisition time. Traffic follows a Poisson distribution with a random source and destination for each packet. We use a spreading code only for the DS-UWB busy signal in Section IV, and there is no channel coding; so one data pulse represents one data bit. This allows us to focus on the performance of the proposed protocols—instead of the performance of a code—under the presence of the interference in (4) and (5). To support an I-UWB physical layer, we alter ns-2 to allow simultaneous transmissions to coexist without immediately dropping a packet. To decide if a packet is dropped, ns-2 first ascertains the interference level. The interference is added to a link budget that has been calculated from system-level simulations [31], [32]. A node then drops a packet if the total interference exceeds the link budget including a 6-dB safety margin. The MAC protocols determine the unique interference level for each transmission from lookup tables. All simulations use the 802.15.3a CM4 model because of the long rms delay spread (25 ns) [39]. Each pair-wise link randomly realizes a different channel model instance, and the characteristics remain constant over the duration of a packet. The M-PSMA and M-ALOHA protocols obtain the interference level from lookup tables of physical layer simulation results similar to Fig. 3. For each transmission, the interference depends on the channel model between each pair of nodes, the time offset between pulses, and the S/I level of the interfering signal. The results of the lookup tables also place each transmission in group , , or . Recall from Section III that the interference level is zero for transmissions in group and that the receiver drops all packets in group . For BSMA, the source node determines the probability of detecting a busy signal from physical layer simulation results similar to Fig. 7(a). Lookup tables provide the probabilities for each transmission from the S/I ratio, the amount of overlap, and the channel model. If the source node does not detect the busy

AUGUST AND HA: OPERATION, SYSTEM ARCHITECTURES, AND PHYSICAL LAYER DESIGN CONSIDERATIONS OF DISTRIBUTED MAC PROTOCOLS

signal, it terminates the transmission and re-transmits the packet later. The destination node determines the interference level in the received data signal via lookup tables indexed by the S/I ratio, PRI, and channel model. If the interference causes the transmission to exceed the link budget, the destination drops the transmission. As in M-PSMA and M-ALOHA, each pair-wise link uses a different channel model. To focus on our proposed techniques, the simulator drops packets due to the interference in (4) and (5)—and not due to noise. Further, we do not include narrowband interference, as physical layer simulations show that typical narrowband interference does not significantly impact our receiver [31], [32]. For the RF circuit components, the energy dissipation is modeled from measurements of our CMOS test chips. For the digital computations associated with the MAC Layer, we model the energy with an average computational energy cost per bit. Note that, unlike narrowband systems, the baseband processing energy of I-UWB systems is comparable to the transmission energy. The receiver’s energy dissipation includes the bias current of active devices, the startup energy of active devices, and the processing energy. The transmitter’s energy dissipation includes the radiated energy in addition to the above sources. For the simulations, our ns-2 implementations of the proposed MAC protocols are unslotted. In an actual deployment, the cost of centrally synchronizing the slots would be undesirable. In M-PSMA, PSMA/CA, and BSMA, nodes may transmit any time they sense an idle channel. In M-ALOHA, nodes may transmit at any time. In Figs. 8–11, the throughput and offered load are normalized to the data rate of a single link. Thus, it is possible for the normalized throughput of the entire network to exceed unity—the maximum link data rate. Two conditions may cause the network throughput to exceed unity, which are: 1) spatial separation allows two simultaneous transmissions or 2) the pulses of two simultaneous transmissions under M-PSMA or M-ALOHA are separated in time within a PRI at the receiver. First, we evaluate the throughput of M-ALOHA and M-PSMA. Fig. 8(a) varies the number of number of sub-channels (the number of signals that the multiuser receiver in Section III can simultaneously decode) from to at 1 Mp/s. In all cases, M-PSMA achieves a higher throughput and is more stable than M-ALOHA. As a multiuser receiver supports more sub-channels, performance improves for both protocols, but reaches a limit around for M-PSMA and for M-ALOHA. This is because it is highly improbable for a node under M-PSMA to receive more than four simultaneous transmissions. The clear channel assessment (CCA) prevents any node within range of a transmitter from initiating a transmission so only hidden nodes may compete for the extra sub-channels of a multiuser receiver. Thus, adding more than four sub-channels in the multiuser receiver does not improve throughput for M-PSMA in our topologies. In M-ALOHA, nodes do not check the medium before transmitting. Thus, its performance reaches a limit at because it is unlikely that a node receives more than eight simultaneous transmissions. (On average, less than 5% of the nodes have more than eight neighbors). Fig. 8(b) shows that the benefits of M-PSMA and M-ALOHA diminish as the pulse rate increases. For a clearer comparison

3009

Fig. 8. Throughput for M-PSMA and M-ALOHA.

among pulse rates, the simulations assume that the hardware scales in proportion to the pulse rate. For example, at 100 Mp/s, the inter-frame times and pulse sense times are 100 times faster than at 1 Mp/s. However, the channel delay spread remains the same, thus, overlap is more probable at higher rates. The simulations consider a single-user receiver with sub-channels for all pulse rates. At 1 Mp/s, the 1000 ns PRI is much longer than the rms delay spread, thus, most transmissions fall in group . For M-PSMA, the throughput declines rapidly beyond 16 Mp/s and reaches a floor by 32 Mp/s. Beyond the throughput floor, M-PSMA operates similarly to a single-channel narrowband system, where simultaneous transmissions always overlap. This is because the PRI is on the order of the channel rms delay spread, thus, it is likely that a transmission falls in group or . The throughput of M-ALOHA transitions more gradually, but also reaches a floor around 32 Mp/s. Further, M-ALOHA becomes unstable at high offered loads. Next, we evaluate the energy efficiency for M-PSMA and M-ALOHA. Fig. 9(a) varies the number of sub-channels in a multiuser receiver from to at 1 Mp/s. For all , M-PSMA achieves greater energy efficiency than M-ALOHA. Additionally, M-PSMA remains efficient at high offered loads, whereas the efficiency of M-ALOHA approaches 0% at high offered load for all . Again, performance reaches a limit around for M-PSMA and for M-ALOHA. Fig. 9(b)

3010

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 9. Energy efficiency for M-PSMA and M-ALOHA.

shows that the energy efficiency decreases as the pulse rate increases because the reduced number of time slots cause more collisions. For M-PSMA, the energy efficiency starts to decrease rapidly around 16 Mp/s and reaches a floor by 32 Mp/s. The efficiency of M-ALOHA transitions more gradually, but it also reaches a floor around 32 Mp/s. Next, we compare the performance of BSMA, M-PSMA, and M-ALOHA to a baseline distributed PSMA/CA protocol and to a baseline centralized TDMA protocol. Note that the proposed techniques in Section IV keep the performance of BSMA roughly independent of pulse rate3 so we simulate at 1 Mp/s for a fair comparison among protocols. The number of sub-channels is , except for TDMA, which has time slots. For TDMA, an omniscient central controller perfectly schedules time slots for exclusive channel access and spatial multiplexing. In an actual ad hoc network, the centralized control and single point of failure for TDMA is undesirable. Fig. 10(a) shows that M-PSMA attains an even higher throughput than centralized TDMA with perfect scheduling. M-PSMA outperforms TDMA, BSMA, and PSMA/CA because it allows sub-channel interleaving; and it outperforms M-ALOHA because it checks for a busy medium before transmitting. The random scheduling of BSMA achieves a throughput 3The 2-ns switching time required by (6) does limit the performance of BSMA, but only for PRIs well below our moderate range. A full switching cycle limits the maximum pulse rate to 250 Mp/s = 1 pulse=(2 2 ns)

2

Fig. 10. Performance comparison of M-PSMA, PSMA/CA, M-ALOHA, BSMA, and TDMA [24].

close to the perfect scheduling of TDMA. BSMA avoids most collisions, and it efficiently handles collisions when they do occur. BSMA outperforms PSMA/CA because the handshaking packets add overhead. Further, BSMA allows transmissions under exposed node conditions, whereas PSMA/CA does not. M-ALOHA performs worse than even PSMA/CA at high offered loads because the lack of virtual CCA or a pulse sensor results in a considerable number of collisions. Fig. 10(b) compares the energy efficiency of M-PSMA, M-ALOHA, and BSMA to PSMA/CA and TDMA at 1 Mp/s. From the perspective of energy efficiency, the protocols rank much differently than from the perspective of throughput. BSMA is the most energy efficient distributed protocol, and it performs nearly as well as centralized TDMA. BSMA outperforms PSMA/CA because the RTS packets may directly collide with data packets or indirectly cause collisions by interfering with control packets. BSMA outperforms M-ALOHA and M-PSMA because neither multichannel protocol has a mechanism to detect or avoid collisions. At low offered load, the energy efficiency of M-PSMA follows that of PSMA/CA. At high offered load, M-PSMA attains about half the energy efficiency of PSMA/CA, but it outperforms M-ALOHA because it checks for channel activity before transmitting. Under

AUGUST AND HA: OPERATION, SYSTEM ARCHITECTURES, AND PHYSICAL LAYER DESIGN CONSIDERATIONS OF DISTRIBUTED MAC PROTOCOLS

Fig. 11. Normalized delay for M-PSMA and TDMA [24].

M-ALOHA, most transmissions collide with each other at high offered load, and the energy efficiency approaches 0%. In Fig. 10, M-ALOHA and M-PSMA operate under single-user receivers so they may drop some transmissions due to a busy receiver. Note, however, that increasing to still does not improve the energy efficiency of M-ALOHA or M-PSMA to that of BSMA. Ignoring the relatively small propagation time, the average transmission delay of a packet is [22], [41] (10) where is the offered load, is the throughput at , is a sub-channel’s proportion of total link bandwidth, is the average retransmission delay computed from the simulations, and is the normalized average delay between successive retransmissions. We compare the delay of a 1-Mp/s M-PSMA system to a hypothetical 1-Mp/s TDMA system that can achieve the same throughput at each . We show M-PSMA only because it outperforms M-ALOHA, and BSMA has no advantage in delay over TDMA. Fig. 11 plots the M-PSMA delay with solid lines and the TDMA delay with dotted lines. TDMA incurs a much longer delay than M-PSMA for low offered load (i.e., when is close to 1). This is because each sub-channel’s bandwidth decreases by a factor of so it takes times longer to transmit a packet on an empty channel. For the proposed M-PSMA MAC, is always one because each successful transmission uses the full channel bandwidth. VI. CONCLUSION I-UWB is an attractive radio technology for ad hoc and sensor networks due to its robustness to multipath fading, sub-centimeter ranging ability, and low-cost low-power hardware. We have proposed three distributed MAC protocols that are custom tailored to large ad hoc and sensor networks with I-UWB radios. None of the protocols significantly complicates hardware, adds control traffic overhead, or has a central point of failure. The proposed protocols outperform more general approaches such as CA or time division.

3011

The two multichannel MAC protocols, i.e., M-PSMA and M-ALOHA, can significantly reduce the probability of collision, depending on the PRI and the channel conditions. In contrast to traditional multichannel MACs and handshaking schemes, M-PSMA and M-ALOHA improve performance without reducing link bandwidth, increasing delay, adding hardware complexity, or adding handshaking overhead. In terms of throughput and delay, M-PSMA outperforms all other protocols, and it is suitable for distributed networks that require a high aggregate throughput. A multiuser I-UWB receiver, which can receive several time-interleaved transmissions concurrently, further improves throughput, and it brings the energy efficiency of M-PSMA close to that of PSMA/CA. The busy-signal protocol, i.e., BSMA, provides superior energy efficiency over other distributed MAC protocols because source nodes can assess the status of ongoing data transmissions. Hence, BSMA is a suitable protocol for energy-sensitive networks. Whereas narrowband systems require two transceivers to implement a busy-signal MAC protocol, our I-UWB system requires only one transceiver to save cost, power, and circuit complexity. Simulations show that our physical layer design techniques result in a busy signal that is easily detectable and that does not interfere with data reception. REFERENCES [1] S. Verdu, “Spectral efficiency in the wideband regime,” IEEE Trans. Inf. Theory, vol. 48, no. 6, pp. 1319–1343, Jun. 2002. [2] L. W. Fullerton, “Reopening the electromagnetic spectrum with ultrawideband radio for aerospace,” in IEEE Proc. Aerosp. Conf., Mar. 2000, vol. 11, pp. 201–210. [3] M. Nakagawa, H. Zhang, and H. Sato, “Ubiquitous homelinks based on IEEE 1394 and ultra wideband solutions,” IEEE Commun. Mag., vol. 41, no. 4, pp. 74–82, Apr. 2003. [4] D. G. Leeper, “A long-term view of short-range wireless,” Comput., vol. 34, no. 6, pp. 39–44, Jun. 2001. [5] F. Cuomo, C. Martello, A. Baiocchi, and F. Capriotti, “Radio resource sharing for ad hoc networking with UWB,” IEEE J. Sel. Areas Commun., vol. 20, no. 12, pp. 1722–1732, Dec. 2002. [6] S. S. Kolenchery, J. K. Townsend, and J. A. Freebersyser, “A novel impulse radio network for tactical military wireless communications,” in IEEE Military Commun. Conf., Oct. 1998, vol. 1, pp. 59–65. [7] J. Zhang, R. A. Kennedy, and T. D. Abhayapala, “New results on the capacity of -ary PPM ultra-wideband systems,” in IEEE Int. Commun. Conf., May 2003, vol. 4, pp. 2867–2871. [8] M. Z. Win and R. A. Scholtz, “Ultra-wide bandwidth time-hopping spread-spectrum impulse radio for wireless multiple-access communications,” IEEE Trans. Commun., vol. 48, no. 4, pp. 679–689, Apr. 2000. [9] 802.15.3-2003 IEEE Standards for Information Technology—Part 15.3: Wireless Medium Access Control (MAC) and Physical Layer (PHY) Specifications for High Rate Wireless Personal Area Networks (WPAN), 802.15.3 Draft Standard, Draft P802.15.3/D17, Feb. 2003. [10] J. P. K. Gilb, Wireless Multimedia: A Guide to the IEEE 802.15.3 Standard. Piscataway, NJ: IEEE Press, 2004. [11] E. Saberinia and A. H. Tewfik, “Multi-user UWB-OFDM communications,” in IEEE Pacific Rim Commun., Comput., Signal Process. Conf., Aug. 2003, vol. 1, pp. 127–120. [12] G. R. Aiello and G. D. Rogerson, “Ultra-wideband wireless systems,” IEEE Micro, vol. 4, no. 2, pp. 36–47, Jun. 2003. [13] Z. Shiwei, L. Huaping, and S. Mo, “Performance of a multi-band ultrawideband system over indoor wireless channels,” in 1st IEEE Consumer Commun. Networking Conf., Jan. 2004, pp. 700–702. [14] J. Balakrishnan, A. Batra, and A. Dabak, “A multi-band OFDM system for UWB communication,” in Proc. IEEE Ultra Wideband Syst. Technol. Conf., Nov. 2003, pp. 354–358. [15] N. Boubaker and K. B. Letaief, “Ultra wideband DSSS for multiple access communications using antipodal signaling,” in IEEE Int. Commun. Conf., May 2003, vol. 3, pp. 2197–2201. [16] L. Qinghua and L. A. Rusch, “Multiuser detection for DS-CDMA UWB in the home environment,” IEEE J. Sel. Areas Commun., vol. 20, no. 12, pp. 1701–1711, Dec. 2002.

m

3012

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

[17] P. Runkle, J. McCorkle, T. Miller, and M. Welborn, “DS-CDMA: The modulation technology of choice for UWB communications,” in Proc. IEEE Ultra Wideband Syst. Technol. Conf., Nov. 2003, pp. 364–368. [18] W. Horie and Y. Sanada, “Novel CSMA scheme for DS-UWB ad hoc network with variable spreading factor,” in Joint Workshop Int. Ultra Wideband Syst. Conf. Ultra Wideband Syst. Technol., May 2004, pp. 361–365. [19] A. Woo and D. E. Culler, “A transmission control scheme for media access in sensor networks,” in Proc. Int. Mobile Comput. Networking Conf., Jul. 2001, pp. 221–235. [20] 802.15.4-2003 IEEE Standard for Information Technology—Part 15.4: Wireless Medium Access Control (MAC) and Physical Layer (PHY) specifications for Low Rate Wireless Personal Area Networks (LR-WPANS), IEEE Standard 802.15.4, 2003. [21] Y. Wei, J. Heidemann, and D. Estrin, “An energy-efficient MAC protocol for wireless sensor networks,” in 21st Annu. Joint IEEE Comput. Commun. Societies Conf., Jun. 2002, vol. 3, pp. 1567–1576. [22] A. Nasipuri, J. Zhuang, and S. Das, “A multichannel CSMA MAC protocol for multihop wireless networks,” in IEEE Wireless Commun. Networking Conf., Sep. 1999, vol. 3, pp. 1402–1406. [23] A. C. V. Gummalla and J. O. Limb, “Design of an access mechanism for a high speed distributed wireless LAN,” IEEE J. Sel. Areas Commun., vol. 18, pp. 1740–1750, Sep. 2000. [24] N. J. August, W. C. Chung, and D. S. Ha, “Distributed MAC protocols for UWB ad hoc and sensor networks,” in IEEE Radio Wireless Symp., Jan. 2006, pp. 511–514. [25] “FCC revision of part 15 of the Commission’s rules regarding ultrawideband transmission systems: First report and order,” FCC, Washington, DC, Tech. Rep., Feb. 2002. [Online]. Available: http://hraunfoss.fcc.gov/edocs_public/attachmatch/FCC-02-48A1.pdf [26] S. Jose, H. J. Lee, D. S. Ha, and S. S. Choi, “A low power CMOS power amplifier for ultra wideband (UWB) applications,” in Int. Circuits Syst. Symp., May 2005, pp. 5111–5114. [27] R. Thirugnanam, D. S. Ha, and S. S. Choi, “4-bit 1.4 GS/s low power folding ADC for UWB systems,” in IEEE Int. Ultra Wideband Conf., Sep. 2005, pp. 536–541. [28] S. Wang, D. S. Ha, and S. S. Choi, “A 6-bit 5.4-Gsamples/s CMOS D/A converter for DS-CDMA UWB transceivers,” in IEEE Int. Ultra Wideband Conf., Sep. 2005, pp. 333–338. [29] K. Marsden, H.-J. Lee, D. S. Ha, and H.-S. Lee, “Low power CMOS re-programmable pulse generator for UWB systems,” in IEEE Ultra Wideband Syst. Technol. Conf., Nov. 2003, pp. 443–337. [30] N. J. August, H. J. Lee, and D. S. Ha, “Design of pulse sensor to detect medium activity in UWB networks,” in IEEE Int. Ultra Wideband Conf., Sep. 2005, pp. 70–75. [31] H. J. Lee, D. S. Ha, and H.-S. Lee, “Toward digital UWB radios: Part II—A system design to increase data throughput for a frequency domain UWB receiver,” in Joint Int. Workshop Ultra Wideband Syst. Conf. Ultra Wideband Syst. Technol., May 2004, pp. 253–257. [32] H. Lee and D. Ha, “A frequency domain approach for all-digital CMOS ultra wideband receivers,” in IEEE Ultra Wideband Syst. Technol. Conf., Nov. 2003, pp. 86–90. [33] H.-J. Lee, D. S. Ha, and H.-S. Lee, “Toward digital UWB radios: Part I—Frequency domain UWB receiver with 1 bit ADCs,” in Proc. Joint Int. Workshop Ultra Wideband Syst. Conf. Ultra Wideband Syst. Technol., May 2004, pp. 248–252. [34] J.-Y. Le Boudec, R. Merz, B. Radunovic, and J. Widmer, “DCC-MAC: A decentralized MAC protocol for 802.15.4a-like UWB mobile ad hoc networks based on dynamic channel coding,” in Proc. 1st Annu. Broadband Networks Conf., Oct. 2004, pp. 396–405. [35] M.-G. Di Benedetto, L. De Nardis, M. Junk, and G. Giancola, 2: Uncoordinated, wireless, baseborn, medium access con“(UWB)^ trol for UWB communication networks,” Mobile Networks Applicat., 3rd quarter, 2005, to be published. [36] A. El Fawal, J.-Y. Le Boudec, R. Merz, B. Radunovic, J. Widmer, and G. M. Maggio, “Tradeoff analysis of PHY-aware MAC in low-rate, low-power UWB networks,” IEEE Commun. Mag., vol. 43, no. 12, pp. 147–155, 2005. [37] G. Giancola, C. Martello, F. Cuomo, and M.-G. Di Benedetto, “Radio resource management in infrastructure-based and ad hoc UWB networks,” Wireless Commun. Mobile Comput., vol. 5, no. 5, pp. 581–597, Aug. 2005. [38] J. Ding, L. Zhao, S. Medidi, and K. Sivalingam, “MAC protocols for ultra-wide-band (UWB) wireless networks: Impact and channel acquisition time,” in Proc. SPIE ITCOM02, Jul. 2002, pp. 97–106.

[39] J. Foerster, IEEE 802.15 WPANs, “Channel Modeling Subcommittee report (final),” Tech. Rep. P802. 15-02/368r5-SG3a, Dec. 2000. [Online]. Available: http://grouprt.ieee.org/groups/802/15/pub/ 2002/Nov02/02490r0P802-15_SG3a-Channel-Modeling-Subcommittee-Report-Final.zip. [40] F. Xue and P. R. Kumar, “The number of neighbors needed for connectivity of wireless networks,” ACM Wireless Networks, vol. 10, no. 2, pp. 169–181, Mar. 2004. [41] N. J. August, H.-J. Lee, and D. S. Ha, “An efficient multi-user UWB receiver for distributed medium access in ad hoc and sensor networks,” in IEEE Radio Wireless Conf., Sep. 2004, pp. 455–458. [42] F. Tobagi and L. Kleinrock, “Packet switching in radio channels: Part II—The hidden terminal problem in carrier sense multiple-access and the busy-tone solution,” IEEE Trans. Commun., vol. COMM-23, no. 12, pp. 1417–1433, Dec. 1975. [43] C. Wu and V. Li, “Receiver-initiated busy-tone multiple access in packet radio networks,” in Proc. ACM Frontiers Comput. Commun. Technol. Workshop, Stowe, VT, Aug. 11–13, 1987, pp. 336–342. [44] L. W. Fullerton, “Full duplex ultrawide-band communication system and method,” U.S. Patent 5 687 169, Nov. 11, 1997. [45] N. J. August and D. S. Ha, “An efficient UWB radio architecture for busy signal MAC protocols,” in IEEE Sensor and Ad Hoc Commun. Networks Conf., Oct. 2004, pp. 10–10.

Nathaniel J. August (S’03–M’05) was born in Cumberland, MD, in 1975. He received the B.S. degree in computer engineering (with a minor in computer science), M.S. degree in electrical engineering, and Ph.D. degree in electrical engineering from the Virginia Polytechnic Institute and State University, Blacksburg, in 1998, 2001, and 2005, respectively. From 1995 to 2005, during internships, he was a Validation Engineer with the Intel Corporation in both their Folsom, CA and Portland, OR branches. In April 2006, he joined the Intel Corporation in Portland, OR, as a Component Design Engineer. He has authored ten papers in the field of UWB communication. He coauthored An Introduction to Ultra Wideband Communication Systems (Prentice-Hall, 2005). His research interests include low-power very large scale integration (VLSI) design, video codecs, signal processing, RF identification (RFID) systems, and UWB communications systems.

Dong Sam Ha (M’86–SM’97) received the B.S. degree in electrical engineering from Seoul National University, Seoul, Korea, in 1974, and the M.S. and Ph.D. degrees in electrical and computer engineering from the University of Iowa, Iowa City, in 1984 and 1986, respectively. Since Fall 1986, he has been a faculty member with the Department of Electrical and Computer Engineering, Virginia Polytechnic Institute (Virgina Tech) and State University, Blacksburg. He is currently a Professor and Director of the Center for Embedded Systems and Critical Applications (CESCA). He supervises the Virginia Tech VLSI for Telecommunications (VTVT) Group, which specializes in VLSI design for wireless communications including UWB and wireless sensor systems for monitoring and diagnosis of buildings and vehicles. During a research leave from January to June 2003, he was with Freescale (formerly Xtreme Spectrum), where he was involved in UWB system design and low-power baseband signal processing. Along with his students, he has developed four computer-aided design (CAD) tools for digital circuit testing and has created a standard library of CMOS cells. The library cells and source code for the four tools have been distributed to over 250 universities and research institutions worldwide. He has authored or coauthored 30 papers in the UWB area over the past three years. He contributed to An Introduction to Ultra Wideband Communication Systems (Prentice-Hall, 2005). His research interests include low-power VLSI design for wireless communications, low-power/high-speed analog and mixed-signal design, RF integrated-circuit (IC) design, UWB RFIDs, wireless sensor systems for monitoring and diagnosis of buildings and vehicles, and reconfigurable architectures. Dr. Ha was the general chair of the 2005 System-on-Chip (SOC) Conference and a member of the 2005 Technical Program Committee of the International Conference on Ultra Wideband (ICU).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

3013

Attenuation of a Shielded Rectangular Dielectric Rod Waveguide Colin G. Wells, Member, IEEE, and James A. R. Ball, Member, IEEE

Abstract—The attenuation coefficient of a rectangular dielectric line enclosed by a rectangular shield is obtained by the use of a rigorous mode-matching method to calculate the required mode field intensity. The cross section of the waveguide is overlaid by a grid, and numerical integration is used to determine the power flow, dielectric, and conductor losses and respective attenuation coefficients. To obtain experimental verification, a length of waveguide was made into a resonator, and measured and calculated factors were compared. The results for the 11 mode show how the influence of the shield decreases with distance. This is relevant to the design of dielectric waveguide structures and in filter applications where dielectric resonators are used. Index Terms—Attenuation, dielectric waveguides, matching methods, numerical analysis, shielding.

Fig. 1. Shielded dielectric rod waveguide.

mode-

I. INTRODUCTION ECTANGULAR dielectric waveguides are used in integrated optics, millimeter-wave integrated circuits, and as transmission lines. Compared to metal waveguides, at millimeter-wave frequencies, they have lower propagation loss (depending on dielectric loss), lower cost and are easier to fabricate [4]. They are also significantly smaller [1]. Shielded square cross-sectional dielectric resonators are also used in filter applications, e.g., in multimode cubic dielectric-resonator filters [2]. The loss in rectangular dielectric waveguides is mostly due to that in the dielectric. However, if the waveguide is surrounded by a rectangular metallic shield (see Fig. 1), then the total loss of the waveguide will also include loss due to induced currents in the inner surface of the shield walls. In a recent paper [9], a modified version of the mode-matching method devised by Solbach and Wolf [7] (modified Solbach and Wolf (MSW) method) was used to find the propagation coefficients and field patterns of the hybrid modes of a shielded rectangular dielectric waveguide. In this paper, the calculated fields for the commonly used mode will be employed to find the wall and dielectric losses of the waveguide and, hence, its attenuation. The effect of the proximity of the shield on the attenuation will also be evaluated.

R

II. CALCULATING THE ATTENUATION COEFFICIENT USING MODE MATCHING The modes supported by a rectangular shielded dielectric rod waveguide were investigated using mode matching in a recent Manuscript received June 7, 2005; revised January 23, 2006. The work of C. G. Wells was supported by the University of Southern Queensland under a scholarship. The authors are with the Department of Engineering, University of Southern Queensland, Toowoomba, Qld., Australia. Digital Object Identifier 10.1109/TMTT.2006.877056

Fig. 2. One quadrant of the cross section showing the grid for power loss calculation.

paper [9]. The cross section was divided into three separate regions, and the field within each region represented as a sum of basis functions particular to the region. Due to symmetry, it was only necessary to consider one quadrant of the cross section for which the mode-matching regions are as shown in Fig. 2. Continuity of the tangential fields was then enforced at the boundaries between the regions, allowing the amplitudes of the basis functions to be determined. Once this has been accomplished, the field components of any required mode can be calculated at any point in the cross section. To calculate the power losses within the waveguide, the cross section is overlaid with a grid with lines spaced at and , as illustrated in Fig. 2. Field values and power densities are calculated at each intersection of the grid lines, and the total power flow and power dissipation is found by numerical integration.

0018-9480/$20.00 © 2006 IEEE

3014

From Poynting’s theorem, the time average power full cross section of a wave traveling in the can be written as

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

over the direction

and

(2)

where is the magnetic field tangential to the walls, is the wall surface resistance, is the permeability of free space, and is the conductivity of the wall material. Integration contour corresponds to the inner perimeter of the guide wall in the waveguide cross section. The numerical form becomes

where “*” denotes a complex conjugate and the integration is over one quarter of the cross section. Using numerical integration in the form of a Riemann sum, the total power flow is found by writing (2) as

(7)

(1)

(3) and identify the and nodes in regions and , respectively. If the dissipation in the walls and dielectric is sufficiently small, the fields within the waveguide will be almost the same as in the lossless case. This allows both types of losses to be estimated from the lossless fields using the perturbation method [6]. The dielectric power loss per unit length over the cross section can be obtained from

where

(4) and

where is the permittivity of free space, is the dielectric loss factor, is the real part of the dielectric relative permittivity in region , and is the dielectric loss tangent. The numerical form of (4) is

(5) . where the index identifies nodes in region The conductor loss per unit length in the shield walls can be obtained from

(6)

where identifies nodes, on the top shield boundary spaced apart, and the index identifies points on the right-hand-side shield boundary with spacing . Also in (3), (5), and (7), each component of or , at a point, is the sum of a number of basis function values calculated using the MSW method. By substituting the spatial grid component values into these equations, a close approximation to the power flow and losses can be obtained for the structure. Since (3), (5), and (7) are obtained from 4 the quarter structure of Fig. 2, duplication of common points at the boundaries must be taken into account. From [6], the attenuation coefficient due to dielectric loss and shield wall loss can then be calculated using

(8) and are the dielectric loss and attenuation where and shield wall loss and attenuation, respectively. In practice, conductor loss is increased by surface roughness, and this is normally taken into account by multiplying the theoretical value of the surface resistance by a roughness factor [5]. III. ALTERNATIVE METHOD OF CALCULATING THE ATTENUATION COEFFICIENT DUE TO DIELECTRIC LOSS Another way of calculating the attenuation due to dielectric loss only is to calculate it directly using the MSW method. In this procedure, the lossless propagation coefficient is calculated first. The loss factor is then determined from the loss tangent, and included to give a complex permittivity of the dielectric. The program can then be run again using a range of complex propagation coefficients . These consist of the lossless and a range of values. A value of is then determined by finding that value of for which the determinant of the mode-matching equation matrix is closest to zero. This would normally be the start of an iterative process in which and are varied alternatively until the propagation coefficient converges to a value of sufficient accuracy. It was found, however, that during this iterative procedure, the variation in was insignificant and, thus, only the initial lossless value was required.

WELLS AND BALL: ATTENUATION OF SHIELDED RECTANGULAR DIELECTRIC ROD WAVEGUIDE

Fig. 3. Attenuation coefficient versus frequency for the E is SDR = a =a = 2.

mode. The SDR

The perturbation method using the grid is preferred to the above direct method, as it can be used to find both shield wall loss and dielectric loss. It is also much faster to compute. However, since fields from the lossless solution are used to estimate the dissipation, some additional error is involved. In Section IV, dielectric loss results obtained by both methods are compared to show that the additional error cost of the perturbation approximation is negligible. IV. DISCUSSION OF CALCULATED RESULTS The attenuation coefficients created by the dissipation within the dielectric and shield walls were calculated for the commonly used mode using the grid method described in Section II. The dielectric rod material is barium tetratitanate for which the loss tangent is specified by the manufacturer (picoFarad, Anaheim, CA) as

Frequency GHz

(9)

This material was also used to obtain the experimental results presented later. A surface roughness factor of unity has been assumed for the metal shield. The attenuation coefficient verses frequency (beginning near cutoff) with a shield to dielectric dimension ratio (SDR), , is shown in Fig. 3. The plot of the attenuation coefficient verses SDR with the frequency at 3.4 GHz is shown in Fig. 4. For the mode shown in Fig. 4, as shield size increases relative to the dielectric, is gradually dominated by a relatively constant . This indicates that when using a dielectric with a relative permittivity of around 37, choosing an will minimize the shield conductor loss. The size of grid used in these plots was 51 51 points for one-quarter of the structure. The values marked with “ ” and “*” on the plots are generated from an extrapolation of the convergence of the attenuation coefficient with an increase in grid size. The maximum grid used in this convergence process was 501 501. The comparison of these values show that a grid size

3015

Fig. 4. Attenuation coefficient versus SDR for the E is 3.4 GHz.

mode. The frequency

of 51 51 (very efficient to compute) should be of sufficient accuracy for SDR values down to 1.1. Below this, larger grid sizes will be required. To estimate the extra error due to the perturbation approximation, which is inherent in the grid method, of the mode was also calculated directly using the MSW method, as described in Section III. The results are shown in Figs. 3 and 4, and show excellent agreement with the grid method extrapolated values. As , the mode becomes , and the coupled modes become the mode. The and -like qualities of these modes, in a cross section of the dielectric region, can be seen in Figs. 5 and 6, respectively. Hence, a further check of the grid method was performed by analytically calculating the perturbation approximations for and in a square cross-sectional waveguide completely filled with dielectric [6]. These were compared to those obtained from the grid method as the SDR value is brought very close to 1. To obtain the best accuracy, the grid method values, as mentioned before, were obtained from an extrapolation of the convergence of the attenuation coefficient with an increase in grid size. The dimensions of the waveguide used were the same as the dielectric rod used in experimental measurement, i.e., a 12.05-mm square cross section ( ) at a frequency of 3.4 GHz and with calculated for the dielectric at that frequency. The results, shown in Table I, show a difference of less than 2%. V. MEASUREMENT TECHNIQUE To verify the grid mode-matching method for finding loss, comparisons of calculated and measured unloaded were made for the mode using two sizes of resonator. The resonators consisted of a square cross-sectional barium tetratitanate dielectric rod (12.05 12.05 mm) placed in a square cross-sectional brass waveguide of the same length (153.3 mm). Brass end plates were added to the waveguide, also in contact with ends of the rod, to form the resonators. Measurements of the reflection coefficient were made using a vector network analyzer that was lightly coupled to the resonators by a probe mounted at the midpoint, as in Fig. 7. To

3016

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 5. Electric and magnetic field patterns in the xy -plane for the E (Color version available online at http://ieeexplore.ieee.org.)

mode.

Fig. 7. Dielectric resonator used for the measurement of unloaded Q.

and are the dielectric, wall and end plate losses in the resonator, respectively. The total energy stored in the resonator over the full cross section can be calculated from

(11) Fig. 6. Electric and magnetic field patterns in the xy -plane for the coupled E =E modes. For clarity, the electric field intensity in the dielectric is x5. (Color version available online at http://ieeexplore.ieee.org.)

TABLE I COMPARISON OF EXTRAPOLATED GRID METHOD ATTENUATION COEFFICIENT RESULTS (Np/m) FOR THE SHIELDED RECTANGULAR DIELECTRIC WAVEGUIDE, AT SDR = 1, AND THOSE CALCULATED FOR DIELECTRIC FILLED RECTANGULAR WAVEGUIDE = 37:13, (a = b = 6:025 mm, " Frequency = 3:4 GHz)

and the propagation coefficient will be

(12) where is the number of half-wavelengths of the resonant mode under investigation. Furthermore, the variation of the components of in this type of resonator will be of the form or . After integration with respect to , (11) can be written as

(13) where is a function of the transverse coordinates only. In Reimann sum form, provide consistent results, the same dielectric rod was actually used in both resonators. The waveguides cross sections were 23.8 23.8 mm and 18 18 mm, giving SDR values of 1.98 and 1.49, respectively. The measurements of the unloaded were carried out using a form of the amplitude reflection method [3]. The unloaded of a resonator can be calculated from

(10) where is the frequency at resonance, is 4 the energy stored in the three regions of Fig. 2 over the resonator length, and

(14) where

and identify the , respectively.

and

nodes in regions

WELLS AND BALL: ATTENUATION OF SHIELDED RECTANGULAR DIELECTRIC ROD WAVEGUIDE

3017

TABLE II COMPARISON OF CALCULATED AND MEASURED Q VALUES FOR THE 153.3 -mm-LONG SQUARE CROSS-SECTIONAL DIELECTRIC ROD RESONATOR AT N HALF-WAVELENGTHS (a = b = 6:025 mm, a = b = 11:9 mm AND 9 mm)

Fig. 8. Calculated propagation coefficient values for the first few modes to propagate, with measurements of the E mode superimposed. Shield dimension ratio SDR = 1:49.

Alternatively, the energy stored in the cavity may be obtained from the power flow in the infinite waveguide (3)

(15) where is the group velocity, obtainable numerically. Similarly, the dielectric and wall losses within the cavity can be obtained from the corresponding waveguide losses per unit length as follows:

(16) (17) The end-plate loss, for both ends and the full cross section of the resonator, can be calculated from

Fig. 9. Calculated propagation coefficient values for the first few modes to propagate, with measurements of the E mode superimposed. Shield dimension ratio SDR = 1:98:.

(18)

which becomes in Reimann sum form

(19)

VI. COMPARISON OF CALCULATED AND MEASURED RESULTS The reflection coefficient values for resonances of the mode were measured over a frequency range from cutoff to 4.2 GHz. When comparing the measured factor results to calculated values, it is necessary to account for surface roughness. The average surface roughness due to the milling process was estimated as 3.2 m. Given that the shield material is brass containing 38% zinc with a conductivity of 1.57 10 m, a surface roughness factor of approximately 1.7 is predicted from [5]. The method used in [5] assumes that the surface profile shows

a regular variation, e.g., a sawtooth. The actual surface profile is likely to be more complicated than this. Therefore, a surface roughness factor of 2 was used to calculate the -factor values. A comparison of measured and calculated values is shown in Table II. Percentage differences of the measured values with respect to the calculated values are shown in the right-hand-side column. From this, it can be seen that, on average, the measured -factor values are too low by approximately 5%. The most probable reason for this is the flange contact resistance of the short-circuit end plates, which were bolted on, not soldered [8]. Not all possible resonances were able to be measured. It was found that some resonances did not couple well to the probe and, thus, were too noisy. Other resonances were found to be affected by significant coupling to the degenerate mode , which made accurate unloaded calculations impossible at these frequencies. Mode charts for the first few modes to propagate are shown in Figs. 8 and 9 for SDRs of 1.49 and 1.98, respectively. The “ ” points show the propagation coefficients from (12) plotted

3018

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

against the measured frequencies of the resonances so that comparison with calculation can be made. The differences between measured and calculated frequencies at the same propagation values are less than 1% for both resonators. VII. CONCLUSION A numerical method for finding the attenuation coefficient of a shielded rectangular dielectric rod waveguide has been presented. The technique is based on the perturbation method in conjunction with field calculations using the MSW mode-matching method described in [9]. The effect of frequency and the proximity of the shield to the dielectric rod, on the attenuation coefficient, has been shown for the mode. It is believed that these results for the rectangular dielectric rod waveguide have not previously appeared in the literature. The method is confirmed by a close comparison with a direct method for calculating the attenuation coefficient due to the dielectric and also with analytically calculated values for a rectangular waveguide completely filled with dielectric. The method is also validated by good comparison of the measured and calculated values of the shielded dielectric rod waveguide when used as a resonator. The results of this paper will be relevant to the design of dielectric waveguide structures and in filter applications where dielectric resonators are used. ACKNOWLEDGMENT The prototype dielectric shielded line was manufactured at the University of Southern Queensland (USQ) Mechanical Engineering Workshop by C. Galligan. REFERENCES [1] A. G. Engel, Jr. and L. P. B. Kathi, “Low loss monolithic transmission lines for submillimeter and terahertz frequency applications,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 11, pp. 1847–1854, Nov. 1991. [2] I. Hunter, Theory and Design of Microwave Filters, ser. Electromagnetic Wave Series. London, U.K.: IEE Press, 2001. [3] D. Kajfez and E. J. Hwan, “ -factor measurement with network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 7, pp. 666–670, Jul. 1984.

Q

[4] D. Lioubtchenko, S. Tretyakov, and S. Dudorov, Millimeter-Wave Waveguides. Boston: Kluwer, 2003. [5] S. P. Morgan, “Effect of surface roughness on eddy current losses at microwave frequencies,” J. Appl. Phys., vol. 20, no. 4, pp. 352–362, 1949. [6] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [7] K. Solbach and I. Wolf, “The electromagnetic fields and the phase constants of dielectric image lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 4, pp. 266–274, Apr. 1978. [8] P. I. Somlo, “The effect of flange loss on the reflection coefficient of reduced height,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 9, pp. 795–797, Sep. 1979. [9] C. G. Wells and J. A. R. Ball, “Mode matching analysis of a shielded rectangular dielectric rod waveguide,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3169–3177, Oct. 2005.

Colin G. Wells (S’02–M’05) was born in Sydney, Australia, on April 3, 1951. He received the B.Eng. degree in electrical and electronic engineering and Ph.D. degree from the University of Southern Queensland, Toowoomba, Qld., Australia, in 2002 and 2006, respectively. His doctoral dissertation concerned the design of microwave components and filters using the mode-matching technique.

James A. R. Ball (M’81) was born in Guildford, U.K., on February 11, 1943. He received the B.Sc. degree in engineering from Leicester University, Leicester, U.K., in 1964, the M.Sc. degree in physics from the University of London, London, U.K., in 1968, and the Ph.D. degree in electrical engineering from the University of Queensland, Queensland, Qld., Australia, in 1988. From 1964 to 1969, he was a Microwave Engineer with EMI Electronics U.K., where he was involved with stripline and waveguide component design. From 1969 to 1971, he was with Amalgamated Wireless (Australasia), where he was involved with the design of low-frequency and UHF filters, and the testing of delta modulation and UHF radio links. In 1971, he joined the University of Southern Queensland, Toowoomba, Qld., Australia, where he is currently an Associate Professor of electrical, electronic and computer engineering with the Department of Engineering. His research interests are in the areas of microwave components, devices and measurements, and the numerical solution of electromagnetic field problems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

3019

Removal of Spurious DC Modes in Edge Element Solutions for Modeling Three-Dimensional Resonators Neelakantam V. Venkatarayalu, Student Member, IEEE, and Jin-Fa Lee, Fellow, IEEE

Abstract—When using edge element basis functions for the solution of eigenmodes of the vector wave equation, “dc spurious modes” are introduced. The eigenvalues of these modes are zero and their corresponding eigenvectors are in the null space of the curl operator. These modes arise due to the irrotational vector space spanned by the edge element basis functions and lead to nonzero divergence of the electric flux. We introduce a novel method to eliminate the occurrence of such solutions using “divergence-free” constraint equations. The constraint equations are imposed efficiently by tree–cotree partitioning of the finite-element mesh and does not require any basis functions other than the edge elements. The constraint equations can be directly incorporated into any Krylov-subspace-based eigenvalue solver, such as the Lanczos/Arnoldi algorithm used widely for the solution of generalized sparse eigenvalue problems. Index Terms—Constraint equations, finite-element method (FEM), Lanczos algorithm, spurious modes.

I. INTRODUCTION ANGENTIAL vector finite-element method (FEM) is a powerful numerical electromagnetic technique with a wide range of applications in the characterization of various electromagnetic and microwave systems. The basis functions used in this method are the lowest order Nedelec elements [1], popularly referred to as the “edge elements” [2]. Unlike nodal finite elements, these basis functions approximate the null space of the curl operator accurately [3]. It is for this reason that the nonphysical “spurious-modes” observed in nodal finite elements are not completely eliminated. Instead, the spurious modes occur at zero frequency with irrotational fields as the corresponding eigenfunctions. Unlike the higher order vector basis functions, the edge element space is not explicitly decoupled into irrotational and solenoidal spaces [8]. Thus, when edge elements are applied to cavity problems for computation of eigenmodes, spurious modes appear with zero eigenvalue. These dc spurious modes are solutions of the vector wave equation, but fail to satisfy the solenoidal nature of electric flux in Maxwell’s equations. In [4], a constrained Lanczos algorithm was introduced to suppress the occurrence of such modes. The method was based on restricting the Krylov subspace of the Lanczos algorithm and, hence, the resulting eigenvectors by enforcing the divergence-

T

Manuscript received October 9, 2005; revised February 21, 2006. N. V. Venkatarayalu is with Temasek Laboratories and the Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117508 (e-mail: [email protected]). J.-F. Lee is with the ElectroScience Laboratory, Department of Electrical and Computer Engineering, The Ohio State University, Columbus, OH 43210 USA. Digital Object Identifier 10.1109/TMTT.2006.877057

free (solenoidal) condition of electric flux in a weak sense. This restriction was carried out by devising a projection operator, which removes gradient fields in the Ritz vector obtained in each iteration of the Lanczos algorithm. The use of projection operator would involve the construction of finite-element matrices corresponding to nodal basis functions and necessitate the solution of a Poisson problem in each iteration of the Lanczos algorithm. In [5], to harness the use of readily available scalable sparse eigenvalue solvers such as the ARnoldi PACKage (ARPACK), a spectral shift method was proposed. In this technique, the original eigenvalue problem is modified such that the nonphysical eigenvalues are shifted to the middle of the spectrum. The shift is such that the solenoidal eigenmodes are unaffected. This method involves construction of mixed finite-element matrices using nodal and edges elements in solving the Poisson equation. Constraint equations proposed in this paper alleviate the need to construct matrices other than those required in the original eigenvalue problem. No new basis functions other than the edge elements are involved in the current formulation. The idea is similar to that in [4] in that it relies on the fact that the solution is orthogonal to the null space of the curl operator. However, instead of restricting each Ritz vector using the projection operator, a method of applying constraint equations directly on the Krylov vector involved in the matrix solution of the Ritz vector is developed. The resulting Ritz vector is such that its corresponding field solution is orthogonal to the null space of the curl operator. Such a method was recently used to suppress linear time growth observed in the finite-element time-domain method [6]. The proposed constraint equations can be efficiently imposed by the tree–cotree splitting of the finite-element mesh, and operating on the tree variables alone. Moreover, since the occurrence of nonphysical zero eigenvalues are completely suppressed, a shift-and-invert strategy with negative shift results in a positive definite system matrix, which can be solved efficiently using the preconditioned conjugate gradient (PCG) algorithm. Also, the proposed constraint equations can be directly integrated along with ARPACK via the “reverse communication interface” [15]. II. CONSTRAINED EIGENVALUE PROBLEM AND EDGE ELEMENT IMPLEMENTATION A. Variational Statement Consider Maxwell’s equation in a source-free and lossless region , as shown in Fig. 1. Assuming that the boundary ( ) is formed entirely by either perfect electric conductors (PECs) or

0018-9480/$20.00 © 2006 IEEE

3020

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

However, as discussed in [4], there are three groups of eigenpairs for the eigenvalue problem stated in (3) as follows: group 1: group 2: group 3: Fig. 1. Lossless resonator with inhomogeneous materials included within. The boundary of the resonator is assumed to be either PEC or PMC. (Color version available online at: http://ieeexplore.ieee.org.)

perfect magnetic conductors (PMCs), the electric and magnetic fields in satisfy in (1) where the tangential trace operator is defined by with being the unit outward surface normal from . Note that, in (1), the PEC and PMC boundaries are denoted by and , respectively. We further assumed that both relative permeability and relative permittivity are (3 3) positive definite Hermitian tensors. The objective of this paper is to employ the edge elements to solve for the eigenmodes of the lossless resonators. However, like many previous authors [4], [5], we chose not to work with (1) directly. Instead, we eliminate the magnetic field from (1) and focus our attention on the following transformed eigenvalue problem:

(5)

Both groups 1 and 2 are physical eigenmodes of the resonators. The number of eigenmodes in group 2 is one less than the number of separated PECs. Eigenmodes in group 3 do not satisfy completely the Maxwell equations, as in (1), and we refer to them as dc spurious modes. In employing edge elements to approximate the eigenmodes of (3), the number of dc spurious modes is the same as the number of “free nodes.” The free nodes are referred to the vertex nodes that are not on PECs. In the case of a resonator without a PEC, the nodes that are not designated as the reference grounding node are the free nodes. To suppress these dc spurious modes, we modify (2) into a constrained eigenvalue problem as in

with (6) Moreover, the corresponding variational statement becomes Seek

in

curl

and

such that curl

subject to

(2) where . Note that, for , (2) does imply the divergence-free condition . However, in the case of , we have . Subsequently, the divergencefree condition may no longer hold. Let us look at the following variational statement for (2):

where

(7) .

We should briefly comment here that the constrained equation enforces the divergence-free condition in a distributional (or weak) sense. B. Edge Elements Implementation

Seek

curl curl

and

such that (3)

The admissible function space for the trial and testing function space curl is defined by curl . Moreover, the bilinear form and the inner product are

In the current approach, we first subdivide the resonator into a union of tetrahedral elements. denotes such a partition of the resonator, and the superscript is the characteristic element length of the tetrahedral mesh. The trial and test vector functions are sought within a finite dimensional subspace curl , which is the span of the well-adopted edge element basis functions. The edge elements are associated with the edges of a tetrahedral mesh and are defined as

(8)

(4)

for an edge , which is formed by vertices and , and pointing from vertex to . is the usual Lagrange interpolation polynomial associated with node [14]. For a given finite-element

VENKATARAYALU AND LEE: REMOVAL OF SPURIOUS DC MODES IN EDGE ELEMENT SOLUTIONS FOR MODELING 3-D RESONATORS

mesh with free edges, the trial vector field be expressed as

3021

can

.. . (9) denotes transpose of . Subsequently, where the notation we have a finite dimensional approximation to the variational statement in (7) as follows: Seek

curl

and

such that

subject to (10) Moreover,

it

will

become evident that . The notation means the restriction of function in a tetrahedral element ; whereas denotes all linear polynomials within element . According to the deRham-complex [11], it can be shown that , the so-called “inclusion condition” [12]. From this point onward, we shall drop superscript whenever there is no confusion. The first part of (10) is well documented and corresponds to a generalized eigenmatrix equation (11) where

.. .

.. .

Note that is positive definite and is positive semidefinite with zero eigenvalues corresponding to the null space of the curl operator. The matrix structure of the constrained equation, i.e., the second part of (10), will be discussed in Section III. III. DISCRETE DIVERGENCE-FREE CONDITION In the discrete finite dimensional applications, the discrete Helmholtz decomposition [10] is analogous to the continuous version for any vector-valued functions. Specifically, for the finite dimensional space , which is the span of edge element

Fig. 2. Sample triangular finite-element mesh in two dimensions. Note that the edges are partitioned into tree (bold and thicker) and cotree (lighter) edges. There are eight tree edges and eight free vertex nodes, with the lower left node designated as the reference node.

, where basis functions, we have and is the space of discrete divergence-free finite elements [13]. In general, the eigenvalue solution of (11) leads to exactly number of spurious solutions with zero eigenvalues. To suppress the occurrence of these spurious dc solutions, when using the Lanczos/ Arnoldi algorithm, we need to impose constraint equations in addition to the generalized eigenmatrix equation (11). Before we elaborate on the constrained equations, we shall first discuss the interesting discrete gradient and integration matrix forms. A. Discrete Gradient and Integration Matrix Forms 1) Resonators Without PECs : We will describe the procedure to construct the discrete gradient operator, expressed in matrix form, and its inverse, the discrete integration operator. For simplicity, we shall illustrate the construction through a two-dimensional example, as shown in Fig. 2. Fig. 2 shows a simple triangular finite-element mesh with a total of 16 edges. The FEM mesh can also be viewed as a graph. We divide the edges into two groups, i.e., tree (thicker) and cotree (lighter) edges, via the minimum spanning tree algorithm [7]. For example, in Fig. 2, the tree edges are the thicker and darker edges, whereas the cotree edges are the lighter ones. It is not coincidental that the number of tree edges is the same as the number of free vertex nodes [8] (in Fig. 2, there are eight tree edges and eight free vertex nodes). Notice that in Fig. 2, we have arbitrarily assigned the lower left node to be the reference node, which corresponds to ground (zero potential). In general cases where PECs are present, it is customary to assign ground to all PECs. Consider , then

(12) Equation (12) provides the basis to construct the discrete gradient operator. We observe simply that

(13)

3022

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

We remark here that the coefficient of the edge elements can be interpreted physically as circulation, namely,

(14)

Hence, referring to Fig. 2, the relation between the circulation and the potential values can be expressed as Fig. 3. Tree–cotree marking for the nonphysical dc modes for a resonator with two separate PECs. Note the common ground for both PECs in this figure.

.. .

..

.

..

.

..

.

..

.

along the path from the reference node). Considering , by inspection of Fig. 2, we have . Through similar procedures, the following result can be obtained:

.. . (15) Note that the discrete gradient matrix is very sparse with every row having at most two nonzero entries, which are either 1 or 1. In analogy to the continuous case, the following properties hold true, viz., range range

(18) (16)

where and range are the null and range spaces of the operator, respectively. As can be seen from (15), the dimension of the discrete gradient matrix is and is not invertible. However, if we focus on the portion of the gradient matrix, which relates the tree edges to the nodal potential values, we have

Notice that indeed , as we expected. Moreover, by (16) and (15), the dimension of the null space of is the same as the number of free nodes . 2) Resonator With Multiple PECs: In Fig. 3, we show a resonator with two separate PECs together with a finite-element triangulation. Since all spurious dc modes correspond to gradient fields with all PECs having the same potential values, there are only three free vertices and, hence, only three nonphysical spurious dc modes. The corresponding tree–cotree markings of the edge elements are also shown in Fig. 3. The three spurious dc modes can be completely determined through three independent potential values by (see Fig. 3)

(17)

where the subscript represents the tree partition. We shall define the inverse of as the discrete integration matrix . To compute the discrete integration matrix , we can simply invert . Alternatively it can be assembled from the fact that each nodal potential value can be obtained by collecting the circulation along the tree path starting from the reference node to the vertex . The rows and columns of correspond to the free nodes and tree edges, respectively. In the th row of , every nonzero column entry corresponds to an edge that is in the path along the tree to the th node from the reference node. These entries are either 1 or 1, depending on the direction of the tree edge (whether the edge is in the same or opposite direction

.. .

.. .

.. .

.. .

and (19) Moreover, the corresponding integration matrix is

(20)

VENKATARAYALU AND LEE: REMOVAL OF SPURIOUS DC MODES IN EDGE ELEMENT SOLUTIONS FOR MODELING 3-D RESONATORS

B. Discrete Constrained Equations We are now ready to describe the discrete constrained equations for the edge elements. It can be formally stated as follows: an edge element vector is called a constrained vector if and only if (iff) (21) Since such that

where the subscripts and represent tree and cotree edges, respectively. As shown in Section III, is a square matrix and is invertible with its inverse given by the integrator . Given any , it can be constrained operator, i.e., to satisfy (27) by simply setting the tree variables in , i.e., in terms of the cotree variables , and results in a modified/ constrained as

, according to (15), there exists a . Therefore, the constrained (21) becomes (22)

Hence, from (22), we conclude that an edge element vector is a constrained vector iff (23) In practice, the constrained equations can be enforced through any of the following forms: (24)

3023

(28) involves only addition and subtracNote that in (28), tion operations. Also, when is explicitly constructed, multiplication by involves once again only addition and subtraction operations. Moreover, imposing the constraint (28) by the tree–cotree splitting involves the tree variables only. For a typical Delaunay tessellation, the number of edges averages approximately 7.3 the number of nodes [2]. Since the number of tree variables is one less than the number of nodes, the operation in (28) involves only approximately 14% of the total number of edges variables. Therefore, the extra cost in performing the constraint equations in the Lanczos algorithm is very minimum, and it completely suppresses the troublesome occurrences and contamination by the dc spurious modes.

Equation (24) can be established through (23) and (16). V. NUMERICAL RESULTS IV. DIVERGENCE-FREE CONSTRAINT EQUATION IN THE LANCZOS ALGORITHM The shift-and-invert Lanczos algorithm for the generalized eigenvalue problem of (11) with a shift of computes the eigenvalues around and their corresponding eigenvectors. In each iteration of the algorithm, the Ritz vector is generated as a solution of a system (25) As long as the Ritz vectors computed from (25) satisfy (24), the resulting eigenvector (spanned by the Ritz vectors) is constrained and is free from contamination by the dc spurious modes. In the following, we devise a constraint equation to be imposed on such that satisfies (24) (with and ). For this, consider that satisfies (24), i.e., (26) Equation (26) is the necessary constraint equation to be imposed on such that the solution of (25) leads to being constrained and free from contamination by the dc spurious modes. In each iteration of the Lanczos algorithm, once is computed, the constraint (26) is imposed. To impose the constraint in (26) efficiently, we employ the tree–cotree splitting of the finite-element mesh. Once the tree–cotree partitioning is performed, the constraint in (26) can be rewritten as

(27)

Here, numerical results obtained for the cavity problems with and without physical dc modes are presented. The eigenvalue solver used to generate the results is based on ARPACK, and its “reverse communication interface” feature [15] is utilized. This feature allows the user to provide matrix-dependent operations. The shift-and-invert mode operation of ARPACK for solution of (11) needs the user to provide two operations, viz.: 1) matrix vector multiplication of the form and 2) matrix solution of a linear system of the form where and are computed by scaling and , respectively, and are handled by the ARPACK code. The Ritz vector lie in the Krylov subspace constructed using . By operation 2), for and, hence, to be constrained, we need to enforce (28) on . Consequently, operation 1) is followed by constraining the tree variables of , as in (28). This is the additional operation involved in the eigenvalue solver, as compared to that of the normal unconstrained case. Moreover, the initial guess vector, typically generated randomly, has to be constrained as well. For the shift in operation 2), when is positive, is indefinite. However, since the constraint equations eliminate nonphysical zero eigenvalues, setting to be negative would still result in the computation of positive eigenvalues (and physical zero eigenvalues, if any). When is negative, is positive definite. The matrix solution in operation 2) can then be performed efficiently using a PCG algorithm with an incomplete Cholesky factor as a preconditioner. A good value of would be (we have chosen in our computations). is the estimated wavenumber of the lowest mode, excluding the physical dc modes, whose wavelength corresponds to twice the maximum dimension of the cavity. Though an iterative solver could be employed, the results reported in this paper are obtained using a direct solver for the matrix solution in operation 2). It is

3024

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 4. Geometry of ridged cavity. All dimensions are in centimeters.

TABLE I FIRST EIGHT LOWEST EIGENVALUES OF RIDGED CAVITY COMPUTED WITHOUT AND WITH CONSTRAINT EQUATIONS

Fig. 5. Geometry of rectangular resonator enclosing a PEC box (shaded). All dimension are in centimeters.

TABLE II FIRST EIGHT LOWEST EIGENVALUES OF RECTANGULAR RESONATOR ENCLOSING A PEC BOX COMPUTED WITHOUT AND WITH CONSTRAINT EQUATIONS

to be noted that in the case of an iterative solver like PCG, the residual for convergence must be much smaller than the tolerance specified for the ARPACK code. With a tolerance of 1e-6 for the ARPACK code, a residual of 1e-8 for the convergence of the PCG solver is sufficient to suppress the occurrence of spurious dc modes. A. Ridged Cavity The first example considered is a typical ridged cavity [16] shown in Fig. 4. The maximum dimension of the cavity is cm. Therefore, the lowest wavenumber is estimated to be . Subsequently, the shift is . The finite-element mesh has 549 tetrahedral elements and the number of edge unknowns is 495, out of which 56 correspond to tree edges. The first eight eigenvalues obtained, both with and without constraint equations, are shown in Table I. It is observed that the constraint equations completely eliminate the occurrences of zero eigenvalues, whereas the unconstrained case shows six “spurious” modes with zero eigenvalues. A good measure of the irrotational components in the eigenvector solution is By (23), when corresponds to a divergence-free solution, . This measure is also shown in Table I, where it is observed that, in the constrained case, is negligible for all eigenvectors. However, for the unconstrained case, this measure is significant even for the eigenmodes with nonzero eigenvalues. This is because the solution for the physical modes are obtained from the Ritz vectors contaminated by the solution of nonphysical dc modes. The number of iterations for the ARPACK code to converge for the unconstrained case (with randomly generated initial vector) was 132, and for the constraint case (with randomly generated divergence-free initial vector) was 149. The reason for more iterations in the constrained case is simply due to the higher resonant modes,

which are farther away from the shift and, therefore, require more Krylov vectors to converge. B. Cavity With Physical DC Mode In some cavities, physical dc modes are present. The number of such modes is always one less than the number of PECs. To highlight the fact that the constraint equations eliminate only the “spurious” and not the physical dc solution, an example of a three-dimensional (3-D) rectangular resonator with a PEC box enclosed within is presented. The geometry is shown in Fig. 5. The outer PEC box has a dimension of 1 cm 0.5 cm 2 cm, and the inner PEC box has a dimension of 0.5 cm 0.1 cm 1 cm. Thus, there are two PEC conductors and, hence, one physical dc mode is present. The volume between the two conductors is discretized into 723 tetrahedral elements. The number of edge unknowns is 593, out of which 43 correspond to tree edges. The results of the eigenvalue solver without and with constraint equations are given in Table II. The measure curl in Table II is the L2-norm of the curl of the eigenvector, i.e., curl . The unconstrained solver computes five eigenvectors with zero eigenvalues. Since all five eigenvectors lie in the null space of the curl operator [observed from the measure curl ], it is not possible to detect the physical eigenmode. However, in the case of the eigensolver with constraint equations, the “spurious” modes are eliminated and only one physical eigenmode with zero eigenvalue is obtained. This mode, like the rest of the modes, has no irrotational

VENKATARAYALU AND LEE: REMOVAL OF SPURIOUS DC MODES IN EDGE ELEMENT SOLUTIONS FOR MODELING 3-D RESONATORS

component, as verified by in Table II. With a tolerance of 1e-6 and for a total of eight eigenmodes, the ARPACK code converged in 105 iterations for the unconstrained case and 112 iterations for the constrained case. VI. CONCLUSION A novel method to eliminate dc “spurious” modes that occur in the finite-element solution of Maxwell’s equations using “divergence-free” constraint equations has been developed. This method of imposing constraint such that the computed eigenvectors are divergence free does not involve any other basis functions other than the edge elements. The weak form of the divergence-free nature of the eigenfunction translates to the corresponding constraint equations imposed on the Krylov vector that generates the Ritz vector in the Lanczos/Arnoldi algorithm. The method to impose the constraint equations efficiently using the tree–cotree partitioning of the finite-element mesh and integrating the method with the ARPACK code has been presented. An added advantage is the ability to use a negative shift in the Lanczos algorithm with shift-and-invert strategy. This results in solving a positive definite matrix, which otherwise is indefinite. Numerical examples verify conclusively the elimination of spurious modes in the solution of the eigenvalue problem. The approach can be extended to magnetostatic problems and time-domain FEMs, which encounter similar difficulties. ACKNOWLEDGMENT Author N. V. Venkatarayalu extends his thanks to M. N. Vouvakis, University of Massachusetts at Amherst, and Y.-B. Gan, Temasek Laboratories, National University of Singapore, Singapore, for all their useful discussions on this paper’s topic. REFERENCES [1] J. C. Nedelec, “Mixed finite elements in R3,” Numer. Math., vol. 35, pp. 315–341, 1980. [2] J. F. Lee, D. K. Sun, and Z. J. Cendes, “Tangential vector finite elements for electromagnetic field computation,” IEEE Trans. Magn., vol. 27, no. 9, pp. 4032–4035, Sep. 1991. [3] D. Sun, J. Manges, X. Yuan, and Z. J. Cendes, “Spurious modes in finite-element methods,” IEEE Antennas Propag. Mag., vol. 37, no. 5, pp. 12–24, Oct. 1995. [4] S. Perepelitsa, R. Dyczij-Edlinger, and J. F. Lee, “Finite-element anal(curl) elements,” ysis of arbitrarily shaped cavity resonator using IEEE Trans. Magn., vol. 33, no. 3, pp. 1776–1779, Mar. 2004. [5] D. A. White and J. M. Koning, “Computing solenoidal eigenmodes of the vector Helmholtz equation: A novel approach,” IEEE Trans. Magn., vol. 38, no. 5, pp. 3420–3425, Sep. 2002. [6] N. V. Venkatarayalu, M. N. Vouvakis, Y.-B. Gan, and J.-F. Lee, “Suppressing linear time growth in edge element based finite element time domain solution using divergence free constraint equation,” in IEEE Int. AP-S Symp. Dig., Washington, DC, Jul. 2005, pp. 193–196.

H

3025

[7] S. S. Skiena, The Algorithm Design Manual. New York: SpringerVerlag, 1997. [8] S.-C. Lee, J.-F. Lee, and R. Lee, “Hierarchical vector finite elements for analyzing waveguiding structures,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 8, pp. 1897–1905, Aug. 2003. [9] R. Dyczij-Edlinger, G. Peng, and J.-F. Lee, “Efficient finite element solvers for the Maxwell equations in the frequency domain,” Comput. Methods Appl. Mech. Eng., vol. 169, no. 3–4, pp. 297–309, Feb. 1999. [10] J.-F. Lee and D. K. Sun, “pMUS (p-type multiplicative Schwarz) method with vector finite elements for modeling three-dimensional waveguide discontinuities,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 864–870, Mar. 2004. [11] A. Bossavit, “Mixed finite elements and the complex of Whitney forms,” in The Mathematics of Finite Elements and Applications VI. London, U.K.: Academic, 1988, pp. 137–144. [12] C. W. Crowley, P. P. Sylvester, and H. Hurwitz, Jr., “Covariant projection elements for 3-D vector field problems,” IEEE Trans. Magn., vol. 24, no. 1, pp. 397–400, Jan. 1988. [13] P. Monk, “A simple proof of convergence for an edge element discretization of Maxwell’s equations,” Comput. Electromagn., vol. 28, pp. 127–142, 2003, Lecture Notes Comput. Sci. Eng. [14] P. P. Sylvester and R. L. Ferrari, Finite Elements for Electrical Engineers. Cambridge, U.K.: Cambridge Univ. Press, 1990. [15] R. Lehoucq, D. Sorensen, and C. Yang, ARPACK User’s Guide: Solution of Large-Scale Eigenvalue Problems With Implicitly Restarted Arnoldi Methods. Philadelphia, PA: SIAM, 1998. [16] J. Jin, The Finite Element Method in Electromagnetics, 2nd ed. New York: Wiley, 2002. Neelakantam V. Venkatarayalu (S’03) received the B.E. degree in electronics and communication engineering from Anna University, Madras, India, in 2000 and the M.S. degree in electrical engineering from The Ohio State University, Columbus, in 2002. During his graduate studies, he was a Graduate Research Associate with the ElectroScience Laboratory, Department of Electrical and Computer Engineering, The Ohio State University. He is currently an Associate Scientist with Temasek Laboratories, National University of Singapore, Singapore, where he is involved with the Electromagnetics Group. His current research interests include hybrid time-domain numerical methods for solving Maxwell’s equations and their applications for ultra-wideband antenna designs.

Jin-Fa Lee (M’74–SM’90–F’04) received the B.S. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1982, and the M.S. and Ph.D. degrees from Carnegie–Mellon University, Pittsburgh, PA, in 1986 and 1989, respectively, all in electrical engineering. From 1988 to 1990, he was with the Ansoft Corporation, where he developed several computer-aided design (CAD)/computer-aided engineering (CAE) finite-element programs for modeling 3-D microwave and millimeter-wave circuits. From 1990 to 1991, he was a Post-Doctoral Fellow with the University of Illinois at Urbana-Champaign. From 1991 to 2000, he was with Department of Electrical and Computer Engineering, Worcester Polytechnic Institute. He is currently a Professor with the ElectroScience Laboratory, Department of Electrical and Computer Engineering, The Ohio State University, Columbus. His research interests focus mainly on numerical methods and their applications to computational electromagnetics. His current research projects include analyses of numerical methods, fast FEMs, fast integral-equation methods, hybrid methods, 3-D mesh generation, domain decomposition methods, cement finite elements, and finite-element tearing and interconnecting methods.

3026

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

A 3-D Precise Integration Time-Domain Method Without the Restraints of the Courant–Friedrich–Levy Stability Condition for the Numerical Solution of Maxwell’s Equations Xikui Ma, Xintai Zhao, and Yanzhen Zhao

Abstract—In this paper, a new three-dimensional time-domain method for solving vector Maxwell’s equations, called the preciseintegration time-domain (PITD) algorithm, is proposed in order to eliminate the Courant-Friedrich-Levy (CFL) condition restraint. The new algorithm is based on the precise-integration technique. It is shown that this method is quite stable even when the CFL condition is not satisfied. Although the memory requirement of the PITD method is much larger than that of the finite-difference time-domain (FDTD) method, this new algorithm is very appealing since the time step used in the simulation is no longer restricted by stability. As a result, computation speed can be improved. Therefore, if the minimum cell size in the computational domain is required to be much smaller than the wavelength, this new algorithm is more efficient than the FDTD scheme. Theoretical proof of the unconditional stability is shown and numerical results are presented to demonstrate the effectiveness and efficiency of the method. It is found that the accuracy of the PITD is independent of the time-step size. Index Terms—Finite-difference time-domain (FDTD) method, precise integration, precise-integration time-domain (PITD) method, stability.

I. INTRODUCTION HE finite-difference time-domain (FDTD) method [1] has been confirmed to be an effective means that offers precise predictions of field behaviors for electromagnetic interaction problems. The FDTD is formulated by directly finite-differencing Maxwell’s equations, which leads to a recursive timemarching algorithm where the field solutions at the current time step are calculated from the field values at the previous time steps. In general, the FDTD is simple and flexible. It can be applied to solving various types of electromagnetic problems. Moreover, since it is a time-domain method, one single run of simulation can provide information over a large bandwidth when the excitation is chosen to be of large bandwidth. Despite its many virtues, the FDTD applications have been restricted to solving electrically small structure problems. The main limitation is that the time-step size must be small enough

T

Manuscript received November 9, 2005; revised February 22, 2006. The authors are with the School of Electrical Engineering, Xi’An Jiaotong University, Xi’an, Shaanxi 710049, China, (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877427

so that it satisfies the following Courant–Friedrich–Levy (CFL) stability condition

(1) with being the maximum wave phase velocity in the media , , and being the time step and being modeled, and , the spatial increment steps along the -, -, and -directions, respectively. If the time-step size is not within the bound, the FDTD method will become numerically unstable, leading to an unbounded numerical error as a FDTD solution marches. Therefore, a maximum time-step size is limited by minimum cell size in a computational domain, which means that if an object of analysis has fine scale dimensions compared with wavelength, a small time-step size causes a significant increase in calculation time. Various time-domain techniques have been developed to improve the FDTD computation efficiency. One of them is the very recently developed alternating-direction-implicit finite-difference time-domain (ADI-FDTD) [2], [3]. It can remove the stability constraint successfully. However, larger time steps will affect the numerical phase velocity, and the dispersion errors increase when the time steps’ augment [4]. In this paper, a new three-dimensional (3-D) time-domain method without the CFL condition is presented. It is based on the precise-integration technique [5]. The precise-integration technique was first used in calculating the transient responses of transmission lines, and in modeling the effects of interconnects in high-speed very large scale integration (VLSI) [6]–[8]. However, these studies are focused on the one-dimensional (1-D) problems. Here, the precise-integration time-domain (PITD) principle as applied in [6]–[8] is extended to three dimensions, and a 3-D PITD method that is free of the CFL condition is consequently developed. In it, the precise-integration technique is applied to Yee’s staggered cell to resolve Maxwell’s equations in this new 3-D time-domain algorithm so that the numerical results in the temporal dimension are almost identical to the precise solution. We have called this new algorithm the PITD method. Not only can it remove the CFL stability condition restraint, but it also makes the numerical dispersion independent of the time-step size. Moreover, analytical proof of the unconditional stability is provided and numerical experiments that verify the proof are shown.

0018-9480/$20.00 © 2006 IEEE

MA et al.: 3-D PRECISE INTEGRATION TIME-DOMAIN METHOD WITHOUT RESTRAINTS OF CFL STABILITY CONDITION

This paper is organized as follows. In Section II, the spatial discretization of Maxwell’s curl equations is given and a set of ordinary differential equations (ODEs) are derived, and absorbing boundary conditions are introduced into the PITD method. In Section III, the precise-integration technique is used to solve the ODEs. In Section IV, a scheme is proposed for solving the noninvertible problem of the matrix in the recursive scheme. In Section V, the unconditional stability of the PITD scheme is proved in theory. In Section VI, the error analysis of the PITD method is given. In Section VII, numerical examples are presented and compared with those using both the FDTD and ADI-FDTD methods. Finally, conclusions are made in respect to the future directions of the research in this area. II. SPACE DISCRETIZATION OF MAXWELL’S CURL EQUATIONS The fundamental idea of the PITD method is to discretize space into finite difference and thereby to reduce Maxwell’s curl equations

(2a)

3027

(2b) to a set of ODEs. Here, the space cell, which first was used by Yee [1], is applied to calculating the electromagnetic-field components in the defined nodes, and a component of the fields in a discrete space is denoted as

(3) , and , , and are space indices. where Using this field component arrangement, the above notation, and the centered difference approximation, we just discretize (2) in the spatial dimensions, and obtain a set of ODEs, shown in (4a)–(4f) at the bottom of this page. Equation (4a)–(4f) is the PITD form of Maxwell’s curl equations in the computational domain. Since the computational domain cannot include the whole space, the finite-difference mesh must be truncated to accommodate the finite computer memories, i.e., the mesh must be limited in the -, -, and -directions. The PITD method cannot

(4a)

(4b)

(4c)

(4d)

(4e)

(4f)

3028

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

be used to evaluate the field components tangential to the truncation surfaces since they would require the values of field components outside of the mesh. The tangential electric-field components must be specified in such a way that outgoing waves are not reflected, which is known as the absorbing boundary condition. There are quite a few absorbing boundary conditions available. For example, Engquist–Madja [9] and the perfectly matched layer (PML) [10] absorbing boundary conditions are all valid in the PITD method. Now, we will first derive the PITD form of the Engquist–Madja absorbing boundary condition. The well-known Engquist–Madja absorbing boundary conditions are given in (5) for tangential electric fields or magnetic fields on the , , , , , and boundaries as follows:

(5a) (5b) (5c) (5d) (5e)

where

. Assuming that

(7) substituting (7) into (6) gives

(8) Substituting (4b) into (8) gives (9), shown at the bottom of this page. Equation (9) is the PITD form of the Engquist–Madja absorbing boundary condition on the boundary for the field component . Similarly, the PITD forms of the Engquist–Madja boundary conditions for all the other components can also be deduced in this way. Due to space limitation of this paper, the details of the PITD forms are not shown here. Other than the Engquist–Madia absorbing boundary condition, the application of the PML in the PITD method is simple. For instance, one of the scalar partial differential equations of Maxwell’s curl equations in the PML is

(5f) (10) where is the field component on the boundary. For example, the absorbing boundary condition on the surface for the field component is given as a partial differential (5b). To obtain the discrete approximation to this partial differential equation, the central difference approximation is used on space first-order partial differentiation at an auxiliary grid-point , i.e.,

(6)

Discretizing (10) only in the spatial dimension gives

(11)

(9)

MA et al.: 3-D PRECISE INTEGRATION TIME-DOMAIN METHOD WITHOUT RESTRAINTS OF CFL STABILITY CONDITION

Equation (11) is the ODE of the PITD in the PML. All of the other scalar partial differential equations in the PML can be treated analogously.

3029

can be computed by In an actual simulation, the matrix using the precise-integration technique [5]. The technique is based on the identity

III. PRECISE-INTEGRATION TECHNIQUE FOR SOLVING THE ODEs

(19)

With all the ODEs both in the computational domain [like (4)] and on the boundaries [like (9) or (11)], we can summary them as a matrix form

where

, and is an integer. It is suggested to select

such as (12) is a column vector containing the electric field and the where magnetic field components defined on the discrete spatial grids, is a coefficient matrix. If some of the components are imposed to be at the certain values, the ODEs including the derivatives of these components with respect to should be deleted, and then we have

(20)

will be too small to cause a significant If is large enough, truncation error. Thus, we can use the truncated Taylor expansion to approximate as

(21a) where

(13) is a column vector introduced by excitation. where From the theory of ODEs, the solution of (13) can be written as

(14) where

. Let

(21b) is small, (21) should give a very good approximation When to . To avoid the unnecessary errors caused by a very small , rather than must be stored in memory during iterative process. With (19) and (21a), can be factorized as follows:

, we have

(15)

(22) and this factorization can be computed recursively. Note that for any matrices and , we have

A recursive form for (15) can be obtained as (23) (16) . When the inhomogeneous term where assumed to be linear within the time step , i.e.,

Therefore, can be computed by starting with (21b) and then run the following instruction: do

is (24) (17)

end do

the solution of (16) is (25)

(18)

Equation (21b), (24), and (25) give the precise-integration technique for computing the exponential matrix . By utilizing the matrix exponential function, the precise-integration technique

3030

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

can calculate the numerical solution of (13) with an accuracy of machine precision, i.e., no truncation error in the temporal dimension. IV. SCHEME OF SOLVING NONINVERTIBLE MATRIX PROBLEM is noninvertible. Unfortunately, in many cases, the matrix Hence, (18) is unavailable directly. In order to solve this difficulty, a scheme is presented here. If a matrix is noninvertible, this means its row (or column) vectors being linearly dependent of each other. In other words, there are some linear relations among the field components in (13). For example, for a set of ODEs,

Fig. 1. Spatial discrete approximation of the Gaussian law.

(26) in

which

, , and

,

if we

have

(27) Fig. 2. Spatial discrete approximation of the law of magnetic flux conservation.

with , , and being all constants, then the matrix invertible. Furthermore, if we have

is non-

(28) and the initial value of

is , then the solution of (28) will be

of them can be expressed from the other two components by using the Gaussian law. The spatial discrete approximation of the Gaussian law is illustrated in Fig. 1, where the relative dielectric permittivity on the surface is , the relative dielectric permittivities of the spaces and are and , respectively. In the charge-free region, the Gaussian law can be numerically expressed as follows:

(29) , and It is clear that the linear relation (29) among , is identical with the linear relation (27) among , , and The following scheme is available to making the matrix being invertible. Substituting (29) into (26) and eliminating from the ODEs gives

. From (31), one of the linear relations is given as

(30) where

,

(31)

.

Obviously, the matrix is now invertible. As indicated above, the key of solving the noninvertible matrix problem is to find the linear relations among the discretized field components. As the matter of fact, the linear relations of components in the computational domain and on absorbing boundaries are different, thus we will discuss them separately below.

(32) Similarly, all three components of the magnetic field are also linearly dependent, and one of them can be computed from the other two components by using the law of magnetic flux conservation. The spatial discrete approximation of the law of magnetic flux conservation is illustrated in Fig. 2. The relative permeability on the surface including , , , and components is . The relative permeabilities of the spaces above and below this surface are and , respectively. The law of magnetic flux conservation can be numerically expressed as follows:

A. In the Computational Domain Maxwell’s divergence equations show that all three components of the electric field are linearly dependent, i.e., one

(33)

MA et al.: 3-D PRECISE INTEGRATION TIME-DOMAIN METHOD WITHOUT RESTRAINTS OF CFL STABILITY CONDITION

where is the wave impedance, and the ODE of surface is given as

3031

on the

(37)

(38)

Fig. 3. Spatial discretization near the surface x

From (4f), we have (39) and (40), shown at the bottom of this page. From (4e), (41) and (42) can be obtained as follows:

= (i + 1)1x = a.

and one of the linear relations is derived as (41) (34) Apparently, (31) and (33) can also lead to other forms of the linear relations. In other words, besides and , any one of the components in (31) or (33) can also be expressed by the other two components. In an actual computation, we should make a concrete analysis of concrete problems. B. On the Absorbing Boundary In the light of our study, the introduction of the PML will not cause any new linear relations, but the Engquist–Madja absorbing boundary condition will do. Now, for example, we deduce the linear relations on the surface . Fig. 3 shows a cell including the surface . According to (8), we have

(42) Provided that the permeability is identical everywhere, substituting (35) into (39), (36) into (40), (37) into (41), and (38) into (42) gives

(43)

(44)

(35) (45)

(36)

(46)

(39) (40)

3032

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

If we can eliminate the terms on the right-hand sides of all these equations, we can get some linear relations among the components on the left-hand sides of all these equations. Multiplying (43) and (46) by ( 1), respectively, and summing each side of (43)–(46) leads to

Fig. 4. Spatial discretization near edges x

1)1z = d.

= (i + 1)1x = a and z = (k +

(47) Let the initial value of each component have

. We then

The linear relations on the surfaces and can be derived with the same means, given as (49) and (50), shown at the bottom of this page. C. Special Treatment on the Cell Including an Edge Fig. 4 shows a cell including the edges and . On the surfaces and , the PITD forms of Engquist–Majda boundary condition are given as

(51) (48)

(52)

(49)

(50)

MA et al.: 3-D PRECISE INTEGRATION TIME-DOMAIN METHOD WITHOUT RESTRAINTS OF CFL STABILITY CONDITION

Assuming that the initial condition is zero (provided that electric character parameters only change along the axis ), substituting (51) and (52) into (4e) gives

3033

Apparently, as long as

(58)

(53) The linear relations (54) and (55) on the cells including the edges , and , can also be deduced in the same way, and are given as

the inequality (57) can be satisfied. Practically speaking, since the time-step size is a positive real, the inequality (58) can be firmed to be true only if . In other words, the time-step size does not exert an influence on the stability of the scheme, and the inequality (58) is determined only with the sign of . Therefore, we conclude that the PITD method is unconditionally stable regardless of the time-step size. The CFL stability condition is then removed. VI. ERROR ANALYSIS OF THE PITD METHOD [5]

(54)

Since the main step in the precise-integration technique is the computation of the exponential matrix , the major error is from the truncated expansion (21a). If the truncation error is proportional to the term , and the relative error is proportional to , assume that we then have all the eigensolutions of , and then

(59) (55) With the same means as indicated above, we can obtain all of the linear relations both in the computational domain and on the boundaries. We should then substitute all these linear relations into (13), and eliminate the components expressed by these linear relations. After that, we impose the excitation and the perfect conductor boundary condition. Finally, an invertible matrix can be obtained. The ODEs for the derivatives of the components on excitation and perfect conductor should be eliminated since they are imposed at certain values.

and the elwhere the columns of are the eigenvectors of ements in the diagonal matrix are the corresponding eigenvalues. From (21a), we have

(60) The approximation given by (21a) then becomes

(61) V. STABILITY ANALYSIS OF THE PITD METHOD

The relative truncation error is proportional to

The numerical stability of the PITD method is studied analytically. Considering the solution of the homogeneous equation of (13), we have a recursive scheme or system as

(56) Its numerical stability can then be determined with the so-called Fourier method. If magnitudes of all the eigenvalues of exponential function are less than or equal to unity, the scheme is stable. Provided that we have obtained all the eigenvalues of , the stability condition of the scheme is identified with the inequality as follows:

(57)

(62) where the errors corresponding to different eigenvalues have been separated. To keep the truncation error within the machine accuracy (16 decimal digits in double precision), we must have

(63) Adjusting the value of , we can then determine a precise matrix within the machine accuracy. VII. NUMERICAL RESULTS To demonstrate the validity and capability of the PITD method, two examples are presented in this investigation. Nu-

3034

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 5. Computational domain of 3-D microstrip-line model.

-field in time domain. (a) E -field at the observation point E -field at the observation point (0; 2; 0:5). (c) E -field at the observation point (2; 0; 0:5).

Fig. 7. Fig. 6. FDTD solution that becomes unstable.

merical simulations are implemented using the PITD, FDTD, and ADI-FDTD for comparison, respectively. A. Microstrip Line Fig. 5 shows the numerical model. Due to the structure of the microstrip line being symmetric about the surfaces and , only a quarter of the microstrip line is placed in the mesh domain with a magnetic wall at the planes of symmetry. The size of cells is set at 1 10 m. The total number of the cells is 6 8 6 , and the number of the cells in the dielectric is 6 8 1 . and are excited with the rectangular pulse that is V/m for and for , where s. Electric characteristics of the model are set as and . The Engquist–Madja absorbing boundary condition has been used. The CFL condition is s in our case, but the time-step size of the FDTD method is set as 1 10 s in the actual computation. The observation -field is at the point , and the result of the FDTD method is shown in Fig. 6. As can be seen, it quickly becomes unstable. is then set as 1 10 s for the FDTD and for the PITD, respectively. The -field components at the observation points and are shown in Fig. 7. The PITD method remains stable, and the results of the PITD method and those of the FDTD method are in quite good agreement. The results show that the PITD method is stable even if the CFL condition is not satisfied. Moreover, the numerical disper-

E

(0; 0; 2:5). (b)

sion will not become worse because the waveform does not distort even if enlarges 10 times. Since the Yee’s grid is used in the PITD method, the number of the field components at all the grid points is the same as that in the FDTD. Therefore, for the computational domain containing Yee’s cells, the total number of field components is . Thus, required memory size of the PITD method is 2.7 bit at least. On the other hand, as indicated in (18), the exponential matrix and the inverse of need to be computed, and more components are involved in the recursive computations at each time step with the PITD method. The CPU time for each time step with the PITD method is then much larger than that with the FDTD method. However, since a larger time step size can be used with the PITD method, the total number of iterations required with the PITD method could be reduced dramatically. The counteraction between more CPU time for each time step and the reduced number of iteration on the overall CPU time need to be studied in future. Our simulations are performed on a CeleronM 1.7G PC. The CPU times and the required memory sizes of the simulations are shown in Table I with the time-step size and total time steps. In the case of the PITD method, the time-step size can be set 10 times as large as the FDTD method, and the total time steps can be reduced by a factor of 10 . The CPU time is also reduced to 1/13. Required memory size, which is approximately 800 times, is increased because of the necessity for matrix storage.

MA et al.: 3-D PRECISE INTEGRATION TIME-DOMAIN METHOD WITHOUT RESTRAINTS OF CFL STABILITY CONDITION

TABLE I INFORMATION ON THE MICROSTRIP LINE

3035

TABLE II PITD AND ADI-FDTD SIMULATION RESULTS WITH DIFFERENT 

Fig. 9. Relative errors of the ADI-FDTD and PITD methods as the function of relative time-step size.

Fig. 8. Time-domain E -field at different observation points (solid line: FDTD, dotted line: ADI-FDTD, dotted line with stars: PITD). (a) (2; 3; 1:5). (b) (3; 5; 2:5). (c) (4; 4; 2:5).

B. Rectangular Resonant Cavity As shown above, the PITD method is theoretically and numerically proved to always be stable, i.e., the selection of the time-step size is then no longer restricted by stability. As a result, it is interesting and meaningful to investigate how the large time-step size will affect computational accuracy. Here, an inhomogeneous rectangular cavity is computed with the PITD, FDTD, and ADI-FDTD methods, respectively. The cavity is 6 cm 8 cm 4 cm in size and is filled with air. For the actual computation, a uniform mesh with cm is used, leading to a mesh of 6 8 4 grid points. Besides the FDTD method, the simulations are run for the cavity with the ADI-FDTD method and the PITD method having a timestep size that exceeds the limit defined by the CFL condition, s here. s is used with the FDTD method, and 6 10 s with the ADI-FDTD and PITD methods. is excited with the rectangular pulse that is V/m for and for , where s. Fig. 8 shows the -field at the

observation points and . As can be seen, the PITD method remains with the stable solution, and all the results of the PITD method are much closer to the ones of the FDTD method, but those of the ADI-FDTD method are not. Now we investigate how the large time-step size will have an effect on the computation accuracy. For comparative purposes, both the PITD and ADI-FDTD methods are used to simulate the cavity. This time, the different values of time-step size are used with the PITD and ADI-FDTD methods to check for the accuracy. Table II presents the simulation results for the dominant mode in the cavity. The analytical result of the dominant mode is 3.125 GHz. As can be seen, the relative errors of the PITD method will not increase with the time step. Fig. 9 illustrates the relative errors for the dominant mode of the cavity computed using the ADI-FDTD and PITD methods with variable time steps. For clearness, the relative time-step is used. As can be seen, at the low , the errors of both the ADI-FDTD and PITD methods are almost the same. However, for , the error of the ADI-FDTD method increases with the growth of the time-step size , while the PITD method continues to produce stable results with the same errors that may or may not be acceptable, depending on the applications and users’ specifications. Table III shows the five resonant frequencies obtained with the FDTD, ADI-FDTD, and PITD methods. ps is used with the FDTD, and 60 ps with the PITD and ADI-FDTD. The

3036

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

TABLE III COMPARISONS OF RESULT WITH THE FDTD, ADI-FDTD, AND PITD

TABLE IV COMPARISONS OF RESULTS WITH THE FDTD, ADI-FDTD, AND PITD

PITD method, respectively. The problem that the matrix is invertible is solved by the elimination method of unknowns. As a result, the time step is no longer restricted by the numerical stability. Thus, it requires fewer computer resources, such as CPU time, if the minimum cell size in the computational domain is much smaller than the wavelength. Preliminary numerical experiments indicate that with the same accuracy, the proposed PITD method uses 10 times fewer iterations and is 13 times faster than the FDTD method, and the computation accuracy will not be affected by the time-step size. In conclusion, the successful implementation of this scheme has the potential to significantly impact the application of the PITD method to problems where very fine meshing is necessary over large geometric areas. Further investigations on different computer-memory-saving schemes will make the PITD method more suitable for computer-aided design (CAD) purposes. REFERENCES

TABLE V INFORMATION ON THE RECTANGULAR RESONANT CAVITY SIMULATION

errors of the FDTD and PITD methods are identical, but smaller than the ones of the ADI-FDTD method. Again, for comparative purposes of the computation efficiency, the conventional FDTD, ADI-FDTD, and PITD methods are used to simulate the cavity. Here, the time-step size s is used with the FDTD method, the s is used with the ADI-FDTD method, and s is used with the PITD method. With such selection of the time-step sizes, we find that the three methods present almost the same accuracy. Therefore, the three methods can be compared in a fair manner. Table IV shows five resonant frequencies obtained with the three methods. The errors for the three methods are almost the same. This simulation is performed on a CeleronM 1.7 G PC. Table V provides some information on this simulation. For the PITD method, when the time-step size is set at 100 times as large as that of the ADI-FDTD, the total time steps can be reduced by a factor of 100, and the CPU time is reduced to 39.8%. The time-step size of the PITD method can be set at 200 times as large as the conventional FDTD method, the total time steps can be reduced by a factor of 200, and the CPU time is also reduced to 72.6%. VIII. CONCLUSIONS In this paper, a 3-D PITD method free of the CFL stability condition is presented for solving electromagnetic problems. The Yee’s grid is used and the precise-integration technique is applied in formulating the algorithm. The Engquist–Madja and PML absorbing boundary conditions are introduced into the

[1] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 5, pp. 302–307, May 1966. [2] F. Zheng, Z. Chen, and J. Zhang, “A finite-difference time-domain method without the courant stability conditions,” IEEE Microw. Guided Wave Lett., vol. 9, no. 11, pp. 441–443, Nov. 1999. [3] T. Namiki, “A new FDTD algorithm based on alternating-direction implicit method,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2003–2007, Oct. 1999. [4] F. Zhen and Z. Chen, “Numerical dispersion analysis of the unconditionally stable 3-D ADI-FDTD method,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 1006–1009, May 2001. [5] W. X. Zhong and F. W. Williams, “A precise time-step integration method,” Proc. Inst. Mech. Eng. C—J. Mech. Eng. Sci., vol. 208, pp. 427–430, Jun. 1994. [6] J. Zhao, X. Ma, Y. Li, and G. Qiu, “Analysis of the electromagnetic transient of multiphase transmission lines by the precise integration method,” (in Chinese) J. High-Voltage Technol., vol. 27, pp. 3–4, Apr. 2001. [7] J. Zhao, X. Ma, and G. Qiu, “Analysis on transient response of lossy transmission lines with arbitrary loads by precise integration method,” (in Chinese) J. Microelectron., vol. 31, pp. 431–433, Dec. 2001. [8] M. Tang and X. Ma, “A precise integration algorithm for transient simulation of interconnects in high-speed VLSI,” (in Chinese) J. Electron., vol. 32, pp. 787–790, May 2004. [9] B. Engqist and A. Majda, “Absorbing boundary conditions for the numerical simulation of waves,” Math. Comput., vol. 31, pp. 629–651, Jul. 1977. [10] J. P. Berenger, “A perfectly matched layer for the absorption of electromagnetic waves,” J. Comput. Phys., vol. 114, pp. 185–200, Feb. 1994. Xikui Ma was born in Shaanxi, China, in 1958. He received the B.Sc. and M.Sc. degrees in electrical engineering from Xi’an Jiaotong University, Xi’an, China, in 1982 and 1985, respectively. In 1985, he joined the Faculty of Electrical Engineering, Xi’an Jiaotong University, as a Lecturer, and became a Professor in 1992. He is currently the Vice Dean of the Faculty of Electrical Engineering and the Chair of the Electromagnetic Fields and Microwave Techniques Research Group. During the 1994–1995 academic year, he was a Visiting Scientist with the Power Devices and Systems Research Group, Department of Electrical Engineering and Computer, University of Toronto. He has authored or coauthored over 140 scientific and technical papers and has also authored five electromagnetic fields books. His main areas of research include electromagnetic field theory and its applications, analytical and numerical methods in solving electromagnetic problems, the field theory of nonlinear materials, modeling of magnetic components, chaotic dynamics and its applications in power electronics, and the applications of digital control to power electronics. He has been actively involved in over 15 research and development projects. Prof. Ma was the recipient of the 1999 Best Teacher Award presented by Xi’an Jiaotong University.

MA et al.: 3-D PRECISE INTEGRATION TIME-DOMAIN METHOD WITHOUT RESTRAINTS OF CFL STABILITY CONDITION

Xintai Zhao was born in Hebei, China, in 1976. He received the B.Eng. and Sci. Eng. degrees from Yanshan University, Qinhuandao, China in 1999 and 2002, respectively, and is currently working toward the Ph.D. degree at Xi’an Jiaotong University, Xi’an, China. His research interests are in the areas of modeling electromagnetic fields and CAD of microwave devices.

3037

Yanzhen Zhao was born in Shanxi, China, in 1967. She received the Ph.D. degree in electrical engineering from Xi’an Jiaotong University, Xi’an, China, in 2003. She is currently an Associate Professor of applied electromagnetics with Xi’an Jiaotong University. Her research interests include numerical methods and software technique in the applied electromagnetics

3038

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Steady-State Response by Finite-Difference Time-Domain Method and Lanczos Algorithm Ting-Yi Huang and Ruey-Beei Wu, Senior Member, IEEE

Abstract—A hybrid method combining the finite-difference timedomain (FDTD) method and Lanczos algorithm is proposed for efficiently obtaining the steady-state response for closed systems. With the Lanczos algorithm, modes of the FDTD operator can be easily extracted to reconstruct the steady-state response at any time by an analytic formula. With the aid of the time-reversal technique, existing FDTD codes are preserved. Numerical results show that with only very few Lanczos iterations, results of good agreement can be achieved between the proposed method and brute-force FDTD. Index Terms—Finite-difference time-domain (FDTD) method, Lanczos algorithm, model-order reduction.

I. INTRODUCTION HE finite-difference time-domain (FDTD) method [1], [2] is well known for its simple implementation and high efficiency in solving various general-purpose problems. In general, sources such as Gaussian pulses or time-domain reflectometry (TDR) signals are injected into the areas of interest and a few field points wherein are often chosen to be observed. The FDTD solvers update all the field points in the areas continually as time marching until the injected energies decay to a certain level. The relation between observing field points and injecting sources are then set up to find the characteristics such as transfer functions or scattering parameters of the system. However, this strategy fails in most low-loss close problems and some open problems with high- materials. The energies decay very slowly or may even remain at a nonconverging level permanently in certain cases. Steady-state responses are often desired for finding the system characteristics in the frequency domain. In order to increase the resolution in the frequency domain, a steady-state response in a long enough time interval is needed to be calculated. For complex structures, fine meshes are often required to improve accuracy and, consequently, the time step in conventional FDTD simulation should also be small enough to satisfy the stability condition. It is a time-consuming task to obtain the frequency-domain characteristics under such conditions. In addition, only a few field points need to be taken into consideration in most practical applications while fields at all points in the computational domain should be calculated at each time iteration. How to efficiently get the steady-state response at those field points concerned therefore becomes an important subject. [3]

T

Manuscript received February 16, 2005; revised April 3, 2006. This work was supported in part by the National Science Council under Grant NSC 93-2752-E002-003-PAE. The authors are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2006.876987

Techniques commonly used for the extrapolation of late-time responses in FDTD simulations are Prony’s methods [2], [4], [5]. With data sampled at a relatively small number of time iterations, the late-time responses can be effectively predicted [4]. However, temporal data are needed to be sampled at a sufficient number of locations, or the frequency-domain circuit parameters will not be accurate [5]. Another way to retrieve the spectral-domain data from timedomain simulators are the filter-diagonalization methods [2], [6], [7]. These methods are useful in extracting the mode frequencies and decay constants of high- cavities [6]. With properly chosen basis sets, the spectral parameters obtained by the filter-diagonalization method can be used to construct a highresolution Fourier spectrum, circumventing the Fourier uncertainty principle [7]. Therefore, cases of nearly degenerate modes can be effectively handled. The aforementioned techniques usually deal with data sampled in a long period of time at few points. Increasing the sample temporal points can improve the accuracy, but with larger computational overhead as a tradeoff. On the other hand, it can be advantageous to exploit the space information that is necessary to be updated at each FDTD iteration. Model-order reduction techniques combining with the FDTD that recently arose are the Lanczos algorithms [8], [9]. Taking advantages of the sparsity of the equivalent matrix of the FDTD operator, although still large in size, the model order can be efficiently reduced since the Lanczos algorithm is able to convert a large sparse matrix into a much smaller tridiagonal matrix with very low overheads. The eigenvalues of the reduced matrix are approximately equal to some of the extremal eigenvalues of the original matrix. The associated eigenvectors of the original matrix can also be recovered from the eigenvectors of the reduced matrix through a simple transformation. Several papers have been proposed for dealing with the model-order reduction of the FDTD method by the Lanczos algorithm. A modified Lanczos algorithm is proposed for the computation of transient electromagnetic fields [10]. Accurate representation of the transient electromagnetic fields is obtained on a certain bounded interval in time. However, this is not suitable for obtaining the steady-state response for practical problems since more Lanczos iterations may be required in order to increase the time interval of accurate simulation. Tradeoffs between divergence owing to loss of orthogonality and slow convergence due to re-orthonormaliztion will arise as Lanczos iterations increase [9]. Rapid FDTD simulation without time stepping is also proposed [11]. With the reduced-order model extracted by the Lanczos algorithm, the response can be obtained at any frequency. However, the number of FDTD update equations is dou-

0018-9480/$20.00 © 2006 IEEE

HUANG AND WU: STEADY-STATE RESPONSE BY FDTD METHOD AND LANCZOS ALGORITHM

bled. The original system and its adjoint problem are both needed because the asymmetric Lanczos algorithm is applied [12]. In this paper, the FDTD method and Lanczos algorithm are combined in a different approach. The theory is detailed in Section II. With the Lanczos algorithm, modes for a source-free FDTD operator concerning either electric or magnetic fields only are extracted. Utilizing the time-reversal property of the FDTD [13], the existing FDTD codes can be resorted to directly. Some numerical results are illustrated in Section III. The projections of the field on each mode are found and the steady-state response at any time can be obtained by an analytic formula. The convergence and computation complexity is discussed in Section IV, followed by some concluding remarks in Section V.

3039

It is obvious that if either the relative permittivity or permeability matrix is homogeneous, one of the updating matrices inside the bracket in (2) will be symmetric. For the common usage of FDTD solvers, e.g., applications at the microwave and millimeter-wave frequency or in highspeed digital systems, materials are usually nonmagnetic. In other words, is an identity matrix in common applications and, therefore, (2b) is usually applicable for obtaining a symmetric updating matrix. B. Lanczos Algorithm For any symmetric matrix and an arbitrary starting vector , the Lanczos algorithm is able to establish a Krylov subspace of order [9]

II. FDTD/LANCZOS HYBRID METHOD The theory begins with the FDTD equations in isotropic media. As mentioned in Section I, although the Lanczos algorithm for asymmetric systems is available theoretically, the symmetric form, which is more stable and insensitive to numerical error, is preferred here. A symmetric updating matrix appears in the FDTD equations in which only either electric or magnetic fields are involved. The Lanczos algorithm is applied to this symmetric updating matrix after the sources fade to zero. However, modification of existing FDTD codes can be avoided with the aid of time-reversal FDTD techniques. These three techniques are briefly described below, follows by a detailed derivation of the overall hybrid method. A. FDTD Equations in Symmetric Form In isotropic media with relative permittivity and permeability , a source-free Maxwell equation for the FDTD method can be written in matrix form as

(1)

where is the identity matrix, denotes the discretized curl operator, is the time step, denotes the velocity of light in free space, and the superscript denotes the th time step of FDTD iteration. The equivalent matrix of the FDTD operator in (1) is large and sparse, but not symmetric. Eliminating either the magnetic or electric fields in (1) yields

span span

(3)

at the th iteration by generating an symmetric tridiagonal matrix and an transformation matrix , where the columns of , which form an orthonormal set, are called Lanczos vectors. Let and be the th element on the diagonal and subdiagonal of , respectively, and be the th column of . By equating columns in , i.e., (4) and exploiting the orthonomality of , the Lanczos iteration can be determined as follows. Starting with vector : 1) , , , . Repeat the following until has reached an acceptable tolerance level. 2) . 3) . 4) . 5) . 6) . The matrices and obtained in the Lanczos algorithm are then used to approximate the eigenpairs of the original systems. If and are one of the eigenpairs of , then an approximate eigenpair of , denoted by and , can be found via the following relations: and

(5)

C. Time-Reversal Technique in FDTD Method (2a)

and

(2b)

The time-reversal technique in the FDTD method has been proposed for the numerical synthesis of a microwave structure [13]. With a slightly rearrangement of Yee’s original FDTD equations [1], fields at the previous time step can be obtained from those at the current time step as (6a)

3040

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

and

and are determined, Once obtained by reorganizing (2a), i.e.,

can be easily

(6b) (10) with the same notations as (1). D. Hybridizing FDTD and Lanczos Algorithm The symmetric updating matrix in (2) is theoretically equivalent to the updating matrix in [14] and, therefore, has eigenvalues of magnitudes no larger than unity. The field vector or in (2) can be expanded in terms of the eigenvectors of the updating matrix. If the expansion coefficient corresponding to the th eigenvector at the th time step in the FDTD iterations is denoted by , the following recurrence relation can be obtained by substitution of the expansion coefficients to (2): (7) where is the corresponding th eigenvalue of the updating matrix in (2). The second-order difference equation in (7) can be solved analytically if and the two initial conditions and are given for some time step . Once (7) is solved, the expansion coefficient at any time step can be calculated directly. This can be done for the most significant th eigenvectors. An approximate solution for the steady-state response of the original large system can then be obtained analytically. After the electromagnetic sources fade to zero, the modal patterns begin to appear. The Lanczos algorithm is then applied to obtain the approximated eigensolutions of the updating matrix in (2). The initial vector for the Lanczos algorithm is set to be the field vector or in (2) at some time step , and the matrix is chosen to be the corresponding updating matrix. As described in Section II-B, the most time-consuming part in each Lanczos iteration is the matrix-vector product . Getting these vectors for the Lanczos algorithm directly by (2) is not preferred because existing FDTD codes cannot be directly employed. Instead, as implied by (2), the time-consuming matrix-vector product can be obtained in a much easier way. Assume that Lanczos algorithm is applied to the updating matrix in (2a). After Lanczos iterations, the th Lanczos vector can be obtained. Letting be the virtual electric field at the current time step and be the virtual magnetic field at half a time step before, the virtual electric field at the previous time step can be obtained by (6a) as follows:

Also note that the terms associated with the virtual magnetic are canceled when averaging the virtual electric field fields at the previous and next time steps, thus is considered as a dummy field vector and can be arbitrary chosen. The enforcement of boundary conditions can be applied directly for close systems. However, for open problems, the absorbing walls become “injection walls” in time-reversal FDTD simulations [13]. It is, therefore, necessary to know the time evolution of the field injected from the boundary, which is unknown for the “virtual field” in the Lanczos iterations. The extension of the time-reversal FDTD requires further study and is not included here. The aforementioned procedures are summarized as follows for clarity. The overall hybrid method can be repeated in the same manner if the Lanczos algorithm is applied to the updating matrix in (2b) instead. Step 1) Perform standard FDTD iterations until all sources fade to zero at time step . Step 2) Begin Lanczos iterations with starting vector . Step 3) At the th Lanczos iteration, let , calculate by (8)–(10). Step 4) Perform all operations for the th Lanczos iteration. Step 5) Solve the eignesolution of and find the approximate eigenvectors for the original system by (5). Step 6) Compute the projection of on each eigenvector. Step 7) Repeat Steps 3)–6) until the projection of on the newly added eigenvector is negligible. Step 8) Solve (7) for each approximate eigenpairs. Step 9) Obtain the approximate solution for the steady-state response of the original system. III. NUMERICAL RESULTS In order to verify the hybrid method associated with both equations in (2), two simple examples are given. The first example, an air-filled perfect electric conductor (PEC) cavity gives a symmetric updating matrix in both (2a) and (2b), while only (2b) can be used to obtain a symmetric updating matrix in the second example, an air-filled PEC cavity with dielectric objects. Results of the hybrid method for both examples are verified by comparison with those of the brute-force FDTD. A. Air-Filled PEC Cavity

(8) and the virtual electric field at the next time step can be obtained from standard FDTD iterations

(9)

Fig. 1 shows the geometry of the first example, an air-filled PEC cavity of dimension 10 cm 10 cm 1 cm. The size of spatial division for FDTD simulation in each direction is 1 cm and the normalized time step is 0.5 cm, where is the velocity of light in free space. A -directed electric field excitation of Gaussian pulse V/m is applied at point A in Fig. 1, i.e., the center of the cavity. For the hybrid method, iterations of the Lanczos algorithm begins after the source fades to zero with an additional time

HUANG AND WU: STEADY-STATE RESPONSE BY FDTD METHOD AND LANCZOS ALGORITHM

3041

Fig. 1. Air-filled PEC cavity.

Fig. 2. Late-time responses of the z -component of the electric field at point A obtained by the brute-force FDTD and the hybrid method with different numbers of modes extracted. Fig. 4. Modal patterns of the first two modes extracted by Lanczos algorithm. (a) First mode extracted. (b) Second mode extracted.

Fig. 3. Late-time responses of the z -component of the electric field at points B and C obtained by the brute-force FDTD and the hybrid method with two modes extracted.

margin for the roundtrip time. The late-time responses of the -component of the electric field observed at points A–C in Fig. 1 obtained by the FDTD method and hybrid method are shown in Figs. 2 and 3. Fig. 2 compares at point A in Fig. 1 obtained by the brute-force FDTD and the hybrid method with different numbers of modes extracted. As indicated by this figure, the steady-state response is faithfully reconstructed in this case with only two modes extracted in the hybrid method.

at another two Fig. 3 shows the steady-state response of points B and C in Fig. 1, which are obtained by the FDTD and the hybrid method with two modes extracted. The results are once again in good agreement. Fig. 4 shows the modal patterns of the first two modes extracted by the Lanczos algorithm. The normalized -component of the electric field at plane cm in the cavity is plotted. Due to the excitation symmetry, the first two modes extracted by the Lanczos algorithm are [see Fig. 4(a)] and [see Fig. 4(b)]. In other words, modes that are not excited will be effectively neglected by the Lanczos algorithm. For the cases with nearly degenerate modes that are usually difficult to handle with common extrapolation techniques, it can be easily handled by the proposed hybrid method. By dealing with the modes of the original system, degenerate modes can be easily distinguished since their modal patterns are orthogonal. B. Air-Filled PEC Cavity With Dielectric Objects The geometry of the second example, an air-filled PEC cavity with a dielectric object, is shown in Fig. 5. The dimension of the cavity is 30 mm 50 mm 20 mm. The dielectric object is located at the bottom center of the cavity, which is 12 mm 10 mm 4 mm in size with a relative permittivity 4.0. The spatial division is 1 mm in all directions and the time step is chosen to be 1.6 ps.

3042

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 5. Air-filled PEC cavity with a dielectric object.

Fig. 7. Late-time responses of the y -component of the magnetic field at the point (0.5, 10, and 10 mm) in Fig. 4, which is excited by a Gaussian pulse with a shorter rise time.

Lanczos iteration in the hybrid method, the steady-state response of the -component of the magnetic field observed at the point (0.5, 10, and 10 mm) in Fig. 5, which is excited by the source with a shorter rise time, is reconstructed faithfully. IV. CONVERGENCE AND COMPLEXITY

Fig. 6. Late-time responses of the y -component of the magnetic field at the point (0.5, 10, and 10 mm) in Fig. 4, which is excited by a Gaussian pulse with a longer rise time.

The cavity is excited with a -directed electric field source at the plane mm in the form of Gaussian pulse. For a symmetric updating matrix, the hybrid method associated with (2b) is used. Two pulses with different rise times, V/m and V/m with denoting the FDTD time step, are applied for verifying the convergence criteria, which will be described in Section IV. For the pulse with a longer rise time, the Lanczos algorithm begins at the 800th time step, i.e., after the source fades to zero with additional roundtrip time for the excitation. Only four modes are needed to be precisely extracted and the hybrid method converged at the sixth Lanczos iteration. Fig. 6 shows the steady-state response of the -component of the magnetic field observed at the point (0.5, 10, and 10 mm) in Fig. 5, which is excited by the source with a longer rise time. If the pulse with a shorter duration is used for the excitation instead, the Lanczos algorithm can be applied earlier in the hybrid method since the source fades to zero much faster. For the Gaussian pulse with a shorter rise time described above, the Lanczos algorithm begins at the 600th time step. However, more modes are needed to be extracted precisely because higher order modes are excited. Fig. 7 shows the result obtained by the FDTD and hybrid methods. With the first six modes converged at the fifteenth

As described in Section III, the hybrid method is able to give a good approximation for the steady-state response of the original problem with only a few modes extracted in a few Lanczos iterations. It is important to determine the convergence criteria about the number of modes, which is necessarily to be concerned, and how precisely should these modes be extracted. It is also an important issue to analyze the complexity of the hybrid method. The second case in Section III will be used as an example for discussing the following convergence and complexity issues. A. Convergence As described in Step 6) in the step-by-step implementation summarized in Section II-D, in order to determine the number of modes that are needed to be extracted precisely, the expansion coefficients of the eigenmodes are compared. After Lanczos iterations, an approximate eigensolution set of the original system can be found by (5). The expansion coefficient associated with is calculated by taking the inner product with the field vectors or at the th time step of the FDTD iteration that the Lanczos algorithm begins. Terms with a small expansion coefficient can then be dropped safely. Fig. 8 shows the absolute value of the smallest expansion coefficient normalized to the largest one, , which is obtained at each of the Lanczos iteration in the second example described in Section III. One can see that, after certain iterations, the smallest expansion coefficient decays to one-tenth of an order of the largest one. Therefore, the number of modes to be precisely extracted is determined. For example, only four modes are needed to be precisely extracted when the system is excited by the lower frequency source, while six modes should be taken into consideration with the higher frequency excitation. The Lanczos iteration terminates when all of the concerning modes have been extracted with the desired precisions.

HUANG AND WU: STEADY-STATE RESPONSE BY FDTD METHOD AND LANCZOS ALGORITHM

Fig. 8. Absolute value of the smallest expansion coefficient a normalized to the largest one, a , which is obtained at each of the Lanczos iterations in the second example described in Section III.

B. Complexity To obtain a frequency response with a higher resolution in frequency, a longer time period of steady-state response in the time domain should be obtained. In traditional FDTD simulation, fine meshes in space leads to a small division in time. As a result, more simulation time is needed for better frequency resolution. Assume that there are field points in an FDTD simulation. It takes time steps for the sources to fade to zero and the modal patterns begin to appear, and after that, time steps are performed for a satisfactory frequency resolution. The overall computation time for a standard FDTD is linearly proportional to the number of field points and total time step computed, or . For the proposed hybrid method, a standard FDTD is firstly applied for the same time steps. The Lanczos algorithm is then applied for model-order reduction. Assume that modes need to be extracted precisely and the Lanczos algorithm converges at the th time step. For each Lanczos iteration, at most, modal expansion coefficients are obtained, and the eigensolution of the tridiagonal matrix is also solved for the convergence criteria. After that, the frequency response at a single field point with the same resolution can be directly obtain with multiplications of order . The overall complexity of the hybrid method is, therefore, . In the usual cases, , thus the standard FDTD has a complexity where the hybrid method reduces the complexity to . In comparison with common extrapolation techniques, e.g., Prony’s methods [2], [4], [5], utilization of space information make Lanczos iterations applicable earlier, as long as the sources have faded to zero and the electromagnetic wave has spread over the computation domain. Utilization of space information also make the hybrid method converge much more rapidly. In addition, the reduced model obtained by this hybrid method is able to predict the late-time responses at any points of the original system without increasing computational overheads. For the Prony’s methods, this can only be done with enormous sampling points at both the time and space grids.

3043

Fig. 9. Magnitude of the frequency response of the magnetic field obtained at the point (0.5, 10, and 10 mm) in Fig. 4 in the second example in Section IV with a high-frequency excitation.

Fig. 9 shows the magnitude of the frequency response of the magnetic field obtained at the point (0.5, 10, and 10 mm) in Fig. 5 with high-frequency excitation. In order to obtain a 40-MHz frequency resolution, 16 001 time steps are performed in the standard FDTD method. In this case, , , , , and . The results of both methods are once again in good agreement. Moreover, total solution time for the standard FDTD computation is 128 min, while only 5.1 min are spent for the hybrid method, which is about 25 times faster. This also agrees with the above complexity analysis, i.e., . V. CONCLUSION The hybrid method combined with the FDTD and Lanczos algorithm proposed in this paper has been able to reconstruct the steady-state response of a large system efficiently and faithfully. Taking advantage of the space information, only a few FDTD iterations before the sources fade to zero suffice to extract the excited modes and then the late time response by analytic expression. By applying the time-reversal technique, the existing FDTD codes are preserved. Although suitable for problems with nonmagnetic material only, the hybrid method still applies in common problems. With two simple examples, the correctness, efficiency, convergence, and complexity of this method have been verified for closed systems. REFERENCES [1] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 5, pp. 302–307, May 1966. [2] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 3rd ed. Norwood, MA: Artech House, 2005. [3] Y. H. Pang, T. Y. Huang, and R. B. Wu, “Steady-state response by time-reversal FD-TD method with Lanczos algorithm,” in Proc. IEEE AP-S, Jun. 2003, vol. 4, pp. 356–359. [4] W. L. Ko and R. Mittra, “A combination of FD-TD and Prony’s methods for analyzing microwave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2176–2181, Dec. 1991. [5] K. Naishadham and X. P. Lin, “Application of spectral domain Prony’s method to the FDTD analysis of planar microstrip circuits,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2391–2398, Dec. 1994.

3044

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

[6] S. G. Johnson, A. Mekis, S. Fan, and J. D. Joannopoulos, “Molding the flow of light,” IEEE Comput. Sci. Eng. Mag., vol. 3, pp. 38–47, Nov./Dec. 2001. [7] V. A. Mandelshtama and H. S. Taylor, “Harmonic inversion of time signals and its applications,” J. Chem. Phys., vol. 107, pp. 6756–6769, Nov. 1997. [8] S. Pissanetzky, Sparse Matrix Technology. London, U.K.: Academic, 1984, sec. 6.11. [9] G. H. Golub and C. F. Van Loan, Matrix Computations, 3rd ed. London, U.K.: The Johns Hopkins Univ. Press, 1996, ch. 9. [10] R. F. Remis and P. M. van den Berg, “A modified Lanczos algorithm for the computation of transient electromagnetic wavefields,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2139–3149, Dec. 1997. [11] A. C. Cangellaris and L. Zhao, “Rapid FDTD simulation without time stepping,” IEEE Microw. Guided Wave Lett, vol. 9, no. 1, pp. 4–6, Jan. 1999. [12] Y. Saad, “The Lanczos biorthogonalization algorithm and other oblique projection methods for solving large unsymmetric systems,” SIAM J. Numer. Anal., vol. 19, no. 3, pp. 485–506, Jan. 1982. [13] R. Sorrentino, L. Roselli, and P. Mezzanotte, “Time reversal in finite difference time domain method,” IEEE Microw. Guided Wave Lett., vol. 3, no. 11, pp. 402–404, Nov. 1993. [14] R. B. Wu and T. Itoh, “Hybrid finite-difference time-domain modeling of curved surfaces using tetrahedral edge elements,” IEEE Trans. Antennas Propag., vol. 45, no. 8, pp. 1302–1309, Aug. 1997.

Ting-Yi Huang was born in Hualien, Taiwan, R.O.C., on November 12, 1977. He received the B.S. degree in electrical engineering and M.S. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2000 and 2002, respectively, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University. His research interests include computational electromagnetics, the design of microwave filters, transitions, and associated RF modules for microwave and millimeter-wave applications.

Ruey-Beei Wu (M’91–SM’97) was born in Tainan, Taiwan, R.O.C, on October 27, 1957. He received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 1979 and 1985, respectively. In 1982, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. He is also with the Graduate Institute of Communications Engineering (established in 1997), National Taiwan University. From March 1986 to February 1987, he was a Visiting Scholar with the IBM East Fishkill, NY. From August 1994 to July 1995, he was with the Electrical Engineering Department, University of California at Los Angeles (UCLA). From May 1998 to April 2000, he was the Director of the National Center for High-Performance Computing and, from November 2002 to July 2004, he was the Directorate General of Planning and Evaluation Division, both under the National Science Council. Since August 2005, he has been the Chairperson of the Department of Electrical Engineering, National Taiwan University. His areas of interest include computational electromagnetics, transmission-line and waveguide discontinuities, microwave and millimeter-wave planar circuits, and interconnection modeling for computer packaging. He has authored or coauthored over 150 papers in international journals or conferences. He served as an Associate Editor of the Journal of the Chinese Institute of Electrical Engineering in 1996. Dr. Wu is a member the Phi Tau Phi Scholastic Society and the Chinese Institute of Electrical Engineers. He has served as an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES since 2005. He was the recipient of the Distinguished Research Award presented by the National Science Council (1990, 1993, 1995, and 1997) and the Outstanding Electrical Engineering Professor Award presented by the Chinese Institute of Electrical Engineers (1999).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

3045

An Extension of the Lumped-Network FDTD Method to Linear Two-Port Lumped Circuits Oscar González, José A. Pereda, Member, IEEE, Amparo Herrera, Member, IEEE, and Ángel Vegas, Member, IEEE

Abstract—The lumped-network finite-difference time-domain (LN-FDTD) technique is an extension of the conventional finite-difference time-domain (FDTD) method that allows the systematic incorporation of linear one-port lumped networks (LNs) into a single FDTD cell. This paper presents an extension of the LN-FDTD technique, which allows linear two-port (TP)-LNs to be incorporated into the FDTD framework. The method basically consists of describing a TP-LN by means of its admittance matrix in the Laplace domain. By applying the Mobius transformation technique, we then obtain the admittance matrix of the TP-LN in the -transform domain. Finally, appropriate digital signal-processing methodologies are used to derive a set of difference equations that models the TP-LN behavior in the discrete-time domain. These equations are solved in combination with the Maxwell–Ampère’s equation. To show the validity of the TP-LN-FDTD technique introduced here, we have considered the equivalent circuit of a chip capacitor and a linear circuit model of a generic metal–semiconductor fieldeffect transistor. These LNs have been placed on a microstrip gap and the scattering parameters of the resulting hybrid circuit have been computed. The results are compared with those obtained by using the electromagnetic simulator Agilent HFSS in combination with the circuital simulator ADS, and with those calculated by ADS alone. For the chip capacitor, experimental measurements have also been carried out. The agreement among all the simulated results is good. Generally speaking, the measured results agree with the simulated ones. The differences observed are mainly due to the influence of the subminiature A connectors and some mismatching at the ports. Index Terms—Finite-difference time-domain (FDTD) methods, global modeling, lumped networks (LNs).

I. INTRODUCTION HE finite-difference time-domain (FDTD) method was originally introduced as a technique for the numerical analysis of electromagnetic field problems [1]. Over the last decade, a considerable effort has been made to incorporate lumped-circuit elements into the FDTD framework [2]–[7]. The resulting extended FDTD formulations are often referred to as the lumped-element (LE)-FDTD method. This method has allowed complex microwave and millimeter-wave circuits, including both distributed and lumped components, to be successfully analyzed. The so-called lumped-network (LN)-FDTD method is an improvement of the LE-FDTD technique that allows a systematic

T

Manuscript received November 21, 2005; revised March 26, 2006. This work was supported by the Dirección General de Investigación of the Spanish Ministerio de Educación y Ciencia under Project TIC2003–09677–C03–01. The author are with the Departamento de Ingeniería de Comunicaciones, Universidad de Cantabria, 39005 Santander, Cantabria, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877058

and simple incorporation of linear one-port LNs into a single FDTD cell [8]. Over the last few years, the LN-FDTD method has received a great deal of attention. Several alternative discretization schemes, different to the one originally presented in [8], have been introduced [9]–[14]. Moreover, the LN-FDTD method has recently been extended to model nonlinear packaged Schottky diodes [15]–[18]. The LN-FDTD method may also be used to model two-port (TP) lumped circuits. However, this involves decomposing the circuit in its one-port (two-terminal) LN constituents, which reproduces the limitations encountered with the LE-FDTD method: the lack of uniqueness of the resulting circuit topology over the FDTD mesh, and the risk of losing the lumped nature of the circuit when several FDTD cells are needed for its implementation. This paper introduces an extension of the LN-FDTD method to linear TP-LNs. In the following, we will refer to this technique as the TP-LN-FDTD method. The proposed approach comprises the following main steps. Step 1) As a starting point, the TP-LN is described, in the Laplace domain, by its admittance matrix . Each entry of is assumed to be a rational function of the complex frequency . Step 2) By applying the Mobius transformation technique, we then obtain the admittance matrix of the TP-LN in the -transform domain. Step 3) Finally, by using appropriate digital-filtering techniques, four sets of first-order difference equations are obtained. These equations, which describe the V/I relation of the TP-LN in the discrete-time domain, are solved in combination with the discrete Maxwell–Ampére’s equation. The resulting algorithm preserves the second-order accuracy and the explicit nature of the conventional FDTD method. To illustrate the validity of the TP-LN-FDTD technique introduced here, we have considered the equivalent circuit of a chip capacitor and a linear circuit model of a generic metal–semiconductor field-effect transistor (MESFET). In the latter case, the intrinsic and extrinsic models of the MESFET are analyzed separately. These three LNs have been placed on a microstrip gap and the scattering parameters of the resulting hybrid circuit have been computed by using the present approach. The results are compared with those obtained by using Agilent Technologies’ electromagnetic simulator High Frequency Structure Simulator (HFSS) [19] in combination with the circuital simulator Advanced Design System (ADS) [20], and with those calculated by ADS alone. As the chip capacitor is really a one-port LN, the results for this case are also compared with those computed by using the LN-FDTD method. Experimental measurements have also been carried out for this case.

0018-9480/$20.00 © 2006 IEEE

3046

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

The TP-LN is defined in terms of its admittance matrix in the Laplace domain

(4) are shown in Fig. 1(b). The entries where and of the admittance matrix are assumed to be rational functions of as follows:

(5)

Fig. 1. (a) TP-LN connected to two FDTD unit cells. (b) Equivalent circuit. C represents the cell capacitance in the x-direction.

II. FORMULATION Consider time-dependent Maxwell’s curl equations

and are real-valued coefficients and is where the order of the model. It is assumed that, in general, each element of the admittance matrix has a different model order and a different set of coefficients in the numerator and denominator. Introducing four auxiliary current intensities (with ), we express (4) as

(1a)

(6)

(1b) According to the conventional FDTD where scheme, the above six equations are expressed in discrete form as

(2a) (2b) and denote the spatial position in the FDTD cell where of and , respectively. Explicit expressions for the curl terms can be found, for instance, in [1]. To incorporate a TP-LN into the FDTD formalism, two electrical nodes are used to interface the FDTD mesh with the LN ports. In principle, these nodes neither need to be consecutively located, nor associated to the same component of the electric field. To obtain a more symmetric formulation, however, we consider the LN ports to be associated to the same field component and , as shown in Fig. 1(a). The Maxwell–Ampère’s equation, at nodes and , is then complemented by adding a current density term and , respectively. This term is discretized by using a time average; hence, at ports 1 and 2 of the LN, (2b) is replaced by the following equations:

(3a)

(3b) respectively.

where (7) Notice that the above expression comprises four equations, one for each auxiliary variable . Our goal now is to develop a suitable finite-difference approximation to (6) and (7) in the discrete time domain. Taking the same approach as in [8], we go from the Laplace domain onto the discrete time domain by first passing through the -domain. In the -domain, (6) is expressed simply as (8) where (9) with

(10) are obtained by applying the Mobius The functions transformation,1 which is given by

(11) 1This conformal transformation is also known as a “bilinear” or “linear-fractional” transformation.

GONZÁLEZ et al.: EXTENSION OF LN-FDTD METHOD TO LINEAR TP LUMPED CIRCUITS

3047

to in (5). This transformation preserves the order of the . The coefficients and are readily obmodel and the coefficients and tained from the time step . This discretization procedure preserves the second-order accuracy of the conventional FDTD method. Now using the property of the -transform , (8) and (9) are expressed in the discrete time domain as (12) Fig. 2. Calculation of J and J Y (Z ) represents a digital filter.

and

in terms of E

and E

. Each box

(13) By relating, at each port, the voltage with the electric field and the current intensity with the current density as

where follows:

, we obtain a field-oriented version of (12) as

(14) Fig. 3. Implementation of Y (Z ) by using the transpose direct form II.

and (13) as follows:

(15) where

by using the digital filters (10), implemented by (16), and the output summations (14). The implementation of each individual digital filter is illustrated in Fig. 3. Notice that the first equation of each digital filter (16a) is coupled to the Maxwell–Ampère’s equation (3). Fortunately, these equations can easily be decoupled before their encoding. Eliminating and from (3) and using (14), we obtain

The high-order difference equation (15) can be expressed more efficiently as a set of first-order difference equations. To this end, (15) is interpreted as an infinite-impulse response digital filter, which is implemented by using the transpose direct form II as

(17)

where (16a) (16b) (16c) Here, with are auxiliary variables and is taken as the filter output. In fact, we have four digital filters since . Each filter implements one entry of the admittance matrix. Fig. 2 schematically shows the calculation of and from and

The matrix inversion that appears in (17) is performed at the preprocessing stage, thus (17) is implemented as a fully explicit expression. The resulting TP-LN algorithm has the following steps in each time iteration.

3048

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Step 1) The magnetic field is updated by using the standard FDTD expression (2a). Step 2) The electric field at the LN ports is updated by using (17). Step 3) The current densities and auxiliary variables are updated by using (16), where has been computed in the previous step. At nodes that are not associated to LN ports, the last two steps are omitted and the electric field is then updated by using the classical FDTD expression (2b). For the case , the two ports of the TP-LN become mutually decoupled. In this particular situation, the TP-LN-FDTD formulation is reduced to the original LN-FDTD method with one two-terminal LN connected to each port. The two-terminal LN at port 1 is characterized by and the one at port 2 by .

Fig. 4. (a) Equivalent circuit for the G15BU200K5PX05 Gap-Cap capacitor: L = 0:102 nH, R = 0:139 ; C = 14:93 pF, R = 268 ; C = 5:07 pF. (b) Capacitor seen as a TP circuit.

III. VALIDATION To illustrate the validity of the TP-LN-FDTD method described above, we consider the equivalent circuit of a chip capacitor and the linear circuital model of a generic MESFET. In both cases, the device is placed on a microstrip gap and the scattering parameters of the resulting hybrid circuit are computed by the proposed TP-LN-FDTD method. The microstrip substrate has a dielectric constant and a thickness mm. The width of the lines is mm, which corresponds to an impedance of approximately 50 . The length of the microstrip gap is mm. The spatial dimensions of the FDTD cell are mm, mm, and mm. The time step is ps, which corresponds to 0.95 the maximum time step allowed in the conventional FDTD method. The whole hybrid circuit is enclosed in a perfect electric box of 60 42 175 cells. For the examples considered, the results calculated by the TP-LN-FDTD method have been compared with those obtained by combining HFSS with ADS, and with those provided by ADS alone. The approach that combines HFSS with ADS, which will be labeled as HFSS ADS, consists of first calculating the scattering parameters of the microstrip gap by using HFSS. In this simulation, two additional internal ports are defined. These ports are lumped voltage sources with an internal impedance of 50 . They are located on the gap, each one being connected vertically from the edge of one microstrip line to the ground plane. As a result, a four-port structure is obtained. Once the scattering parameters of this structure have been calculated, the results are exported to a file in CITIfile format and loaded in ADS. The LN is then connected to the internal ports of the gap and the resulting TP hybrid circuit is simulated by ADS. We consider that the HFSS ADS approach is more accurate than the use of ADS alone. This is because HFSS provides a fully three-dimensional (3-D) electromagnetic simulation of the microstrip gap. However, according to the ADS documentation, the microstrip gap component, called MGAP, “is an empirically based, analytical model that consists of a lumped component, equivalent circuit. The equivalent circuit parameters are calcu-

lated based on the expressions developed by Kirsching, Janse and Koster. Dispersion is included in the capacitance calculation.” [21]. A. Chip Capacitor Fig. 4(a) shows the equivalent circuit of a Gap-Cap capacitor G15BU200K5PX05 [22]. This is a one-port LN, however, it can be also seen as a TP-LN, as shown in Fig. 4(b). The parameters of the TP model are

where and . The capacitor is connected to the microstrip lines, as illustrated in Fig. 5. It spans five cells, which corresponds to the length of the microstrip gap. Four ideal wires of length are used to connect the capacitor to the strips and to the ground plane. Fig. 6 depicts the magnitude of computed by the TP-LNFDTD method, simulated by ADS and by the HFSS ADS approach. As the capacitor can also be modeled as a one-port LN, the problem has also been simulated by using the LN-FDTD method. Good agreement is observed among all the simulated results. Fig. 6 also shows measured results that include the effect of two subminiature A (SMA) connectors. Generally speaking, the measured results agree with the simulated ones. The differences observed between simulations and measurements, in the upper part of the frequency band, are mainly due to the influence of the SMA connectors and some mismatching at the ports. B. MESFET Firstly, we consider the small-signal equivalent circuit of the intrinsic part of a generic MESFET, as given in [23]. The pa-

GONZÁLEZ et al.: EXTENSION OF LN-FDTD METHOD TO LINEAR TP LUMPED CIRCUITS

3049

Fig. 7. Intrinsic equivalent circuit for a MESFET with the following parameters: C = 0:06 pF, C = 0:26 pF, C = 0:69 pF, R = 197 ; G = 65 mS, and R = 1:42 . Fig. 5. Simulated hybrid circuit. (a) Top view. (b) Lateral view.

Fig. 6. Magnitude of S for a Gap-Cap capacitor placed on a microstrip gap. (Color version available online at: http://ieeexplore.ieee.org.)

rameters expressed as

Fig. 8. Magnitude of S for an intrinsic MESFET mounted on a microstrip gap. (Color version available online at: http://ieeexplore.ieee.org.)

of this circuit, which is depicted in Fig. 7, are

The transistor is connected to the microstrip lines following the same scheme as that previously described for the capacitor (see Fig. 5). The magnitude of and are shown in Figs. 8 and 9, respectively. Three curves can be seen in each figure, which correspond to the TP-LN-FDTD method, the HFSS ADS approach, and ADS (alone) simulation. Good agreement is observed among these three techniques, although, as expected, the TP-LN-FDTD method provides results that are much closer to

Fig. 9. Magnitude of S for an intrinsic MESFET on a microstrip gap. (Color version available online at: http://ieeexplore.ieee.org.)

those obtained by the HFSS given by ADS.

ADS approach than to those

3050

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 10. Extrinsic equivalent circuit for a MESFET with the following parameters: R = 1:39 ; L = 0:37 nH, R = 1:3 ; L = 0:23 nH, = 0:76 ; L = 0:02 nH. The intrinsic parameters are the same as in R Fig. 7.

Fig. 12. Magnitude of S for an extrinsic MESFET mounted on a microstrip gap. (Color version available online at: http://ieeexplore.ieee.org.)

Fig. 11. FDTD model of the MESFET, shown in Fig. 10 mounted on a microstrip gap.

Secondly, we consider the equivalent circuit of a generic MESFET including both its intrinsic and extrinsic parts, as shown in Fig. 10. This circuit can be incorporated into a FDTD simulation by following the TP-LN-FDTD technique, as was done previously when the intrinsic part of the transistor was considered alone. However, the order of the resulting admittance parameters is high (larger than ten), which means that time derivatives of the same order must be discretized. Also, in the TP-LN-FDTD method, each port occupies just one cell, thus wires are needed to connect the MESFET to the strips and to the ground plane. Taking these aspects into account, we thought that a better choice would be an approach that combines the TP-LN-FDTD and the LN-FDTD methods. The intrinsic part of the MESFET is modeled by the TP-LN-FDTD method and the extrinsic part by the LN-FDTD technique. The connection scheme is shown in Fig. 11. To avoid the use of connecting wires and to maintain symmetry, the series of the source terminal, shown in Fig. 10, is split into two equal LNs. By using this approach, the highest time derivative involved is only of second order. The results for the magnitude of and are shown in Figs. 12 and 13, respectively. The same comments made for the results of the intrinsic MESFET can be repeated here, with the remark that, in this case, the difference between the curves for obtained by the TP-LN-FDTD method and by the HFSS ADS approach is a bit greater than in the case of the intrinsic MESFET.

Fig. 13. Magnitude of S for an extrinsic MESFET mounted on a microstrip gap. (Color version available online at: http://ieeexplore.ieee.org.)

IV. CONCLUSION This paper has extended the LN-FDTD method to linear TP-LNs. To this end, the LN is described by its admittance matrix in the Laplace domain. The entries of are assumed to be rational functions of . By applying the Mobius transformation technique to , we obtain the admittance matrix in the -transform domain. Appropriate digital-filtering techniques are then used to obtain four sets of first-order finite-difference equations, which describe the V/I relation of the LN in the discrete time domain. The resulting algorithm preserves the second-order accuracy and the explicit nature of the conventional FDTD method. The validity of this new formulation has been demonstrated by computing the scattering parameters of several hybrid circuits. Wave digital filters can be used as an alternative to the digital filters employed in this paper [24].

GONZÁLEZ et al.: EXTENSION OF LN-FDTD METHOD TO LINEAR TP LUMPED CIRCUITS

REFERENCES [1] A. Taflove and S. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 2nd ed. Boston, MA: Artech House, 2000. [2] W. Sui, D. A. Chistensen, and C. H. Durney, “Extending the two-dimensional FDTD method to hybrid electromagnetic systems with active and passive lumped elements,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 4, pp. 724–730, Apr. 1992. [3] Y.-S. Tsuei, A. C. Cangellaris, and J. L. Prince, “Rigorous electromagnetic modeling of chip-to-package (first-level) interconnections,” IEEE Trans. Compon., Hybrids, Manuf. Technol., vol. 16, no. 8, pp. 876–883, Aug. 1993. [4] M. Piket-May, A. Taflove, and J. Baron, “FD-TD modeling of digital signal propagation in 3-D circuits with passive and active loads,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 8, pp. 1514–1532, Aug. 1994. [5] C.-N. Kuo, V. A. Thomas, S. T. Chew, B. Houshmand, and T. Itoh, “Small-signal analysis of active circuits using FDTD algorithm,” IEEE Microw. Guided Wave Lett., vol. 5, no. 7, pp. 216–218, Jul. 1995. [6] P. Ciampolini, P. Mezzanotte, L. Roselli, and R. Sorrentino, “Accurate and efficient circuit simulation with lumped-element FDTD technique,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2207–2215, Dec. 1996. [7] X. Ye and J. L. Drewniak, “Incorporating two-port networks with S -parameters into FDTD,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 77–79, Feb. 2001. [8] J. A. Pereda, F. Alimenti, P. Mezzanotte, L. Roselli, and R. Sorrentino, “A new algorithm for the incorporation of arbitrary linear lumped networks into FDTD simulators,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 943–949, Jun. 1999. [9] J. W. Schuster, R. J. Luebbers, and T. G. Livernois, “Application of the recursive convolution technique to modeling lumped-circuit elements in FDTD simulations,” in Proc. IEEE Int. AP-S Symp., 1998, vol. 4, pp. 1792–1795. [10] W. Yuan and E. Li, “FDTD simulations for hybrid circuits with linear and nonlinear lumped elements,” Microw. Opt. Technol. Lett., vol. 32, no. 6, pp. 408–412, Mar. 2002. [11] T.-L. Wu, S.-T. Chen, and Y.-S. Huang, “A novel approach for the incorporation of arbitrary linear lumped networks into FDTD method,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 74–76, Feb. 2004. [12] H. E. Abd El-Raouf, W. Yu, and R. Mittra, “Application of the Z -transform technique to modelling linear lumped loads in the FDTD,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 151, no. 1, pp. 67–70, Feb. 2004. [13] Z. H. Shao and M. Fujise, “An improved FDTD formulation for general linear lumped microwave circuits based on matrix theory,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2261–2266, Jul. 2005. [14] J.-Y. Lee, J.-H. Lee, and H.-K. Jung, “Modeling linear lumped loads in the FDTD method using piecewise linear recursive convolution method,” in Proc. IEEE AP-S Int. Symp., 2005, vol. 2B, pp. 142–145. [15] G. Emili, F. Alimenti, P. Mezzanotte, L. Roselli, and R. Sorrentino, “Rigorous modeling of packaged Schottky diodes by the nonlinear lumped network (NL N)-FDTD approach,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2277–2282, Jan. 2000. [16] O. El Mrabet and M. Essaaidi, “Comments on ‘Rigorous modeling of packaged Schottky diodes by the nonlinear lumped network (NL N)-FDTD approach’,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2411–2412, Oct. 2002. [17] G. Emili, F. Alimenti, P. Mezzanotte, L. Roselli, and R. Sorrentino, “Authors’ reply,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, p. 2412, Oct. 2002. [18] O. El Mrabet and M. Essaaidi, “An efficient algorithm for the global modeling of RF and microwave circuits using a reduced nonlinear lumped network (RNL N)-FDTD approach,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 86–88, Feb. 2004. [19] High Frequency Structure Simulator 5.6. Agilent Technol., Palo Alto, CA, 2000. [20] Advanced Design System 2005A. Agilent Technol., Palo Alto, CA, 2005. [21] M. Kirschning, R. H. Jansen, and N. H. L. Koster, “Measurement and computer aid modeling of microstrip discontinuities by an improved resonator method,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 495–497, May 1983.

3051

[22] Cap Cad. ver. 3.0.2, Dielectrics Labs. Inc., Cazenovia, NY, 2000 [Online]. Available: http://www.dilabs.com [23] P. H. Ladbrooke, MMIC Design: GaAs FETs and HEMTs. Norwood, MA: Artech House, 1989. [24] A. Fettweis, “Multidimensional wave digital filters for discrete-time modelling of Maxwell’s equations,” Int. J. Numer. Modeling, vol. 5, pp. 183–201, Aug. 1992. Oscar González was born in Santander, Spain, in 1978. He received the Telecommunications Engineering degree from the Universidad de Cantabria, Santander, Cantabria, Spain, in 2002, and is currently working toward the Ph.D. in telecommunications engineering at the Universidad de Cantabria. His research interests include numerical methods in electromagnetics, characterization of electromagnetic properties of materials, and microwave devices.

José A. Pereda (S’93–M’95) was born in Madrid, Spain, in 1966. He received the Licenciado and Ph.D. degrees in physics from the Universidad de Cantabria, Santander, Cantabria, Spain, in 1989 and 1995, respectively. In 1989, he joined the Electronics Department, Universidad de Cantabria. From 1996 to 2001, he was an Assistant Professor with the Departamento de Ingeniería de Comunicaciones, Universidad de Cantabria, and in 2001, he became an Associate Professor in electromagnetism. His research interests include electromagnetic-field theory and numerical methods for solving electromagnetic problems.

Amparo Herrera (M’06) was born in Aviles (Asturias), Spain. She received the Electronic Physics and Ph.D. degrees from the Universidad de Cantabria, Santander, Spain, in 1987 and 1995, respectively. In 1987, she joined the CIDA (Spanish Navy, Research and Development (R&D) Centre), where she was in charge of the development of its RF laboratories and the supervision of several Spanish R&D projects. In 1990, she joined the Universidad de Cantabria, where she was involved in RF monolithic-microwave integrated-circuit (MMIC) design. During this period, she designed (in 1992) several MMIC power amplifiers for Philips Microwave Limeil (PML) (now OMMIC). Since 1996, she has been an Associate Professor (permanent since March 2003) with the Departamento de Ingeniería de Comunicaciones, Universidad de Cantabria. Her areas of interest include hybrid and MMIC design of RF and microwave circuits and integration of communication systems. In particular, she is the researcher responsible for Spanish National projects related to the MMIC design for satellite on-board systems.

Ángel Vegas (M’98) was born in Santander, Spain. He received the Licenciado degree in physics and Ph.D. degree from the Universidad de Cantabria, Santander, Cantabria, Spain, in 1976 and 1983, respectively. From 1977 to 1983, he was with the Department of Electronics, Universidad de Cantabria, where he became an Associate Professor in 1984. He has been involved with electromagnetic wave propagation in plasmas and microwave interferometry. His current research and teaching interests include electromagnetic theory, computer methods in electromagnetism, and microwave measurements.

3052

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Modeling Techniques Suitable for CAD-Based Design of Internal Matching Networks of High-Power RF/Microwave Transistors Peter H. Aaen, Member, IEEE, Jaime A. Plá, Member, IEEE, and Constantine A. Balanis, Life Fellow, IEEE

Abstract—A scalable and accurate simulation technique to be used for the computer-aided design (CAD) of matching networks employed within high-power RF transistors is presented. A novel measurement methodology is developed and utilized during the validation of the proposed analysis approach. Appropriate segmentation techniques were developed, which are consistent with the design approach of the high-power transistor, that take into account the overall complexity of the internal match of most modern RF high-power transistors, while preserving important electromagnetic interactions. By being able to properly decouple the linear portion of the overall packaged transistor model, an objective accuracy assessment via the comparison of measured versus simulated results of the internal matching network was accomplished. The level of accuracy obtained provides credence to the idea of a full CAD-driven design process of the internal match of high-power RF transistors. Index Terms—Bonding wires, computer-aided design (CAD) techniques, high-power RF transistors, MOS capacitors.

I. INTRODUCTION S THE complexity of wireless infrastructure systems continue to evolve, more companies are relying on computer-aided design (CAD) methodologies to dramatically reduce time-to-market and to increase design robustness. For semiconductor vendors, the ability to provide accurate nonlinear electrothermal models in a timely fashion has become an important differentiator between competing suppliers. At the heart of the wireless infrastructure power amplifier is the high-power RF transistor. The silicon LDMOS transistor is the technology of choice, due to its superior electrical and thermal performance and the inherent economic advantages that silicon wafer manufacturing offers due to its economy of scale over alternative compound semiconductor technologies. To satisfy the high power levels required in today’s power amplifiers, laterally diffused metal–oxide–semiconductor (LDMOS) transistors need to be of high gate periphery. In addition, to facilitate their ease of use, the LDMOS die impedances are increased by means of complex and very low-loss matching networks inside high-performance ceramic or plastic packages [1]. The development of nonlinear electrothermal models for these packaged transistors taxes the most sophisticated measurement and simulation techniques [1]–[7]. After a model

A

Manuscript received December 16, 2005; revised February 26, 2006. P. H. Aaen and J. A. Plá are with the RF Division, Freescale Semiconductor Inc., Tempe, AZ 85284 USA (e-mail: [email protected]). C. A. Balanis is with the Department of Electrical Engineering, Arizona State University Tempe, AZ 85287 USA. Digital Object Identifier 10.1109/TMTT.2006.877033

has been completed, the final process of comparing the model against an independent set of measurements (i.e., validating the model) begins. During this process, the modeling engineer is challenged with deciphering the various sources of inaccuracies. In the most fundamental form, the sources of inaccuracies can be categorized as originating from the electrical or thermal sections of the packaged transistor model; furthermore, a subsequent subdivision can be made between the linear and nonlinear sections of the electrical and thermal portions of the model. It is extremely advantageous to decouple the thermal and electrical effects, as well as the linear and nonlinear problems, so modeling resources can be strategically focused and the model improved. Without the ability to decouple the error sources, an often employed approach is to optimize the equivalent circuit parameters (ECPs) of the model until its performance matches measured data. When a significant number of ECPs (i.e., free parameters or degrees of freedom of the model) are simultaneously optimized, the circuit essentially memorizes the data to which it has been compared. The resulting model is an ad-hoc fit, which is only valid over the range of measurement data to which it is optimized, and its predictive capability over the nonmeasured data space is often seriously compromised. With the increasing proliferation of modulation schemes and circuit design topologies (e.g., Doherty, digital pre-distortion, feed-forward, etc.), it is extremely difficult to have a model generated in this manner that describes all of these operating conditions successfully. The need for an alternative approach in which the linear and nonlinear portions of the circuit are separated and examined is essential, at which point the accuracy of the specific linear or nonlinear modeling techniques and/or procedures can be examined. The focus of this paper is to perform an in-depth comparison between the measured and simulated electrical linear portions of the circuit, specifically the linear section of the packaged transistor model. Full-wave simulations of the complete matching network were thought to be prohibitively expensive and approximations frequently were employed. However, through the development of segmentation procedures, it is possible to divide the entire packaged transistor into portions and then appropriately recombine the modeled parts [8]. In this paper, we present for the first time the development of a full-wave methodology to be used for the CAD of matching networks employed within high-power RF transistors. Section II discusses the measurement methodology utilized during the validation and development of simulation techniques of the

0018-9480/$20.00 © 2006 IEEE

AAEN et al.: MODELING TECHNIQUES SUITABLE FOR CAD-BASED DESIGN OF INTERNAL MATCHING NETWORKS

3053

Fig. 1. Complexity of the internal construction of a 2.1-GHz 140-W LDMOS transistor is shown. The width of the package lead is approximately 500 mil. (Color version available online at: http://ieeexplore.ieee.org.)

high-power RF/microwave transistors. The overall segmentation of the matching network constituent components, and the developed simulation methodology are covered in Section III. A comparison of the measured versus simulated results is examined and presented in Section IV, while this study concludes in Section V. II. MEASUREMENT METHODOLOGY The design of test fixtures for the measurement of the -parameters of packages used in the construction of RF power amplifiers is a challenging task. The requirements of a repeatable, high-frequency capable, and wide-bandwidth test fixture are at odds with a package that is designed for high-volume manufacturing. Nevertheless, the development of such a test fixture is a necessity for the development of CAD-based design techniques. In order to better understand these difficulties, it is instructive to examine the construction of an RF power package. A photograph of an RF transistor with internal matching is provided in Fig. 1. In general, the width of the package leads approximately match the combined width of the dies mounted within the package. Thus, for high-power transistors, which typically contain three active dies, the leads can be as wide as 500 mil. For these packages the ceramic window frame is typically 20-mil thick and the flange is approximately 60-mil thick. When attempting to obtain -parameters of a device using one of these packages, it is often difficult to obtain accurate measurements. The difficulty arises primarily for three reasons. The first is that the packages are designed to be used in low-cost high-volume manufacturing lines in which the package will be soldered onto a circuit board. Since we desire a test fixture that can be reused, soldering each packaged device into the test fixture is not feasible. As such, there is a variance in the package dimensions due to manufacturing tolerances, which allows the package to change position within the test fixture. The second difficulty is that the transmission lines used in the test fixture, for -parameter measurement, are designed such that the microstrip discontinuity between the package and fixture is minimized by making the transmission linewidth the same as the

Fig. 2. Test fixture photographs employing Chebyshev impedance transformers and an exploded illustration showing how the packaged transistor is held in the fixture by a plastic clamp. (a) Empty test fixture. (b) Packaged device within the test fixture. (Color version available online at: http://ieeexplore. ieee.org.)

package lead width. These wide lines complicate the test fixture design since a 50- characteristic impedance, on a given substrate, can only be maintained by adjusting the thickness of the substrate [5]. The third difficulty arises from the thickness of the package flange. In many instances, thickness of the flange requires a recessed area in the heat sink in order for the leads of the package to be able to connect to the test fixture. This abrupt change in current flow creates an electrical discontinuity, which affects the circuit performance [9]. Furthermore, the wide transmission lines reduce the maximum usable frequency of the test fixture. The design of a test fixture that overcomes these measurement issues is presented in Section II-A. A. Ground–Signal–Ground (GSG) Probable Test Fixture The design of the test fixture employs GSG probes and standard thickness substrates. The test fixture is constructed of a single printed circuit board (PCB) soldered to a metal carrier, which has a recessed area to accommodate the package flange, as indicated in Fig. 2(a). The depth of the recessed area is such that the leads of the package rest on top of the test fixture when the package flange is securely fastened to the metal carrier.

3054

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

An exploded diagram, indicating how the package is mounted within the fixture, is provided in Fig. 2(b). The device-under-test is secured within the fixture by a specially machined plastic block. The block is bolted on top of the package and is designed to push down on the package so that a conductive connection is established between the package flange and metal carrier. The block also forces contact between the package leads and microstrip transmission lines of the test fixture. Both the plastic block and package leads are included in the simulation methodology to ensure consistency between measurement and simulation. The issues with fixture assembly and repeatability are avoided by manufacturing the calibration standards on a single PCB. Thus, no repeated assembly of the fixture is required, as is the case with a coaxial-connector-based 50- test fixture. The interconnection between the vector network analyzer (VNA) and the test fixture is accomplished through the use of GSG probes. These probes are attached to micromanipulators as part of a probe station and are used to form very repeatable connections. A substrate thickness of 20 mil was selected since it minimizes the discontinuity in the ground plane because it is the same as the ceramic window frame of the package. However, a consequence of using the thinner substrates and requiring that the widths of the transmission line and package leads match is that the characteristic impedance of the line is significantly lower than 50 . Unfortunately, it is difficult to obtain -parameters of a device that is embedded in a non-50- test fixture since a large reflection coefficient is introduced by the mismatch in characteristic impedances between the microstrip transmission lines and coaxial cables [6]. The difficulty arises when deembedding the test fixture and attempting to distinguish the reflections introduced by the test fixture, as opposed to those from the device. A test fixture that introduces large reflections degrades the dynamic range of the measurement and has the potential to introduce large errors. To reduce the mismatch between the 50- coaxial environment and the low-impedance transmission line, distributed planar matching networks were used. The Chebyshev transformer was selected for this application since it provides the largest bandwidth for a given number of impedance transformer sections, and it is easily manufactured. Its selection assists in keeping the size of the test fixture as small as possible. The last length of the Chebyshev transformer is connected to a section of transmission line selected to match the lead width of the packaged transistor, as shown in Fig. 2(a). Thus, the transformation ratio is dictated by the width of the package lead and the substrate characteristics selected. A full set of standards, to perform a thru-reflect-line (TRL) calibration, was manufactured and photographs of the three standards used during the calibration procedure are shown in Fig. 3(a) and (b). Note that the thru standard consists of the two sides of the test fixture placed back-to-back, while the line standard consists of two transformers separated by a length of transmission line. The reflect standards are transformers, which have either open or short circuits at the ends of the fixture. The calibration procedure for this test fixture is similar to one employed for load–pull measurements [6]. The outer shell of the

Fig. 3. TRL standards photographs used during the calibration of the test fixture. (a) Transmission-line standards. (b) Reflect standards. (Color version available online at: http://ieeexplore.ieee.org.)

calibration is based on a 50- characteristic impedance, while the inner shell is based on the characteristic impedance of the lines used during the TRL calibration. The inner shell calibration allows the determination of the -parameters of each half of the fixture. III. SEGMENTATION AND SIMULATION METHODOLOGY The matching network of the packaged transistor is a complex electromagnetic environment that contains hundreds of bond wires and multiple MOS capacitors all in close proximity. The behavior of the matching network is controlled by the three-dimensional shape of the bonding wires and the values of the capacitors. The thickness of the thin layer of oxide (e.g., 0.1–0.2 m) and the metal plate area determine the capacitance of the MOS capacitor, while the thickness of the package lead frame and the lead width determine the capacitance of the package. The critical dimensions controlling these capacitors range from approximately 1 cm to 0.1 m. For structures with these large differences in critical dimensions (i.e., dimensions which affect circuit performance) and the requirement of incorporating the three-dimensional geometry of the bonding wire, it is very difficult to simulate the entire matching network at once using a single simulator and/or a single simulation run. Devising an appropriate segmentation strategy is of paramount importance to be able to properly trade off simulation time and accuracy. In general, if simulation time is not an issue, simulations are often performed by incorporating as much as possible of the structure. This allows the analysis to include

AAEN et al.: MODELING TECHNIQUES SUITABLE FOR CAD-BASED DESIGN OF INTERNAL MATCHING NETWORKS

all possible electromagnetic interactions. Conversely, if the computational resources are limited or the overall complexity of the problem is such that it requires to be analyzed in pieces, a systematic and rigorous segmentation scheme must be utilized. There are two major issues that exist when attempting to implement a segmentation approach to simulate a large complex circuit. The first is to devise a set of procedures that allows the individual circuit components to be separated from one another. The separation procedure must not perturb the behavior of the components, i.e., the component when analyzed by itself must operate the same as it does within the larger device. The critical issue with the segmentation approach is that the planes at which the circuit is divided must be carefully selected such that the field configurations on either side of the plane match. Otherwise, a discontinuity is artificially added to the analysis results. The second issue is that any inter-element coupling, such as mutual inductance between arrays of bonding wires, must be properly characterized [1]. For the three-dimensional portions of the circuit (i.e., bond wires), the High-Frequency Structure Simulator (HFSS) from Ansoft Inc., Pittsburgh, PA, is employed. This simulator is a commercially available implementation of the finite-element method. For the planar portions of the circuit (i.e., MOS capacitors and package), the method-of-moments em simulator, available from Sonnet Software Inc., North Syracuse, NY, is used. The segmentation procedures used throughout this paper were previously developed and demonstrated by the authors [1]. IV. RESULTS All of the analysis and measurement techniques investigated thus far have been developed with the objective of generating a model of the package and matching networks of a high-power RF power transistor. To demonstrate the applicability of these techniques, we generated a model of the matching network for a transistor currently being manufactured. The selected transistor is designed for use within wideband code division multiple access (W-CDMA) base stations operating in a frequency range from 2.11 to 2.17 GHz. The high-power silicon LDMOS transistor is capable of 30-W average output power, 15-dB power gain, 30% drain efficiency and 37-dBc intermodulation distortion product when tested using a two carrier W-CDMA excitation. Under the same tuning conditions, the continuous-wave (CW) output power capability of the transistor exceeds 140 W at its 1-dB gain compression point. The intricate matching circuitry formed by the bonding wires and MOS capacitors is illustrated in Figs. 1 and 4. Rows of parallel bonding wires form arrays, which interconnect the die, MOS capacitors, and the package. The packaged transistor contains three active dies, each having a gate periphery of approximately 80 mm. The matching network is composed of the package, six MOS capacitors, a capacitor integrated inside the window frame, and 189 bonding wires. All bonding wires have a diameter of 50 m and are made of aluminum. The matching networks for this transistor are designed by specifying the values of the MOS capacitors and by specifying the number of bonding wires and controlling the three-dimensional shape of the bonding wire and the distance between neighboring wires.

3055

Fig. 4. Top-down view of the test package. (Color version available online at: http://ieeexplore.ieee.org.)

Two types of MOS capacitors are employed in this device. A 22-pF capacitor is used to create part of the matching network on the gate side of the transistor and a 350-pF capacitor is used on the drain side. The 350-pF capacitor forms a part of the matching network termed shunt-L, as indicated in Fig. 4. This part of the network is designed such that the array of bonding wires attached to the drain resonates out the drain–source capacitance ( ). The value of the MOS capacitor on the drain side must be large enough so that within the operating bandwidth the shunt wires are effectively shorted to ground, while still blocking the flow of dc current. To compare simulated and measured results, a special version of the transistor was manufactured, which contained no active devices. The silicon LDMOS transistors were replaced with conductive metal blocks approximately the same size as the die. Replacing the die with highly conductive metal blocks converts the package and matching networks into a high quality ( ) factor resonator, which is very sensitive to the performance of the individual circuit elements [10]. Measurement of a resonator of this type is necessary due to the inherent low loss of the MOS capacitor and bond-wire elements. In this manner, the VNA can be used to measure directly the -parameters of the passive structure. Alternatively, one could measure the bias-dependent -parameters of the entire transistor. However, in order to compare the measured and modeled -parameters, a bias-dependent linear model of the silicon LDMOS transistor would have to be combined with the model of the package and matching network. By removing the need to use the bias-dependent linear transistor model, we have effectively decoupled the problem of assigning error between

3056

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 5. Illustration of the individual components of the test-package and how the segments fit together to form the model of the entire test package. (Color version available online at: http://ieeexplore.ieee.org.)

Fig. 6. Final equivalent network. Each box represents the S -parameters of a section of the matching network. Although no direct connection is indicated in the simplified diagram, the boxes labeled as MOS2 are connected to the Shunt-L bond wires.

the passive constituents of the packaged transistor (air cavity ceramic package, bond wires, and MOS capacitors) and the active components (silicon LDMOS die). The task of generating a model for this device begins with capturing the geometry of the bonding wires and the relative positions of the MOS capacitors and conductive blocks within the package. A single wire in each array was selected to represent all other wires. While slight variations due to manufacturing tolerances in the geometrical profiles exist, they were not accounted for and are considered a source of small error in the overall problem accuracy. In total, 12 simulations were required to characterize the total device. An illustration outlining the various simulations and how they represent the entire packaged transistor is provided in Fig. 5. Once all of the simulations were completed, the results were incorporated into a linear circuit simulator. A schematic representing the final model is provided in Fig. 6. Measurements of the device were performed and a comparison between measured and simulated -parameters and computed conservation factor is presented in Figs. 7–12. Recall that the features shown in these figures are of the internal matching

Fig. 7. Magnitude of the simulated and measured input reflection coefficient of the device. (Color version available online at: http://ieeexplore.ieee.org.)

network without the active semiconductor device inside the air cavity ceramic package. Several important electrical characteristics are observed that highlight the predictive capability of the

AAEN et al.: MODELING TECHNIQUES SUITABLE FOR CAD-BASED DESIGN OF INTERNAL MATCHING NETWORKS

Fig. 8. Phase of the simulated and measured input reflection coefficient of the device. (Color version available online at: http://ieeexplore.ieee.org.)

Fig. 9. Magnitude of the simulated and measured transmission coefficient of the device. (Color version available online at: http://ieeexplore.ieee.org.)

passive component models. For example, the high- factor nature of the constitutive components of the matching network, i.e., the array of bond wires, MOS capacitors, and air cavity package, is demonstrated by the sharpness of the resonances in the magnitude of , as shown in Fig. 7. An accurate determination of the inductance of the wire-bond arrays (including mutual inductance effects), the capacitance of the MOS capacitors, and the effects of the package are necessary to be able to match the exact resonance frequency, while an accurate prediction of the loss determines the degree of agreement of the depth of the resonances. The ability to predict the overall loss of the network is also observed by plotting the conservation factor of the network (Fig. 12). Another important aspect of the electrical performance of the high-power discrete transistor’s matching network is the level of isolation between the input and output sections of the matching network. The overall isolation of the packaged transistor is governed by contributions from the active semiconductor device and the input and output matching networks surrounding it. The active device contribution to the

3057

Fig. 10. Magnitude of the simulated and measured output reflection coefficient of the device. (Color version available online at: http://ieeexplore.ieee.org.)

Fig. 11. Phase of the simulated and measured output reflection coefficient of the device. (Color version available online at: http://ieeexplore.ieee.org.)

isolation between the input and output is primarily due to the LDMOS gate-to-drain capacitance and any inductance and resistance connected to the intrinsic source terminal of the transistor. The matching network contribution to the packaged device isolation is mostly due to the inductive coupling between the innermost bond-wire arrays connected to the gate and drain transistor manifolds; it is this level of isolation that can be observed in Fig. 9. Notice that resonances are observed at the same frequencies in which the input matching network is resonant, as shown in Fig. 7, as well as a lower frequency resonance due to the interaction of the output’s series and shunt inductance elements. The low-frequency resonance can also be observed in the magnitude of the output reflection coefficient, as shown in Fig. 10. The developed modeling and simulation methodologies previously outlined provide a high level of accuracy on predicting the isolation contributions from the low-loss highmatching networks inside the air-cavity ceramic package. Finally, good agreement is shown between the measured and simulated phase of the input and output return loss of the circuit, as shown in Figs. 8 and 11.

3058

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

REFERENCES

Fig. 12. Measured and simulated conservation factor of the device. (Color version available online at: http://ieeexplore.ieee.org.)

As shown, excellent agreement is seen between all measured and simulated results, demonstrating that the matching networks found within high-power RF/microwave transistors can be accurately simulated using full-wave simulators. These simulation techniques effectively reduce the reliance on design methodologies employing significant iterations via manufacturing, thus enabling CAD-based design. V. CONCLUSION We have presented a full-wave methodology to be used for the CAD of matching networks employed within high-power RF transistors. The measurement methodology utilized during the validation and development of simulation techniques of the high-power RF/microwave transistors was also presented. The overall segmentation of the matching network constituent components and the developed simulation methodology were outlined and analyzed. By properly decoupling the sources of inaccuracies of the overall packaged transistor model, an objective assessment on the accuracy of its electrical linear portion, a comparison of the measured versus simulated results was accomplished. Now that these robust modeling and simulation methodologies and techniques have been used for the examination of the linear portions of the constituent components of the high-power packaged transistor, they can be put into confident use during the design phases of development instead of just being powerful after-design analysis tools. ACKNOWLEDGMENT The authors would like to express their deepest gratitude to D. Bridges and D. Lamey, both with Freescale Semiconductor Inc., Tempe, AZ, for their thoughtful and insightful discussions, to E. Shumate,Freescale Semiconductor Inc., for his assistance on measurement related aspects of this study, and to J. Wood, Freescale Semiconductor Inc., for his assistance with reviewing the content of this paper’s manuscript.

[1] P. H. Aaen, J. A. Plá, and C. A. Balanis, “On the development of CAD techniques suitable for the design of high-power RF transistors,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3067–3074, Oct. 2005. [2] D. Brody and G. R. Branner, “A modeling technique for internally matched bipolar microwave transistor networks,” in Proc. 37th Midwest Circuits Syst. Symp., Lafayette, LA, Aug. 1994, pp. 1224–1226. [3] K. Mouthaan, “Modeling of RF high power bipolar transistors,” Ph.D. dissertation, Dept. Microelectron. Comput. Eng., Delft Univ. Technol., Delft, The Netherlands, 2001. [4] T. Johansson and T. Arnborg, “A novel approach to 3-D modeling of packaged RF power transistors,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 760–768, Jun. 1999. [5] T. Liang, J. A. Plá, P. H. Aaen, and M. Mahalingam, “Equivalent-circuit modeling and verification of metal–ceramic packages for RF and microwave power transistors,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 709–712, Jun. 1999. [6] P. Aaen, J. A. Plá, D. Bridges, and E. Shumate, “A wideband method for the rigorous low-impedance load–pull measurement of high-power transistors suitable for large-signal model validation,” in Automat. RF Tech. Group Conf. Fall Dig., Boulder, CO, Dec. 2000, pp. 163–169. [7] K. Goverdhanam, “Modeling high power RF LDMOS amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 1069–1072. [8] K. C. Gupta, “Emerging trends in millimeter-wave CAD,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 6, pp. 747–755, Jun. 1998. [9] P. Aaen, J. A. Plá, and C. A. Balanis, “Increased feedback due to package mounting,” in 13th Elect. Performance Electron. Packag. Top. Meeting, Portland, OR, Dec. 2004, pp. 49–52. [10] M. E. Goldfarb and A. Platzker, “Losses in GaAs microstrip,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 12, pp. 1957–1963, Dec. 1990.

Peter H. Aaen (S’93–M’97) received the B.A.Sc. degree in engineering science and M.A.Sc. degree in electrical engineering from the University of Toronto, Toronto, ON, Canada, in 1995 and 1997, respectively, and the Ph.D. degree in electrical engineering from Arizona State University, Tempe, in 2005. In 1997, he joined the Wireless Infrastructure Systems Division, Semiconductor Products Sector, Motorola (now Freescale Semiconductor Inc.), Phoenix, Arizona. His current research focuses on the development and validation of microwave transistor models and passive components. His technical interests include calibration techniques for microwave measurements and the development of package modeling techniques.

Jaime A. Plá (S’87–M’91) was born in Mayagüez, PR, in 1969. He received the B.S. degree in electrical engineering from the University of Puerto Rico, Mayagüez, in 1991, and the M.S. degree in microwave engineering from the University of Massachusetts at Amherst, in 1993. In 1991, he joined the Microwave Semiconductor Laboratory, Research Division, Raytheon, Lexington, MA, where he was primarily involved with the development of microwave measurement techniques and linear and nonlinear models for monolithic-microwave integrated-circuit (MMIC) semiconductor devices such as GaAs MESFETs pseudomorphic high electron-mobility transistors (pHEMTs), and HBTs. In 1995, he joined the Wireless Infrastructure Systems Division, Semiconductor Product Sector, Motorola (now Freescale Semiconductor Inc.), Phoenix, AZ, where his research is currently centered on the development of high-power RF electrothermal device models for LDMOS devices. His other areas of current interest are the development of package modeling techniques and modeling of passive components, as well as techniques for the measurement of electrical and thermal transistor characteristics related to small- and large-signal modeling extraction and validation.

AAEN et al.: MODELING TECHNIQUES SUITABLE FOR CAD-BASED DESIGN OF INTERNAL MATCHING NETWORKS

Constantine A. Balanis (S’62–M’68–F’86–LF’04) received the B.S.E.E. degree from Virginia Tech, Blacksburg, in 1964, the M.E.E. degree from the University of Virginia, Charlottesville, in 1966, and the Ph.D. degree in electrical engineering from The Ohio State University, Columbus, in 1969. From 1964 to 1970, he was with the National Aeronautics and Space Administration (NASA) Langley Research Center, Hampton, VA. From 1970 to 1983, he was with the Department of Electrical Engineering, West Virginia University, Morgantown. Since 1983, he has been with the Department of Electrical Engineering, Arizona State University (ASU), Tempe, where he is currently Regents Professor. He authored Antenna Theory: Analysis and Design (Wiley, 2005, 1997, and 1982) and Advanced Engineering Electromagnetics (Wiley, 1989). His research interests are low- and high-frequency computational methods for antennas and scattering, smart antennas for wireless communication, and high-intensity radiated fields (HIRFs).

3059

Dr. Balanis was the recipient of the 1987 Graduate Teaching Excellence Award, School of Engineering, ASU, the 1989 IEEE Region 6 Individual Achievement Award, the 1992 Special Professionalism Award presented by the IEEE Phoenix Section, the 1996 Arizona State University Outstanding Graduate Mentor Award, the 2000 IEEE Third Millennium Medal, an Honorary Doctorate from the Aristotle University of Thessaloniki (AUTH) in 2004, and the 2005 Chen-To Tai Distinguished Educator Award presented by the IEEE Antennas and Propagation Society (IEEE AP-S). He served as associate editor of the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION (1974–1977) and the IEEE TRANSACTIONS ON GEOSCIENCE AND REMOTE SENSING (1981–1984). He was editor of the Newsletter for the IEEE Geoscience and Remote Sensing Society (1982–1983), second vice-president of the IEEE Geoscience and Remote Sensing Society (1984), chairman of the Distinguished Lecturer Program (1988–1991), and a member of the IEEE AP-S Administrative Committee (AdCom) (1993–1995 and 1997–1999). He is currently a distinguished lecturer for the IEEE AP-S.

3060

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

ICCAP—A Linear Time Sparsification and Reordering Algorithm for 3-D BEM Capacitance Extraction Rong Jiang, Yi-Hao Chang, and Charlie Chung-Ping Chen, Member, IEEE

Abstract—This paper presents an efficient, simple, hierarchical, and sparse three-dimensional capacitance extraction algorithm, i.e., ICCAP. Most previous capacitance extraction algorithms, such as FastCap and HiCap, introduce intermediate variables to facilitate the hierarchical potential calculation, but still preserve the basic panels as basis. In this paper, we discover that those intermediate variables are a fundamentally much better basis than leaf panels. As a result, we are able to explicitly construct the sparse potential coefficient matrix and solve it with linear memory and linear run time in comparison with the most recent hierarchical ( log ) approach in PHiCap. Furthermore, the explicit sparse formulation of a potential matrix not only enables the usage of preconditioned Krylov subspace iterative methods, but also the reordering technique. A new reordering technique, i.e., level-oriented reordering (LOR), is proposed to further reduce over 20% of memory consumption and run time compared with no reordering techniques applied. In fact, LOR is even better than the state-of-the-art minimum degree reordering and more efficient. Without complicated orthonormalization matrix computation, ICCAP is very simple, efficient, and accurate. Experimental results demonstrate the superior run time and memory consumption over previous approaches while achieving similar accuracy. Index Terms—Basis, boundary-element method (BEM), capacitance extraction, hierarchical algorithm, interconnect, interconnect modeling, parasitic extraction, reordering.

I. INTRODUCTION ITH THE reduced feature size and the increased operation frequency, extracting self-capacitance and coupling capacitance associated with on-chip interconnects and packages has become increasingly important for determining the functionality and performance of very large scale integration (VLSI) design. Although many numerical methods can be used to solve the Laplace’s equation associated with the capacitance extraction problem, the boundary-element method (BEM) has been

W

Manuscript received October 18, 2005; revised February 28, 2006. This work was supported in part by the National Science Foundation under Grant CCR0093309 and Grant CCR-0204468, by the National Science Council of Taiwan, R.O.C. under Grant NSC 92–2218-E-002–030, by the Intel Corporation, by TSMC, by UMC, by Faraday, and by SpringSoft. R. Jiang is with Cadence Design Systems Inc., San Jose, CA 95131 USA (e-mail: [email protected]). Y.-H. Chang is with Memory Design Development, Etron Technology Corporation, Hsinchu, Taiwan, R.O.C. C. C.-P. Chen is with the Graduate Institute of Electronics Engineering and the Department of Electrical Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2006.877046

adopted as the main approach for three-dimensional (3-D) capacitance calculation. However, the BEM yields an extremely dense linear system and, hence, direct matrix solving methods, such as Gaussian elimination, require operation and greatly limit the tractable problem size. Many fast capacitance extraction algorithms have been proposed in the literature to solve the dense linear system such as [1]–[4], [6]–[17]. FastCap [8] is based on the fast multipole method (FMM) for accelerating the dense matrix–vector multiplications required by iterative matrix solvers. Other multipole accelerated BEM algorithms include multiscale [14] and [1]. HiCap [11] is also an FFM algorithm with kernel-independent hierarchical panel refinement. Normally those iterative algorithms require per iteration since the potential coefficient matrix is of order . Other well-known algorithms include the precorrected fast Fourier transformation (FFT) method [10] and singular value decomposition (SVD) method [6], they are of complexity and with memory requirement. Recently, PHiCap [15] proposes to construct cost-efficient preconditioners by applying an orthogonal sparsification transformation. Albeit the iteration number is greatly reduced, the orthogonal matrix generation still requires operation and, hence, becomes the bottleneck of the entire algorithm. Furthermore, the transformation matrix needs extra storage spaces and makes the memory budget even tighter for large-scale design applications. Our new algorithm, ICCAP [5], proposes a completely different perspective to generate sparsified and reordered potential coefficient matrices. ICCAP reveals that the intrinsic reason why the linear system arising from the BEM is dense is due to the selection of leaf panel charges as the basis. Therefore, ICCAP presents a linear time basis panel selection algorithm (BPSA) to choose a new basis. Mathematically, selecting a different basis is equivalent to perform consecutive congruence transformations to sparsify the original dense system, although no explicit matrix computations are required. Furthermore, ICCAP proposes a cost-free level-oriented reordering (LOR) method to generate reordered potential matrices so that preconditioners contain even less fill-ins than explicitly applying minimum degree reordering (MMD). Experimental results show that ICCAP is faster and consumes less memory than previous algorithms, including FastCap [8], HiCap [11], and PHiCap [15]. The discussion proceeds with a review of previous algorithms [8]–[15] in Section II. Section III presents the new algorithm ICCAP. Experimental results (Section IV) and a summary (Section V) of our study then conclude this paper.

0018-9480/$20.00 © 2006 IEEE

JIANG et al.: ICCAP—LINEAR TIME SPARSIFICATION AND REORDERING ALGORITHM FOR 3-D BEM CAPACITANCE EXTRACTION

3061

II. PRELIMINARY BEM capacitance extraction is equivalent to solve a first-kind integral equation (1) to find the conductor charge distributions given the conductor potentials . is the Green’s function, which has different formulas for uniform dielectric and multiple dielectrics. To numerically solve the integral in (1), the surfaces of conductors are discretized into much smaller panels, and surface charges on those most delicate panels (leaf panels) are assumed to be uniform. Thus, the potential at the center of the th panel is the sum of the contributions to that potential from the charge distribution on all leaf panels (2) Applying (2) to all

leaf panels leads to a dense linear system (3) Fig. 1. BEM capacitance algorithms: HiCap and PHiCap.

where (4) is referred to as the potential coefficient matrix and are panel charge and potential vectors, respectively. FastCap [8] accelerates matrix–vector multiplications in iterative matrix solvers by multipole and local expansions. Charge points within an inner circle can be replaced by a single charge equal to their sum if the distance between evaluation points and the center of the circle is much larger than its radius . Potentials on evaluation points within a small circle induced by faraway charge points are roughly the same as the potential evaluated at the center. HiCap [11] and PHiCap [15] are fast multipole algorithms with hierarchical panel refinement. Hierarchical panel discretization can be represented by a multiple-tree structure, as shown in Fig. 1. The root panel of each tree structure corresponds to a conductor surface or a dielectric interface. If the estimated potential coefficient between two panels is larger than a threshold value, they are further divided into smaller panels. Otherwise, a link recording the potential coefficient is created between these two panels. PHiCap [15] proposes the use of a link matrix and a structure matrix to represent the hierarchical refinement, where is the number of all panels and is the number of leaf panels. An example and for the multipletree structure is also shown in Fig. 1. Each row of the structure matrix corresponds to a panel, either leaf or nonleaf, and each column corresponds to a leaf panel. The entry in is if panel contains the leaf panel , and is otherwise [15]. For any two panels with no links in between, the corresponding entries in are zero. Otherwise, for panels and , the corresponding entry can be calculated by (4).

Since in every elementary tree the parent panel charge is the sum of charges on its two child panels, all panel charges can be represented by charges on leaf panels (5) is the vector of all panel charges. where Let denote the vector of potentials induced by links on individual panels (6) Since the potential on a parent panel distributes to its two child panels, the leaf panel potential vector is equal to (7) By using (5)–(7), the potential coefficient matrix can be formulated as (8) Therefore, FastCap, HiCap, and PHiCap all develop based on surface potential and charges on leaf panels. We will show that this is the intrinsic reason why the linear system in (3) is dense. III. ICCAP ALGORITHM To facilitate the following discussion, we first introduce the definition of basis charges and basis panels. Definition 1: Let denote the variable space composed of charges on all leaf and nonleaf panels surface charge on panel i

3062

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 2. Different bases have different structure matrices and potential coefficient matrices with different densities.

Fig. 3. Fill-ins introduced by a link between nonleaf panels.

If each panel charge in can be represented by a unique linear combination of charges on panels, charges on those panels are basis charges and those panels are corresponding basis panels. For a given tree structure, except leaf panel charges, there are many possible bases. For example, for the multiple-tree structure in Figs. 1 and 2 shows another set of basis, which includes two nonleaf panels and . The corresponding structure matrix of the new basis is also shown in Fig. 2. Each basis has its distinct structure matrix . Thus the related potential coefficient matrix has different densities. For example, related to the new basis in Fig. 2 contains several zeros, while related to the old basis has no zeros. Therefore, it is desirable that one can choose a basis so that its related potential coefficient matrix is sparse. Before presenting the method to choose a new basis, we first show that leaf panel charges compose the worst basis and the corresponding potential coefficient matrix is the densest one. To prove this, it is necessary to clarify how links between nonleaf panels are filled into the potential coefficient matrix. As shown in Fig. 3, panel is a nonleaf panel and it contains underlying leaf panels. Thus, the charge on panel is equal to . Similarly, the charge on another nonleaf panel is , where is the number of leaf panels under panel . Assume there is a link between panel and . The potential induced by link on panel is given by

and it distributes to all the leaf panels under panel . Similarly, the leaf panels under panel gather the potential produced by on panel , which is . Thus, creates fill-ins in the potential coefficient matrix and has the pattern shown in Fig. 3. Since leaf panels interact with each other through links between themselves or their upper level parent panels, every entry in is nonzero and, hence, the total number of fill-ins is . Consequently, if we take all leaf panel charges as the basis, the corresponding potential coefficient matrix will be the densest one. A. New Basis Panels Generation Our BPSA is based on continuously performing an elementary operation to generate a new basis. Theorem 1: Assume the structure matrix and the potential coefficient matrix corresponding to a possible basis are and , respectively. If the current basis contains two panels and , which are child panels in the same elementary tree, then arbitrarily eliminating one of them (say, ) and adding their parent panel to the basis generates another set of basis panels. The new structure matrix corresponding to the new basis can be obtained by

where represents the column corresponding to panel in , and the new potential coefficient matrix can be obtained by

where

is an elementary transformation matrix.

JIANG et al.: ICCAP—LINEAR TIME SPARSIFICATION AND REORDERING ALGORITHM FOR 3-D BEM CAPACITANCE EXTRACTION

3063

Fig. 5. Keep on moving basis panels upward is equivalent to apply consecutive congruence transformations on the potential coefficient matrix without explicit matrix manipulations. Fig. 4. Elementary operation of switching basis panels is equivalent to perform a congruence transformation on P .

where Without loss of generality, we use an example to gain a clear idea of this important operation. As shown in Fig. 4(a), leaf panels 6 and 7 are contained in the same elementary tree. Their parent is panel 4. The right-hand side (RHS) shows the corresponding structure matrix when all leaf panels are selected as the basis. Now we apply the elementary operation and move one basis panel from panel 7 to its parent panel 4, as shown in Fig. 4(b). Apparently this movement results in a new basis since all panel charges still can be represented by charges on the new basis panels. The structure matrix is shown on the RHS in Fig. 4(b). The column corresponding to panel 4 in is identical with the column corresponding to panel 7 in since the upper level panels originally gathering the charge on panel 7 still collect the charge on panel 4 after the elementary operation. Thus, the column of panel 4 in “inherits” the column of panel 7 in . On the contrary, the column corresponding to panel 6 is changed in since the charge on panel 4 is the sum of charges on panels 6 and 7 and, hence, upper level panels now only need to gather the charge on panel 4. Panel 6 is included in the new basis since the charge on panel 7 can be obtained only when the charge on panel 6 is known. Thus, the changed column corresponding to panel 6 in is (9) Furthermore, (9) can be represented in a matrix form as (10)

is an elementary transformation matrix expressed by ..

. panel 6 panel 7 ..

(11)

.

Consequently, by using (10) and (11), the relation between the new potential coefficient matrix and can be written as (12) Thus, is obtained by a congruence transformation on . Based on (11) and (12), it is important to notice that this transformation only changes the column and row related to panel 6. is obtained by subtracting the column and row of panel 7 from the column and row of panel 6. We have shown in Section III-A.1 that links on upper level panels introduce identical fill-ins in columns and rows of panels 6 and 7. Thus, the subtraction cancels out identical terms and creates many zeros in . The elementary operation of moving basis panels upward can be executed continuously. As shown in Fig. 5(a), after moving basis panel 7 to panel 4, the elementary tree including panels 2, 4, and 5 now has two basis panels (panels 4 and 5). Thus, we can eliminate panel 5 (or panel 4) and add its parent panel 2. This operation cancels out identical terms in the column and row of panel 4, which inherits the column and row of panel 7 in the previous step. Notice that the subtractions are only performed on the column and row related to panel 4 in . The column and row of panel 6 will not be affected and, hence, zeros created in the previous step

3064

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 7. Efficient construction of the new structure matrix

J.

TABLE I ALGORITHM OF DIRECTLY CONSTRUCTING

Fig. 6. Comparison of nonzero entries in

J

H and P .

are preserved. Similarly, after this step, we can move panel 3 to panel 1 and again eliminate identical terms in row and column of panel 2. Successively applying the elementary operation is equivalent to implicitly apply consecutive congruence transformations on the potential coefficient matrix with the transformation matrix

In each step, many zeros are created by eliminating identical terms in the original potential coefficient matrix and previously created zero entries will not be destroyed in the later steps. Assume we start from the basis including all leaf panels, and then we apply the elementary operation to consecutively push basis panels from bottom to top. At the end, the result basis will only include root panels and left-hand side (LHS) panels. This process is equivalent to consecutively apply congruence transformations to cancel out duplicated terms introduced by the same link. Thus, in the new potential matrix , the number of nonzeros is comparable with the total number of links in the multiple tree structure, which has been proven to be [11]. This property has also been observed in the experiment, as shown in Fig. 6. Theorem 2: The basis includes all root panels and all LHS panels will lead to a sparse potential coefficient matrix containing nonzero entries. The selection of basis panels is not unique since, in each elementary operation, we can either eliminate RHS panels or LHS panels. However, the construction of will be simplified by choosing the basis in Theorem 2. B. Direct Formulation of

in Linear Time

One way to construct is based on Theorem 1. One can first generate the structure matrix corresponding to the basis containing leaf panels. We then apply the elementary operation to

push basis upwards. In each operation, we simultaneously update based on Theorem 1. Since the basis of leaf panels is switched to another set of panels, at most, column subtractions are performed. However, the disadvantage is we need to first construct . Thus, we propose the second method to directly construct . Lemma 1: In the column corresponding to a basis panel , each entry is 1 if panel contains the RHS panel . If panel is not a root panel, then each entry is if the parent of panel contains the RHS panel . Lemma 1 can be illustrated by a small example in Fig. 7. Panel 2 is an LHS panel and has been included in the new basis. Panels 5 and 7 are its underlying RHS panels and, hence, the corresponding entries in are filled by 1. The parent of panel 2 contains RHS panel 3 so that the corresponding entry in is 1. A detailed implementation of Lemma 1 is presented in Table I. Theorem 2: The new structure matrix and the new potential coefficient matrix corresponding to the new basis in Theorem 2 have entries. Proof : Assume a complete tree structure with leaf nodes and levels where the root node is in level 0. In level , there are LHS panels. Each LHS panel introduces fill-ins. Thus, the total number of fill-ins in is given by . Thus, nonzeros

JIANG et al.: ICCAP—LINEAR TIME SPARSIFICATION AND REORDERING ALGORITHM FOR 3-D BEM CAPACITANCE EXTRACTION

3065

Fig. 8. Comparison of nonzero entries in J and J . Fig. 9. Extraction flowchart of ICCAP and PHiCap.

in is . This property has been observed in practice as shown in Fig. 8. The total number of fill-ins in is also . Denote the maximum number of links on one panel to be . must be a constant, otherwise the total number of links in the link matrix will not be . Thus, for links on each individual panel, we can calculate the maximum number of fill-ins created in . For example, for links on a nonbasis panel in level 1, such as the one shown in Fig. 7, the maximum number of nonzeros they will create is . For a complete tree, the total number of panels that introduce fill-ins is and, hence, the total number of fill-ins in is less than . Therefore, the total number of nonzeros in is also . Similarly, we can prove that the original structure matrix contains nonzeros. That is the reason why PHiCap [15] has run time and memory consumption. C. Extracting

Fig. 10. Density of the new potential coefficient matrix P .

From

We have shown that the new potential coefficient matrix is obtained by applying congruence transformations on the original matrix. By substituting into , we get (13) We also know that the original system in (3) is given by Thus, these two equations can be satisfied by setting

.

(14) (15) From , we can see that is the coefficient matrix when leaf panel charges are represented by charges on new basis panels since all panel charges can be expressed by

so that has been included in the obtained directly.

matrix and, hence, can be

D. Solving for Uniform- and Multiple-Dielectric Media ICCAP provides a general sparsification technique that does not depend on specific matrix solvers. For a uniform dielectric, we can adopt an incomplete Cholesky decomposition followed by applying a preconditioned conjugate gradient (PCG). For multiple-dielectric media, the sparse linear system is unsymmetrical. In this scenario, the preconditioner is computed from incomplete LU factorization. We then use a preconditioned GMRES method to solve the system. Since the new basis includes all root panels, after solving , root panel charges are already contained in and, hence, no additional matrix operations are required.

3066

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 11. Preconditioners from incomplete LU factorization with different reordering schemes (Relative Residue = 0:01). (Color version available online at http:// ieeexplore.ieee.org.)

E. Potential Coefficient Matrix Reordering The distribution of nonzeros in affects the number of fill-ins in preconditioners produced by incomplete Cholesky or LU factorization. Although is sparse, direct application of the MMD may still be expensive for large-scale design applications. Thus, we propose the heuristic cost-free reordering method called LOR. According to the new basis generation process, it is reasonable to expect that columns and rows related to lower level basis panels contain more zeros than upper level basis panels since fill-ins introduced by links on their upper level panels can mostly be eliminated. Thus, the basic idea of LOR is to assign basis panels in upper levels with larger indices, thus, the dense part will be in the low RHS corner of .

LOR can be easily done during the panel refinement process by implementing a stack-like data structure. When one panel is divided into two smaller ones, those two children are pushed onto the top of the stack such that lower level panels will finally get smaller indices. By using the simple reordering scheme, LOR can lead to even less fill-ins in preconditioners than MMD, which will be shown in Section IV. F. Complexity Analysis The extraction flowchart of ICCAP and its comparison with PHiCap [15] is presented in Fig. 9. The first step of ICCAP to select basis panels based on Theorem 2 can be done by scanning all panels to determine which are roots and LHS panels and, hence, takes time. The second step of constructing is equivalent to insert nonzeros in and,

JIANG et al.: ICCAP—LINEAR TIME SPARSIFICATION AND REORDERING ALGORITHM FOR 3-D BEM CAPACITANCE EXTRACTION

3067

TABLE II SIMULATION RESULTS COMPARISON

TABLE III COMPARISON WITH HiCaP FOR SOME LARGE BENCHMARKS

hence, is also . is contained in and does not require extra time. has been proven to contain nonzeros [11] so that the construction of can also be done in .

in the same order. The actual accuracy and memory consumption of HiCap and ICCAP depend on the refinement parameters. When the number of leaf panels is roughly the same, HiCap and ICCAP have comparable accuracy. We do not have access to PHiCap [15] and cannot compare with it explicitly. Published results show PHiCap is 2 –3 faster than HiCap for the testing benchmarks in Table II. Based on the comparison with HiCap, we can expect ICCAP is faster than PHiCap as well. Also notice that, for testing cases in Table II, normally ICCAP converges in less than two iterations, while PHiCap needs approximately three iterations. The main disadvantage of PHiCap is also its memory consumption due to the explicit formulation of transformation matrix, while ICCAP directly formulates the sparse matrix . Reference [15] shows that PHiCap has lower accuracy than HiCap. Thus, ICCAP can be superior to PHiCap in terms of memory and accuracy. We also use ICCAP and HiCap to test large files containing more conductors. The result is shown in Table III. For these test files, ICCAP can converge within three iterations and shows 7 –8 speed up compared with HiCap.

IV. EXPERIMENTAL RESULTS language and MATLAB. ICCAP is implemented in C All experiments are executed on Sun-Blade 2500 with two 1.28-GHz UltraSPARC IIIi processors, 8G RAM, and OS Sorlaris 9. The main test examples are bus crossing conductors for – , generated by busgen in the FastCap released package [8]. The density of the new potential coefficient matrix related to the new basis is plotted. The density is defined as the total number of nonzeros in divided by its dimension. As shown in Fig. 10, as the number of leaf panels goes over 1000, is very sparse and the density of becomes well below 10%. We also test the LOR method embedded in the panel refinement process by using the bus 4 4 benchmark. Without using LOR, original lower and upper triangular factors from incomplete LU factorization contain 29 017 and 24 546 nonzeros, respectively. By adopting LOR, the number of fill-ins is dramatically reduced by 30%. The result is comparable with directly applying MMD, which, in this case, results in 22 129 and 19 633 fill-ins in and . Fig. 11 shows the preconditions from incomplete LU factorization with different reordering schemes. Table II compares the performance of three algorithms, i.e., FastCap [8] with expansion order 2, HiCap [11], and the new algorithm ICCAP. The convergence tolerance is set to 0.01, and error is calculated with respect to FastCap . Iteration is the average number of iterations per conductor. ICCAP is the fastest one of these three algorithms. Compared with FastCap, ICCAP is 30 –40 faster and with much less memory. Compared with HiCap, for the bus 12 12 benchmark, ICCAP exhibits nearly 10 speed up. HiCap represents as a block matrix instead of implementing it directly and, hence, the real storage of is . All, , , and in ICCAP contain nonzeros so that the memory consumptions of ICCAP and HiCap are

V. CONCLUSION This paper has presented ICCAP, a fast 3-D capacitance extraction algorithm. ICCAP proposes a novel technique for sparsifying and reordering the potential coefficient matrix. The sparse transformation is performed by simply switching basis from leaf panels to a new set of panels, thus, cost-efficient preconditioners can be easily constructed and, hence, greatly speed up iterative matrix solvers. REFERENCES [1] M. Beattie and L. Pileggi, “Electromagnetic parasitic extraction via a multipole method with hierarchical refinement,” in IEEE/ACM Int. Comput.-Aided Des. Conf., Nov. 1999, pp. 437–444. [2] M. W. Beattie and L. T. Pileggi, “Error bounds for capacitance extraction via window techniques,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 18, no. 3, pp. 311–321, Mar. 1999. [3] Y. L. L. Coz and R. B. Iverson, “A high-speed capacitance extraction algorithm for multi-level VLSI interconnects,” in 8th Int. IEEE VLSI Multilevel Interconnection Conf., Jun. 1991, pp. 364–366. [4] E. A. Dengi and R. A. Rohrer, “Boundary element method macromodels for 2-D hierarchical capacitance extraction,” in Proc. Des. Automat. Conf., Jun. 1998, pp. 218–223. [5] R. Jiang and C. C.-P. Chen, “A linear time implicit congruence sparsification technique for BEM capacitance extraction,” IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, [CD ROM]. [6] S. Kapur and D. E. Long, “IES3: A fast integral equation solver for efficient 3-dimensional extraction,” in IEEE/ACM Int. .Comput.-Aided Des. Conf., Nov. 1997, pp. 448–455. [7] B. Krauter, X. Yu, A. Dengi, and L. Pileggi, “A sparse image method for BEM capacitance extraction,” in Proc. Des. Automat. Conf., Jun. 1996, pp. 357–362.

3068

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

[8] K. Nabors and J. White, “Fastcap: A multipole accelerated 3-D capacitance extraction program,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 10, no. 11, pp. 1447–1459, Nov. 1991. [9] Y. C. Pan, W. C. Chew, and L. X. Wan, “A fast multipole-methodbased calculation of the capacitance matrix for multiple conductors above stratified dielectric media,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 480–490, Mar. 2001. [10] J. R. Phillips and J. White, “A precorrected FFT method for capacitance extraction of complicated 3-D structures,” in IEEE/ACM Int. Comput.Aided Des. Conf., Nov. 1994, pp. 268–271. [11] W. Shi, J. Liu, N. Kakani, and T. Yu, “A fast hierarchical algorithm for 3-D capacitance extraction,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., pp. 330–336, Mar. 2002. [12] W. Shi and F. Yu, “A divide-and-conquer algorithm for 3-D capacitance extraction,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 23, no. 8, pp. 1157–1163, Aug. 2004. [13] B. Song, X. D. Chen, and W. Hong, “Capacitance extraction of 3D multilayered and multi-conductor interconnects based on a new closedform spatial Green’s function,” in Int. Comput. Electromagn. and Its Applicat. Conf., Jun. 1999, pp. 44–47. [14] J. Tausch and J. White, “A multiscale method for fast capacitance extraction,” in Proc. Des. Automat. Conf., Jun. 1999, pp. 537–542. [15] S. Yan, V. Sarin, and W. Shi, “Sparse transformations and preconditioners for hierarchical 3-D capacitance extraction with multiple dielectrics,” in Proc. Des. Automat. Conf., Jun. 2004, pp. 788–793. [16] W. Yu and Z. Wang, “Enhanced QMM-BEM solver for three-dimensional multiple-dielectric capacitance extraction within the finite domain,” IEEE Trans. Microw. Theory Tech., vol. 52, pp. 560–566, Feb. 2004. [17] Z. Zhu and W. Hong, “A generalized algorithm for the capacitance extraction of 3D VLSI interconnects,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2027–2030, Oct. 1999. Rong Jiang received the B.S. and M.S. degrees in automatic control from Nanjing University of Science and Technology, Nanjing, China, in 1997 and 2000, respectively, and the M.S. and Ph.D. degrees in computer engineering from the University of Wisconsin–Madison, in 2004 and 2005, respectively. Since 2005, he has been a Member of the Consulting Staff with Cadence Design Systems Inc., San Jose, CA. His research interests are in the areas of computer-aided design and microprocessor circuit design with an emphasis on inductive effects modeling, 3-D statistical capacitance extraction and delay calculation for VLSI circuits, model-order reduction, and modeling and simulation of VLSI interconnects.

Yi-Hao Chang was born in Taipei, Taiwan, R.O.C., in 1980. He received the B.S. degree in electronic engineering from National Taipei University of Technology, Taipei, Taiwan, R.O.C., in 2002, and the M.S. degree in electrical engineering from the National Taiwan University, Taipei, Taiwan, R.O.C., in 2005. He is currently a Design Engineer with the Memory Design Development, Etron Technology Corporation, Hsinchu, Taiwan, R.O.C., where he is involved in dynamic random access memory (DRAM) circuit design.

Charlie Chung-Ping Chen (S’02–M’03) received the B.S. degree in computer science and information engineering from National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., in 1990, and the M.S. and Ph.D. degrees in computer science from the University of Texas at Austin, in 1996 and 1998. From 1996 to 1999, he was a Senior Computer-Aided Design Engineer with Strategic Computer-Aided Design Laboratories, Intel Corporation. Since 1999, he has been an Assistant Professor with the Electrical and Computer Engineering Department, University of Wisconsin–Madison. Since 2003, he has been an Associate Professor with the Electrical Engineering Department, National Taiwan University, Taiwan, R.O.C. His research interests are in the areas of computer-aided design and microprocessor circuit design with an emphasis on interconnect and circuit optimization, circuit simulation, statistical design, and signal/power/thermal integrity analysis and optimization. Prof. Chen served as the program committee and/or organizer of the DAC, ICCAD, DATE, ISPD, ASPDAC, ISQED, SASIMI, VLSI/Computer-Aided Design (CAD) Symposium, and ITRS. He was the recipient of the D2000 Award presented by the Intel Corporation and the National Sciences Foundation Faculty Early Career Development Award (CAREER) in 1999 and 2001, respectively. He was also the recipient of the 2002 SIGDA/Association for Computing Machinery (ACM) Outstanding Young Faculty Award and the 2002 IBM Peter Schneider Faculty Development Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

3069

RBF Network Optimization of Complex Microwave Systems Represented by Small FDTD Modeling Data Sets Ethan K. Murphy and Vadim V. Yakovlev, Member, IEEE

Abstract—This paper outlines an original algorithm of neural optimization backed by three-dimensional full-wave finite-difference time-domain (FDTD) simulation and suitable for viable computer-aided design of complex microwave (MW) systems. The frequency response of an -parameter is optimized with a decomposed radial basis function (RBF) network capable of dealing with various MW devices. The key feature of the optimization is the dynamic generation of as much FDTD data as the network needs to find a solution satisfying the constraints or the stopping criteria. Other functions contributing to the reduction of computational cost include a choice of an RBF type, radius optimization of the Gaussian RBF, optimization of the regularization parameter, etc. Performance of the algorithm is illustrated by its application to the systems, which can be adequately described only with the full-wave numerical analysis: a double waveguide window, a loaded MW oven, and a patch antenna with two long slits. In all these examples, the network demonstrates excellent generalizing capabilities with the use of relatively small data sets, and the optimized solutions are obtained within fairly reasonable time. The algorithm is shown to be advantageous over conventional gradient and nongradient local-optimization techniques because it is independent of the starting point and having the potential to find the “best” local optimum in the specified domain. Finally, parameters of FDTD simulations and the network operations influencing the computational cost of the optimization are thoroughly discussed. Index Terms—Artificial neural networks (ANNs), computer-aided design (CAD), dynamic generation of data, electromagnetic (EM) optimization, full-wave simulation, radial basis functions (RBFs).

I. INTRODUCTION T HAS been recently discussed that while new-generation numerical methods and their computer implementations allow for building quite accurate models of many microwave (MW) devices, routine system analysis readily available from the computer simulators may not always result in useful instructions for better design. The present significant interest in MW optimization and computer-aided design (CAD) tools is, therefore, logical and strongly motivated by practice. Reviewing

I

Manuscript received December 20, 2005; revised April 3, 2006. This work was supported in part by the European Aeronautic Defence and Space Company Foundation. E. K. Murphy was with the Department of Mathematical Sciences, Worcester Polytechnic Institute, Worcester, MA 01609 USA. He is now with the Department of Mathematics, Colorado State University, Fort Collins, CO 80523 USA (e-mail: [email protected]). V. V. Yakovlev is with the Department of Mathematical Sciences, Worcester Polytechnic Institute, Worcester, MA 01609 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877059

numerous publications on the subject, one can conclude that along with a “classical” space-mapping (SM) technology [1], [2] and a number of SM-based techniques [3]–[8], artificial neural network (ANN)-based approaches [9]–[16] have established their leading role among the most attractive and powerful instruments of MW optimization. Despite several reports of the inclusion of resourceful full-wave three-dimensional (3-D) electromagnetic (EM) simulators in ANN optimization of MW structures (e.g., [11], [17]–[21]), this approach is generally considered unfeasible due to the high computational cost [2], [16]. The SM-based ANN optimization schemes develop an alternative design technique implementing intelligent handlings of “fine” (slow and highly accurate) and “coarse” (fast and approximately accurate) models. For the latter, data are typically obtained from empirical equivalent-circuit models, analytical expressions based on quasi-static approximations, or other fairly idealized approaches (see, e.g., [6], [7], and [22]–[24]), which, in turn, suggest architectures of the networks exploited for optimizing the respective devices. Optimized structures reported in literature include a variety of filters [6]–[8], [22], [25], MW circuits [6], [8], [24], a multilayer transmission line [26], coplanar waveguides [27], high electron-mobility transistor (HEMT) [28], certain integrated circuits [29], very large scale integration (VLSI) interconnects [30], simple patch/slot antennas [23], [31], and some other devices. Proven to be very efficient, the SM-ANN methods, however, are naturally applicable only to those MW systems for which the relevant simplified approaches are available. On the other hand, the physics of many MW and millimeter-wave devices (e.g., complex/partially filled waveguide/resonator structures, nontrivial junctions of transmission lines, complicated directional couplers, power dividers, phase shifters, various planar/aperture/lens antennas, apparatuses for MW processing and medical applications, etc.) is too complex to be represented (even approximately) by empirical/analytical models, but is accessible for 3-D full-wave numerical analysis. Engineering practice presents numerous situations when CAD of systems of this type is highly preferable. When the involvement of EM simulation for characterizing an optimized system is an imperative, possible non-ANN approaches include stochastic (like genetic algorithms [32] or simulated annealing [33]) and hybrid (DIRECT with Kriging metamodeling [34]) global-optimization schemes, which principally rely on fast modeling tools (the method of moments in [32] and the hybrid finite-element boundary-integral method in [33]

0018-9480/$20.00 © 2006 IEEE

3070

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

and [34]) not necessarily applicable to a variety of MW systems. The local-optimization algorithm based on response surface methodology [35] does not impose this requirement, but the efficiency of this technique seems insufficient to handle complex structures. Looking at the options of widening the class of EM solvers, it seems feasible to look at the so-called conventional neural optimization (CNO) [13], [16], [36], which assumes the use of model responses obtained from full-wave numerical analysis. However, corresponding CNO capabilities in optimizing complex systems seem to have been insufficiently explored. The relevant approaches in constructing efficient network architectures include a segmentation of a MW circuit [11] along with the use of small neuromodels with each individual section [37], distribution of the learning task among a number of ANNs (concept of decomposition) [38]–[40], and a hierarchical neural-network approach [41]. In [11], improved convergence of the learning algorithm is attributed to a special radial wavelet neural network employed. To alleviate the principal problem of a heavy computational load, the design of experiment methodology is used in [15] and [42] to carefully select the necessary learning points; preliminary neural clusterization of similar responses employing the self-organizing feature map is proposed in [12]. Very few of these techniques are associated with 3-D full-wave simulation (i.e., [11] and [13] with the finite-element method), and neither has been tested to find out if they are capable of significantly improving the efficiency (primarily, CPU time) of ANN optimization backed by the data obtained from full-wave analysis of complex MW systems. The question of how far this technology is able to go in performing viable optimization of complex MW devices is currently becoming practically important due to the increasing availability of universal commercial EM simulators (as the instruments of fairly accurate system analysis) and the increasing productivity of modern computers. A direct approach to this problem can be reduced to finding special methods, options, and tricks, which could make the CNO approach combined with full-wave solvers optimize (or at least essentially improve) frequency responses of -parameters of structures (of at least reasonable complexity) characterized by not too many design variables and perform such optimization within a reasonable time. For straightforward ANN techniques ([43], [44]), the CPU requirement for five- or four-parameter optimization may already be prohibitive, whereas a compulsory (even physically motivated) strategy of choosing fewer design variables (i.e., reducing the dimensionality of the input space) may not lead to an optimal solution [43]. As for the underlying technique of 3-D full-wave analysis, the conformal finite-difference time-domain (FDTD) method seems to be the primary candidate due to high accuracy and adequacy of its models, and advantageous use of the computer memory (in particular, compared with the finite-element algorithms). An iterative process of getting steady state (i.e., converged solution) may be a particular bonus: computational time for generating data may be shortened/prolonged as necessary at the expense of accuracy—another degree of freedom in an optimization process. On the other hand, the comparative analysis [45] shows the advanced functionalities of the solvers implementing

the conformal FDTD method when modeling systems and components of MW power engineering, which are typically electrically large and complex structures. Finally, successful examples of combining the FDTD method with neural-network schemes in order to design particular MW circuits and devices are reported in [19]–[21]. In this paper, we, therefore, explore the options for viable FDTD-backed ANN optimization suitable for complex MW and millimeter-wave systems. Our technique follows the basic concepts of CNO and employs the radial basis function (RBF) ANN [46]–[48]. We describe in detail an algorithm incorporating a series of measures taken in order to solve an optimization problem with less FDTD data (and, hence, in less time). (A brief account of this algorithm has been given earlier in [49].) The main effect here is achieved by a special way of forming a database (DB) and particular features of the network training. Instead of first building the entire DB (whose sufficient size should be guessed anyway and may become unnecessarily large) and then training the network, in our scheme, FDTD data are put in the DB as long as they are needed. This process is conditioned by a training error and the scenario being optimized. Dynamic control over operations of an EM simulator is known to be a useful trick. For instance, pursuing the goal of minimizing the number of EM analyses in the combined EM/circuit co-optimization [50], the dynamic “on-the-fly” technique [50], [51] arranges for a minimal number of full-wave simulations as required by the multidimensional and minimal-order linear interpolation scheme. A dynamic generation of training/testing data controlled by neural-network error criteria is used in [52] for automatic development of neural models of MW circuits. In this context, our approach is original: we dynamically generate numerical data for the decomposed RBF ANN (re-trained after getting a new portion of data) in order to directly optimize complex MW devices given only user-defined specifications and constraints. Furthermore, we employ several functions, which may lead to further reduction of computational load. These include optimization of the radius of the Gaussian RBF, optimization of the regularization parameter controlling smoothness of data, choosing the type of an RBF function [local (Gaussian) or global (cubic)], placement of the RBF centers on the points of the input vector of design variables, inclusion of intermediate minima (found in the course of dynamic generation of data) in the DB, and some other options. Given the ability of an FDTD solver and a decomposed network to handle various MW systems, our algorithm is designed to be useful in open-ended practical problems. It helps the user clarify uncertainties about the “right” design variables, their ranges, and reasonable constraints. A special stopping criterion promptly determines the likelihood of an optimal solution in the selected input space. Finally, we illustrate operations of our procedure by running wideband optimization of frequency responses of the systems, which cannot be characterized by simplified models (and, hence, optimized by SM-based techniques): a double waveguide window (WW), a loaded MW oven, and a patch antenna with a pair of wide long slits. In all three examples, we reach either optimal or significantly improved characteristics. We show that the optimized solutions canbe obtained for appliedMW systems with

MURPHY AND YAKOVLEV: RBF NETWORK OPTIMIZATION OF COMPLEX MW SYSTEMS REPRESENTED BY SMALL FDTD MODELING DATA SETS

3071

th frequency, and is the number of equally spaced response sample points in the frequency interval in which the optimization is to be performed. In our analysis, a certain form of a frequency response of a particular -parameter is considered as an objective function of the optimal design. It is supposed that for any allowable set of design variables, this response can be obtained with the use of numerical (i.e., 3-D FDTD) simulation. With the use of these simulations, we generate samples of input–output pairs such that the data set is made of the matrices

.. .

.. .

Fig. 1. Architecture of a decomposed RBF ANN with  hidden neurons. (Color version available online at: http://ieeexplore.ieee.org.)

..

.. .

a relatively small number of DB points and discuss the options and conditions under which FDTD-backed neural optimization may make CAD of some complex MW systems viable.

(3)

.. .

.

.. .

..

.. .

.

(4)

Our RBF network is coupled with a linear model

(5)

II. OPTIMIZATION ALGORITHM

where are weight matrices, and is a matrix function containing RBFs. In other words, we consider the mapping . Combining (3) and (5), we can get (6), shown at the is an RBF, is the number bottom of this page, where of RBFs, is the matrix incorporating RBF with the linear model, and is the mapped data, i.e., is an approximation of .

A. RBF Network Model Aiming to optimize complex MW devices, we build the underlying RBF ANN in accordance with the concept of network decomposition; indeed, the complete set of FDTD responses can be difficult to approximate with a single network. On the other hand, this approach should allow us to use the same network for optimizing different MW systems. In the proposed ANN architecture (Fig. 1), the learning task is distributed among a number of sub-networks, which divide the output space into a set of subspaces. The network works with input vectors

B. Error Function In this study, we use two types of RBFs—the local Gaussian RBF (7)

(1) and output vectors

with RBF

being the radius of the th center, and the global cubic

(2) (8) where sign variables),

and are system parameters (deis the value of an -parameter at the

.. .

.. .

..

.

.. .

.. .

where

.. .

.. .

is the center of

..

.

.. .

and

.. .

.. .

.

.. .

(6)

3072

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

In order to fully describe and appropriately choose the correct , the weight matrix needs to be specified. To do that, we partition the data set into a training set, of elements, and a testing set, of elements (with ). When training the network, the following error function is, therefore, minimized:

(9) is the th column of . Following [48], we minimize where function (9) by the weight matrix

(10) In the training, the number of RBFs is assumed to be the same as , and we pick the centhe number of training points ters of the RBFs exactly on the points of (i.e., the center is ) so the entire domain is essentially covered by of the RBFs. Given from (10), this yields zero training error . Convenient for programming, this technique is known to be practical for dimensional input domains that are not excessively high [53]. It is also consistent with our algorithm employing time-consuming full-wave modeling and, therefore, not pretending to handle the “curse of dimensionality” issue.

As for the cubic function, the measure of accuracy in network testing is represented by the following expression:

(13)

D. Regularizing the Problem With Ridge Regression can be arbitrary, then, Since the norm of the weight matrix when it is large, the hyper-surface may be nonsmooth, and this may mean that our network interpolates poorly. Generally, the weight matrix is ill-conditioned and the problem requires regularization [47], [54]. To resolve the problem, we use ridge regression, which adds a penalty term to the sum-squared-error and allows for a smoother interpolating function. We rewrite (9) as

(14) where is the regularization parameter controlling the smoothness of data. In accordance with the scheme described in [48], we minimize the function (14) by the weight matrix

(15)

C. Network Testing With Gaussian and Cubic RBFs After training, the ANN model is tested to see how well it generalizes/approximates data that was not learned in training. We test the network by the data formed in the matrix

(11) In the case of Gaussian RBF, the testing mean square error is written as

to If is large, the minimization of (14) forces the norm of be small while if is close to zero, then the minimization yields a small penalty to the norm of . With , (14) and (15) become (9) and (10), respectively. In order to take control over the norm of , optimizing with respect to the error might be an attractive option. If the RBF is the Gaussian function, two-parameter optimization may be performed with respect to and . Using (14) and (15), we create the weight matrix and minimize the following error function:

(16) (12) where is a vector of of size . At the point of choosing the Gaussian radius, the strategy of RBF ANN modeling is known to not be clearly defined [38]. To this end, we consider the vector in (12) as an attractive parameter to be optimized. It could be found as the same (best) radius for all RBFs (scalar optimization), or the best radius for each individual RBF (vector optimization). Hence, we find the optimal , which makes the error (12) minimal; to do this, we use a numerical least squares method.

In the case of the cubic RBF, we can optimize only the term and work with the error function

(17)

Similar to (12) and (13), both errors (16) and (17) are minimized numerically.

MURPHY AND YAKOVLEV: RBF NETWORK OPTIMIZATION OF COMPLEX MW SYSTEMS REPRESENTED BY SMALL FDTD MODELING DATA SETS

3073

E. Finding Optimal Design With the mapping established, we address the minimization/ maximization of the objective function corresponding to (2): we find the optimal value with respect to the optimal input parameters by solving (18) where is determined from the training set . The problem of maximizing is analogous to (18). The decision on whether or not a solution is optimal is made in accordance with the following. We define a solution to be optimal if is a vector such that all its elements are for

(19)

is the constraint representing the upper (lower) tolwhere erance allowable for an optimum solution to the minimization (maximization) problem. When a typical vector represents a rather smooth curve, we solve problem (18) as stated taking the data explicitly from the FDTD simulator. For better results with highly nonlinear data, we deal with the vector produced from (2) such that the th component is a 1 3 vector of the following form:

(20) In this case, we deal with the mapping , and the minimization of is equivalent to simultaneously minimizing the average value of elements of , the slopes of the curve, and the area under the curve corresponding to (2). This formulation is intended to facilitate the search for wideband characteristics of resonant structures. It is motivated by the fact that highly resonant curves, in general, do not pass a low-tolerance constraint in the interval even though they may have a minimum less than in this frequency range. Thus, we choose to contain properties of that may help to locate a desirable curve quicker. The first and third components of (20) are two different measures of how small is over the interval, whereas the second term is used to widen a resonance’s width by flattening the peak’s slopes. When (20) is applied, in (19) are replaced by . Problem (18) is solved as minimization (or maximization) of with respect to using a least squares method employing the steepest descent method. Our final solution is the set of optimal design variables corresponding to the optimal frequency characteristic of the -parameter . III. OPTIMIZATION WITH SMALL FDTD DATA SETS A major concern associated with the scheme described in Section III comes from the fact that, for complex MW systems,

Fig. 2. Flowchart of the proposed optimization algorithm. (Color version available online at: http://ieeexplore.ieee.org.)

FDTD generation of matrix (4) may be so time consuming that the related optimization procedure will be impractical. To address this issue, we introduce here an original yet simple technique, which keeps the process of creation of a DB under control and enforces generation of only as many samples as necessary. The procedure is schematically presented in Fig. 2. Given design variables and subdivisions of their intervals, the procedure creates a set of points that are equally spaced across the -dimensional domain and that are representatives of each design variable. The number of these points is . With each being very small, the size of the initial DB will also be small. The procedure starts with running FDTD simulations to make an initial DB. For system parameters, we divide each interval in half, thus creating 2 rectilinear subdomains in the input space. Out of a number of possible choices for the location of initial guesses, in this paper we opt for the simplest one (both conceptually and in terms of programming) by placing them in the centers of these subdomains. The procedure trains the RBF network and tries to find an optimum. If the solution passes the constraints, the procedure takes it along with corresponding system parameters as the final solution and stops; otherwise, the method proceeds to the next iteration at which the algorithm creates more DB points and continues to search for an optimum. More specifically, each local minimum found is simulated with the FDTD method, and the corresponding input–output pairs are added to the DB giving new samples, where is the number of repeated points. With the number of subsequent subdivisions of the variables’ ranges , the procedure creates new points. These points are chosen to be uniformly distributed random numbers inside subdomains of dimension . If any of them are already in the DB, new points are selected. This means that after running an FDTD solver with all these new points, our DB is of the size .

3074

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

The algorithm continues in this fashion until the constraints, or one of the stopping criteria, are satisfied. The latter include the following: (S1) a maximal DB size; (S2) a maximal time elapsed; (S3) certain closeness of two solutions from two iterations. While (S1) and (S2) serve as “safety conditions,” terminating optimizations taking more data/time than is desirable, criterion (S3) is a crucial component of the procedure. It compares the current optimal solution with previous ones in accordance with the formula %

for every

(21) Criterion (S3) recognizes if is similar to that of any previous iteration. Through experimentation, it has been found that if (S3) snaps into action, the optimization procedure stops with the current solution, which turns out to be the “best,” although not an optimal one. As such, this stopping criterion is capable of effectively suggesting the absence of an optimum in the given input space. IV. COMPUTER IMPLEMENTATION The optimization procedure described above has been implemented in a MATLAB code in which minimization of the errors is performed with the use of the nonlinear least squares method (procedure in the MATLAB Optimization Toolbox). Training/testing data for the network (i.e., matrices and ) are generated by the full-wave 3-D conformal FDTD simulator QuickWave-3D1 (QW-3D) v. 5.0. While in most applications of ANN optimization a neural network is constructed in accordance with the parameters of the device and/or its model, the algorithm outlined in this paper is characterized by a certain universality. • Data is generated with the use of a universal modeling technique capable of accurate analysis of a wide range of complex MW systems and components. • The procedure exploits the decomposed network, which is supposed to be able to handle data on a variety of fairly complicated devices. • The optimization scheme deals with the input vector (1), which may contain arbitrary (geometrical or material) parameters of the respective FDTD model. Therefore, our algorithm is implemented as a MATLAB code for optimizing -parameters of different MW systems. A device to be optimized is represented by a fully parameterized QW-3D model built with the use of a macroprogramming function available in the simulator. Any model parameters are of equal worth and can be chosen as the design variables of the related optimization problem. The MATLAB code modifies the values of the design variables in the model, controls the operations of the simulator, and processes its output. A QW-3D model of the optimized device is supposed to be prepared in accordance with standard criteria for efficient (and preferably quickly converged) FDTD models, e.g., featuring a 1QuickWave-3D, QWED, 00-672 Warsaw, Poland. [Online]. Available: http://www.qwed.com.pl/.

TABLE I TRAINING METHODS IN THE PROPOSED RBF ANN OPTIMIZATION

nonuniform mesh with appropriate cell sizes for all media. In the course of optimization, the number of time steps of each simulation is set up to be the same so this parameter is chosen to ensure convergence of the solution for all system parameters . The influence of model characteristics on productivity of optimization is discussed in Section VII. A special interface of the optimization procedure facilitates specification of the design variables, subdivisions of their intervals ( and ), the constraints ( , and ), a type of the RBF function, a regime of optimization of the Gaussian radius (scalar or vector optimization), the DB’s fractions of the training/testing samples, input parameter range after linear scaling [10], stopping criteria, etc. Results displayed for each contain optimal values of the design variables, -parameters in the interval , a resulted DB size, a value of the regularization parameter, and an optimized RBF radius (if applicable), as well as an elapsed CPU time. V. EXAMPLES AND DISCUSSION In the following illustrations, we show how our optimization procedure works with three complex systems, which cannot be characterized by simplified/empirical models, but rather require a full-wave 3-D numerical solution. In the examples below, we imitate open-ended CAD processes and treat and as attractive goals of the design rather than strong constraints. Indeed, given a high computational cost of FDTD-backed optimization, one may be pleased with a solution, which, being close enough to a desirable optimum, is obtained fairly quickly. In order to better illustrate functionality of the algorithm, three parameters of utmost importance for the optimization process are intentionally set to their extremes: we choose to be small numbers (in order to get a DB of really small size before the first training), construct an FDTD mesh, which is dense enough for making “fine” models, and opt for running each simulation for as many time steps as needed to guarantee the converged results. The mesh in all projects is set up with the help of sensitivity analysis determining the cell size such that the smaller cells generate an -parameter’s frequency response different by less than 3%. We also test the optimization procedure for functionality of different training methods (see Table I). In all examples, and . All CPU times are given below for a single-processor version of QW-3D, which runs on a Dual Xeon 3.2-GHz PC operating under Windows XP. A. Double WW A WW is known to be a major component of transmission lines used with vacuum/high- pressure applicators, particle ac-

MURPHY AND YAKOVLEV: RBF NETWORK OPTIMIZATION OF COMPLEX MW SYSTEMS REPRESENTED BY SMALL FDTD MODELING DATA SETS

3075

TABLE II DOUBLE WW: CHARACTERISTICS OF FOUR-, FIVE-, AND SIX-PARAMETER OPTIMIZATIONS

TABLE III DOUBLE WW: OPTIMAL CONFIGURATIONS (mm)

Fig. 3. (a) Profile of the double WW (courtesy of The Ferrite Company Inc., Nashua, NH) and (b) geometrical parameters of its model. (Color version available online at: http://ieeexplore.ieee.org.)

celerators, and MW plasma devices [55]–[58]. The function of the window is to provide vacuum/gas isolation of the source from the cavity while transmitting MWs with minimum attenuation. We test our procedure in finding optimal geometry of a double WW consisting of a 200-mm section of WR340 and two rectangular dielectric layers (made from Quartz, permittivity ), as shown in Fig. 3; a similar device has been considered earlier in [44]. Our goal is to minimize , more specifically, to make it less than in the frequency range from to GHz assuming that the geometrical parameters are conditioned by the following design specifications: mm mm mm mm mm mm mm

(22)

Optimization of the device is performed using its fine FDTD model—a nonuniform mesh (the cell sizes are 4 mm in air and 1.5 mm in quartz) contains approximately 123 000 to 348 000 cells (depending on the dimensions of the layers). Simulation reaches steady state after about 5000 time steps, so with 5200

ones chosen for the runs in the optimization process, a single computation takes from 55 s to 1 min 25 s. In the three-parameter optimization problem with design variables mm, , and the 5% criterion (S3), all training methods proceed up to different iteration and lead to quite different designs for which corresponding characteristics violate the constraint; in all cases, optimization is stopped by the (S3) criterion. This implies that, for the chosen input space, an optimal solution may not exist. The result is confirmed by running the same optimization with (S3) switched off and (S2) set up for 3 h: for this time, the procedure goes through 8–9 iterations, repeatedly finding the solutions similar to the ones obtained at the previous iterations. Multiple optimal solutions are found for other input spaces featuring 4–6 design variables and ; examples of the optimal configurations and data characterizing different algorithm options are presented in Tables II and III. Fig. 4 shows the optimized frequency responses of the reflection coefficient obtained with scalar optimization of the Gaussian radius, with added ridge regression, with one more point added to initial and subsequent subdivisions of the design variables’ intervals, and with one more design variable. A nonoptimized characteristic also shown in Fig. 4 for comparison corresponds to the midpoints of the intervals (22). Table IV contains data on the tests of the training methods with two particular optimization problems; vectors of optimized RBF radii are denoted as . Analyzing these results, one may notice that optimal solutions generated by our RBF ANN algorithm depend on the input space and the training method employed; their computational

3076

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 4. jS j characteristics for four optimal designs of the double WW (Tables II and III). (Color version available online at: http://ieeexplore.ieee.org.)

TABLE IV DOUBLE WW: TRAINING METHODS IN FOUR-PARAMETER OPTIMIZATIONS

costs may also be different. More specifically, the following applies. 1) An additional point taken for representation of each design variable requires a notable increase in the CPU time (e.g., comparing Optimal Designs 4 and 6, by 2.3 ), but may lead only to a minor change in the characteristic. When making a larger DB at the first iteration, the algorithm needs more FDTD simulations than for optimization with a smaller initial DB succeeded by several iterations in dynamic generation of data. On the other hand, a more detailed characterization of the input space may be insufficient for “refocusing” the network on another domain containing an alternative (possibly better) optimal solution. 2) An additional design variable expands the input space and may naturally lead to a better characteristic (see Optimal Designs 4 and 7), however, computational cost of this solution is notably higher—in the present example, the CPU time increases by 2.4 to 3.4 .

3) Training with scalar optimization of may lead to insignificant (5%–10%) increase in the CPU time in comparison with training with a constant radius 1. However, optimization with the GRS method, if it does not require more iterations than GR1, may eventually be quicker. With appropriately chosen , the input space may be characterized better with a smaller number of centers. This implies that the capability of the network to generalize learned data may be improved. Since, in this case, the algorithm may need fewer DB points, less time may be needed for finding an optimum. 4) Training with vector optimization of turns out to be a delicate option essentially depending on the problem to be optimized. In some cases, especially when dealing with large DBs, this may become an additional computational burden giving effectively the same result, but in others (like in the examples in Table IV), GRV may accelerate optimization by reducing either the number of optimization iterations or the time spent on training with the DB of about the same size. Individually chosen radii may further reduce the required number of centers and make the domain of the Gaussian RBF influence more accurately described. We observe that the GRV-trained network may be able to find the solutions with a lower average value of a minimized function in the optimality zone. For instance, when dealing with the double WW example, vector optimization of tends to lead to more flat rather than resonant characteristics. 5) When the regularization parameter is optimized, the DB size may depend on an RBF type. For the cubic function, the optimal solution may be reached with fewer points than without ridge regression, whereas for the Gaussian one, the algorithm may need a larger DB (e.g., in some WW tests, by 20%–25%). This seems to be consistent with the key property of these RBFs: the cubic function is generally considered attractive as it tends to require less data for training so a particular input space can be well represented either by fewer cubic (global) functions or by more Gaussian (local) ones. 6) Optimization of along with vector optimization of may be quite computationally expensive in comparison with all other training methods so using this combination may be unfeasible when optimizing truly complex scenarios. At the same time, the proposed optimization algorithm is designed as working with minimally necessary data so the probability of overfitting (for which regularization would be crucial) may not be high. Not being in great demand, the GRV method could, therefore, be left for optimizing not too complex systems. B. Loaded MW Oven In this example, we optimize the efficiency of the system originally considered in [43] and reviewed in [44]: a rectangular cavity (with dimensions mm) imitating a MW oven (Fig. 5). The device operating at GHz is excited by the mode of a rectangular waveguide centered on the top of one side. The cavity contains a load (a cylinder of diameter and of length,

MURPHY AND YAKOVLEV: RBF NETWORK OPTIMIZATION OF COMPLEX MW SYSTEMS REPRESENTED BY SMALL FDTD MODELING DATA SETS

3077

TABLE V COMPUTATIONAL RESOURCES AND OPTIMAL CONFIGURATIONS REQUIRED IN OPTIMIZATION OF A MW OVEN USING GRS METHOD

Fig. 5. Geometrical parameters of a MW oven with a load on a shelf. (Color version available online at: http://ieeexplore.ieee.org.)

with rounded ends, ) lying on a centered shelf (cylindrical disk of diameter and thickness ) at the height from the bottom. The load is centered on the shelf, i.e., the only parameter characterizing its position is the angle . Optimization is performed for the complex permittivity of meat ( , cooked beef [59]) for the load, and of glass for the shelf. The exciting waveguide is WR340 ( mm); the diameter of the shelf is constant ( mm). The goal is to find the geometry characterized by the efficiency not less than 90% (by making smaller than ) in the range GHz [35]. The specifications of the design variables are

mm mm mm mm

(23)

The project is discretized with a nonuniform mesh (the maximum cell sizes are 5 mm in air and in glass and 2/1 mm in meat in horizontal/vertical planes) making approximately 371 000 to 711 000 cells (depending on the dimensions and orientation of the load). FDTD simulation reaches steady state at the level of approximately 18 000 iterations, but with more time steps, resonant peaks on the curve continue to change their magnitudes. To make the difference between the optimized solution and its accurate numerical representation not too significant, we take 22 000 iterations for each run so a single computation takes from 5.2 to 11.2 min. In [43], a similar device was addressed with CNO taking no special measures for acceleration of an optimization process. For five design variables, the problem was found prohibitively expensive—e.g., with five points representing each design variable, the DB would be constructed in approximately 32 days. In this situation, the problem was split into three subsequently solved problems with two or three design variables. However, the resulting characteristic did not satisfy the applied constraint on most of the interval [43]. With the described procedure employing the GRS training method, the five-parameter optimization problem is successfully solved in less than two days (Table V). In order to minimize

Fig. 6. jS j characteristics generated by three iterations of the optimization of a MW oven (Table V). (Color version available online at: http://ieeexplore. ieee.org.)

the number of mandatory FDTD simulations for the initial DB, and are taken as three and two, respectively. Even with this “sparse” characterization of an apparently highly resonant hyper-surface, our optimization procedure needs only three iterations (42.4 h of CPU time) to find an optimal solution (the bold curve in Fig. 6). Similarly to the previous example, a nonoptimized characteristic corresponds to the values of all five design variables at the midpoints of their intervals (23). The optimal configuration suggested by the optimization procedure seems to be physically reasonable. The orientation of the load along the longitudinal direction of the feeding waveguide, a minimal thickness of the lossless shelf, and not too small dimensions of the lossy load seem to be the factors favorable for minimizing reflections from the cavity. C. Patch Antenna With Two Slits Here, we explore the capacity of our optimization procedure to find configurations of patch antennas characterized by larger bandwidths. We deal with the structure proposed in [60]—a rectangular patch with a pair of wide slits and an air substrate (Fig. 7) with the following dimensions: mm, mm, mm, mm, and mm (for other parameters, see Table VI). In the FDTD model, the mesh consists of 1.2-mm cells in the plane of the antenna and 1.5-mm cells in the perpendicular direction. In the horizontal plane, the mesh is uniform, except around the coaxial cable where the cells are smaller (0.75 mm). The walls of the box performing near-to-far (NTF) field transformation are placed at the distance of 11 cells from the antenna, and the box implementing the perfectly matched layer

3078

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 7. Geometry of a patch antenna with a pair of wide slits [60]. (Color version available online at: http://ieeexplore.ieee.org.)

TABLE VI ORIGINAL ANTENNA AND TWO CONFIGURATIONS OF WIDER BANDWIDTHS (GRS METHOD)

TABLE VII BANDWIDTH CHARACTERISTICS OF THE ORIGINAL AND OPTIMIZED ANTENNAS

(PML) absorbing boundary conditions is placed 11 cells from the NTF box. The entire model contains nearly 1.3 million cells. The steady state is reached roughly within 8000 time steps, and it takes 11.2 min of CPU time. FDTD simulation of the antenna with its original geometry [60] and the feed taken as a 50- coaxial line with outer radius 1.5 mm shows that the impedance bandwidth determined from a 10-dB return loss is MHz (thin curve in Fig. 8, first line in Table VII). Looking for alternative configurations of the patch with larger , we allow the two slits to be of different lengths and different widths and , and solve a five-parameter optimization problem for two different sets of design specifications, namely, mm mm mm mm mm mm mm mm mm mm

Fig. 8. Computed return loss for the original antenna’s geometry and two optimal configurations (Table VI). (Color version available online at: http://ieeexplore.ieee.org.)

The thickness of the antenna and the ground plane are assumed to be finite (0.5 mm). Other geometrical parameters are taken as in [60]. The value of is 10 dB. The frequency constraints are set up beyond the anticipated endpoints of the optimal band as and GHz. By doing so, we do not expect these constraints to be satisfied, but rather want the optimization procedure to look for a maximally wide frequency response of . Optimization is performed with the GRS training method and and . Two resulting configurations are presented in Tables VI and VII and Fig. 8. The bandwidth of both antennas is notably widened—by 5% and 6% of the band’s central frequency . In both problems (24A) and (24B), optimization process is stopped by the 5% criterion (S3). Although the lengths and widths of the slits are allowed to be different, in both solutions the antennas nearly retain symmetry: and . However, another optimization performed for constraints (24A) and a slightly different position of the feed ( mm) leads us to the design with essentially different widths and lengths of the patch’s slits mm, mm, mm, mm, and mm. For the latter geometry, MHz.

(24a) VI. COMPARISON WITH OTHER OPTIMIZATION METHODS

(24b)

The presented RBF ANN procedure has been tested against two local-optimization techniques—the gradient Davidon–Fletcher–Powell (G-DFP) and nongradient Powell (NG-P) methods [61]. We worked with the G-DFP and NG-P algorithms implemented in QW-Optimizer, an optimization

MURPHY AND YAKOVLEV: RBF NETWORK OPTIMIZATION OF COMPLEX MW SYSTEMS REPRESENTED BY SMALL FDTD MODELING DATA SETS

3079

Fig. 10. Typical jS j characteristics from single runs of the NG-P and G-DFP algorithms for the MW oven (Fig. 5): at the starting point, the design variables are in the midpoints of (23); max/min steps are 30/10 mm/degrees, respectively. (Color version available online at: http://ieeexplore.ieee.org.)

Fig. 9. jS j characteristics generated by the NG-P and G-DFP algorithms for the double WW (Fig. 3): the starting point is: (a) very close and (b) far away from the RBF ANN optimum; max/min step sizes for all design variables are 10/2 mm, respectively. Starting points (I) and (II) are given in Table VIII. (Color version available online at: http://ieeexplore.ieee.org.)

module distributed with the QW-3D v.5.0 package; as such, these techniques dealt with the same FDTD models and the same type of data as the neural-network procedure. Five-parameter optimizations of the devices considered in Section V [the double WW (Fig. 3) with (22), the MW oven (Fig. 5) with (23), and the patch antenna (Fig. 7) with (24a)] yield the following observations. When the starting point is chosen in the immediate neighborhood of the optimum found by the RBF ANN procedure, the conventional optimization may converge towards these optimized variables. In this case, the related response becomes very close to the one obtained by our neural network procedure (as shown in Fig. 9(a) for the NG-P algorithm). This example directly validates the output of the RBF ANN optimization. On the other hand, with the choice of the starting point far away from the optimum or even insufficiently close to it (in practice, that is normally the case),

Fig. 11. Typical jS j characteristics generated by single runs of the NG-P and G-DFP algorithms for the patch antenna (Fig. 7): max/min steps are 6/2 mm, respectively. (Color version available online at: http://ieeexplore.ieee.org.)

the NG-P and G-DFP algorithms either find a “worse” solution or do not find an optimum at all (Figs. 9(b), 10, and 11). Our tests, therefore, show that, in problems with several design variables and numerous local minima, our RBF ANN optimization is advantageous compared to the conventional localoptimization algorithms, which may naturally be stuck in particular local solutions and, thus, require being run multiple times from different starting points and with different parameters. Due to excellent network generalization, our technique has a clear potential of finding the “best” local solution in the specified domain without the demand of guessing a good starting point or the need to change the design variables. It appears that the fact that the RBF ANN optimization takes longer in comparison with the single runs of the NG-P and G-DFP algorithms (Table VIII and Figs. 10 and 11) is not a drawback since optimization with the conventional techniques may, in fact, take indefinitely more CPU time.

3080

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

TABLE VIII CONVERGENCE OF NG-P AND G-DFP OPTIMIZATION TO THE RBF ANN OPTIMAL DESIGN 9 (TABLE III)

TABLE IX FACTORS CONTROLLING CPU TIME OF THE FDTD-BACKED RBF ANN OPTIMIZATION

VII. CONTROLLING CPU TIME The presented algorithm consists of two distinct parts, i.e., FDTD simulations producing numerical data (and taking CPU time ) and the network operations responsible for training/ testing, optimization, etc. (time ). We identify several factors influencing and ; they are listed in Table IX. Contribution to the total CPU time made at the first phase (DB generation) depends on the following: I) numbers of FDTD simulations (specified by and ); II) time of a single FDTD simulation ; III) type of the problem to be optimized. While understanding of aspects related to III) may be limited before an optimization problem is solved, points I) and II) are under designer’s control. The initial number of simulations is set up by the parameter , i.e., the numbers of representative points on the intervals of all design variables. Dynamic generation of data for the decomposed RBF network assumes that each is a small number. When applied to three complex devices considered above, the algorithm succeeds with . This clearly makes a dramatic impact on the overall productivity of the optimization procedure. The total number of FDTD runs also depends on (the numbers of interval subdivisions at the subsequent optimization iterations—the above examples show that this number can also be very small, e.g., 2) and the number of required iterations —though the latter is problem-dependent and determined in the course of the optimization. There seem to be some options concerning direct reduction of . Optimization is preceded by setting parameters of an FDTD model and automated mesh generation. The time depends on the model parameters—factors (i)–(iii) in Table IX. Sensitivity

Fig. 12. Averaged total CPU time as function of DB size for different training methods in a two-parameter optimization (t = 0:98 min). (Color version available online at: http://ieeexplore.ieee.org.)

analysis is a standard means of setting the cell sizes, which are not smaller than necessary for a required accuracy. Minor increase of the cell sizes and/or reduction of the number of time steps (to stop simulation at some point before it reaches steady state) will result in decreasing . Such settings, which generally worsen the accuracy of the model, may be exploited, if used with caution, in practical CAD work for quicker generation of optimization results based on a somewhat “coarser” FDTD model. “Finer”-model-based optimization could then be run in the small neighborhood of the “coarse” optimum. Even further, the latter could be directly verified with a “finer” model; if the result only slightly violates the constraints, it can be considered an approximation of an optimum. It is also worth noting that with automatic generation of FDTD mesh employed in an optimization loop for each configuration of the optimized system, measures should be taken to avoid accidental appearance of cells that are too small. Their presence, with the fixed number of time steps preset for all FDTD runs, could lead to inclusion of nonconverged (i.e., erroneous) data in the training set. While in the above examples the optimization process is small-cell free, here we do not explore a reduction of working with “coarser” FDTD models, but rather exploit steady-state solutions obtained on the fine meshes in order to find out if the proposed algorithm performed in a “complete” mode is still viable for optimization of certain complex MW systems. As for the time for the network operations, it depends on a training method whose performance is essentially influenced by the type of the optimization problem. Our tests show (see Section V-A and Fig. 12) that while one method (GRV ) may be more time-consuming than others, the network operations of the optimization algorithm in all its versions are very efficient—they are always responsible for a very small fraction of the total computational cost. Fig. 13 shows two time characteristics of the developed optimization procedure. For fairly small (below 100), the CPU time taken by the network operations

MURPHY AND YAKOVLEV: RBF NETWORK OPTIMIZATION OF COMPLEX MW SYSTEMS REPRESENTED BY SMALL FDTD MODELING DATA SETS

Fig. 13. CPU time required for optimization with GRS method as function of DB size in projects with: (a) n = 6 and t = 1:02 min and (b) n = 5 and t = 11:05 min. (Color version available online at: http://ieeexplore.ieee.org.)

may be negligible (order of seconds); in the tests for large (up to 800), it is found to be not more than 12% of the total time. Therefore, the options of scalar optimization of the Gaussian radius and of the regularization parameter and the use of cubic or Gaussian RBF [factors (v) to (vii)] can be employed in our algorithm on the basis of feasibility of their use in a particular problem—without the threat of a substantial increase of computational cost of the solution. Overall, in our algorithm, as in any other RBF network optimization/approximation schemes [47], [53], some functions/ features are supposed to be selected, and decisions about them depend on the complexity and characteristics of the MW device being optimized. While we demonstrate an efficient functionality of the proposed algorithm in quite general settings, we believe that with certain special findings and tunings useful for particular systems, the described procedure may become particularly advantageous. Some optimization problems may, of course, be challenging for our approach. In situations when a reduction of computational cost is crucial, it may be practical to initially solve an optimization problem with relaxed constraints [ and ], and/or a greater percentage values for the criterion (S3) [factor (viii)]. This may allow for a quicker solution because of a lower number of iterations and provide some knowledge about the type of problem and its optima—this may help specify/modify the parameters/constraints of the original problem to be solved afterwards. VIII. CONCLUSION The algorithm of FDTD-backed RBF network optimization has been proposed for CAD of a wide group of complex MW and millimeter-wave systems, which cannot be approximated by simple empirical or physical models, but require full-wave 3-D numerical analysis. We have suggested two principal measures making this approach viable—to use a decomposed RBF network and to dynamically create a DB of FDTD data. The network demonstrates a capacity for excellent generalization of data and optimization of different MW structures; the procedure typically identifies the

3081

region of an optimal solution within very few iterations starting with a really small DB and dynamically increasing it to a necessary size. The considered examples show that special functions introduced into the optimization procedure (optimization of the Gaussian RBF’s radius, optimization of the regularization parameter, special stopping criteria, etc.) further enable our algorithm to be computationally efficient for the systems with not too many design variables. This paper, therefore, has demonstrated that given the resources of today’s computers, our RBF ANN-based FDTD-backed approach to optimization can be practically productive and serve as a CAD tool in designing reasonably complex MW devices and components. While the functionality of most features of the algorithm depends on the nature and complexity of the problem to be optimized, the presented analysis illustrates the applicability of our procedure to quite a diverse range of complex and electrically large systems, as well as their successful optimization. The algorithm allows for a variety of creative and inventive adjustments/tunings, which may be conditioned by specific characteristics of particular MW devices and introduced for their CAD and optimization. REFERENCES [1] J. W. Bandler, R. M. Biernacki, S. H. Chen, P. A. Grobelny, and R. R. H. Hemmers, “Space mapping for electromagnetic optimization,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2536–2544, Dec. 1994. [2] J. W. Bandler, Q. S. Cheng, S. A. Dakroury, A. S. Mohamed, M. H. Bakr, K. Madsen, and J. Søndergaard, “Space mapping: The state of the art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 337–360, Jan. 2004. [3] M. H. Bakr, J. W. Bandler, N. K. Georgieva, and K. Madsen, “A hybrid aggressive space-mapping algorithm for EM optimization,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2440–2449, Dec. 1999. [4] J. W. Bandler, M. A. Ismail, J. E. Rayas-Sánchez, and Q. J. Zhang, “Neuromodeling of microwave circuits exploiting space mapping technology,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2417–2427, Dec. 1999. [5] J. W. Bandler, N. Georgieva, M. A. Ismail, J. E. Rayas-Sánchez, and Q. J. Zhang, “A generalized space mapping tableau approach to device modeling,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 67–79, Jan. 2001. [6] J. W. Bandler, M. A. Ismail, J. E. Rayas-Sánchez, and Q. J. Zhang, “Neural inverse space mapping (NISM) optimization for EM-based microwave design,” Int. J. RF Microw. Comput.-Aided Eng., vol. 13, pp. 136–147, 2003. [7] J. W. Bandler, J. E. Rayas-Sánchez, and Q.-J. Zhang, “Yield-driven electromagnetic optimization via space mapping-based neuromodels,” Int. J. RF Microw. Comput.-Aided Eng., vol. 12, pp. 79–89, 2002. [8] J. W. Bandler, D. M. Hailu, K. Madsen, and F. Pedersen, “A space-mapping interpolating surrogate algorithm for highly optimized EM-based design of microwave devices,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2593–2600, Nov. 2004. [9] F. G. Guimarães and J. A. Ramírez, “A pruning method for neural networks and its application for optimization in electromagnetics,” IEEE Trans. Magn., vol. 40, no. 2, pp. 1160–1163, Mar. 2004. [10] Q.-J. Zhang, K. C. Gupta, and V. K. Devabhaktuni, “Artificial neural networks for RF and microwave design—From theory to practice,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1339–1350, Apr. 2003. [11] S. Bila, Y. Harkouss, M. Ibrahim, J. Rousset, E. N’Goya, D. Baillargeat, S. Verdey, M. Aubourg, and P. Gillon, “An accurate wavelet neural-network-based model for electromagnetic optimization of microwave circuits,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 297–306, 1999. [12] P. Burrascano, S. Fiori, and M. Mongiardo, “A review of artificial neural networks applications in microwave computer-aided design,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 158–174, 1999.

3082

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

[13] M. Vai and S. Prasad, “Neural networks in microwave circuit design—Beyond black-box models,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 187–197, 1999. [14] P. M. Watson, C. Cho, and K. C. Gupta, “Electromagnetic-artificial neural network model for synthesis of physical dimensions for multilayer asymmetric coupled transmission structures,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 175–186, 1999. [15] G. L. Creech, B. J. Paul, C. D. Lesniak, T. J. Jenkins, and M. C. Calcatera, “Artificial neural networks for fast and accurate EM-CAD of microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 794–802, May 1997. [16] J. E. Rayas-Sánchez, “EM-based optimization of microwave circuits using artificial neural networks: The state-of-the-art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 420–435, Jan. 2004. [17] S. Bila, D. Baillargeat, M. Aubourg, S. Verdeyme, and P. Guillon, “A full electromagnetic CAD tool for microwave devices using a finite element method and neural networks,” Int. J. Numer. Modeling, vol. 13, pp. 167–180, 2000. [18] Y. Lee and D. S. Filipovic, “ANN based electromagnetic models for the design of RF MEMS switches,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 823–825, Nov. 2005. [19] M. G. Banciu, E. Ambikairajah, and R. Ramer, “Microstrip filter design using FDTD and neural networks,” Microw. Opt. Technol. Lett., vol. 34, no. 3, pp. 219–224, 2002. [20] S. Goasguen and S. M. El-Ghazaly, “A coupled FDTD-artificial neural network technique for large-signal analysis of microwave circuits,” Int. J. RF Microw. Comput.-Aided Eng., vol. 12, pp. 25–36, 2002. [21] H. J. Delgado and M. H. Thursby, “A novel neural network combined with FDTD for the synthesis of a printed dipole antenna,” IEEE Trans. Antennas Propag., vol. 53, no. 7, pp. 2231–2236, Jul. 2005. [22] M. H. Bakr, J. W. Bandler, M. A. Ismail, J. E. Rayas-Sánchez, and Q.-J. Zhang, “Neural space mapping optimization for EM-based design,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2307–2315, Dec. 2000. [23] P. M. Watson, G. L. Creech, and K. C. Gupta, “Knowledge based EM-ANN models for the design of wide bandwidth CPW patch/slot antennas,” in IEEE AP-S Int. Microw. Symp. Dig., Orlando, FL, 1999, pp. 2588–2591. [24] F. Wang and Q.-J. Zhang, “Knowledge based neuromodels for microwave design,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2333–2343, Dec. 1997. [25] J. W. Bandler, A. S. Mohamed, and M. H. Bakr, “TLM-based modeling and design exploiting space mapping,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2801–2811, Sep. 2005. [26] P. M. Watson, C. Cho, and K. C. Gupta, “Electromagnetic-artificial neural network model for synthesis of physical dimensions for multilayer asymmetric coupled transmission structures,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 175–186, 1999. [27] C. Yildiz and M. Turkmen, “Very accurate and simple CAD models based on neural networks for coplanar waveguide synthesis,” Int. J. RF Microw. Comput.-Aided Eng., vol. 15, pp. 218–224, 2005. [28] K. Shirakawa, M. Shimiz, N. Okubo, and Y. Daido, “Structural determination of multilayered large-signal neural network HEMT model,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 10, pp. 1367–1375, Oct. 1998. [29] G. L. Creech and J. M. Zurada, “Neural network modeling of GaAs IC material and MESFET device characteristics,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 241–253, 1999. [30] A. Veluswami, M. S. Nakhla, and Q.-J. Zhang, “The application of neural networks to EM-based simulation and optimization of interconnects in high-speed VLSI circuits,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 712–723, May 1997. [31] N. P. Somasiri, X. Chen, and A. A. Rezazadeh, “Neural network modeler for design optimization at multilayer patch antennas,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 151, pp. 514–518, Jun. 2004. [32] S. Chakravarty, R. Mittra, and N. R. Williams, “On the application of the microgenetic algorithm to the design of broadband microwave absorbers comprising frequency selective surfaces embedded in multilayer dielectric media,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1050–1059, Jun. 2001. [33] Z. Li, Y. E. Erdemli, J. L. Volakis, and P. Y. Papalambros, “Design optimization of conformal antennas by integrating stochastic algorithms with the hybrid finite element method,” IEEE Trans. Antennas Propag., vol. 50, no. 5, pp. 676–684, May 2002.

[34] E. S. Siah, M. Sasena, J. L. Volakis, and P. P. Papalambros, “Fact parameter optimization of large-scale electromagnetic objects using DIRECT with Kriging metamodeling,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 276–285, Jan. 2004. [35] V. A. Mechenova and V. V. Yakovlev, “Efficiency optimization for systems and components in microwave power engineering,” J. Microw. Power Electromagn. Energy, vol. 39, no. 1, pp. 15–29, 2004. [36] A. H. Zaabab, Q.-J. Zhang, and M. S. Nakhla, “A neural network modeling approach to circuit optimization and statistical design,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1349–1358, Jun. 1995. [37] T. S. Horng, C. C. Wang, and N. G. Alexopoulos, “Microstrip circuit design using neural networks,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, 1993, pp. 413–416. [38] Y. Harkouss, J. Rousset, H. Chehade, E. Ngoya, D. Barataud, and J. P. Teyssier, “The use of artificial neural networks in nonlinear microwave devices and circuits modeling: An application to telecommunication system design,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 198–215, 1999. [39] G. L. Creech and J. M. Zurada, “Neural network modeling of GaAs IC material and MESFET device characteristics,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 241–253, 1999. [40] S. Selleri, S. Manetti, and G. Pelosi, “Neural network applications in microwave device design,” Int. J. RF Microw. Comput.-Aided Eng., vol. 12, pp. 90–97, 2002. [41] F. Wang, V. Devabhaktuni, and Q.-L. Zhang, “A hierarchal neural network approach to the development of a library of neural models for microwave design,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2391–2403, Dec. 1998. [42] M. Joodaki and G. Kompa, “A systematic approach to a reliable neural model for pHEMT using different numbers of training data,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, 2002, pp. 1105–1108. [43] E. K. Murphy and V. V. Yakovlev, “FDTD-backed RBF network technique for efficiency optimization of microwave structures,” in Proc. 9th AMPERE Microwave High Frequency Heating Conf., Loughborough, U.K., 2003, pp. 197–200. [44] V. A. Mechenova, E. K. Murphy, and V. V. Yakovlev, “Advances in computer optimization of microwave heating systems,” in Proc. 38th Microw. Power Symp., Toronto, ON, Canada, 2004, pp. 87–91. [45] V. V. Yakovlev, “Examination of contemporary electromagnetic software capable of modeling problems of microwave heating,” in Advances in Microwave and Radio Frequency Processing, M. Willert Porada, Ed. Berlin, Germany: Springer-Verlag, 2006, pp. 178–190. [46] F. Wang, V. K. Devabhaktuni, C. Xi, and Q. J. Zhang, “Neural network structures and training algorithms for RF and microwave applications,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 216–240, 1999. [47] S. Haykin, Neural Networks: A Comprehensive Foundation, 2nd ed. Englewood Cliffs, NJ: Prentice-Hall, 1999. [48] M. Orr, “Introduction to radial basis function networks,” Inst. Adaptive Neural Comput., Edinburgh Univ., Edinburgh, U.K., Tech. Rep., 1996. [49] E. K. Murphy and V. V. Yakovlev, “RBF ANN optimization of systems represented by small FDTD data sets,” in Proc. 10th AMPERE Microw. High-Frequency Heating Conf., Modena, Italy, 2005, pp. 376–379. [50] D. De Zutter, J. Sercu, T. Dhaene, J. De Geest, F. J. Demuynck, S. Hammadi, and C.-W. P. Huang, “Recent trends in the integration of circuit optimization and full-wave electromagnetic analysis,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 245–256, Jan. 2004. [51] J. Sercu and S. Hammadi, “Minimal-order multi-dimensional linear interpolation for a parameterized electromagnetic model database,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, 2003, pp. 295–298. [52] V. K. Devabhaktuni, M. C. E. Yagoub, and Q.-L. Zhang, “A robust algorithm for automatic development of neural-network models for microwave applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2282–2291, Dec. 2001. [53] M. Kirby, Geometric Data Analysis. New York: Wiley, 2001. [54] M. Bazan, M. Aleksa, and S. Russenschuck, “An improved method using radial basis function neural networks to speed up optimization algorithms,” IEEE Trans. Magn., vol. 38, no. 2, pp. 1081–1084, Mar. 2002. [55] R. Meredith, Engineers’ Handbook of Industrial Microwave Heating. London, U.K.: IEE Press, 1998. [56] E. Chojnacki, T. Hays, J. Kirchgessner, H. Padamsee, M. Cole, and T. Schultheiss, “Design of a high average power waveguide window,” in Proc. Particle Accelerator Conf., Vancouver, BC, Canada, 1997, SRF970508-05.

MURPHY AND YAKOVLEV: RBF NETWORK OPTIMIZATION OF COMPLEX MW SYSTEMS REPRESENTED BY SMALL FDTD MODELING DATA SETS

[57] R. Baskaran, “Double window configuration as a low cost microwave waveguide window for plasma applications,” Rev. Sci. Instrum., vol. 68, pp. 4424–4426, Dec. 1997. [58] M. E. Hill, R. S. Callin, and D. H. Whittum, “High-power vacuum window in WR10,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 994–995, May 2001. [59] N. Bengtsson and P. Risman, “Dielectric properties of foods at 3 GHz as determined by a cavity perturbation technique. Measurement on food materials,” J. Microw. Power, vol. 6, no. 2, pp. 107–123, 1971. [60] K.-L. Wong and W.-H. Hsu, “A broadband rectangular patch antenna with a pair of wide slits,” IEEE Trans. Antennas Propag., vol. 49, no. 9, pp. 1345–1347, Sep. 2001. [61] P. Venkataraman, Applied Optimization with MATLAB Programming. New York: Wiley, 2002.

Ethan K. Murphy was born in Lowell, MA, in 1979. He received the M.Sc. degree in industrial mathematics from Worcester Polytechnic Institute, Worcester, MA, in 2003, and is currently working toward the Ph.D. in the field of inverse EM scattering at Colorado State University, Fort Collins. He is currently with the Department of Mathematics, Colorado State University. He has authored several papers in referred journals and conference proceedings. His research interests include inverse problems, MW optimization, and electric impedance tomography. Mr. Murphy is a member of American Mathematical Society and Pi Mu Epsilon. He was a recipient of the 2002 Worcester Polytechnic Institute Provost Award for the Best Major Qualifying Project.

3083

Vadim V. Yakovlev (M’05) received the M.Sc. degree in radio physics and electronics from Saratov State University, Saratov, Russia, in 1979, and the Ph.D. degree in radio physics from the Institute of Radio Engineering and Electronics (IRE), Russian Academy of Sciences (RAS), Moscow, Russia, in 1991. From 1984 to 1996, he was a Junior Research Scientist, Research Scientist, and Senior Research Scientist with the IRE RAS. In 1993, he was Visiting Researcher with the Centre “Les Renardières,” Electricité de France. In 1996, he joined the Department of Mathematical Sciences, Worcester Polytechnic Institute, Worcester, as a North American Treaty Organization (NATO)/National Science Foundation (NSF) Fellow. He has been with the Department of Mathematical Sciences, Worcester Polytechnic Institute since then, where he is currently a Research Associate Professor. He is a Head of the Industrial Microwave Modeling Group, which he established in 1999 as a division of the WPI’s Center for Industrial Mathematics and Statistics. His research interests in computational electromagnetics include neural-network-based computation and optimization, noninvasive reconstruction of media parameters, coupled EM/thermal problems, MW power engineering, and broadband/multiband antennas. He has authored over 80 papers in referred journals and conference proceedings. He is listed in International Who’s Who of Intellectuals (International Biographical Centre, 1998). He serves as a Reviewer for several journals. Dr. Yakovlev is a member of the International Microwave Power Institute (IMPI). In 2004, he was induced to the IMPI Technical Advisory Board. He is a member of the Association for Microwave Power in Europe for Research and Education (AMPERE) and a member of the Massachusetts Institute of Technology (MIT) Electromagnetics Academy. He is a member of Program Committees of several conferences.

3084

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Low-Cost Inverted Line in Silicon/Glass -Band Technology for Filter in the

Ka

Laurent Martoglio, Elodie Richalot, Member, IEEE, Gaëlle Lissorgues-Bazin, and Odile Picon, Member, IEEE

Abstract—This paper introduces a new low-cost technology for inverted lines on glass or on silicon. After a description of the simple technological process, the single and coupled lines characteristics are examined versus several geometrical parameters. Electromagnetic simulations and measurements are compared and a good agreement is obtained between them. The line attenuation is shown to be very low. Another advantage of this technology is the increase of the coupled lines spacing for some given odd and even characteristic impedances in comparison with classical technology, which helps the design of coupled line filters. Using the presented line characteristics, a coupled line bandpass filter -band. has been designed, fabricated, and characterized in the According to simulations, measurement results show the good performances of the filter: the bandpass is of 28%, the measured insertion loss and return loss are, respectively, 2.2 and 24 dB at the center frequency. The attained performances show that the proposed technology is a good candidate to be used in the fabrication of millimeter-wave integrated circuits. Index Terms—Bandpass filters, micromachining, microstrip circuits, transmission line.

I. INTRODUCTION S THE frequency range used in communication systems increases up to -band (26.5–40 GHz), conventional circuit technologies are not suited any more because of the large loss presented. The GaAs substrate, commonly used in this frequency range, permits the fabrication of high-performance devices, but its cost remains a drawback, whereas mass production requires low-cost devices. Inverted microstrip lines are known to provide a lower loss than conventional microstrips and to have good performances at higher microwave and millimeter-wave frequencies [1]. A few recent papers deal with such devices either in silicon [2], [3] or silicon/glass [4] technologies. In [2], a silicon deep-trench etching is used to realize inverted lines in silicon. Another technology is proposed by [3] to fabricate an air-gap microstrip line on a thick oxide–silicon substrate. The air thicknesses of the inverted lines are very different in these two cases (200 and 12 m, respectively). The silicon/glass technology proposed in [4] is based on the use of a 15- m-high glass microbump to connect the two substrates and create the inverted microline.

A

Fig. 1. Inverted line on silicon (CD -axis).

The technology proposed in this paper for inverted line structures uses low-cost substrates, glass, and silicon, and includes the first package level improving the structure strength and, therefore, its reliability. Fig. 1 presents the vertical cross section of the inverted line on silicon along the axis indicated in Fig. 2. The only difference for the line on glass is the inversion of the strip and the ground plane. A distinctive advantage of our technology is the possibility to process air gaps of various heights from a few micrometers to more than 100 m. Besides its low cost, it has many other advantages, such as the simple technological process and the good electrical performances obtained, and it can support microelectromechanical systems (MEMS) devices. Moreover, the inverted line structure, naturally isolated, has a low sensitivity to electromagnetic radiation. By combining inverted lines either on silicon or on glass, with an active circuit on silicon and a passive part on glass, compact devices could be fabricated on the same wafer. An electromechanical mixer has already been fabricated [5], which could be, for example, associated to the filter described in this paper. The presentation of the technological process, in Section II, is followed by the characteristics of the inverted lines in Section III. We study the influence of the geometrical parameters and of the open-circuit end. We notice the attenuation per unit length is very low in comparison to the coplanar line. In order to design a bandpass filter, coupled lines are examined, and Section IV presents the synthesis and performances of a bandpass filter at 30 GHz. According to simulation results, measurements show that good performances are obtained. II. DESCRIPTION OF THE TECHNOLOGICAL PROCESS

Manuscript received August 30, 2005; revised February 28, 2006. L. Martoglio, E. Richalot, and O. Picon are with the Equipe Systèmes de communication et Microsystèmes Laboratory, Université de Marne-la-Vallée, 77454 Marne-la-Vallée Cedex 2, France (e-mail: [email protected]; elodie. [email protected]; [email protected]). G. Lissorgues-Bazin is with the Equipe Systèmes de communication et Microsystèmes Laboratory, Ecole Supérieure d’Ingénieurs, 93162 Noisy le Grand, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877429

The MEMS technology developed in our laboratory is based on etching of a glass substrate and silicon substrate, which is then followed by anodic bounding between them at 380 C under a 400-V dc polarization. Both substrates (500–550- m thick) can be processed in parallel. Fig. 3 presents the main technological steps with a vertical cross section along the -axis indicated in Fig. 2.

0018-9480/$20.00 © 2006 IEEE

MARTOGLIO et al.: LOW-COST INVERTED LINE IN SILICON/GLASS TECHNOLOGY FOR FILTER IN THE

-BAND

3085

Fig. 2. Transition between coplanar line and inverted microstrip line on silicon. Fig. 4. Attenuation per wavelength for the line on HRS at 30 GHz (simulated).

In this paper, silicon is of high resistivity (HRS) cm). Metallizations are in aluminum, but gold ( (or other metals) can be used with little process adaptation. III. INVERTED LINES CHARACTERISTICS

Fig. 3. Main technological steps for inverted line on silicon (AB -axis).

A. Inverted Line on Silicon For inverted lines on silicon, glass wet etching is used to define a cavity, further covered with sputtered aluminum (1 m 0.1 m) required to design the ground plane and RF access. The first photolithography defines the line or filter patterns, after aluminum plasma etching, while the second determines the openings on the back side. Deep reactive ion etching is then used to pierce openings through the silicon wafer, creating the measurement coplanar waveguide (CPW) access, necessary for characterization. In case of integrating those lines into a specific application (connection to an antenna or filter), the CPW access will not be required and the process could be simplified. After removing the metallizations used to define the openings, both substrates are bounded together. B. Inverted Line on Glass For inverted lines on glass, the fabrication process is similar. The only difference is that the line pattern is defined down the cavity, whereas the ground plane is designed on silicon. While defining the line pattern by photolithography, the pattern accuracy is degraded for a high air gap between the mask and the bottom of the cavity. Therefore, inverted lines on glass have only been fabricated for little air gaps (18 m maximum).

Electromagnetic simulations have been performed using HP Momentum software with the value of 4 for the glass permittivity [6], and 11.6 and 4000 cm for the silicon permittivity and resistivity. On-wafer measurements using 150- m-pitch CPW probes have been performed with the vector network analyzer Agilent 8722ES. A short-open-load-throu (SOLT) method has been used with CPW standards to calibrate to reference planes on the CPW probes. The -parameters of measured devices have then been deembedded at the inverted line plane by using three standards [7]: two inverted lines of lengths 0 m (thru) and 800 m (line), and an open-ended inverted line of length 400 m (reflect). As our transition (see Fig. 2) between CPW access and inverted line has a good transmission coefficient [8], accurate measurements are obtained. A. Influence of the Air Gap Inverted lines have firstly been fabricated with an air gap of 10 m. For a linewidth of 80 m and standard silicon of resistivity 5 cm, the attenuation per unit length at 8 GHz were approximately 14 dB/cm for the inverted line on silicon, and 1 dB/cm for the line on glass. Simulation and measurement results were perfectly concordant [9]. The influence of the air gap on the attenuation has then been studied. Simulation results (Fig. 4) show the attenuation per wavelength decreases when the air gap increases. As expected, it is lower for large linewidth. We also show that the attenuation is lower for the line on glass (6.5 dB for and m at 30 GHz), but becomes similar for both line types when the air gap is larger than 30 m. As the attenuation decrease with the air gap is very slow after 80 m, we have chosen an air gap of 100 m as a good compromise between losses and technological constraints. This air gap is too high to obtain a good definition of the line shape in the bottom of the cavity in the case of line on glass.

3086

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 5. Characteristic impedance and effective permittivity at 30 GHz of inverted line on silicon (simulated).

Fig. 7. Phase-shift per unit length for several linewidths.

Fig. 6. Characteristic impedance (W = 368 m).

Therefore, only structures on silicon have been fabricated with this air gap and are studied below. The attenuation per unit length has been measured for an inverted line on silicon with an air gap of 100 m and a linewidth of 368 m. We can notice the attenuation is very low in comparison with classical lines: the measured attenuation at 10 GHz is of 0.264 dB/cm with our inverted line and approximately 1 dB/cm with a thin-film microstrip line [10]. B. Influence of the Linewidth In order to design a filter at 30 GHz, the variation of the line parameters have to be determined at this frequency. In the following, the inverted line is on HRS and the air gap is fixed at 100 m. Fig. 5 shows the decrease of the simulated characteristic impedance with the linewidth. The impedance has been extracted from measurements following the process detailed in [8]. Fig. 6 shows simulated and measured results for a linewidth of 368 m. The characteristic impedance varies from 35 to 31 between 1–30 GHz. The decrease of the effective dielectric constant with the linewidth is illustrated by Fig. 5 obtained by simulations. Comparisons between simulations and measurements (see Fig. 7) have been performed for several linewidths on the phase-shift per unit length. A good agreement is obtained between simulation and measurement.

Fig. 8. Normalized edge capacitance and normalized extension in length versus strip width (simulated).

C. Open-Circuit End Effect The open-circuit end effect has to be taken into account while designing a coupled line bandpass filter, especially for inverted lines, as the extension in length due to the end effect is considerably higher than with conventional microstrip lines [11]. Moreover, the open-end effect increases with the air-gap height. Electromagnetic simulations have been used to determine the hypothetical extension of the strip conductor due to the end effect, and the associated edge-capacitance . Results are given in Fig. 8 as a function of the strip width at 10 and 30 GHz. We notice the normalized length extension increases and the normalized edge-capacitance decreases with an increase in . The influence of the frequency varies with the linewidth and cannot be neglected in all cases. From the measurements of the previous linewidth of 368 m and of an open-ended line of the same width, we extract the length extension due to the open end. Fig. 9 represents the frequency variation of the extension in length obtained by measurements and simulations. D. Coupled Lines We design a bandpass filter using quarter-wavelength parallel-line sections as coupling elements. Therefore, coupled in-

MARTOGLIO et al.: LOW-COST INVERTED LINE IN SILICON/GLASS TECHNOLOGY FOR FILTER IN THE

-BAND

3087

TABLE I BANDPASS FILTER DIMENSIONS.

Fig. 9. Extension in length due to open end (W = 368 m). Fig. 12. Bandpass filter geometry, sections Sj (j = 1–7).

Fig. 10. Characteristic impedances of the even and odd modes for coupled lines at 30 GHz.

Fig. 13. Insertion loss of the bandpass filter.

While designing filters made of parallel coupled microstrip lines, the main limitation is the small spacing between external resonators. The smallest gap size we could achieve at the time of development with our masks was around 20 m. An advantage of our technology is that the air gap height increases the spacing sizes. Thus, we will see in Table I the smallest spacings used for our bandpass filter are of 30 m. Fig. 11. Effective dielectric constants of the even and odd modes for coupled lines at 30 GHz.

verted microstrip lines on HRS are characterized using Momentum simulations. The air gap is fixed to 100 m, the strip width is called and the spacing between the two strips is . The bandpass filter design graphs are given in Figs. 10 and 11 versus the aspect ratio and . It has to be noticed that, though the losses are weaker with single inverted lines on glass than on silicon, the performances of the bandpass filter are better with coupled lines on silicon because of a higher coupling factor, as we showed in [12].

IV. BANDPASS FILTER A fourth-order coupled line Chebyshev filter with 0.5-dB ripple has been designed [13]. It is made of quarter-wavelength parallel-line sections that have been shortened by taking into account the open-end effect of the lines. The filter geometry is given in Fig. 12 and its detailed dimensions are given in Table I. The initial design was based on the referred study [14]. Figs. 13 and 14 present the insertion loss ( ) and return loss ( ), respectively, obtained using Momentum simulation and deembedded measurements. According to simulation results, the center frequency is 28 GHz and the bandpass is 28%.

3088

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 14. Return loss of the bandpass filter.

Measurement results show the same values. At 28 GHz, measured insertion loss is 2.2 dB and the return loss of 24 dB. The return loss stays above 10 dB over the whole bandpass.

V. CONCLUSION This paper has presented the MEMS technological process we developed for inverted lines on glass or on silicon. This 3-D technology is low cost, only requires a simple process, and includes the first package level. To limit the attenuation per wavelength, an air gap of 100 m is chosen, which implies to place the strip on silicon. Moreover, this technology can be easily adapted to different air gaps. The characteristics of the single and coupled inverted lines on silicon have been investigated and the influence of geometrical parameters has been analyzed. The concordance between simulations and measurements is very good. Results show very low losses are obtained. Once more, the low line effective dielectric constant is an advantage to design bandpass filters as coupled line spacings are increased. A bandpass filter has been fabricated in the -band. Measurements agree well with simulation results. A bandwidth of 28% is attained with an insertion loss of 2.2 dB and a return loss of 24 dB at the center frequency. The presented technology shows significant potential for millimeter-wave applications, and is expected to allow the design of integrated circuits. Our next prospect is the fabrication of antennas using this technology, and the close integration of an antenna with a filter.

REFERENCES [1] B. E. Spielman, “Dissipation loss effects in isolated and coupled transmission lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 8, pp. 648–656, Aug. 1977. [2] K. Takahashi, U. Sangawa, S. Fujita, M. Matsuo, T. Urabe, H. Ogura, and H. Yabuki, “Packaging using microelectromechanical technologies and planar components,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 11, pp. 2099–2104, Nov. 2001.

[3] I. Jeong, S.-H. Shin, J.-H. Go, J.-S. Lee, C.-M. Nam, D.-W. Kim, and Y.-S. Kwon, “High-performance air-gap transmission lines and inductors for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2850–2854, Dec. 2002. [4] J. C. P. Chuang and S. M. El-Ghazaly, “Integration of air-gap transmission lines on doped silicon substrates using glass microbump bonding techniques,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1850–1855, Nov. 1998. [5] G. Bazin, J. P. Gilles, P. Crozat, P. Sangouard, A. Bosseboeuf, I. Dufour, R. Yahiaoui, and P. Bildstein, “An electromechanical mixer using Si micromechanical capacitors and radio-frequency functions,” J. Micromech. Microeng., vol. 10, pp. 254–259, Aug. 2000. [6] N. Jain and B. Brown, “Dispersion characteristics of microstrip transmission line on glass microwave IC’s,” IEEE Trans. Microw. Guided Wave Lett., vol. 7, no. 10, pp. 344–346, Oct. 1997. [7] J. P. Mondal and T. H. Chen, “Propagation constant determination on microwave fixture de-embedding procedure,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 4, pp. 706–714, Apr. 1988. [8] L. Martoglio, E. Richalot, G. Lissorgues, and O. Picon, “A wideband 3D-transition between coplanar and inverted microstrip on silicon to characterize a line in MEMS technology,” Microw. Opt. Technol. Lett., vol. 46, no. 8, pp. 378–381, Aug. 2005. [9] L. Martoglio, E. Richalot, O. Picon, G. Lissorgues-Bazin, and C. Vasseure, “Low-loss microstrip MEMS technology for RF passive components,” in Proc. 31st Eur. Microw. Week, London, U.K., Sep. 25–27, 2001, vol. 1, pp. 13–16. [10] G. E. Ponchak, “RF transmission lines on silicon substrates,” in Proc. 29th Eur. Microw. Conf., Munich, Germany, Oct. 5–7, 1999, pp. 158–161. [11] B. Bhat and S. K. Koul, “Lumped capacitance, open-circuit end effects, and edge-capacitance of microstrip-like transmission lines for microwave and millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 4, pp. 433–439, Apr. 1984. [12] A. Richardson, L. Martoglio, L. Cirio, E. Richalot, G. Lissorgues-Bazin, and O. Picon, “Modeling of inverted coupled microstrip lines fabricated using MEMS technology,” in Eur. Microw. Week, Sep. 23–27, 2002, pp. 901–904. [13] G. L. Matthei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [14] K.-Y. Park, J.-C. lee, J.-H. Kim, B. Lee, N.-Y. Kim, J.-Y. Park, G.-H. Kim, J.-U. Bu, and K.-W. Chung, “A new three-dimensional 30 GHz bandpass filter using the LIGA micromachined process,” Microw. Opt. Technol. Lett., vol. 30, no. 3, pp. 199–201, Aug. 2001.

Laurent Martoglio was born in Melun, France, in 1972. He received the Ph.D. degree in electronics from the Université de Marne-la-Vallée, Champs-sur-Marne, France, in 2005. His research interests cover the MEMS fields, especially integration of RF MEMS on silicon, millimeter-wave transmission lines, and design of millimeter-wave passive devices.

Elodie Richalot (M’04) was born in Reims, France, in 1972. She received the Engineering diploma and Ph.D. degrees from the Ecole National Supérieure d’Electronique, d’Electrotechnique, d’Informatique et d’Hydraulique de Toulouse, Toulouse, France, in 1995, and 1998, respectively, both in electronics. Since 1998, she has been with the Université de Marne-la-Vallée, Champs-sur-Marne, France. Her research interests include modeling techniques, millimeter-wave transmission lines, reflect arrays, and electromagnetic compatibility.

MARTOGLIO et al.: LOW-COST INVERTED LINE IN SILICON/GLASS TECHNOLOGY FOR FILTER IN THE

Gaëlle Lissorgues-Bazin was born in Champigny Sur Marne, France, in 1970. She received the Agrégation of applied physics degree from the Ecole Nationale Supérieure de Cachan, Cachan, France, in 1993 and the Ph.D. degree in electronics from Paris 6 University, Paris, France, in 1997. Since 1997, she has been with the Signal Processing and Telecommunications Laboratory, Ecole Supérieure d’Ingénieurs (ESIEE) Noisy-le-Grand, France. Her research interests cover the MEMS fields, especially integration of RF MEMS on silicon.

-BAND

3089

Odile Picon (M’87) was born in Paris, France. She received the Agrégation de Physique degree from the Ecole Normale Supérieure de Fontenay aux Roses, Paris, France, in 1976, the Doctor degree in external geophysics from the University of Orsay, Orsay, France, in 1980, and the Doctor in Physics degree from the University of Rennes, Rennes, France, in 1988. From 1976 to 1982, she was a Teacher. From 1982 to 1991, she was a Research Engineer with the Space and Radioelectric Transmission Division, Centre National d’Etude des Télécommunications. Since 1991, she has been a Professor of electrical engineering, initially with Paris 7 University, and since 1994, with the Université de Marne-la-Vallée, Marne-la-Vallée, France, where she heads the Equipe Systèmes de communication et Microsystèmes (ESYCOM) Laboratory. Her research concerns electromagnetic theory, numerical methods for solving field problems, and design of millimeter-wave passive devices.

3090

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Synthesis and Design of Asymmetrical Dual-Band Bandpass Filters Based on Equivalent Network Simplification Philippe Lenoir, Stéphane Bila, Fabien Seyfert, Dominique Baillargeat, Member, IEEE, and Serge Verdeyme, Member, IEEE

Abstract—Although the synthesis of symmetrical dual-band bandpass filters has been studied, little seems to be known about the general asymmetrical case. In this paper, a procedure for the synthesis of general asymmetrical dual-band bandpass filters implemented with inline dual-mode cavities is proposed. The inline architecture, as well as the asymmetrical nature of the response, lead naturally to the choice of an extended box topology or generalizations of the latter. It was recently shown that these topologies possess the property of multiple solutions, meaning that the related coupling matrix synthesis problem admits several solutions. On one hand, this multiplicity offers some flexibility to the designer, but on the other hand, working with multiple solutions may lead to ambiguities during the tuning process. Our procedure takes the best of both worlds: using the list of equivalent coupling matrices, simplifications of the original topology can be obtained by canceling some particular couplings. The locations of cancelled couplings are chosen so as to preserve the electrical response and to provide some hardware simplifications. It is also shown that the resulting simplified topology no longer has the multiple solution property, therefore solving ambiguity problems. The procedure is detailed and demonstrated on two examples. Index Terms—Circuit synthesis, coupling matrix, dual-band filters, microwave filters.

I. INTRODUCTION HE DEMAND for advanced filtering functions has considerably increased with the development of space telecommunications. For example, in satellite communication systems, highly selective transfer functions with self-equalized group delays are required for input multiplexer (IMUX) channels. Another emerging application in this domain is the design of dualband bandpass filters used to transmit noncontiguous channels to the same geographical region through one beam [1]. In this case, a single high-power amplifier (HPA) can be used together with the dual-band bandpass filter, dramatically simplifying the system architecture. An approach for implementing such a circuit consists of designing two classical single-band bandpass filters, one for each passband. Their input/output ports are then connected together through waveguide junctions. However, this approach leads to a complex design procedure since waveguide junctions and filters have to be optimized together to comply with the mechanical

T

Manuscript received October 19, 2005; revised February 7, 2006. P. Lenoir, S. Bila, D. Baillargeat, and S. Verdeyme are with the Institut de Recherche en Communications Optiques et Microondes, 87060 Limoges, France (e-mail: [email protected]). F. Seyfert is with the Institut National de Recherche en Informatique et Automatique, 06902 Sophia Antipolis, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877037

constraints. Indeed, each channel must have the same length, and input/output waveguide ports must have the same orientation. Another approach consists of designing a single circuit realizing the dual-band characteristic. This straightforward approach requires the synthesis of an advanced filtering function, but makes the hardware implementation easier since a classical filter architecture can be used. Narrowband filters, dedicated to space applications, are generally implemented using cavities or resonators since they offer better performances in terms of losses and power handling, typically up to 150 W in output multiplexer (OMUX) channel filters. For reducing mass and volume, these resonant elements are often excited on dual modes. Furthermore, nonadjacent couplings between resonant elements are generally required in order to add transmission zeroes to the transfer function for improving the selectivity and/or flattening the group delay. A practical way to implement a filter with dual-mode cavities, while permitting nonadjacent couplings, is the inline architecture, which consists of connecting dual-mode cavities in a row. The latter architecture is used in this study for implementing general asymmetrical dual-band bandpass filters with no restriction on the placement of transmission zeroes on the frequency axis, as opposed, for example, to [3]. The synthesis of a microwave filter starts with the selection of a transfer function that fulfills the electrical specifications. For single-band filtering characteristics, quasi-elliptic polynomial functions given by explicit formulas are widely employed [2]. For symmetrical dual-band characteristics of even degree and with an even number of transmission zeroes, the latter formulas may be adapted by means of frequency transformations [3]. This is no longer the case for more general situations and gets designers to use direct optimization methods [1], [4]–[6]. In this study, a local optimization method detailed in [6] is applied where the starting point is computed from the quasi-elliptic synthesis of each individual channel. In a second step, an equivalent lumped-element network is synthesized in order to realize the selected transfer function. The equivalent network is characterized by its coupling topology, specifying the distribution of zero and nonzero couplings between resonators. The latter has to obviously be consistent with the filter architecture, as well as with the transfer function it is supposed to realize. The main difficulty comes here from the conflicting requirements of the design; on one hand, a topology able to realize several asymmetric transmission zeroes, while on the other hand, the latter should remain simple enough to admit a classical hardware implementation. In our case, the hardware

0018-9480/$20.00 © 2006 IEEE

LENOIR et al.: SYNTHESIS AND DESIGN OF ASYMMETRICAL DUAL-BAND BANDPASS FILTERS BASED ON EQUIVALENT NETWORK SIMPLIFICATION

implementation is an inline dual-mode cavity architecture ideally with no cross-couplings. This will lead us to consider the use of extended box coupling topologies [7] that are consistent with general asymmetrical characteristics. Generalizations of latter topologies are also proposed in this study in order to synthesize some extra transmission zeroes. For all these topologies, it was shown recently that the number of solutions to the coupling matrix synthesis problem is high [8]. This differs from [6] where the symmetrical nature of the filtering function allowed the use of a canonical topology with a single solution to the coupling matrix synthesis problem. In the current study, an exact and exhaustive synthesis method [8] is used to determine all the solutions to the coupling matrix synthesis problem. Furthermore, this study demonstrates how to use this extra flexibility by providing rules to be applied in order to obtain significant simplifications of the original topology while keeping the electrical response nearly unchanged. The latter translates into hardware simplifications like transformation of cross-irises into single-arm irises or realignment of irises with respect to the cavities. Such a simplification approach has been employed in [9] for implementing a symmetrical filter architecture while realizing an asymmetrical single-band transfer function. Finally, the proposed approach is also consistent with numerical modeling techniques, which are often used by designers. These methods are used along with a coupling matrix extraction algorithm [10]–[13]: this allows driving the tuning process, so as to converge towards a device implementing the ideal coupling matrix. Nevertheless, as opposed to the classical situation dealing with canonical topologies [6], [9]–[13], when working with topologies, which admit multiple solutions, the latter coupling matrix extraction step returns a list of several equivalent coupling matrices. This leaves the difficult task of choosing the right one to the designer and, thus, represents the main drawback of topologies with multiple solutions. The current study shows how hardware simplifications obtained in the preceding step of our procedure solve the addressed ambiguity and allow us to use a tuning process based on a well-posed coupling matrix extraction problem. The approach is illustrated by the design of two asymmetrical dual-band filters at -band. In Section II, an 11-pole dual-band bandpass filter with four transmission zeroes is designed. The synthesis procedure is presented from the determination of the characteristic function, up to the simplified network construction. The latter network allows the simplification of cross-irises into single-arm irises without any notable effect on the circuit behavior. A numerical model and an experimental model are also investigated in order to demonstrate the efficiency of the proposed approach. In Section III, the approach is repeated, synthesizing an 11-pole dual-band bandpass filter with five zeroes. Here, the simplified network allows realignment of all the distributed elements for an easier hardware implementation of the inline dual-mode cavity filter.

3091

A 20-dB return loss in each passband and a 10-dB insertion loss in the intermediate stopband are required. An insertion loss greater than 25 dB is also desired in the lower and upper stopbands. Starting from these electrical specifications, the transfer and reflection functions of the dual-band filter are calculated. A. Characteristic Function Selection The characteristic function mial rational function

can be written as a polyno-

(1) and are, respectively, the normalized reflection where and transmission zeroes, is the number of reflection zeroes, i.e., the order of the filtering function, and is the number of transmission zeroes. The modulus of the transfer function admits the following simple expression in terms of its characteristic function: (2) where is an adjustable real parameter. Applying the procedure described in [6], the initial dual-band characteristic function is constructed from two single-band functions. In our case, the lower passband is realized with a fifth-order quasi-elliptic function and the upper passband is realized with a sixth-order quasi-elliptic function. Each single-band characteristic function presents two transmission zeroes for improving the selectivity in the stopbands. As a result, the dual-band characteristic function is initialized with four transmission zeroes and 11 reflection zeroes. The initial reflection and transmission zeroes are then slightly retuned in order to improve the transmission feature (2) within the two passbands. Since the attenuation level of each single-band transfer function is high in the other passband, the local optimization method converges rapidly, leading to the following normalized values:

II. DESIGN OF AN 11-POLE FOUR-ZERO DUAL-BAND FILTER The electrical specifications of the dual-band bandpass filter to be designed are: 1) a first passband centred at 18.362 GHz with a 39-MHz bandwidth and 2) a second passband centred at 18.508 GHz with a 78.5-MHz bandwidth.

(3) with

.

3092

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 1. Ideal 11-pole four-zero transfer (—) and reflection (- - -) functions.

M

M

M

M

Fig. 3. 11-pole four-zero transfer (—) and reflection (- - -) functions when couand are neglected (no compensation). plings

Fig. 2. Coupling topology realizing 11-pole four-zero transfer functions.

The transfer and reflection functions corresponding to these values are presented in Fig. 1. B. Exact Synthesis An equivalent lumped-element network that realizes the previous transfer function is now synthesized. The related coupling topology has to be adapted to the exact synthesis of the desired asymmetrical characteristic, as well as be compatible with the inline dual-mode cavity architecture. The extended box topology presented in Fig. 2 meets our requirements since this coupling topology allows us to realize any asymmetrical transfer function of order 11 with four transmission zeroes. The exhaustive synthesis method presented in [8] is applied in order to determine all the coupling matrices that correspond to the previous coupling topology. The method is based on computations that exhaustively solve an algebraic system of equations related to the synthesis problem. Generically, an 11-pole four-zero transfer function can be realized in 384 manners with the above coupling topology. This theoretical number, called the reduced order, is the number of complex solutions to the synthesis problem and does not depend on the considered filtering characteristic (only on the coupling topology). Nevertheless, the number of real solutions, i.e., the only ones of physical interest, depends on the numerical values of the characteristic polynomials. For our particular filtering characteristic, 66 real solutions are found. Theoretically, any solution among these 66 could be chosen to design the filter. Our goal is now to use this extra flexibility in order to simplify the initial coupling topology by cancelling one or several couplings without severely affecting the electrical response. To this end, some rules have to be observed when seeking to simplify the coupling topology, which are as follows.

Fig. 4. 11-pole four-zero transfer (—) and reflection (- - -) functions when couand are compensated. plings

• The number of couplings in the shortest coupling path, between source and load, has to be preserved in order to keep the number of transmission zeroes constant. • Couplings corresponding to irises are cancelled in priority since couplings realized with screws can hardly be completely set to zero in practice because of remaining residual couplings. The latter rule indicates that, starting from the coupling diagram in Fig. 1, our simplification will apply only to cancel one or several horizontal couplings. The shortest path rule imposes some conditions on cancelable horizontal couplings. For example, if coupling (between resonators 1–4) is cancelled, all the couplings in the inferior path ( ) needs to remain nonzero. C. Approximate Synthesis With a Simplified Network Following latter rules, solutions with low cross couplings and are explored. A good candidate, out of all 66 matrices, is the first matrix shown at the bottom of the following page. The cancellation of and modifies the resulting transfer and reflection functions, as shown in Fig. 3, but compensating this effect with the remaining couplings, the original transfer function is almost recovered, as shown in Fig. 4. The

LENOIR et al.: SYNTHESIS AND DESIGN OF ASYMMETRICAL DUAL-BAND BANDPASS FILTERS BASED ON EQUIVALENT NETWORK SIMPLIFICATION

3093

Fig. 5. Simplified coupling topology leading to the 11-pole four-zero approximate transfer function in Fig. 4.

coupling topology is the one presented in Fig. 5 and the final simplified coupling matrix is the second matrix shown at the bottom of this page. Our approach leads to the simplification of two cross-coupling irises into single-arm irises. Moreover, the tuning process through coupling matrix extraction will be simplified since the reduced order of the simplified topology is one as computed with methods detailed in [8]. More precisely, the previous simplified topology does not allow to realize all the transfer functions of eleventh order with four transmission zeroes, but when the latter is a realizable one, there corresponds only one coupling matrix. In other words, the original transfer function in Fig. 1 cannot be realized exactly with the simplified coupling topology, but the approximate transfer function in Fig. 4 can only be realized with the final simplified coupling matrix. To summarize the approach, the approximate synthesis yields some important hardware simplifications, while at the same time solving identifiability problems inherent to the use of topologies with multiple solutions.

Fig. 6. Electromagnetic model of the 11-pole four-zero asymmetrical dualband bandpass filter.

D. Electromagnetic optimization The electromagnetic model of the inline dual-mode cavity filter is presented in Fig. 6. The filter is designed for the mode, applying the electromagnetic optimization procedure presented in [10]. Each electromagnetic analysis is followed by a coupling matrix extraction step yielding some corrections on the modeled geometrical dimensions. The transfer and reflection functions obtained from the electromagnetic model are given in Fig. 7. The numerical model behavior is slightly different from the ideal one since parasitic couplings between resonant elements have been compensated [14].

3094

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 7. 11-pole four-zero transfer (—) and reflection (- - -) functions obtained with the electromagnetic model.

Fig. 10. Ideal 11-pole five-zero transfer (—) and reflection (- - -) functions.

insertion losses are 1.2 and 0.6 dB, respectively, in the first and second passbands. Therefore, a good agreement is achieved between theory and measurement, validating our design approach with simplified coupling topologies. III. SYNTHESIS OF AN 11-POLE FIVE-ZERO DUAL-BAND FILTER Fig. 8. Realized 11-pole four-zero asymmetrical dual-band bandpass filter.

In order to deepen the intermediate stopband, a fifth transmission zero is added to the transfer function. The insertion loss in the intermediate stopband is then specified to be 25 dB. The previous synthesis procedure is repeated. A. Characteristic Function Selection Applying the same method, the following transmission and reflection zeros are computed:

Fig. 9. Experimental 11-pole four-zero transfer (—) and reflection (- - -) functions.

The critical parameters governing the behavior of the structure are the dimensions of coupling irises and cavities. The sensitivities to the latter parameters are found to be consistent with standard manufacturing tolerances (around 10 m). E. Measurements The filter has been built and tested. A photograph of the realized prototype is presented in Fig. 8. The measured transfer and reflection functions are presented in Fig. 9. The first passband is 39-MHz wide and is centred at 18.362 GHz. The second passband is 81-MHz wide and is centered at 18.508 GHz. The

(4) . with The transfer and reflection functions corresponding to these values are presented in Fig. 10.

LENOIR et al.: SYNTHESIS AND DESIGN OF ASYMMETRICAL DUAL-BAND BANDPASS FILTERS BASED ON EQUIVALENT NETWORK SIMPLIFICATION

Fig. 11. Coupling topology realizing 11-pole five-zero transfer functions.

3095

Fig. 12. Simplified coupling topology proposed for realizing the 11-pole fivezero approximate transfer function.

B. Exact Synthesis In order to realize and adjust an additional transmission zero, the extended-box topology needs to be modified while keeping in mind the following facts. • One degree of freedom, i.e., one extra coupling, must be added to the actual extended box topology in order to enable to adjust the position of the new transmission zero. • The shortest path between resonators 1 and 11 in the new coupling topology must be of length 5 to satisfy the minimum path rule. The latter requirements are met by the coupling topology in Fig. 11 by adding cross-coupling to the original extended box (Fig. 2). This coupling topology allows us to realize any transfer function of order 11 with five transmission zeroes. The reduced order of this topology is found to be 963, and applying an exhaustive synthesis from the selected transfer function leads to 81 real coupling matrices. The cross-coupling is the main problem of the above coupling topology, as an angle is necessary between the last coupling iris and the last cavity in order to realize it. Our approach will, therefore, focus on simplifications of the coupling topology that allow a realization with aligned irises and cavities. Obviously, the rules given for the first example still hold valid. However, one can note that now the shortest coupling path is unique. Consequently, none of the following couplings and can be cancelled. In order to recover an aligned architecture, a possible way is to suppress coupling . Indeed, the latter cancellation will lead to the simplified coupling topology presented in Fig. 12. C. Approximate Synthesis With a Simplified Network Following our approach based on approximate synthesis, solutions with low cross-coupling are investigated. The

M

Fig. 13. Approximate 11-pole five-zero transfer (—) and reflection (- - -) funcis compensated). tions (neglected coupling

coupling matrix shown at the bottom of this page is then a good candidate. Considering this late matrix, couplings and also have weak values and should also be cancelled applying the approximate synthesis; but since these couplings are implemented with coupling screws, they are preserved in the simplified coupling topology. Neglecting the coupling , the resulting transfer and reflection functions are only slightly modified, and by compensating with the remaining couplings, the original transfer function is recovered as shown in Fig. 13. The final coupling matrix, which is consistent with the coupling topology presented in Fig. 12, is then as shown at the top of the following page. Applying this approach, the hardware implementation is highly simplified since all the irises and cavities are aligned.

3096

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Moreover, the tuning process through coupling matrix extraction will be also simplified since, here again, the reduced order of our simplified topology is found to be one. IV. CONCLUSION This paper has presented an approach based on equivalent network simplification for the synthesis and the design of asymmetrical dual-band bandpass filters implemented with inline dual-mode cavities. The first step involves an exact and exhaustive synthesis yielding a list of equivalent coupling matrices that are consistent with the extended box coupling topology or variations of it. In a second step, the proposed approach takes advantage of the multiple solution property of these coupling topologies by providing some rules for selecting a coupling matrix to be used as the starting point for an approximate synthesis procedure. The approximate synthesis allows then some substantial simplifications of the initial coupling topology by cancelling one or several weak couplings between resonators. The simplified coupling topology makes the hardware implementation easier and also solves ambiguity problems that may occur during the tuning phase by restoring the well posedness of the coupling matrix extraction step. The proposed approach is applied to synthesize and design two asymmetrical dual-bandpass filters implemented with inline dual-mode cavities. When applied to an 11-pole four-zero microwave filter, the proposed approach allows to replace two cross irises by single-arm irises when compared with an exact synthesis. A numerical model and an experimental prototype of this filter have been fabricated in order to validate the theoretical results. The approach is repeated with an 11-pole five-zero microwave filter and the approximate synthesis allows realignment of all of the distributed elements compared with an exact synthesis. ACKNOWLEDGMENT The authors would like to acknowledge J. Puech, C. Zanchi, and J. Sombrin, all with the Centre National d’Etudes Spatiales (CNES), Toulouse, France, for supporting this study. REFERENCES [1] S. Holme, “Multiple passband filters for satellite applications,” in Proc. 20th AIAA Int. Commun. Satellite Syst. Conf. Exhibit, 2002, pp. 1993–1996.

[2] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 433–442, Apr. 1999. [3] G. Macchiarella and S. Tamiazzo, “A design technique for symmetric dualband filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, 4 pp. [4] J. Lee, M. S. Uhm, and I. B. Yom, “A dual-passband filter of canonical structure for satellite applications,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 271–273, Jun. 2004. [5] J. Lee, M. S. Uhm, and J. S. Park, “Synthesis of self-equalized dualpassband filter,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 4, pp. 256–258, Apr. 2005. [6] P. Lenoir, S. Bila, D. Baillargeat, and S. Verdeyme, “Design of dualband bandpass filters for space applications,” presented at the Proc. Eur. Microw. Assoc., Sep. 2005, accepted for publication. [7] R. J. Cameron and A. R. Harish and C. J. Radcliffe, “Synthesis of advanced microwave filters without diagonal cross-couplings,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2862–2872, Dec. 2002. [8] F. Seyfert, R. Cameron, and J. C. Faugère, “Coupling matrix synthesis for a new class of microwave filter configuration,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, 4 pps. [9] S. Bila, D. Baillargeat, S. Verdeyme, F. Seyfert, L. Baratchart, C. Zanchi, and J. Sombrin, “Simplified design of microwave filters with asymmetric transfer functions,” in Eur. Microw. Conf., Munich, Oct. 2003, pp. 1357–1360. [10] S. Bila, D. Baillargeat, S. Verdeyme, M. Aubourg, P. Guillon, F. Seyfert, J. Grimm, L. Baratchart, C. Zanchi, and J. Sombrin, “Direct electromagnetic optimization of microwave filters,” IEEE Micro, vol. 2, no. 1, pp. 46–51, Mar. 2001. [11] P. Harsher, R. Vahldieck, and S. Amari, “Automated filter tuning using generalized low-pass prototype networks and gradient-based parameter extraction,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2532–2538, Dec. 2001. [12] M. Kahrizi, S. Safavi-Naeini, S. K. Chaudhuri, and R. Sabry, “Computer diagnosis and tuning of RF and microwave filters using modelbased parameter estimation,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 49, no. 9, pp. 1263–1270, Sep. 2002. [13] A. Garcia-Lamperez, S. Llorente-Romano, M. Salazar-Palma, and T. K. Sarkar, “Efficient electromagnetic optimization of microwave filters and multiplexers using rational models,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 508–521, Feb. 2004. [14] S. Bila, D. Baillargeat, M. Aubourg, S. Verdeyme, F. Seyfert, L. Baratchart, C. Boichon, F. Thevenon, J. Puech, C. Zanchi, L. Lapierre, and J. Sombrin, “Finite element modelling for the design optimization of microwave filters,” IEEE Trans. Magn., vol. 40, no. 2, pp. 472–475, Mar. 2004. Philippe Lenoir was born in Limoges, France, in September 1979. He received the Ph.D. degree in high-frequency electronics and opto-electronics from the University of Limoges, Limoges, France. He is currently with the Institut National de Recherche en Informatique et Automatique (INRIA), Sophia Antipolis, France, within a post-doctoral position. His research interests include the synthesis method based on computer-aided techniques for the design and optimization of microwave components and circuits for space applications.

LENOIR et al.: SYNTHESIS AND DESIGN OF ASYMMETRICAL DUAL-BAND BANDPASS FILTERS BASED ON EQUIVALENT NETWORK SIMPLIFICATION

Stéphane Bila was born in Paris, France, in September 1973. He received the Ph.D. degree from the University of Limoges, Limoges, France, in 1999. He then held a post-doctoral position for one year with the Centre National d’Etudes Spatiales (CNES), Toulouse, France. In 2000, he became a Researcher with the Centre National de la Recherche Scientifique (CNRS). He then joined the Microwave Circuits and Devices Team with the Institut de Recherche en Communications Optiques et Microondes (IRCOM), Limoges, France. His research interests include numerical modeling and computer-aided techniques for the advanced synthesis and design of microwave components and circuits.

Fabien Seyfert received the Engineer degree from the Ecole Superieure des Mines, St. Etienne, France, in 1993, and the Ph.D. degree in mathematics from the Ecole Superieure des Mines, Paris, France, in 1998. From 1998 to 2001, he was with Siemens, Munich, Germany, where he was a Researcher specializing in discrete and continuous optimization methods. Since 2002, he has had a full research position with the Institut National de Recherche en Informatique et Automatique (INRIA), Sophia Antipolis, France. His research interest focuses on the conception of effective mathematical procedures and associated software for problems from signal processing, including computer-aided techniques for the design and tuning of microwave devices.

3097

Dominique Baillargeat (M’04) was born in Le Blanc, France, in 1967. He received the Ph.D. degree from the Institut de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges, Limoges, France, in 1995. From 1995 to 2005, he was an Associate Professor with the Microwave Circuits and Devices Team, IRCOM Laboratory. He is currently a Professor. His fields of research concern the development of methods of design for microwave devices. These methods include computer-aided design (CAD) techniques based on hybrid approach coupling electromagnetic, circuits and thermal analysis, synthesis and electromagnetic optimization techniques, etc. He is mainly dedicated to the packaging of millimeter wave and opto-electronics modules and to the design of millimeter original filters based on new topologies, concepts (electromagnetic bandgap (EBG), etc.) and/or technologies (silicon, low-temperature co-fired ceramic (LTCC), etc.).

Serge Verdeyme (M’99) was born in Meilhards, France, in June 1963. He received the Doctorat degree from the University of Limoges, Limoges, France, in 1989. He is currently a Professor with the Institut de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges, and Head of the Microwave Circuits and Devices Team. His main area of interest concerns the design and optimization of microwave devices.

3098

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Novel Miniaturized Open-Square-Loop Resonator With Inner Split Rings Loading Ban-Leong Ooi, Senior Member, IEEE, and Ying Wang, Student Member, IEEE

Abstract—The adoption of flower rings coupled with inner split rings within an open-square-loop resonator for size miniaturization is first analyzed and designed. To demonstrate the proposed technique of loading, a novel dual-mode open-loop resonator operating at 2.5 GHz is next designed. The proposed technique of perturbation achieves a 48.4% loading factor for the resonators. The proposed resonator is 2.94% smaller in area as compared to the meander loop resonator, and 5.5% smaller in area as compared to the hairpin resonator. Harmonic suppression is also easily achieved through the integration of these circular rings. Index Terms—Bandpass, dual mode, miniature, open-loop square resonators.

I. INTRODUCTION CANONICAL elliptical resonator built with an open-loop squared ring has been extensively described by Hong and Lancaster [1]. This resonator has received much attention due to its simplicity in design, high selectivity, small estate area, and narrow bandpass performance. The resonator has found widespread applications in telecommunication systems such as the cellular base-station transceiver, code-division multiple access (CDMA), personal communication system (PCS), and Bluetooth. Although the open-loop square ring resonator has a small estate area, a substantial amount of estate area within the open loop is still not fully utilized. Recently, there is a growing interest to produce high-quality low-cost miniature resonators for wireless applications [2]–[5] so as to reduce the payload. A typical method of size reduction is to apply capacitive loading [2]. Other methods of miniaturization include the meandering of the resonator [3], the spiraling of the resonator [4], or the reshaping of the resonator into a hairpin resonator [5]. With the current demand of low payload, even with the above-mentioned miniaturization, the conventional open-loop squared ring is still considered too big for low-frequency implementation. Moreover, the adopted transmission line is usually too large for monolithic-microwave integrated-circuit (MMIC) implementation. Although the transmission line in the open-loop square ring can be realized with lumped-element components, it still occupies a substantial amount of estate area when implemented in MMIC technology. In this paper, instead of using lumped-element components or adding a mixed dielectric to the design, which will eventually increase the overall cost of production, an alternate way of producing a size reduction of an open-loop squared resonator is explored.

A

Manuscript received November 6, 2005; revised January 18, 2006. The authors are with the Electrical and Computer Engineering Department, National University of Singapore, Singapore 119260 (e-mail: eleooibl@nus. edu.sg). Digital Object Identifier 10.1109/TMTT.2006.877036

Fig. 1. Preliminary structure.

We intend to achieve miniaturization by first designing the respective resonator at a higher frequency, and then through an ingenious loading method, the ultimate resonator response at a lower frequency can then be achieved. This method involves cleverly inserting multiple circular rings within the open-loop square resonator. The use of this multiple rings allows a very wide frequency perturbation and eventually allowing significant size reduction as compared to the meander loop resonator [3] or the hairpin resonator [5]. In fact, Hong and Lancaster [3] have claimed their proposed meander loop resonator has a size reduction of 53%, 68%, and 76% against the ring, square patch, and disk, respectively. Here, we have achieved a greater size reduction of 2.94% and 5.5% against the meander loop resonator and the hairpin resonator, respectively. To demonstrate the new design concept, a novel dual-mode resonator has been designed and fabricated at 2.5 GHz. Due to the fabrication tolerance in realizing the inner split rings and the various assumptions adopted in the analysis, relatively good agreement between the simulated and measured results have been achieved. II. PRELIMINARY ANALYSIS AND DESIGN Before touching on the proposed miniaturized dual-mode open-loop resonator, a preliminary structure, which serves as the starting block for our proposed design, is clearly depicted in Fig. 1. Fig. 1 illustrates a new open-loop squared resonator that differs greatly from that proposed by Hong and Lancaster [1]. As noted from this figure, this preliminary structure has folded dual rings that help to increase the internal magnetic coupling. The linewidth of the dual-ring open-loop resonator corresponds to 100 at the designed frequency of 4.75 GHz. The proposed

0018-9480/$20.00 © 2006 IEEE

OOI AND WANG: NOVEL MINIATURIZED OPEN-SQUARE-LOOP RESONATOR WITH INNER SPLIT RINGS LOADING

3099

Fig. 4. (a) Even- and (b) odd-mode equivalent circuit. (Color version available online at: http://ieeexplore.ieee.org.)

Fig. 2. Simulated responses of the preliminary structure.

(a)

Fig. 3. Equivalent circuit of the preliminary structure. (Color version available online at: http://ieeexplore.ieee.org.)

preliminary structure is simulated with a dielectric permittivity of 10.2 and a thickness of 1.27 mm. The simulated response of the structure is given in Fig. 2. To fully understand the principle behind this preliminary structure, the equivalent circuit, as shown in Fig. 3, is adopted for the analysis. For ease of analysis, we assume that the preliminary structure is lossless. With equal polarity at both the input and output ports, there exists an open-circuit plane along the vertical symmetry plane of the structure. Similarly, with opposite polarity at both the input and output ports, there exists a short-circuit plane along the vertical symmetry plane of the structure. The structure is also symmetrical along the horizontal plane passing through the two 50- feedlines, thus, by applying the odd- and even-mode analysis, the proposed equivalent circuit can be greatly simplified to that shown in Fig. 4(a) and (b). In here, only a quarter of the original circuit needs to be considered. The series and shunt capacitances and are determined by [6], whereas the interdigitated capacitor can be determined from [7]. Since the expected length of all the transmission lines are very much less than a quarter-wavelength, for simplicity of analysis, the lumped-element equivalent circuit, as shown in Fig. 5(a) and (b), can be used to replace Fig. 4(a) and (b), respectively. The capacitances and inductances of the equivalent-circuit elements found in Fig. 5(a) and (b) are, respectively, given as (1)

(b) Fig. 5. (a) Lumped- element equivalent circuit for even mode. (b) Lumpedelement equivalent circuit for odd mode.

(2) (3)

(4)

(5)

3100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

where (18) (19)

(6) (7) (8) (9)

(20) (21) (22) (23)

(10)

(24) (25) (26)

(11) (12) (13)

(27) (28)

(14)

where

(15) with and are the characteristic and admittance of line for mode . To obtain (1)–(6), the admittance matrix for the microstrip triple coupled lines in terms of the lump elements and is first formulated. The equivalent circuit of the microstrip triple coupled lines (indicated by the dashed box) is given in Fig. 5(a). By equating each term of the resultant admittance matrix with [8, eqs. (14a)–(14h)] (which is the admittance matrix of triple coupled transmission lines), the required equations (1)–(6) are thus derived. The terms and , which are tedious and complicate in form are, respectively, defined in [8] and, for brevity, it is not given here. As for the derivation of (7)–(10), the matrix for the microstrip coupled lines in terms of the equivalent-circuit elements (indicated in Fig. 5) is first formulated. By equating the matrix with the -parameters of the coupled transmission lines, as in [9], (7)–(10) are thus obtained. Following the same approach as in [9], (11)–(14) are obtained by equating the -parameters of a single transmission line with the -parameters of the lumped equivalent circuit of a single transmission line. Based on Fig. 5(a) or (b), the admittance matrices for the coupled lines and tripled coupled lines are, respectively, given as

and with or or are, respectively, the sub-matrix for the terminal currents and voltages for the left or right network, the subscript or denotes, respectively, the left and right network, and finally, the superscript or denotes the coupled or triple coupled transmission lines. Next, the derivation of the -parameter of the network is outlined below. The series cascade of two microstrip coupled lines and the transmission lines can be solved by recursively applying the following formula:

(29) where and with are, respectively, the sub-matrix for the terminal voltages and current, and with are, respectively, the admittance sub-matrix of the first and second cascaded network, and finally, subscripts and denote, respectively, the external (unconnected ports) and internal (connected ports) ports. By first performing a 4 4 admittance inversion followed by the application of the appropriate boundary conditions, namely, for even modes (30) (31) and for odd modes

(16)

(17)

(32) (33) we eventually arrive at the respective odd- and even-mode twoport impedance matrices at points A and B of Fig. 5(a) and (b). Similarly, we apply the appropriate boundary conditions of each of the odd and even modes to the admittance matrix of the triple coupled lines and, eventually, the respective two-port odd- and

OOI AND WANG: NOVEL MINIATURIZED OPEN-SQUARE-LOOP RESONATOR WITH INNER SPLIT RINGS LOADING

3101

(a) Fig. 6. Various resonator implementations and their S

responses.

(b) Fig. 8. (a) Comparison of measured and simulated results. (b) Close-up view of the response.

Fig. 7. Exploded view of structure D (the final proposed topology) found in Fig. 6.

or denotes the even and odd mode. The resultant -parameters are then evaluated through (35)

even-mode admittance matrices are obtained. To find the respective overall odd- and even-mode impedances, the following equation:

(36) where

.

III. PROPOSED RESONATOR DESIGN (34)

is the two-port impedance matrix of the cascaded is adopted. network consisting of the two coupled lines, a transmission line, and the respective terminated loads for each of the odd and even modes. is the two-port admittance matrix element of the cascaded network consisting of the triple coupled lines and the respective terminated loads for each of the odd and even modes.

The above algorithm is implemented in C on a Pentium 486 personal computer. By increasing the inductance , which corresponds to an increase in the transmission length, we noted that the resonance peak begins to shift to a lower frequency. This suggests that the practical implementation of the inner flower ring can assume the shape as structure A in Fig. 6. From Fig. 6, if we further extend this idea of loading to the inner circle of the flower structure, we would arrive at the various responses depicted in Fig. 6. As noted from this figure, by increasing the

3102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

(b)

Fig. 9. Novel elliptic resonator.

Fig. 10. (a) Photograph showing: (i) the fabricated prototype, (ii) the meander loop resonator [3], and (iii) the hairpin resonator [5]. All resonators are designed centered at 2.5 GHz. (Color version available online at: http://ieeexplore.ieee. org.)

(c)

number of inner split rings, the resonance peak would be forced to a lower frequency. If we define a loading factor as %

(37)

where is the unloaded resonant frequency ( 4.75 GHz) and is the modified resonant frequency, we achieve a 26.3% loading factor for structure A, a 34.7% for structure B, a 41.1% for structure C, and finally, a 48.4% for structure D. From Fig. 6, it is also noted that the addition of inner split ring loading has certain harmonic suppression capability and this is clearly evident from the frequency range from 5 to 8 GHz. Through our experimentation, one will reach saturation with loading beyond three inner rings. For verification, the structure D, which is the proposed final resonator, is fabricated on a substrate with permittivity 10.2 and thickness 1.27 mm. The physical dimensions of structure D are shown in Fig. 7 and the response of the hardware is given in Fig. 8(a). Except for the number of inner split rings, all the dimensions for the various structures shown in Fig. 6 are the

(d) Fig. 10. (Continued.) (b) Comparison of the simulated and measured responses. (c) Frequency response for the loop resonator. (d) Frequency response for the hairpin resonator.

same as structure D. A close-up view of the response is given in Fig. 8(b). Due to the fabrication tolerance in realizing the inner split rings, the performance is also noted to shift slightly

OOI AND WANG: NOVEL MINIATURIZED OPEN-SQUARE-LOOP RESONATOR WITH INNER SPLIT RINGS LOADING

from 2.5 to 2.45 GHz. Since our simplified analysis has ignored the bends and junctions effects, a relatively good agreement between the measured and simulated results has been obtained. A fractional bandwidth of 2% and an insertion loss of approximately 1.7 dB have been obtained. IV. DUAL-MODE QUASI-ELLIPTIC RESONATOR DESIGN Similar to Hong and Lancaster [3], in order to obtain a dualmode quasi-elliptic response, the outer squared ring of the proposed resonator is replaced by the structure as shown in Fig. 9. The same method as outlined in Section II can be used to analyze the structure. Due to the page constraint and for brevity, they are not given in this paper. To compensate for the removed inductance, the flower structure is slightly increased in length from 7.05 to 7.8 mm. Except for the orthogonal feed-point and the disconnected outer loop, most of the dimensions of the structure are kept identical to the preliminary structure mentioned above. This resonator is fabricated on a substrate with permittivity 10.2 and thickness 1.27 mm. A photograph showing the fabricated prototype is given in Fig. 10(a) and the measured responses are presented in Fig. 10(b). As noted from Fig. 10(b), a close agreement between the simulated and measured responses has been obtained. The orthogonal feedlines aid to provide the dual-mode phenomena found in Fig. 10(b). To illustrate that our proposed structure is indeed smaller than both the loop resonator [3] and the hairpin resonator [5], Fig. 10(a) presents photographs of the fabricated loop resonator and the hairpin resonator at the designed frequency of 2.5 GHz. Their respective measured responses centered at 2.5 GHz are given in Fig. 10(c) and (d). From Fig. 10(a), it is noted that the proposed resonator is 2.94% smaller in area than the loop resonator, and 5.5% smaller in area than the hairpin resonator. As observed from Fig. 10(b) and (c), the proposed design is noted to be less sensitive to the fabrication tolerance as compared to the loop resonator, which has a higher loss compared to the proposed resonator. V. CONCLUSION A novel technique of miniaturizing the open-square-loop resonator has been proposed. By designing the proposed resonator at a higher frequency followed by the insertion of multiple inner split rings, a resonator with 2.94% smaller area, as compared to the loop resonator, and 5.5% smaller area, as compared to the hairpin resonator, is achieved. A loading factor of 48.4% for the resonator has been achieved. To further demonstrate the method, a novel dual-mode open-loop resonator operating at 2.5 GHz was then designed. Close and good agreement between the measured and simulated results has been achieved. REFERENCES [1] J. S. Hong and M. J. Lancaster, “Canonical microstrip filter using square open-loop resonator,” Electron. Lett., vol. 31, no. 23, pp. 2020–2022, Nov. 1995.

3103

[2] E. Semouchkina, A. Baker, G. B. Semouchkin, M. Lanagan, and R. Mittra, “New approaches for designing microstrip filters utilizing mixed dielectrics,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 644–652, Feb. 2005. [3] J. S. Hong and M. J. Lancaster, “Microstrip bandpass filter using degenerate modes of a novel meander loop resonator,” IEEE Microw. Guided Wave Lett., vol. 5, no. 11, pp. 371–372, Nov. 1995. [4] J. Joubert, “Spiral microstrip resonators for narrow-stopband filters,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 150, no. 6, pp. 493–496, Dec. 2003. [5] J. S. Hong and M. J. Lancaster, “Cross-coupled microstrip hairpin resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 1, pp. 118–122, Jan. 1998. [6] R. Garg and I. J. Bahl, “Microstrip discontinuities,” Int. J. Electron., vol. 45, no. 1, pp. 81–87, 1978. [7] M. Naghed and I. Wolff, “Equivalent capacitances of coplanar waveguide discontinuities and interdigitated capacitors using a three-dimensional finite difference method,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 12, pp. 1808–1815, Dec. 1990. [8] V. K. Tripathi, “On the analysis of symmetrical three-line microstrip circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 9, pp. 726–729, Sep. 1977. [9] J. Hogerheiden, M. Ciminera, and G. Jue, “Improved planar spiral transformer theory applied to a miniature lumped element quadrature hybrid,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 4, pp. 543–545, Apr. 1997. Ban-Leong Ooi (M’91–SM’04) received the B.Eng. and Ph.D. degrees from the National University of Singapore, Singapore, in 1992 and 1997, respectively. He is currently an Associate Professor of electrical and computer engineering with the National University of Singapore. He is the Past Director of the Centre of RF and Microwaves. He also serves as the Deputy Director for the Monolithic Microwave Integrated Circuit (MMIC) and Packaging Laboratory and the Laboratory Supervisor for the Microwave Laboratory, National University of Singapore. His main research interests include active antennas, microwave semiconductor device modeling and characterization, microwave and millimeter-wave circuits design, and novel electromagnetic numerical methods. Dr. Ooi has served as the secretary for the Singapore IEEE Microwave Theory and Techniques (MTT)/Electromagnetic Compatibility (EMC)/Antennas and Propagation (AP) Chapter in 2000 and 2001, and the Chapter vice-chairman in 2002 and 2003. He is currently the chairman of the Singapore IEEE MTT/AP chapter. He was actively involved in organizing both the 1999 Asia–Pacific Microwave Conference, Singapore, the 2003 Progress in Electromagnetic Research Symposium, Singapore, the Singapore IME/I R/IEEE-MTT Joint Ultra-Wideband (UWB) Evening Panel Session in 2003, the International Workshop on Antenna Theory in 2005, and the 2006 EMC, Zurich, Singapore. He has served as the publication chairman for both the 1999 Asia–Pacific Microwave Conference, Singapore and the 2003 Progress in Electromagnetic Research Symposium. He was the sponsorship chairman for the 2005 International Workshop on Antenna Theory and the co-chairman for the 2006 EMC Zürich, Singapore. He was a recipient of the 1993 International Scientific Radio Union (URSI) Young Scientist Award. Ying Wang (S’03) received the B.Eng. degree from the National University of Singapore (NUS), Singapore, in 2002, and is currently working toward the Ph.D. degree in electrical and computer engineering at the National University of Singapore, Singapore. Since January 2006, she has been a Professional Officer with the Department of Electrical and Computer Engineering, NUS. Her main research interests include electromagnetic numerical analysis for multilayered structures, microwave and millimeter-wave microwave integrated circuit (MIC)/monolithic microwave integrated circuit (MMIC) design, microwave semiconductor device modeling, and characterization.

3104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Design of Microstrip Resonators Using Balanced and Unbalanced Composite Right/Left-Handed Transmission Lines Catherine A. Allen, Student Member, IEEE, Kevin M. K. H. Leong, Member, IEEE, and Tatsuo Itoh, Fellow, IEEE

Abstract—Balanced and unbalanced composite right/lefthanded transmission lines are used in the design of resonators. The balanced resonator is designed by changing the nonlinear phase response in order to control the spacing between modes and factor. Two balanced ring resonators are measured and the one demonstrates increased mode spacing/decreased factor and the other demonstrates decreased mode spacing/increased loaded factor. The unbalanced resonator is designed by changing the nonlinear phase response and magnitude response. A measured unbalanced ring resonator shows increased mode spacing with a factor. A microstrip line resonator is measured to reasonable demonstrate higher frequency capabilities. Index Terms—Metamaterials, microstrip resonators, transmission-line resonators.

factor,

I. INTRODUCTION INCE THE emergence of microstrip technology as a dominant architecture, varying types of microstrip resonators have been crucial to the development and design of microwave circuits. Two such resonators, i.e., the ring resonator and the -line resonator, have been the focus of many studies due to their simple design and geometry, which is easily integrated into several applications. Microstrip ring resonators were initially used for microstrip line property characterization and measurement such as effective permittivity [1]. The moderate factor and low radiation, however, quickly made the ring a staple in circuit design. Some popular applications have been narrowband bandpass dual-mode filters [2], active varactor-tunable bandpass filters [3], and oscillators [4]. Recently, split-ring resonators have been used to demonstrate structures with negative permittivity and permeability in the area of metamaterials [5]. The microstrip -line resonator has been utilized in many similar applications. Cascaded microstrip lines [6] operate as a bandpass filter and parallel coupled line resonators [7] have been utilized in oscillator design. The suppression of higher order modes has been a point of interest in the design of microstrip resonators. In ring resonators, external methods can be used, but low-pass filters have also been integrated into the actual ring for harmonic suppression

S

Manuscript received October 17, 2005; revised March 8, 2006. This work was supported in part by the U.S. Department of Defense under Grant N00014-01-10803, monitored by the U.S. Office of Naval Research. The authors are with the Department of Electrical Engineering, University of California at Los Angeles, Los Angeles, CA 90095-1594 USA (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877048

[8], [9]. These resonators, however, are very sensitive to the geometry and placement of the filter, making for tedious design. -line has also demonstrated suppression of A stub-tapped higher order modes through the use of transmission zeros [10]. The factor is also a consideration in resonator design with much focus placed on the coupling mechanism [11], [12] in ring resonator design. Oftentimes, however, stronger coupling must be sacrificed for a larger factor. In microstrip-line resonators, periodically loaded lines create slow wave structures that can result in smaller size [13] and elevated factors [14]. In these designs, the loading also affects the fundamental resonant frequency. In this paper, the use of balanced and unbalanced composite right/left-handed (CRLH) transmission lines (TLs) in microstrip resonators is proposed as a method to design for the factor and higher order modes. A CRLH TL consists of a conventional TL, or a right-handed (RH) TL, and its dual consisting of series capacitors and shunt inductors , termed a left-handed (LH) TL. This type of TL has a nonlinear phase response with respect to frequency [15], [16]. Furthermore, the phase response can be designed by changing the values of the LH components and the length of the RH TL. This technique has been utilized to design arbitrary dual-band components [17], a hybrid ring [18], CRLH line couplers [19], [20], and phase shifters [21], [22]. A similar approach is also taken with respect to resonators [23]–[25]. By designing the phase response to have a decreased slope, the mode spacing of a resonator can be increased, and by designing it to have an increased slope, the factor can be increased. This sort of resonator design is unique because it focuses on the manipulation of the phase response in order to control both the factor and mode spacing. In this paper, examples of CRLH resonators will be presented that possess both nonlinear phase responses, as well as spectral gap regions, for the unbalanced case. This will allow for the design of resonators by both the phase and magnitude characteristics. This paper will begin with a discussion of the dispersion relation of CRLH TLs and their use in the analysis of resonators in Section II. This discussion will then be focused on balanced structures in Section III. First, the theory and design of balanced CRLH resonators will be discussed. This theory will then be demonstrated by two measured ring resonator designs, the first demonstrating increased mode spacing/decreased factor and the second demonstrating decreased mode spacing/increased factor. In Section IV, the design of resonators will be extended to the general unbalanced structure. Again, the theory will be followed by two measured designs. In this case, the first design

0018-9480/$20.00 © 2006 IEEE

ALLEN et al.: DESIGN OF MICROSTRIP RESONATORS USING BALANCED AND UNBALANCED CRLH TLs

3105

Fig. 2. Dispersion diagram of a CRLH TL.

Fig. 1. Dispersion diagram of a conventional microstrip line.

will demonstrate mode spacing that is increased over the balanced case, but with less degradation to the factor. The second design will demonstrate a microstrip CRLH -line resonator. II. ANALYZING CRLH RESONATORS BY THE DISPERSION RELATION The properties of a microstrip resonator, namely, mode spacing and the factor, are dependent on the phase relation of the TL. The phase relation of the line can be converted to the phase constant relation, or dispersion relation, as follows:

LH TL section consisting of a shunt inductor and a series capacitor . The RH section can be realized by any conventional TL, such as a microstrip, and the LH section can be realized by lumped components. The dispersion relation for the unit cell of a CRLH TL is illustrated by the dispersion diagram shown in Fig. 2. As the CRLH line is a periodic structure of period , the dispersion diagram is also periodic. One-half of this period is shown in the dispersion diagram ( ). There are four defined frequency points, indicated on the dispersion diagram, that determine the nature of the dispersion diagram, which are as follows:

(1) where is the total length of the TL. In this way, the properties of a microstrip resonator can be analyzed using the dispersion relation of a structure, which is illustrated in the dispersion diagram. In Fig. 1, the dispersion diagram of a conventional microstrip line is shown. Considering the case of a ring resonator comprised of a microstrip line of length , the ring will resonate at the fundamental mode when the phase response and, thus, when . In this paper, the resonant frequency will be indicated by , where is the mode number. Higher order resonating modes occur when the phase is equal to integer multiples of or when . Since the dispersion relation is linear with respect to frequency, the conventional microstrip line will resonate at integer multiples of . If the mode spacing is defined to be the ratio of the second mode frequency to the fundamental ( / ), the mode spacing must then necessarily be 2. In addition, the factor is relatively constant for all frequencies because the slope of the dispersion relation is the same for all frequencies. Thus, because of the linear nature of the dispersion relation, there is very little design flexibility with respect to the phase and phase constant in a conventional TL. If, however, the dispersion relation can be engineered, this would enable design of the mode spacing and the factor. This type of design can be accomplished by utilizing a CRLH TL. A CRLH TL is modeled by an RH TL section or unit cell consisting of a shunt capacitor and a series inductor and an

(2) The two middle frequencies and are the resonant frequencies of the shunt or series components. The CRLH TL is balanced if the LH impedance is equal to the [26] and under the balanced RH impedance condition . The lower mode is termed the “LH mode” because the group velocity and phase velocity are antiparallel and is the lower cutoff due to the LH components. The upper mode is termed the RH mode because the group velocity and phase velocity are parallel and is the upper cutoff due to the RH components. It is important to note that while a conventional resonator resonates in only positive-order ( ) modes, the CRLH resonator can resonate in negative ( ), zero ( ), and positive-order ( ) modes. This is because the phase constant can be both negative, zero, and positive, as illustrated in the dispersion diagram. To determine the resonating frequencies for the CRLH periodic structure, the following expression for the phase constant must be utilized: (3)

3106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

where is the number of unit cells and is the length of the unit cell. For each of the LH and RH modes there are possible points of resonance, including what appears to be two if the structure is unbalanced. The zeroth-order resonances actual resonances will be determined by the applied boundary conditions and will be discussed below. Comparing this dispersion diagram to that of a conventional microstrip line, it is clear that the CRLH TL has a nonlinear phase constant that can be designed by changing the LH and RH components of the line. Thus, the mode spacing/ factor of a CRLH resonator can be designed by varying the parameters and , as well as the parameter . For example, if the dispersion curve is designed to be very flat ( and/or ), the mode spacing will decrease and the factor will increase. Conversely, if the dispersion curve is very steep ( and/or ), the mode spacing will increase and the factor will decrease. In Section III, the specific case of designing a balanced CRLH resonator will be discussed and demonstrated. III. BALANCED CRLH RESONATORS A. Theory For the specific case of a balanced CRLH TL where , the phase response can be expressed as a simple sum of the linear RH phase response, due to a microstrip line, and the LH phase response, due to lumped elements [17], [26]

(4) is the total physical length of the line and is the where effective dielectric constant of the line. This phase relation can easily be converted to the dispersion relation using (3)

(5) As the assumption has been made in formulating this equation that the line is balanced and, thus, , there are essentially two design parameters, either or and , that can be used to create the desired dispersion relation. In general, resonators are designed at the fundamental frequency, or the first resonance, . However, as the CRLH resonator can have both negative and zeroth-order modes, this term will not be used here and instead the “designed resonant” mode will be indicated as . For the balanced CRLH resonator design, the dispersion relation can be defined at two points ( and ) as

so be larger. There is some flexibility in the design with that and can be matched to available components and is a practical length. It should also be noted that the value must be adjusted so that the lower cutoff frequency is less than . This design process will be demonstrated below by two balanced CRLH TL ring resonators: one with increased mode spacing/decreased factor and one for decreased mode spacing/increased factor. B. Balanced CRLH Ring Resonator Design for Increased Mode Spacing/Decreased Factor In order to design a ring with increased mode spacing/decreased factor, the dispersion slope is decreased by setting

(7) For this design, the design frequency was chosen to be 0.80 GHz and a substrate was chosen with equal to 10.2 and height equal to 50 mil. The relations in (7) were specified so that the mode spacing will be greater than 3. The design parameters were determined to be nH, and pF. The physical length of the microstrip line is 3.76 cm, leading to a ring with a diameter of approximately 1 cm. The circuit model for the first designed CRLH ring resonator is shown in Fig. 3(a). The LH components are realized using Murata chip capacitors and inductors. The RH sections are conventional microstrip lines. The shunt inductors are connected to the ground by metallic vias. The ring resonator is fed by capacitive coupling realized by a small gap between the ring and TL feeds. The measured and simulated results of the first designed CRLH ring resonator are shown in Fig. 3(b). For this CRLH ring, the designed resonating mode is located at GHz and a second mode is at a frequency greater than 3 GHz, indicating here that the mode spacing . The 3-dB bandwidth of the CRLH ring resonator is 2.51% and the loaded factor is 39.19. Of additional interest, the diameter of the CRLH ring resonator is approximately one-quarter the size of a conventional microstrip ring resonator. C. Balanced CRLH Ring Resonator Design for Decreased Mode Spacing/Increased Factor In order to design a ring with decreased mode spacing/increased factor, the phase slope is effectively increased by moving the higher order modes closer to

(6) and determines the mode number where of the designed resonant frequency. If the points are chosen so that the dispersion slope is large, then the mode spacing will be greater than . This larger dispersion slope also means that the factor will be smaller. If the points are chosen so that the dispersion slope is small, then mode spacing will be less than and the factor will

(8) For the second resonator, the design frequency was chosen to be 0.80 GHz, nH, and pF. The physical length of the microstrip line is 8.71 cm. The circuit model for the second designed CRLH ring resonator

ALLEN et al.: DESIGN OF MICROSTRIP RESONATORS USING BALANCED AND UNBALANCED CRLH TLs

Fig. 3. (a) Circuit model of CRLH ring resonator. (b) Measured and simulated return loss showing increased mode spacing/decreased factor.

Fig. 4. (a) Circuit model of CRLH ring resonator. (b) Measured and simulated return loss showing decreased mode spacing/increased factor.

Q

Q

is shown in Fig. 4(a). Note that because the structure is balanced and the phase response can be divided into the RH and LH parts, the chip components can be grouped together as shown rather than distributed along the microstrip line. The phase response and, thus, the dispersion relation, is unchanged. The measured and simulated results of the second CRLH ring resonator are shown in Fig. 4(b). This ring was designed to have decreased mode spacing, which will result in an increased factor at the designed frequency compared to the first design. The measured results indicate a resonant mode located at GHz with a 3-dB bandwidth of 1.93% and loaded factor of 51.84, which is greater than the first design, however, less than a conventional microstrip resonator due to the losses in the chip components. Note that is not the lowest order resonating mode so as to mitigate further insertion loss due to rolloff. Also note that the phase relation in (8) was designed to insure that the ring does not resonate at and . The measured results for these ring resonators demonstrate the design capability of balanced CRLH resonators with respect to mode spacing and factor. Obviously however, the design of mode spacing and the factor are very dependent. As the frequency of the higher order resonating modes is increased, the

3107

slope of the phase response is essentially decreased resulting in a decreased factor. In Section IV, the design for unbalanced CRLH resonators will be discussed and demonstrated. This analysis will focus particularly on using the unbalanced CRLH resonator to design for increased mode spacing that does not significantly affect the factor. IV. UNBALANCED CRLH RESONATORS A. Theory In Section III, the dispersion relation of a balanced CLRH TL was used to design for either increased mode spacing/decreased factor or decreased mode spacing/increased factor in ring resonators. However, as both are influenced by changing the slope of the dispersion relation, they are dependent. It is not possible to design a CRLH resonator with increased mode spacing without degrading the factor using a balanced structure. Here, an unbalanced CRLH will be utilized to design resonators with an increased mode spacing that does not degrade the factor. Again, the dispersion relation will be used to analyze the properties of the CRLH resonator. The dispersion diagram provides information on both the phase constant (relating to the phase response), as well as the passband and stopband regions

3108

Fig. 5. Dispersion diagrams for CRLH TL unit cell with = 50 ; 100 , and 25 . Z

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Z

= 50 and

(relating to the magnitude response) of the structure, which will be important for the unbalanced structure. First, the balanced and unbalanced structures will be compared. Note that from this point on, the folded version of the dispersion diagram will be used where the lower LH mode will be shown as having a positive phase constant or phase velocity and a negative slope or group velocity. Fig. 5 shows the dispersion diagram for a balanced structure, where (dotted line), and for two-unbalanced structures, where (dashed line), and (solid line). For the balanced case, there is no stopband, or spectral gap, at the point where because, as mentioned previously, . This results in a continuous phase response between the lower LH mode and the upper RH mode and, thus, (4) and (5) can be used for simple design. For the unbalanced structures, it is clear that a spectral gap occurs between the lower LH mode and the upper RH mode at the point because when is greater than and when is less than . The structure will resonate when the phase and, using (3), this resonating phase condition can be converted to the normalized phase constant that is found in the dispersion diagram. Note that the number of resonances that occur within the passband for a given structure depends on the number of unit cells . If, for example, , and the possible points of resonance occur on the diagram at the points and . Here, the eventual goal is to increase mode spacing so the focus will be on the case where . Five possible points of resonance for this case are indicated in Fig. 5 by – . Note that because the balanced structure has no spectral gap, it has only three possible resonant points because the points of resonance coincide (and/or ), and (and/or ). For the unbalanced case, each possible resonant point has a slope of zero and, thus, indicates zero group velocity. At points and , the wavelength of the signal is infinite as reported in [27] and [28],

Fig. 6. Real part of Bloch impedance for CRLH TL unit cell with Z = 50 ; 100 , and 25. and Z

= 50

resulting in a uniform field distribution along the line. Thus, the field distribution does not change with respect to position along the line, or space, accounting for the zero group velocity. However, the magnitude of the field does change with time at each point along the line and, in this way, it is possible for a signal to be transmitted from one port to another at resonance. Whether or not the actual structure will resonate at these points depends on the Bloch impedance and the manner in which the resonator is loaded; either open or short circuited. Fig. 6 shows the real part of the calculated Bloch impedance for the three structures. Again, the possible points of resonance are indicated by letters for reference back to Fig. 5. Under opencircuit boundary conditions or gap-capacitor coupling, the structures will resonate only when the Bloch impedance is nonzero. The balanced case ( ) will then resonate at points (and/or ) and (and/or ), the unbalanced case where will resonate at points ( ) and ( ) and the unbalanced case where will resonate at points ( ) and ( ). These results suggest how resonator mode spacing might be designed using unbalanced CRLH TLs. To increase mode spacing, the ratio must be increased, and to decrease mode spacing, the ratio must be decreased. Fig. 7 shows simulated ring resonators with and varying from 10 to 200 . All resonators in this example use gap coupling mechanisms. The solid line indicates the balanced case with two resonant modes ( and ). As is decreased, the modes move toward each other, and as is increased, the modes move away from each other. In order to design for increased mode spacing, the following two frequency points are defined:

(9)

ALLEN et al.: DESIGN OF MICROSTRIP RESONATORS USING BALANCED AND UNBALANCED CRLH TLs

Fig. 7. Simulated insertion loss for CRLH ring resonators with Z = 50

and varying Z showing the effect of unbalanced impedances on mode spacing.

where is the design frequency and mode number at this frequency. For the case where , (9) becomes

is the and

(10) and These two frequency points, determined by only , essentially control the lower LH mode and . The impedance imbalance, now determined by controls the upper RH mode and, thus, the mode spacing of the structure. In Fig. 8, , and is 50, 60, and 70 . Here, the lower LH mode and are relatively constant by manipulation of and . As is increased, by changing , the next resonating mode increases due to the opening spectral gap and the increased slope of the RH mode. Since the lower LH mode is independent of the upper RH mode, as the mode spacing is increased, the factor of the design frequency , will change very little for an unbalanced CRLH resonator. In order to verify this design process further, three ring resonators are simulated to have mode spacing increasing from 5.5 to 8 by using both balanced and unbalanced structures. The metal losses of the TLs used in the resonators are included in this simulation. These resonators are simulated as fed by a gap capacitor. In measurement thus far, only the loaded factor has been considered, but in this particular study, it is interesting to examine the trends for both the loaded and unloaded factor. The unloaded factor is estimated using transmission-type analysis, where the coupling at both ports of the resonator are assumed to be equal [29] from the loaded factor and the insertion loss (IL)

(11)

3109

= 50 and Fig. 8. Dispersion diagrams for CRLH TL unit cell with Z = 50 ; 60 , and 70 showing increased mode spacing, but little Z change in lower mode. Fig. 9 shows the trend of the factors as the mode spacing increases. The balanced (dashed line and circles) and unbalanced ring (solid line and squares) resonators both demonstrate decreasing loaded factors, however, the slope for the unbalanced ring resonator is not as steep. The unloaded case, which is more dependent on the phase slope, shows that while the factor for the balanced ring decreases, the factor for the unbalanced ring slightly increases as mode spacing is increased. This is most likely caused by the increasing spectral gap, resulting in a flatter phase slope near the point. These simulations were done using ideal components that have no loss, accounting for the very high factors. However, the general trends of the lines show that an unbalanced CRLH resonator can have larger mode spacing than the balanced CRLH resonator with similar resulting factors. In Section IV-B, this will be demonstrated by an unbalanced CRLH TL ring resonator. B. Unbalanced CRLH Ring Resonator Design For this unbalanced ring resonator design, the frequency was chosen to be 0.8 GHz. The lower cutoff frequency was chosen to be 0.26 GHz. This design parameter was chosen because it is consistent with the dispersion relation of the balanced ring resonator discussed in Section I-B. The unbalanced ring resonator should then have a factor similar to the balanced ring resonator, but with increased mode spacing. The design parameters were determined to be nH and pF, resulting in . The physical length of the microstrip line is 2.42 cm. The dispersion diagram for the unit cell is shown in Fig. 10. From the diagram, it can be predicted that the ring will have GHz and GHz, resulting in . The measured and simulated results of the balanced CRLH ring resonator are shown in Fig. 11. The measured results indicate a zeroth-order mode located at GHz and a

3110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Q

Fig. 9. Simulated unloaded and loaded factor for CRLH ring resonators designed using design technique for balanced and unbalanced structures.

Fig. 11. Simulated and measured results for unbalanced CRLH ring resonator with increased mode spacing.

Fig. 12. Geometry and circuit model for the unit cell of microstrip-line resonator.

Fig. 10. Dispersion diagram for unit cell of designed unbalanced CRLH ring resonator with increased mode spacing.

first-order mode located at GHz. The 3-dB bandwidth of ring resonator is 2.7% and the loaded factor is 36.9. The unloaded factor, calculated from (11), is 39.6. The loaded factor is comparable with that found in the balanced design (39.19). Using an unbalanced design, the mode spacing can be considerably increased (from to ) without sacrificing the factor. C. Microstrip CRLH Resonator Design Section IV-B outlines the design of an unbalanced CRLH resonator design using a microstrip to realize the RH effect and chip components to realize the LH effect. Here, a resonator comprised of only microstrip technology will be demonstrated. The move from chip components to microstrip enables the resonators to be designed at higher frequencies.

The geometry and circuit model for the unit cell of this design is shown in Fig. 12. The cell consists of microstrip sections and a gap capacitor to realize the series capacitance and a shorted stub to realize the shunt inductance . For this design, the stub inductance nH, the gap capacitance pF, and the unit cell size is 2.25 cm. The dispersion diagram for the unit cell is shown in Fig. 13. In this design, a -line resonator is demonstrated with . In this case, the structure will resonate when and, thus, when From the dispersion diagram, the possible points of resonance are determined to occur at and and, thus, the line can be predicted to resonate at GHz, GHz, GHz, and GHz due to the lower LH mode and at GHz and GHz, GHz, and GHz due to the upper RH mode. A four-cell structure is both simulated and measured with a gap capacitor coupling mechanism. The results for the full-wave simulation and the measurement are shown in Fig. 14. As expected, the measured results indicated four resonating modes in

ALLEN et al.: DESIGN OF MICROSTRIP RESONATORS USING BALANCED AND UNBALANCED CRLH TLs

3111

V. CONCLUSION Various resonators have been designed using both balanced and unbalanced CRLH TLs. In the first balanced case, two ring resonators were designed by changing the nonlinear phase response of the CRLH structure. This design allows for the design of resonators with either increased mode spacing or increased factor. The continuous nature of the balanced phase response, however, creates dependence between the mode spacing and the factor. In order to minimize this dependence, unbalanced CRLH resonators were used for design. In this case, the resonators were designed by changing both the phase and magnitude response. A ring resonator with increased mode spacing and unchanged factor was demonstrated. This design technique was demonstrated in a structure made entirely of microstrip components. Fig. 13. Dispersion diagram for unit cell of designed unbalanced microstrip CRLH resonator.

Fig. 14. Simulated and measured results for unbalanced microstrip CRLH resonator.

both the LH and RH regions. The four lower modes resonate at GHz, GHz, GHz, and GHz and the four upper modes resonator at GHz, GHz, GHz, and GHz. The mode spacing has been decreased in this particular resonator because the phase slope has been increased. For chip components, we would then expect a higher factor; however, it is seen to be relatively low. This low factor is due to leaky-wave radiation loss and not the phase slope of the structure. It is important to note that points of resonance predicted by the dispersion diagram are based on an infinite structure, while the measured structure is finite. This may account for differences between the predicted and actual measured values. This design demonstrates how to this type of design, which has been previously applied using chip components, can be extended to purely microstrip structures.

REFERENCES [1] P. Troughton, “Measurement techniques in microstrip,” Electron. Lett., vol. 5, no. 2, pp. 25–25, Jan. 1969. [2] I. Wolff, “Microwave bandpass filter using degenerate mode of a microstrip ring resonator,” Electron. Lett., vol. 8, no. 12, pp. 302–303, Jun. 1982. [3] M. Makimoto and M. Sagawa, “Varactor tuned bandpass filters using microstrip-line ring resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 1986, pp. 411–414. [4] K. Chang, S. Martin, F. Wang, and J. L. Klein, “On the study of microstrip ring and varactor-tuned ring circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 12, pp. 1288–1295, Jun. 1987. [5] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Menat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, no. 18, pp. 4184–4197, May 2000. [6] S. B. Cohn, “Parallel-coupled transmission-line-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-6, no. 2, pp. 223–231, Apr. 1958. [7] J. Cheng, S. Chang, and S. Kuo, “A low phase-noise oscillator with a planar capacitively loaded microstrip resonator,” in Asia–Pacific Microw. Conf. Dig., Taipei, Taiwan, R.O.C., Dec. 2001, pp. 1092–1095. [8] U. Karacaoglu, D. Sanchez-Hernandez, I. D. Robertson, and M. Guglielmi, “Harmonic suppression in microstrip dual-mode ring-resonator bandpass filters,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 1996, pp. 17–21. [9] J. M. Carroll and K. Chang, “Microstrip mode suppression ring resonator,” Electron. Lett., vol. 30, no. 22, pp. 1861–1862, Oct. 1994. [10] Z. Lei and W. Menzel, “Compact microstrip bandpass filter with two transmission zeros using a stub-tapped half-wavelength line resonator,” IEEE Microw. Guided Wave Lett., vol. 13, no. 1, pp. 16–18, Mar. 2003. [11] J. Y. Park and J. C. Lee, “A new enhanced coupling structure of microstrip ring resonator with two coupled lines and a slit,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 1998, pp. 805–808. [12] C. E. Saavedra, “Microstrip ring resonator using quarter-wave couplers,” Electron. Lett, vol. 37, no. 11, May 2001. [13] J. S. Hong and M. J. Lancaster, “Edge-coupled microstrip loop resonators with capacitive loading,” IEEE Microw. Guided Wave Lett., vol. 5, no. 3, pp. 87–89, Mar. 1995. [14] A. Alphones and N. Goswami, “Edge coupled microstrip resonators with periodical slot loading,” in Asia–Pacific Microw. Conf. Dig., Singapore, Singapore, Dec. 1999, pp. 9–12. [15] A. Lai, C. Caloz, and T. Itoh, “Composite right/left-handed transmission line metamaterials,” IEEE Micro, pp. 34–50, Sep. 2004. [16] C. Caloz and T. Itoh, “Application of the transmission line theory of left-handed (LH) materials to the realization of a microstrip ‘LH line’,” in IEEE AP-S Int. Symp. Dig., San Antonio, TX, Jun. 2002, pp. 412–415. [17] I. H. Lin, M. DeVincentis, C. Caloz, and T. Itoh, “Arbitrary dual-band components using composite right/left-handed transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1142–1149, Apr. 2004.

3112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

[18] H. Okabe, C. Caloz, and T. Itoh, “A compact enhanced-bandwidth hybrid ring using an artificial lumped-element left-handed transmission line section,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 798–804, Mar. 2004. [19] C. Caloz, A Sanada, and T. Itoh, “A novel composite right-/left-handed coupled-line directional coupler with arbitrary coupling level and broad bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 980–992, Mar. 2004. [20] R. Islam, F. Elek, and G. V. Eleftheriades, “Coupled-line metamaterial couple having co-directional phase but contra-directional power flow,” Electron. Lett., vol. 40, no. 5, pp. 315–317, Jan. 2004. [21] M. A. Antoniades and G. V. Eleftheriades, “Compact linear lead/lag metamaterial phase shifter for broadband applications,” IEEE Antennas Wireless Propag. Lett, vol. 2, no. 1, pp. 103–106, 2003. [22] H. Kim, A. B. Kozyrev, A. Karbassi, and D. W. van der Weide, “Linear tunable phase shifter using a left-handed transmission line,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 5, pp. 366–368, May 2005. [23] C. A. Allen, K. M. K. H. Leong, and T. Itoh, “Ring resonators with designable higher order modes and factors using the phase response of composite right/left handed transmission lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 709–712. [24] A. D. Scher, C. T. Rodenback, and K. Chang, “Compact gap coupled resonator using negative refractive index microstrip line,” Electron. Lett., vol. 40, no. 2, pp. 126–127, Jan. 2004. [25] ——, “Compact ring resonators using negative refractive resonators using negative-refractive-index microstrip line,” Microw. Opt. Technol. Lett., vol. 45, no. 4, pp. 294–295, May 2005. [26] G. V. Eleftheriardes, A. K. Iyer, and P. C. Kremer, “Planar negative refractive index media using periodically L–C loaded transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2702–2712, Dec. 2002. [27] A. Sanada, C. Caloz, and T. Itoh, “Zeroth order resonance in composite right/left-handed transmission line resonators,” in Asia–Pacific Microw. Conf., Seoul, Korea, Nov. 2003, vol. 3, pp. 1588–1592. [28] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications. New York: Wiley, 2005. [29] D. Kajfez, S. Chebolu, M. R. A. Gaffoor, and A. A. Kishk, “Uncerfactor,” tainty analysis of the transmission-type measurement of IEEE Trans. Microw. Theory Tech., vol. 47, no. 3, pp. 367–371, Mar. 1999.

Q

Q

Catherine A. Allen (S’02) received the B.S. degree in electrical engineering from the University of Colorado at Boulder, in 2002, the M.S. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 2004, and is currently working toward the Ph.D. degree at UCLA. Her research interests metamaterials and leaky-wave antennas. Ms. Allen was the recipient of a National Science Foundation (NSF) Fellowship.

Kevin M. K. H. Leong (S’99–M’04) received the B. S. degree in electrical engineering from the University of Hawaii at Manoa, in 1999, and the M.S. and Ph.D. degrees in electrical engineering from the University of California at Los Angeles (UCLA), in 2001 and 2004, respectively. He is currently a Post-Doctoral Researcher with UCLA. His research interests include planar antennas, millimeter-wave circuits, and mobile communication systems. Dr. Leong was the first-place winner of the Best Student Paper Contest at the 2001 European Microwave Conference.

Tatsuo Itoh (S’69–M’69–SM’74–F’82) received the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 1969. From September 1966 to April 1976, he was with the Electrical Engineering Department, University of Illinois at Urbana-Champaign. From April 1976 to August 1977, he was a Senior Research Engineer with the Radio Physics Laboratory, SRI International, Menlo Park, CA. From August 1977 to June 1978, he was an Associate Professor with the University of Kentucky, Lexington. In July 1978, he joined the faculty at The University of Texas at Austin, where he became a Professor of Electrical Engineering in 1981 and Director of the Electrical Engineering Research Laboratory in 1984. During the summer of 1979, he was a Guest Researcher with AEG-Telefunken, Ulm, Germany. In September 1983, he was selected to hold the Hayden Head Centennial Professorship of Engineering at The University of Texas at Austin. In September 1984, he was appointed Associate Chairman for Research and Planning of the Electrical and Computer Engineering Department, The University of Texas at Austin. In January 1991, he joined the University of California at Los Angeles (UCLA) as Professor of Electrical Engineering and Holder of the TRW Endowed Chair in Microwave and Millimeter Wave Electronics. He was an Honorary Visiting Professor with the Nanjing Institute of Technology, Nanjing, China, and with the Japan Defense Academy. In April 1994, he was appointed an Adjunct Research Officer with the Communications Research Laboratory, Ministry of Post and Telecommunication, Japan. He currently holds a Visiting Professorship with The University of Leeds, Leeds, U.K. He has authored or coauthored 350 journal publications, 650 refereed conference presentations, and has written 30 books/book chapters in the area of microwaves, millimeter waves, antennas, and numerical electromagnetics. He has generated 65 Ph.D. students. Dr. Itoh is a member of the Institute of Electronics and Communication Engineers of Japan, and Commissions B and D of USNC/URSI. He served as the editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (1983–1985). He serves on the Administrative Committee of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He was vice president of the IEEE MTT-S in 1989 and president in 1990. He was the editor-in-chief of IEEE MICROWAVE AND GUIDED WAVE LETTERS (1991–1994). He was elected an Honorary Life Member of the IEEE MTT-S in 1994. He was elected a member of the National Academy of Engineering in 2003. He was the chairman of the USNC/URSI Commission D (1988–1990) and chairman of Commission D of the International URSI (1993–1996). He is chair of the Long Range Planning Committee of the URSI. He serves on advisory boards and committees for numerous organizations. He has been the recipient of numerous awards including the 1998 Shida Award presented by the Japanese Ministry of Post and Telecommunications, the 1998 Japan Microwave Prize, the 2000 IEEE Third Millennium Medal, and the 2000 IEEE MTT-S Distinguished Educator Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

3113

Bandgap and Slow/Fast-Wave Characteristics of Defected Ground Structures (DGSs) Including Left-Handed Features Hyung-Mi Kim, Student Member, IEEE, and Bomson Lee, Member, IEEE

Abstract—This paper presents a T-shaped defected ground structure (DGS), which has the bandgap and slow/fast-wave characteristics including left-handed (LH) features. The closed-form expressions for the bandgap center frequency, its fractional bandand width (BW), and radiation rate are obtained using the values extracted from electromagnetic (EM) simulation results and values to for the unit-cell structure. The equivalent realize a DGS with a specific bandgap center frequency, its BW, and allowable radiation rate are also provided for use as design equations for a required unit cell with a design example. Besides, we provide the expressions for the dispersion effects of the DGS based on the equivalent circuit with adequate physical interpretations. At frequencies below and above the bandgap, it is shown to behave as an element for slow and fast waves, respectively. Some LH features when a shunt inductor is added between the two DGSs are also investigated. The measured data for the fabricated 1 4 and 1 5 one-dimensional periodic DGSs show a good agreement with those using the provided closed-form expressions and EM simulations. Index Terms—Bandgap, defected ground structures (DGSs), dispersion, left-handed (LH) transmission lines (TLs).

I. INTRODUCTION EFECTED ground structure (DGS), which denotes a specific etched-out pattern on the ground plane of a microstrip line or coplanar waveguide (CPW) line, usually adds an extra lumped capacitance and inductance to the distributed ones of the line. It behaves as a parallel resonant circuit connected in series with transmission lines at both of its ends. It has a bandstop operation [1] used for the harmonic control of an active microstrip antenna [2], improved efficiency of power amplifiers [3], and performance enhancement of various kinds of filters [4]. Using its slow-wave effects in the frequency range below the bandgap, the DGS has also been applied to dividers [5] and branch-line couplers [6] to reduce their sizes. Slow-wave effects usually come from the extra lumped inductance added to the distributed inductance along the line. It has also been used for power amplifiers [7] and hybrid couplers [8] in expectation of the similar effects. In these papers, the most popular shape of the DGS is a dumbbell, which suffers from its low capacitance and limited range of controllable capacitance. In addition, bandstop

D

Manuscript received October 18, 2005; revised March 4, 2006. This work was supported by the University Fundamental Research Program of the Ministry of Information and Communication, Korea, under Grant B1220-0501-0478. The authors are with the Wireless Technology Laboratory, School of Electronics and Information, Kyung Hee University, Gyeonggi-do, Korea (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877060

operations and slow-wave effects of a unit or cascaded DGSs are investigated only by electromagnetic (EM) simulations or measurements leading to loss of physical insight. Furthermore, radiation effects are not at all considered in all of them, although they must be regulated for a small insertion loss. In [9], we have proposed and analyzed a T-shaped DGS having a much wider range of gap capacitance compared with the dumbbell-shaped DGS. Closed-form expressions for the design of the structure having a specific bandgap center angular frequency ( , 3-dB fractional bandwidth (BW), and allowable radiation rate have also been provided based on transmission-line theory. In this paper, the analysis of the DGS is extended in the full frequency range. More specifically, the slow-wave effects, which have been observed and utilized in the frequency range below the bandgap, are fully investigated and formulated using transmission-line theory. The DGS is also shown to have fast-wave effects in the frequency region above the bandgap with sufficient physical interpretations. At frequencies above the bandgap, the DGS is found to function dominantly as a series capacitor so that if a shunt inductor is added between two DGSs, a unit cell for left-handed (LH) behavior can be formed. Transmission characteristics of this structure are examined with adequate comparisons. Two one-dimensional (1-D) periodic structures (1 4 and 1 5 with different unit-cell sizes) are designed, fabricated, and measured to show the validity of the formulations. Some parts of [9], especially the configurations of the proposed structure, are repeated here with minor modifications for smooth continuation and completeness of this paper. II. BANDGAP OPERATION OF PROPOSED T-SHAPED DGS A schematic view of the dumbbell-shaped DGS on a CPW line is shown in Fig. 1(a). Our proposed T-shaped DGS is shown in Fig. 1(b). They are both seen to be on a CPW line, but may be similarly patterned on the ground plane of a microstrip line. The DGS unit cell can be modeled most effectively by a parallel and resonant circuit connected to transmission lines at both of its sides, as shown in Fig. 2. The inductance comes from the additional magnetic flux flowing through the two apertures. The capacitance is due to the stored charges at the gap. The range of this gap capacitance becomes much wider due to the two protruded conductor strips in the proposed T-shaped DGS than in the conventional DGS. Based on EM simulations or measurements for a given DGS, the equivalent and values can be obtained using the expressions given in [2]. The total power loss due to lossy conductor, lossy dielectric material, and radiation must be identical to the power dissipated in

0018-9480/$20.00 © 2006 IEEE

3114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 1. DGS on CPW line. (a) Dumbbell-shaped. (b) T-shaped.

Fig. 3.

S -parameters and radiation rate for T-shaped unit cell.

At the resonant frequency ( is given by

),

and

(3)

Fig. 2. Equivalent circuit of DGS unit cell.

the equivalent resistance ( ). Throughout this paper, the conductor and dielectric losses are assumed to be negligible. Thus, the total power loss is attributed to only radiation effects. The -parameters for the unit cell with an electrical length of ( : wavenumber and also propagation constant along the line without DGS) can be obtained using the formulation in [2]. We can also express the radiation rate in terms of the equivalent-circuit element values shown in Fig. 2. Let be the incident voltage and be the total voltage at the left reference plane , be the voltage across the parallel resonant circuit, and be the transmitted voltage at the right reference plane . is the impedance of the parallel and resonant circuit, is the quality factor of the parallel resonant circuit given by , and is the characteristic impedance of the line given by ( : distributed inductance, : distributed capacitance). The radiated power is then given by

(1) The radiation rate

is defined and expressed as

(2) where

is the input power given by

.

as a function of . The radiation rate can be shown to have its maximum value of 0.5 when . It is also seen that when goes to infinity (lossless), the radiation rate becomes zero. For a typical DGS unit cell, the value of is usually in the range of 600–1500 and is in the range of 0.24–0.11 at the bandgap center frequency. Near the resonant frequency, the reflection coefficient can be written in a convenient form (4)

to obtain the 3-dB fractional BW, where is the input impedance at the reference plane and ( : angular frequency near ). The 3-dB fractional BW can be derived from (4) and is given by

(5) in terms of the quality factor . In Fig. 3, the -parameters ( ’s and ’s) obtained using EM simulation (HFSS9.0) and transmission-line theory are compared with the measured ones. The equivalent-circuit values and are 692.46 , 1.33 nH, and 0.67 pF, respectively. This specific DGS on the CPW line has the dimensions of mm, mm, mm, mm, mm, mm, mm, gap mm, and mm. The relative permittivity of the substrate is 4.5. The wavelength at 5 GHz obtained from EM simulation is 38 mm. Thus, the unit-cell length of mm corresponds to approximately at 5 GHz. The radiation rate is also

KIM AND LEE: BANDGAP AND SLOW/FAST-WAVE CHARACTERISTICS OF DGSs INCLUDING LH FEATURES

3115

Fig. 5. Fabricated T-shaped DGS with four unit cells (unit-cell length

10 mm or 0:26 at 5 GHz).

Fig. 4.

d =

L and C values with different protruded conductor length (l).

plotted using based on EM simulation. For the given DGS dimensions, the radiation rate is seen to be approximately 0.2208 at the bandgap center frequency of approximately 5.3 GHz. The radiation rate calculated using (3) is 0.2205, which is quite close to the EM-simulated result. In most of the papers referred to in Section I, the radiation effects of DGSs have been neglected. The 22% radiation loss is not negligible. Thus, it is obvious that the radiation effects must be taken into account in any design of DGS from the initial step. The radiation rate is related roughly with the size of the apertures. If it becomes comparable to a half-wavelength in a somewhat extreme assumption, it will function as a radiator instead of a resonator. Thus, the aperture size must be small enough to behave as a resonator with small radiation loss. However, since this size restriction also gives a limitation on the realizable inductance of the DGS, some types of compromise should be made adequately. In this study, the overall size of the two apertures is 8 mm (or 0.21 at 5 GHz). From the expression in (5), %, of which error is approximately 7.5% compared with the EM simulation result. Fig. 4 shows values, and as the protruded conductor length ( ) changes from 0.5 to 3.5 mm. The gap capacitance ( ) is observed to be proportional to , increasing from 0.21 to 0.67 pF, while the inductance ( ) is maintained almost at a fixed value of approximately 1.4 nH. Again, the radiation rate using (3) is found to be in excellent agreement with that using EM simulation, and almost insensitive to the protruded conductor length. It has also been found that the inductance is largely proportional to the aperture area. The fact that the gap capacitance due to the protruded conductor does not perturb the inductance much is a very good property of the proposed T-shaped DGS. In fact, it has been observed that its capacitance and inductance can be almost independently controlled. Fig. 5 shows the photograph of the fabricated 1-D DGS with four unit cells, of which dimensions are the same as those for Fig. 3. The length of the four unit cells is 40 mm (or at 5 GHz). In Fig. 6, an -parameters using transmission-line theory and EM simulation are compared with the measured ones. They are shown to be reasonably in good agreement. When there is a

S -parameters of T-shaped DGS with four unit cells with dimensions of a = 4 mm, b = 4 mm, s = 0:7 mm, w = 2:4 mm, d = 10 mm, d1 = 3:5 mm, d2 = 0:3 mm, gap = 0:5 mm, and l = 3:5 mm. Fig. 6.

need to synthesize a DGS of which we can use the design equations

, BW, and are specified,

(6) (7) (8) for the equivalent-circuit values. These design equations have been derived from the expressions for , BW, and . When the radiation rate is very small (lossless), we can see that , , , and ). It should be noted, however, that in general cases, the equivalent circuit values and ( ) may not be realized simultaneously on an actual DGS. If specific and BW are to be met first, the DGS dimensions can be found quickly after a couple of EM simulations including an initial try with a proper use of the equations for the extraction of and values in [2] and (7) and (8). The radiation rate is then determined. The usefulness of (7)

3116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

TABLE I DESIGN EXAMPLE PARAMETERS

Fig. 7.

and (8) is in that they give us the directions as to how much we have to increase or decrease the and values in a controlled and quantitative manner. If a specific is to be satisfied first, either BW or may have to be sacrificed more or less. The discussions and provided closed-form expressions give us enough physical insight for the analysis of the DGS and facilitate the overall design procedure. An example for the design of a specific bandgap is provided in Section III to show the validity and usefulness of the derived expressions. III. DESIGN EXAMPLE In Table I, we show the specifications of a design example. The required bandgap center frequency ( ) and its fractional BW are 8 GHz and 0.2, respectively. The dimensions for an initial EM simulation are mm, mm, mm, mm, mm, mm, gap mm, and mm. The EM-simulated , BW, and their equivalent-circuit elements for the initial trial are as shown in Table I. The modification factors and have to be guessed now, where the unprimed and primed values are related with the design goal and specific trial stage, respectively. Since the expressions for and in (7) and (8) contain , the best first approximation is to assume that (no radiation), in which case, the values of and are calculated to be 0.40 nH and 0.99 pF, respectively. The modification factors and are 0.84 and 2.44, respectively. Now we need to decrease the inductance and increase the capacitance based on the modification factors. The protruded conductor length is increased from 1 to 2.44 mm. The length is also increased from 2 to 3.34 mm to accommodate the prolonged two conductor strips. Since the inductance is roughly proportional to the aperture area, we decrease the length from 2 to 1 mm. This leads to a 0.84 reduction of the aperture area. All other dimensions are kept as they are. We continue this procedure until all the circuit element values converge reasonably. In a third trial, the modification factors and are 0.99 and 1.04. Considering the usual error of several percent at best between the results using EM simulation and transmission-line theory, we had better stop here for fabrication of the designed structure. In Fig. 7, we show

S -parameters from steps of EM simulation trial.

the -parameters obtained from the three steps of EM simulation trial. The reason why the result of the second trial does not seem to converge quickly to the design goal is the approximation involved in the calculation of and for the modification factors after the first trial. When radiation rate is very small (lossless problems), the design procedure can be practically completed in the second trial. IV. SLOW/FAST-WAVE EFFECTS INCLUDING LH FEATURES Due to the additional lumped capacitance or inductance added to the transmission line, the propagation constant with DGSs loaded along the line differs from that ( ) without DGSs. In addition to the bandstop operations of the DGS in Section II, it is shown to function as an element for slow waves or fast waves . The slow-wave effects in the low-frequency limit are investigated first. They have been utilized to reduce the size of some RF circuits and components [5]–[8], but not with quantitative reasoning. We will derive some closed-form expressions for slow-wave factors in a couple of steps of approximations using transmission-line theory. The slow-wave factors are important since their inverse directly gives the reduction rate of the line. We have seen in Fig. 3 that the radiation rate becomes very small at low frequencies. This means that becomes very large as the frequency goes to zero. For this reason, we may assume that (open) in the low-frequency limit. Further, we may approximate the parallel and resonant circuit only as an element in the low-frequency range. Thus, we can express the impedance in Fig. 2 as . Using the reflection coefficient in Fig. 2, the voltage can be expressed as

(9) Thus,

is given by

(10)

KIM AND LEE: BANDGAP AND SLOW/FAST-WAVE CHARACTERISTICS OF DGSs INCLUDING LH FEATURES

In the low-frequency limit, the magnitude and phase of be approximated as

3117

can

(11) The electrical length given by

of the CPW line without an DGS is

(12) is the wavenumber in free space, is the relative where effective permittivity, and is the wave velocity in free space. The electrical length ( ) with DGS can be expressed as

(13) due to the additional phase change across DGS. In the low-frequency range, and the slow-wave factor can be expressed as

(14) The additional slow-wave factor added to 1 is shown to be proportional to . Using the approximation when is small, the propagation constant in (14) can be shown to be expressed as

(15) Expression (15) definitely shows that the lumped inductance in a cell length acts as an added distributed inductance per unit length . In the low-frequency range, and . Thus, at low frequencies where , even for a cascaded DGS structure, can still be expressed as (15) in the first approximation [10]. The slow-wave factor given by (14) is independent of frequencies and is not accurate, except at the very low frequencies. We can obtain a more accurate expression considering both and . In this case, the voltage is given by

(16) Now the magnitude and phase of

can be expressed as

Magnitude

(17)

Phase

(18)

Fig. 8. S ’s when L = 1:33 nH and C = 0:67 pF. (a) Magnitude. (b) Phase (in radians).

Fig. 8(a) and (b) shows the magnitude and phase, respectively, of ’s based on (17) and (18) when the inductance is and ( nH, pF), respectively. For the three cases, the bandgap center frequencies are all fixed at 5.32 GHz, while the admittance levels (or ) increase proportional to the capacitance values. It is well shown that the width of the bandgap is inversely proportional to the admittance level (or ) or proportional (or ). It is also observed that the magnitude of to becomes smaller and absolute phase change of becomes larger as becomes larger. In the frequency range below the bandgap, due to the dominant effect of and the DGS unit cell behaves as an element for slow waves ( ). In the frequency range above the bandgap, due to the dominant effect of and the DGS unit cell can function as an element for fast waves ( ). The slow- or fast-wave effects are shown to be more pronounced near the bandgap, but only at the price of a reduced . It is clear that a compromise must be made between the wanted phase lag/lead and magnitude. This fact has not been addressed clearly in most of the papers in which the DGS was adopted in order to shorten the size of devices. The dispersion factor for a unit cell is now given by

(19)

3118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 11. S -parameters of cascaded DGS with five unit cells with dimensions of a = 4 mm, b = 4 mm, s = 0:7 mm, w = 2:4 mm, d = 4:5 mm, d1 = 3:5 mm, d2 = 0:3 mm, gap = 0:5 mm, and l = 3:5 mm. Fig. 9. Dispersion factor ( =k ) as a function of frequency when R 692:46 , L = 1:33 nH and C = 0:67 pF.

2

Fig. 10. Fabricated 1 5 periodic DGS (unit-cell length d proximately 0:12 at 5 GHz).

=

= 4:5 mm or ap-

which, in the very low- and high-frequency ranges where the argument of is very small, can be simplified to

(20)

. When , (20) after taking out the argument of is found to reduce to (14). In the high-frequency limit, the dispersion factors given in (19) and (20) are shown to approach 1. The dispersion factors for the unit cell using transmission-line theory (lossless) (19), matrix method in [2] (lossy), and EM simulation are compared in Fig. 9. It is shown that, at the frequencies below the bandgap, , and at the frequencies above the bandgap, . It is noted that, from 5.3 to 6.2 GHz, in case of transmission-line theory (lossless). This is not clearly seen in the results using EM simulation or transmissionline theory (lossy). This seems to be due to the radiation effects ( ). The fact that is less than 0 is not very useful in connection with LH features in this case since it occurs near the bandgap and is very small. Fig. 10 shows a photograph of the fabricated 1 5 1-D periodic DGS where the same unit cell used for Fig. 3 is cascaded. The only difference is in the unit-cell length . It is now 4.5 mm instead of 10 mm. In Fig. 11, the -parameters measured from the fabricated 1 5 periodic structure are compared with those

2

Fig. 12. Dispersion factors ( =k ) for 1 5 DGS periodic structure. (a) At lower frequencies of bandgap. (b) At upper frequencies of bandgap.

calculated using the matrix formulation. They are shown to have a good agreement. The cascaded DGS structure has a bandgap from approximately 4 to 8 GHz. The bandgap of a unit DGS [or photonic bandgap (PBG)] is well known to be broadened and its characteristics become steep when cascaded. In Fig. 12(a), we compare the slow- and fast-wave factors using (19) and (20), the matrix formulation developed in [2], and measurement, in the frequency range below the bandgap. Closed-form expressions (19) and (20) based on a unit cell are shown to agree well in the low-frequency range, but begin to disagree from approximately 3.0 GHz. The slow-wave factor taken from measurement shows good agreement with that obtained using the matrix formulation [2], except at approximately 4 GHz, which seems to come from inaccurate phase data due to very small magnitudes of data near the bandgap. The small difference between (19) and the

KIM AND LEE: BANDGAP AND SLOW/FAST-WAVE CHARACTERISTICS OF DGSs INCLUDING LH FEATURES

Fig. 13. RLH-TL with DGS (L R = 692 ).

3119

= 1:33 nH, C = 0:67 pF, L = 0:84 nH,

matrix formulation comes from the fact that reflections between DGSs are considered in the matrix formulation for the 1 5 periodic structure, but not in (19), which is based on a single unit cell. If reflections between DGSs are very small, it is obvious that the slow-wave factors for two cases must be quite close. Indeed, they are shown to have exactly the same value of 1.54 in the low-frequency limit where and (no reflections between DGSs). They are shown to agree reasonably in the entire frequency range below the bandgap with a maximum error of approximately 11%. This trend is also found in the frequency range above the bandgap, as shown in Fig. 12(b). In the high-frequency limit where the DGS is practically shorted due to the gap capacitance, the dispersion factor is observed to go to 1. Thus far, the DGS has been analyzed in its properties of bandgap due to parallel and , slow wave ( ) due to dominant , and fast wave ( ) due to dominant . Varying the DGS dimensions, it can cover a wide range of and values as required. Now we want to further examine some possible LH features ( ) [11]–[13] by forming a unit cell, as shown in Fig. 13. The unit cell consists of one DGS, one transmission line with an electrical length of , one shunt inductor, one more transmission line with an electrical length of , and one more DGS. This unit cell must be operated at frequencies above the DGS bandgap where the DGS dominantly functions as with less effect of . This structure is similar to the right-/left-handed transmission line (RLH-TL) given in [14] where a capacitor replaces the DGS in Fig. 13. The and values of the DGS are the same as before and is assumed to be . The added shunt inductance value has been determined using the matching condition

Fig. 14. S of DGS unit cell, RLH-TL, and RLH-TL with DGS. (a) Magnitude of S . (b) Phase of S .

(21) ( : distributed inductance, : distributed capacitance) [14]. In Fig. 14(a) and (b), we show, respectively, the circuit-simulated (ADS) magnitude and phase of for the unit cells of the conventional right-handed transmission line (RH-TL), RLH-TL [14], and RLH-TL with a DGS. The RLH-TL denotes the circuit structure similar to that in Fig. 13 with the exception that the DGSs at both ends are replaced by only (0.67 pF) elements. It is shown in Fig. 14(a) that in case of an RLH-TL, the two cutoff frequencies are merged at one frequency GHz where and [14] and all frequency components about pass well without any attenuation in wide range similar to the case of the RH-TL. The in case of an RLH-TL

Fig. 15. Dispersion diagram for infinitely cascaded DGS structure. (a) (b) d.

d.

with a DGS is found to be less than those of the mentioned two cases due to the effect of the bandgap at approximately 5.3 GHz. However, we see in Fig. 14(b) that the RLH-TL with a DGS is shown to have much larger phase lead (more LH features) than the RLH-TL [14] and the RH-TL in the frequency range above

3120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

the bandgap. The clear advantage of the RLH-TL with a DGS over the RLH-TL in the phase lead is more obvious in Fig. 15(a) and (b), which shows the dispersion diagram ( and ) for the infinitely cascaded structure as a function of frequencies. The RLH-TL with a DGS is shown to have one more bandgap near 11 GHz in addition to that near 6 GHz, but exhibit more negative from approximately 6.5 to 10 GHz. This high degree of LH behavior in the RLH-TL with a DGS may be utilized in some possible applications. It is notable that at the high frequen’s in cies where the DGS behaves dominantly as a capacitor, Fig. 14 and ( and ) in Fig. 15 agree well for both cases of the RLH-TL and RLH-TL with a DGS. One notable thing in relation with a realization of DGSs for LH behavior is that for a particular specification, the size of the unit cell as given in Fig. 13 may become large so that it violates the approximate requirement of . Thus, the DGS may be utilized for LH behavior only within that restriction.

V. CONCLUSION The proposed T-shaped DGS has been modeled and analyzed by equivalent-circuit elements. The T-shaped DGS has been found to provide a much wider range of gap capacitance and, thus, is capable of controlling a broad range of bandgap center frequency and its BW. The equations for the radiation rate and 3-dB BW about the bandgap center frequency have been derived for the convenient analysis of the structure. In addition, design equations for the equivalent and values have been provided for the easy and controlled synthesis of a specific DGS in a quantitative manner with a design example. The validity of the modeling based on transmission-line theory has been investigated by comparing with the measured data obtained from the fabricated 1 4 periodic structure. The closed-form expressions for the dispersion effects have been derived based on the equivalent circuit. The measured dispersion factor for the fabricated 1 5 1-D periodic DGS structure has been shown to agree well with the derived analytical expressions. The RLH-TL with a DGS has been shown to exhibit a high degree of LH behavior in the frequency range above the bandgap with some limitations. The analysis and synthesis of the DGS are much facilitated with the closed-form solutions provided here. The bandgap and slow/fast-wave features of the DGS including LH behavior have been investigated sufficiently for various possible applications.

REFERENCES [1] D. Ahn, J.-S. Park, C.-S. Kim, J. Kim, Y. Qian, and T. Itoh, “A design of the low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 86–93, Jan. 2001.

[2] I. Chang and B. Lee, “Design of defected ground structures for harmonic control of active microstrip antenna,” in IEEE AP-S Int. Symp., Jun. 2002, vol. 2, pp. 16–21. [3] J.-S. Lin, H.-S. Kim, J. S. Park, D. Ahn, and S. Nam, “A power amplifier with efficiency improved using defected ground structure,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 4, pp. 170–172, Apr. 2001. [4] D. Ahn, J. S. Park, J. Kim, Y. Qian, and T. Itoh, “A design of the low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 83–93, Jan. 2001. [5] J.-S. Lim, S.-W. Lee, J. S. Park, D. Ahn, and S. Nam, “A 4 : 1 unequal Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 3, pp. 124–126, Mar. 2001. [6] C. S. Kim, J.-S. Lim, J. S. Park, D. Ahn, and S. Nam, “A 10 dB branch line coupler using defected ground structure,” in Proc. Eur. Microw. Conf., Oct. 2000, vol. 3, pp. 68–71. [7] J. S. Lim, J.-S. Park, Y.-T. Lee, D. Ahn, and S. Nam, “Application of defected ground structure in reducing the size of amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 7, pp. 261–263, Jul. 2002. [8] Y. J. Sung, C. S. Ahn, and Y.-S. Kim, “Size reduction and harmonic suppression of rat-race hybrid coupler using defected ground structure,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 1, Jan. 2004. [9] H.-M. Kim and B. Lee, “Analysis and synthesis of defected ground structures (DGS) using transmission line theory,” in Proc. Eur. Microw. Conf., Oct. 2005, pp. 397–400. [10] R. E. Collin, Foundations for Microwave Engineering, 2 ed. Englewood Cliffs, NJ: McGraw-Hill, 1992. [11] A. Sanada, C. Caloz, and T. Itoh, “Characteristics of the composite right/left-handed transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 68–70, Feb. 2004. [12] C. Caloz, A. Sanada, and T. Itoh, “A novel composite right-/left-handed coupled line directional coupler with arbitrary coupling level and broad bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 980–992, Mar. 2004. [13] I. H. Lin, M. Vincentis, C. Caloz, and T. Itoh, “Arbitrary dual-band components using composite right/left-handed transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1142–1149, Apr. 2004. [14] M. A. Antoniades and G. V. Eleftheriades, “Compact linear lead/lag metamaterial phase shifters for broadband applications,” IEEE Antennas Wireless Propag. Lett., vol. 2, no. 4, pp. 103–106, Apr. 2003. Hyung-Mi Kim (S’04) received the B.S. degree in radio engineering from the Kyung Hee University, Gyeonggi-do, Korea, in 2004 and is currently working toward the M.S. degree in radio engineering at Kyung Hee University. Her fields of research include PBG/DGS structures, RLH-TLs, microwave antennas, and other passive devices.

Bomson Lee (S’95–M’96) received the B.S. degree in electrical engineering from Seoul National University, Seoul, Korea, in 1982, and the M.S. and Ph.D. degrees in electrical engineering from the University of Nebraska, Lincoln, in 1991 and 1995, respectively. From 1982 to 1988, he was with the Hyundai Engineering Company Ltd., Seoul, Korea. In 1995, he joined the faculty of Kyung Hee University, where he is currently a Professor with the Department of Radio Engineering. His research activities include microwave antennas, RF identification (RFID) tags, microwave passive devices, and metamaterial.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

3121

Scalar Calibration of Quasi-Optical Reflection Measurements Gaetan Koers, Johan Stiens, and Roger Vounckx

Abstract—For accurate calibrated measurements, calibration procedures tend to rely on the acquisition of vector data by means of heterodyning or multiport power measurements. In this paper, we propose a novel scalar one-port calibration method for quasi-optical frequency response measurements. Only uncalibrated reference and reflected power detectors are needed for accurate results within specified error bounds. Calibration is based on a modified match–short–short procedure, and scalar reflection of the sample is calculated from two measurement points per frequency. The effectiveness of the method is illustrated with -band. two experimental cases measured over the full Index Terms—Calibration, millimeter, quasi-optics, reflection measurement.

I. INTRODUCTION

Fig. 1. Correspondence between: (a) measurement setup and (b) error model.

UASI-OPTICAL reflection and transmission measurements at microwave frequencies from the low gigahertz to terahertz range are used extensively, for example, for the determination of material properties and frequency-selective surface (FSS) responses. Typical measurement hardware deployed are the four- or six-port reflectometer and the vector network analyzer [1]. These instruments are designed to yield very accurate measurements by means of a calibration procedure, which compensates systematic errors like reflection tracking, source mismatch, and signal leakage [2], [3]. The full calibration of these instruments requires the measurement of several complex-valued parameters and, therefore, the capability of measuring relative amplitude and phase of transmitted and reflected signals. Scalar network analyzers are used in the microwave domain, for example, in standing wave ratio (SWR) measurements of circuit or waveguide components. For one-port measurements, these devices typically use a response calibration method that relies on open/short averaging [2] to establish a reference measurement. This type of calibration can only compensate the frequency response errors of the reflection receiver so the partially calibrated result still has errors due to source mismatch and directivity, and the error bounds must be calculated using worst case specifications of the measurement hardware. In [4], a system that can accurately measure complex permittivities of dielectric slabs is presented based on a vectorial broadband transmission measurement as a function of the beam

Q

Manuscript received October 8, 2005; revised March 2, 2006. This work was supported in part by the Vrije Universiteit Brussel under Project OZRCOF6, Project OZR874, and Project OZR986BOF, by the Flemish Fund for Scientific Research under Project FWOAL276-G.0041.04, and by the Institute for the Encouragement of Innovation for Science and Technology in Flanders under Project SBO 231 011114. The authors are with the Laboratory for Micro- and Opto-Electronics, Vrije Universiteit Brussel, 1050 Brussels, Belgium. Digital Object Identifier 10.1109/TMTT.2006.877049

incidence angle and a least squares fitting algorithm. A timedomain gating technique is used to remove multiple reflections caused by mismatches. A single-frequency system also based on vector measurements is presented in [5], where it is used at 30 GHz to measure the real permittivity of dielectric slabs. The thru-reflect-match (TRM) calibration method is used for enhancement of the raw data. In what follows, we will show that we can perform a scalar one-port calibration using only reference and reflection power detectors. The systematic errors of the raw measurement are modeled as an error box with four unknowns to be determined. The calibration procedure consists of the measurement of an assumed ideal match at each frequency of interest, and the reflection coefficient of a mirror at positions on the propagation axis that yield minimum and maximum returns. This way, we are able to determine the four unknowns of the error box within specified error bounds. By measuring the unknown load at each corresponding frequency at positions that yield minimum and maximum returns, we can then determine its scalar reflection coefficient within specified error bounds. The accuracy of the result is a direct function of the quality of the measurement setup and can be optimized for better performance. However, in practice, such an approach is maybe unfeasible or even unrequired if the result is determined with sufficient accuracy. We will show results of the reflection measurement of a resonating dielectric slab and the return loss of an FSS to show that more than adequate accuracy is obtained for the interpretation and fitting of measurements to theory. II. ERROR MODEL In Fig. 1(a), we schematically depicted a scalar reflection measurement setup, where unit power is fed through a linear

0018-9480/$20.00 © 2006 IEEE

3122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

system and powers and are recorded as a measure of the incident and reflected waves and on the load . is modeled by a two-port network with scattering matrix describing the relation between the outgoing ( ) and incoming ( ) waves at the ports of the network. This is expressed as

where

and

are given by (6) (7)

(1) When the loaded port is terminated by an impedance with re, then the reflection coefficient flection coefficient as seen from the source is (2) Our error model now assumes that there exists a measurement system that exactly measures , and that systematic measurement errors like reflection tracking, directivity, and source match can be described by the network . The calibration procedure then consists in the determination of the magnitudes and and , the complex angle between and .

(8)

III. CALIBRATION METHOD Since our measurement setup is restricted to scalar detection, the only quantity we can acquire is the amplitude of , which, from now on, we will denote as

(3) We will show that the calibration of the quasi-optical setup can be conveniently performed by measuring the reflection coefficient of some known loads, like a match and a moving mirror at the beam waist (equivalent to a sliding short in a waveguide system). In what follows, we will first analyze (3) for the simplest case of a perfect match, then a general load, and finally, an ideal mirror, which will result in a measurement procedure for the scalar calibration. A. Perfect Match In this case, we have

where is the reflection coefficient of the sample under test at the beam waist position. The phase shift (which, in general, is a nonlinear function that slightly oscillates around the complex phase of ) is introduced as we move the sample along the propagation axis so that as a function of describes a circle in the complex plane with radius and center . For a quasioptical setup with a focus depth of a few wavelengths at the sample position, we can assume that the reflection amplitude remains constant as varies between and . For all practical cases ( ), we can see by inspection that is a monotonically increasing function of . This is not the case for because it depends on the complex angle given by

and, thus, (3) becomes

and by measuring two values of We can find as the phase shift is varied. For instance, if we record the minimum reflection and maximum reflection , we can find and with either the sum or the difference of and , depending on the sign of . In general, we can write

(9)

We will now show that we can find as a function of from the measurement of and . Later we will use this for our calibration procedure, where we will assume a known and calculate as a function of . To derive an expression for , we take the squared magnitude of (7) and substitute (6), thus we obtain the relation

(4) (10) B. General Load The treatment of the general case of a load reflection anywhere from 0 to 1 will be based on the interpretation of (3) in terms of the movement of along a circle in the complex plane as the complex phase of is varied. Based on a relation in [6], there applied to the measurement of source/load mismatch, we are able to prove that can be written as

(5)

with This is a second-degree polynomial equation in as a parameter, but where is also a function of . However, for a given sample with a known reflection coefficient, and are constants so, in that case, the pair of solutions for to (10) as a function of are

(11)

KOERS et al.: SCALAR CALIBRATION OF QUASI-OPTICAL REFLECTION MEASUREMENTS

3123

Two boundary conditions govern these solutions, namely, that must be positive and real. Given and , this binds the range of to a domain in . We will now determine this domain by applying the two boundary conditions. This will also show that the domain is independent of . 1) : This implies that

(12) If we define

as the principal value of the inverse sine

(13) then, depending on and , we obtain the first criterion for (with integer), which must be within one of two possible intervals, depending on the sign of as follows: if if

(14)

Fig. 2. Schematic overview of the calibration procedure.

where is the reflection phase shift. As is now varied by moving the mirror, describes a circle in the complex plane with radius and center defined by

2)

: To analyze this condition, we introduce and , corresponding to (11) with either the positive or negative root sign, respectively. By rewriting the argument of the root in (11) as , we can then prove by taking into account the sign of that

(19) (20) and

If (15)

of

(

are sufficiently smaller than , then , thus, by measuring the minimum and maximum ), we can find and with

(16) (21) (17) is measured and can be These rules mean that once determined by measurement of a known load reflection , we can calculate the domain of in by means of the first criterion and then the range of by using the range of or by combining the ranges of and . The range of is then found after dividing by . C. Moving Mirror In this special case, (3) becomes

(18)

D. Calibration Procedure An overview of the full calibration procedure is schematically pictured in Fig. 2. The initial procedure starts with the acquisition of (4) or the minimum and maximum of (18) in arbitrary order. directly gives us and the sum and difference of yield and , respectively. Depending on the sign of , we have a different range of and either one or two solutions for corresponding to only the positive or both the positive and negative roots in (11). With the whole solution space for corresponds a set of values, which we can calculate from (19). Remark that, in principle, any other known load in combination with (11) could be used for the determination of and , but that the sign interpretation of (9) would not be as trivial.

3124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 4. Schematic of the setup used for calibration and measurement.

For an easier interpretation of the measurement, we therefore define the auxiliary values and corresponding to the minimum and maximum of , respectively, at each measurement point. V. CALIBRATION RESULTS

Fig. 3. Schematic overview of a calibrated measurement.

IV. SAMPLE MEASUREMENT METHOD Starting from (6) and rewriting it as a quadratic equation in , we obtain (22) of which one can be from which we find two solutions for discarded otherwise would be negative as follows: (23) With the knowledge of and as a function of , we can thus calculate as a function of if we know . By acquiring the values and and using (9), we can calculate and but we do not know in advance which sign interpretation to use. To determine this, we calculate by both possibilities and from these values we calculate back to as given by (10). This results in a range of test values for which we must verify if the value of obtained from and meets the requirement that

If this is true, then we know that this value of corresponds to the correct sign interpretation and also the correct .A schematic overview of this procedure is shown in Fig. 3. In practice, we have found it was possible that none of the two possibilities for was within the range . This can be explained by measurement errors other than the ones compensated for by the calibration procedure, like nonlinearity of the detector responses. In that case we have taken the sign interpretation for which was closest to the range . It is important to remember that we now have found a range of values as a function of for each measurement point, which have equal probability of being the “true” value of .

We will now show the results obtained from actual measurements in the -band (75–110 GHz). In Fig. 4, we depicted a schematic view of our measurement setup, where we can distinguish from source to sample: the sweeping millimeter-wave source (BWO) coupled with a full-band isolator, followed by a planar zero-bias diode power detector for the reflected signal (R), which is coupled by a high-directivity (30 dB) 3-dB coupler. Following that is again a 30-dB directivity coupler sampling the forwarded reference signal (F), this time with a 20-dB coupling factor to ensure an adequate signal level at the input of the diode power detector. Millimeter-wave power is coupled to free space by a scalar horn with measured power coupling to a fundamental mode Gaussian beam of at least 50% over the entire -band and a beam waist of 8.5 0.5 mm. The antenna output is brought to a focus by a Teflon lens with a focal distance of 15 cm and a diameter of 9.5 cm, placed 30 cm from the antenna beam waist. The setup has a frequency-dependent output beam waist location, which we could compensate by using a slightly larger telescope setup with two lenses. However, because the added effect of standing waves between the lenses would make the calibration more sensitive to variations in the setup, we preferred to keep the setup as simple as possible. The only quantities we measured were the voltages at the output of the zero-bias diode detectors R and F. Within the appropriate operating ranges, the voltage output at each detector was proportional to the net millimeter-wave power entering the waveguide port of the detector. Detector F sampled the net power coupled to the horn antenna and detector R sampled the net power returned to the isolator. For measurement of the matched load, we put a large microwave absorber sheet at approximately 30 angle to the propagation axis so that any spurious reflected power was directed away from the setup. For the mirror, we used a silicon wafer coated with 500 nm of aluminum and fixed it into a gimbal mount on a height-adjustable post so that its tilt and height could be adjusted for maximum return. The mount itself was placed on a linear translation stage, which we could use for precise positioning of the mount along the propagation axis. For each calibration frequency, we moved the mirror to the positions that yielded a minimum and maximum return. In Fig. 5, we show a typical result of the proposed calibration procedure, obtained with the described measurement setup.

KOERS et al.: SCALAR CALIBRATION OF QUASI-OPTICAL REFLECTION MEASUREMENTS

Fig. 5. Calibration coefficients versus frequency calculated with presented method from measurement of match and mirror reflections.

For the and ranges. we plotted the minimum and maximum curves, meaning that the actual values could be anywhere between those limiting curves. Several observations can be made from Fig. 5. Firstly, that stays below 0.1 over the full waveguide band, equivalent to a return loss of at least 20 dB or a voltage standing-wave ratio (VSWR) of less than 1.22 : 1, from which we can conclude that the total effect of limited coupler directivity and antenna mismatch is reasonably low. Secondly, is on average higher than , which could be explained by suboptimal coupling of the reflected beam to the scalar horn antenna. Another important observation is that the range of ( 0.01) is much smaller compared to the range of ( 0.1). This is easily explained by inspection of (19), where we see that the uncertainty of is effectively reduced by the square power. VI. SAMPLE MEASUREMENT RESULTS As a first illustration of results of the presented calibration approach, we show the full-band measurements of a 2-in Si wafer with a manufacturer specified resistivity from 5 cm and 10 . The wafer had a thickness of 500 1 m, which was acting like a Fabry–Perot cavity within the measurement band. As a reference, we also performed a response calibrated measurement. This was realized by dividing the raw reflection coefficient versus frequency measurement of a mirror (the same we used for the calibration) into the raw reflection coefficient of the sample with a single measurement per frequency. The measured results are shown in Fig. 6, where we plotted the calculated and curves, the result after simple response calibration and the data fitted from theoretical simulations using plane-wave reflection formulas. We clearly see how the data in the simple response calibration is heavily scattered around the curves obtained with the more thorough calibration approach we presented. Least squares fitting of the scattered measurements resulted in a residual norm of 0.40 (fifth-degree polynomial) compared to an absolute deviation of 0.0064 (average of 0.5 obtained with our method. Since the and curves are this close together,

3125

Fig. 6. Field reflection coefficient of Si wafer slab and comparison between theory ( = 20 1 cm), response calibration (respectively, cal.), and presented ). calibration method (j0 j , j0 j

the uncertainty of the result due to systematic errors is actually low ( 0.015). This is explainable by the fact that, in (23), the uncertainties are in the order of those of and . The theoretical curve was fitted by visual inspection and closely matches the shape of the calibrated data at a resistivity ( ) of 20 cm, which is a little higher than specified by the manufacturer. Theoretical curves calculated with a lower resistivity had a worse fit to the measured data. The cm curve is offset approximately 15.5% lower than the average of and , which could be explained by some alignment offset or the uncorrected nonlinearity of the detectors. Differences due to the plane-wave approximation must also be taken into account, although these errors are typically in the order of only a few percent [7]. Another illustration of the effectiveness of our calibration procedure is the measurement of the frequency reflection response of an FSS filter with a passband centered at 87 GHz, which we designed for testing purposes. The substrate for the FSS was a quarter of a 4-in silicon-on-insulator (SOI) wafer composed of a carrier (300 m) and active layer 50 m of high resistivity Si (HR-Si). The FSS square unit cell had a size of 785 m with rectangular slots of 70 m 15 m etched in the metallic ground plane on the active layer. The enhanced measurement data is shown in Fig. 7 for a simple response calibration and the presented calibration method, together with simulated curves obtained from the CST Microwave Studio time-domain solver. Least squares fitting of the scattered measurements resulted in a residual norm of 0.39 (tenth-degree polynomial) compared to an absolute deviation of 0.0026 (average of ) obtained with our method. Comparison of the measured data with the simulated design (not shown) showed that the frequency response was shifted 2 GHz lower and that the passband return loss was lower than expected (3 versus 5 dB). We verified that the frequency shift was due to a 20- m increase of the resonance length due to etching tolerances higher than 10 m. The difference in amplitude response in the passband could be explained by

3126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

[3] G. F. Engen, “The six-port reflectometer: An alternative network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1075–1080, Dec. 1977. [4] G. L. Friedsam and E. M. Biebl, “A broadband free-space dielectric properties measurement system at millimeter wavelengths,” IEEE Trans. Instrum. Meas., vol. 46, no. 4, pp. 515–518, Apr. 1997. [5] N. Gagnon, J. Shaker, P. Berini, L. Roy, and A. Petosa, “Material characterization using a quasi-optical measurement system,” IEEE Trans. Instrum. Meas., vol. 52, no. 4, pp. 333–336, Apr. 2003. [6] G. F. Engen, “Theory of UHF and microwave measurements using the power equation concept,” NBS, Boulder, CO, Tech. Note 637, 1973, pp. 0–11. [7] L. R. Petersson and G. S. Smith, “An estimate of the error caused by the plane-wave approximation in free-space dielectric measurement systems,” IEEE Trans. Antennas Propag., vol. 50, no. 6, pp. 878–887, Jun. 2002.

Fig. 7. Field reflection coefficient of FSS and comparison between theory ( = 3:3 1 cm), response calibration (respectively, cal.), and presented calibration method (j0 j j, j0 j j).

a lower resistivity of the active Si layer than specified by the manufacturer ( 5000 cm). By insertion of a conductive region around the Si–oxide interfaces in our simulation model, the measured data could be fitted well assuming a resistivity around 3.3 cm. VII. CONCLUSION We have presented the theoretical basis and measurement results of a novel calibration method applied, but not limited to, millimeter-wave quasi-optical measurements. The procedure yields accurate results within specified error bounds using only amplitude detection and two measurements per frequency. Measurements obtained using a straightforward setup show that scalar calibrated data is within error bounds that are at least 60 smaller than the norm of residuals obtained by curve fitting of simple response calibrated data. The absolute error bounds for the presented method are thus more than sufficiently small for the interpretation of measurements and comparison with theory. REFERENCES [1] A. J. Estin, J. Juroshek, R. Marks, F. Clague, and J. Allen, “Basic RF and microwave measurements: A review of selected programs,” Metrologia, vol. 29, no. 2, pp. 135–151, 1992. [2] “Applying error correction to network analyzer measurements,” Agilent Technol., Palo Alto, CA, Applicat. Note 1287-3, 2002.

Gaetan Koers was born in Schaarbeek, Brussels, Belgium, in 1977. He received the Engineering degree in telecommunications from the Vrije Universiteit Brussel, Brussels, Belgium, in 2000, and is currently working toward the Ph.D. degree at Vrije Universiteit Brussel. In 2000, he joined the Laboratory for Micro- and Opto-Electronics, Vrije Universiteit Brussel. His current research involves the analysis and design of noise suppression techniques in millimeter-wave focal-plane imaging systems. His other areas of interest include antenna design, optoelectronics, and high-frequency electromagnetic simulation techniques.

Johan Stiens received the Engineering degree in applied physics and Ph.D. degree from the Vrije Universiteit Brussel, Brussels, Belgium in 1990 and 1996, respectively. He is currently a Part-Time Professor with the Vrije Universiteit Brussel. His diverse research interests include semiconductor physics and technology and infrared and millimeter-wave photoelectronic devices. He is a reviewer for six journals and an elected expert for national and international academic and industrial project evaluations. He has authored or coauthored over 80 international papers. He holds six international patents.

Roger Vounckx was born in Brussels, Belgium, in 1952. He received the Ph.D. degree from the Vrije Universiteit Brussel (VUB), Brussels, Belgium, in 1984. His doctoral thesis concerned high electron-mobility transistors. In 1984, he became a Professor of microelectronics and semiconductor physics with the VUB, and Director of the Laboratory of Micro- and Opto-Electronics (LAMI), in 1987. Since 1998, LAMI is an associated research laboratory of the Inter-University Microelectronics Center (IMEC), Leuven, Belgium. He has authored or coauthored over 250 technical papers. He holds six international patents.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

3127

Wideband Measurement of the Dielectric Constant of an FR4 Substrate Using a Parallel-Coupled Microstrip Resonator Eric L. Holzman, Senior Member, IEEE

Abstract—We have made a wideband measurement of the real part of the dielectric constant of flame retardant #4 epoxy (FR4), a common high-frequency printed-circuit-board insulator. We designed a novel test circuit, an electrically long parallel-coupled microstrip resonator, which was etched on a 0.014-in FR4 substrate, manufactured by NELCO, Melville, NY. We used a computer model of the resonator to extract the dielectric constant at the frequencies of zeroes in its measured transmission response. By adjusting the model’s dielectric constant, we tuned the frequency of each zero to match the measured frequency, yielding the dielectric constant at that frequency. To validate our method and results, we present a simple, but original proof that the frequencies of zeroes in the resonator’s transmission response are insensitive to input and output mismatches. Additionally, we compare the measured and predicted response of a two-stub filter designed with our measured data. The fabricated filter’s measured return loss and insertion loss from 3 to 12 GHz are within 1% of the predictions of Agilent Technology’s Momentum. Index Terms—Dielectric materials, measurement, microstrip resonators, permittivity measurement, printed circuits.

I. INTRODUCTION LAME-RETARDANT #4 epoxy (FR4) is a low-cost dielectric material that finds use as a substrate for RF and microwave printed circuit boards (PCBs). Its dielectric constant is known to vary with frequency and manufacturer [1]. FR4 data sheets generally do not list dielectric-constant data over a wide frequency range, and we found only one set of broadband data in the literature [1]. Unfortunately, these data are presented in a relative sense only (normalized to unity), not well validated, and the vendors are not identified. Further to this, four different measurement techniques were used to obtain the data. A simple means to measure the real part of the dielectric constant is desirable, particularly if it can serve as a process control monitor during production. Many methods for measuring the dielectric constant of materials have been developed and used successfully. For a PCB material such as FR4, a practical approach is to fabricate a circuit having easy-to-measure characteristics that can be used to determine the material’s dielectric constant. If such a circuit is modeled accurately with computer-aided design (CAD) software, one can determine the substrate’s dielectric constant by comparing the predictions of the software with the circuit’s mea-

F

Manuscript received February 2, 2006; revised April 7, 2006. The author was with YDI Wireless, South Deerfield, MA 01373 USA. He is now with Northrop Grumman Electronic Systems, Baltimore, MD 21240 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877061

sured characteristics. The extracted dielectric-constant data can then be used to design other circuits. This type of empirical/analytical approach has been demonstrated by a number of researchers in the microwave field. Das et al. used two microstrip lines of unequal length to measure the effective dielectric constant of microstrip [2]. With a computer model of microstrip, they extracted the substrate dielectric constant and were able to achieve a measurement accuracy of 1% over a broad bandwidth. Their method required care in assembling the test fixture, long microstrip lines, and well-matched and repeatable coaxial transitions according to Lee and Nam [3]. Shimin [4], and Verma and Verma [5] used a microstrip patch antenna as the test circuit, and by comparing the resonant frequency predicted by an analytical model with the measured resonant frequency, they determined the dielectric constant of the substrate. For the best results, the substrate had to be 3 –4 larger than the patch. Akhavan and Mirshekar-Syahkal replaced the patch with a microstrip fed slot antenna to overcome some of the limitations of the resonant patch method [6]. In both cases, a different test circuit was required for each frequency of interest. Bernard and Gautray used a ring resonator fabricated on alumina as their test circuit [7]. They placed a test sample of the material of interest on top of the ring resonator. The ring’s resonant frequency was perturbed by the sample, enabling the authors to determine the dielectric constant of the material using an analytical model of the ring. Measurements of several substrates were within 15% of those from a cavity resonator. Similarly, Kantor used microstrip, stripline, and disk resonators to determine the dielectric constant of several microwave PCB materials [8]. Yue et al. measured the characteristic impedance of the stripline, and determined the dielectric constant of the substrate from equations for the impedance [9]. Their technique required a precision coaxial load to terminate one end of the stripline and a full two-port calibration of the vector network analyzer making the measurements. Gruszczynski and Zaradny made measurements of a sample of dielectric of fixed width, metallized on both sides [10]. The primary source of error in their technique was also the coaxial transition. Each of the above techniques, to a varying degree, depends on having well-matched coaxial transitions attached to the substrate sample under test. With increasing frequency, such transitions become difficult to produce, and it is at higher frequencies that accurate knowledge of the dielectric constant of most substrates is most critical and often is not known. A measurement technique that is insensitive to transition mismatch is desirable. Toward that end, Amey and Curilla [11] and Peterson and Drayton [12] used the transmission response of microstrip and

0018-9480/$20.00 © 2006 IEEE

3128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

We can write the transmission response or insertion loss as [12]

(1)

Fig. 1. Two-port network situated between a source and load. (a) With impedances Z . (b) With impedances Z and Z .

coplanar lines with series stubs to extract the dielectric constant of the substrate. Peterson and Drayton demonstrated empirically that their measurement is insensitive to impedance mismatch at the transitions. Another advantage of the stub is that a single circuit has multiple transmission zeroes over a wide frequency band, with each zero yielding a value of the substrate dielectric constant. A limitation of the coplanar version is that higher order modes are excited at the tee junction. In this paper, we extend the work of Peterson and Drayton, first by presenting in Section II a simple proof that theoretically validates their observation that the frequencies of the transmission zeroes of a passive two-port circuit are independent of port mismatch. In Section III, we describe an alternative to the tee circuit, the parallel-coupled resonator, which inherently is well matched. We use this resonator to measure the real part of the dielectric constant of NELCO FR4 over a broad range of frequencies. In modeling our test circuit, we take advantage of the high level of accuracy that commercial circuit simulators can achieve. In particular, we use Agilent Technology’s Advanced Design System (ADS), which includes a standard circuit simulator, based on analytical models, and Momentum, which is based on the method of moments (MoM). We know the dielectric constant of FR4 sufficiently well to design the test circuit. We then fabricate it, measure its insertion response accurately, and compare the data with the predictions of ADS. Due to our confidence in the simulator, we can attribute any difference between the measured and predicted performance primarily to the error in our knowledge of the dielectric constant. With a relatively high- circuit element such as our microstrip resonator, we can accurately adjust the dielectric constant in the simulator until its prediction matches the data at the zero frequencies. In Section IV, we use our measured FR4 dielectric constant data to design an evaluation circuit. We perform a precision thru-reflect-line (TRL) calibration to enable us to measure the -parameters of the circuit at its microstrip inputs, and compare the results with the predictions. Our measured and predicted resonant frequency agreement is within 1%, which is excellent, considering the variations in etch tolerance, metallization thickness, substrate thickness, and dielectric constant typical of most PCB manufacturing processes. II. THEORY Fig. 1 shows a generic two-port network embedded between a microwave source and load. We follow the analysis of Ha [13], and assign the two-port network a scattering matrix S, normalized to the port impedance at which the -parameters were determined [see Fig. 1(a)]. S’ is the generalized scattering matrix of the same two-port network situated between a source and load having impedances and , whose real components are positive for all frequencies in the band of interest [see Fig. 1(b)].

If the source and load impedances are equal to , the two-port is perfectly matched, , and . Now let us assume and , and that has a zero at a frequency . At , the bracketed term in (1) becomes

which is finite valued. Thus, has a zero at the same frequency as . At frequencies away from , the denominator of the bracketed term in (1) is nonzero. Therefore, the only zeroes that appear in are those appearing in , and we can select a two-port circuit with transmission zeroes whose frequencies are dependent on the substrate dielectric constant. If we build and test such a circuit, the frequencies of those zeroes will be insensitive to port mismatches. A calibration of the test equipment should not even be necessary, as verified empirically by Peterson and Drayton [12]. We can use an accurate model of the circuit to extract the value of the dielectric constant at each measured zero frequency. III. TEST CIRCUIT DESIGN AND MEASUREMENT A. Test Circuit Circuits fabricated on FR4, a relatively lossy material, typically have passbands that do not extend above 6 GHz, but they may have reject requirements at higher frequencies. Thus, it would be useful to have accurate dielectric-constant data from approximately 2 to 12 GHz. We know that FR4’s dielectric constant varies slowly over that frequency. If we design a test circuit with half a dozen transmission zeroes over that bandwidth, we will have sufficient data to interpolate values at other frequencies with good accuracy. Fig. 2 shows such a circuit, i.e., a microstrip parallel-coupled resonator. This particular example has zeroes in transmission starting at approximately 2.7 GHz, and repeating approximately every 2.7 GHz. To select the resonator dimensions, we assumed the dielectric constant of the FR4 substrate is 4.5 for all frequencies. Fig. 3 plots the insertion loss of the resonator as predicted by ADS’s circuit simulator and by Momentum. All Momentum analyses used a mesh with at least 15 cells/wavelength at the highest frequency of simulation. Momentum’s edge mesh feature was enabled also. We generated a photo-mask and printed the filter on 14-mil FR4. We confirmed the filter dimensions to be within 0.5 mil of the design and adjusted our model’s dimensions accordingly. The only important circuit dimension is the resonator length, which, along with the dielectric constant of the material, sets the zero frequencies. The

HOLZMAN: WIDEBAND MEASUREMENT OF DIELECTRIC CONSTANT OF FR4 SUBSTRATE

3129

Fig. 2. Microstrip parallel-coupled resonator. Dimensions are in inches. FR4 substrate thickness = 0:014 in. Metallization thickness = 0:007 in (1/2-oz copper).

Fig. 3. Insertion loss of microstrip parallel-coupled resonator—ADS circuit simulator, Momentum, and measurement. Simulations use 4.5 for FR4 dielectric constant.

separation of the resonator and main transmission line only affects the depth of the transmission zero at each frequency. Our test setup consisted of a Hewlett-Packard 8510 vector network analyzer, a Wiltron 3680 K Universal Test Fixture with two K-connector coaxial input ports, and a ground-plane backed FR4 substrate metallized with the test circuit shown in Fig. 2. Since calibration is not critical, we only calibrated the analyzer with a K-connector coaxial calibration. We then placed the test circuit in the test fixture and measured its transmission response over frequency. Fig. 3 plots the measured insertion loss, and it is obvious that our assumed value of 4.5 for the dielectric constant is in error, with the error increasing with increasing frequency. B. Dielectric-Constant Computation To extract the correct frequency-dependent dielectric constant, we adjust manually its value in our ADS circuit simulator and Momentum models at each of the measured reject frequencies until the predicted zero matches the measured zero. We then know the dielectric constant at the reject frequency null. Fig. 4 shows an example at 11.21 GHz. In this case, a dielectric constant of 4.00 in the circuit simulator and 4.03 in Momentum matched the frequencies of the zeroes predicted by the models to the measured results. The values differ slightly because the two analytical methods are different. We extracted the real part of the dielectric constant in this manner at every measured zero frequency through 16.7 GHz, and the results are summarized in Table I. The rise in dielectric constant above 14 GHz, though surprising, has been observed by others [1]. Each set of data can be fit to a third-order polynomial. The polynomial for the circuit simulator, which can be inserted directly into the ADS MSUB block, is

(2)

Fig. 4. Adjustment of substrate dielectric constant (ADS—4.00, MoM—4.03) to match predicted and measured insertion loss at 11.21 GHz.

TABLE I DIELECTRIC CONSTANT OF FR4 VERSUS FREQUENCY FOR ADS’S CIRCUIT SIMULATOR AND MOMENTUM. FR4 MANUFACTURER: NELCO

where is the frequency in gigahertz. After designing a preliminary circuit with the circuit simulator, one should perform an analysis in Momentum, which models the circuit more accurately. Since Momentum does not allow parameterization of the dielectric constant as a function of frequency, one must analyze the circuit over frequency bands narrow enough such that the dielectric-constant variation is small. For instance, if we design a circuit to operate from 3 to 8 GHz, we might use two frequency bands based on the data in Table I for analysis in Momentum, say, from 3 to 5.5 GHz and from 5.5 to 8 GHz. Over these bands, the dielectric-constant variation will be no more than 0.06, approximately 1(1/2)%. For those designers who want to interpolate the Momentum data in Table I, we have generated a third-order fit

(3) It is important to keep in mind that (2) and (3) and the data in Table I may not be valid for FR4 produced by vendors other than NELCO. New data should be measured. IV. VALIDATION CIRCUIT To confirm the accuracy of our dielectric-constant data, we designed a microstrip two-stub reject filter on FR4. This filter was designed to pass the band at 5.7–5.9 GHz while rejecting signals at 3.3 and 11.5 GHz. The design was optimized with

3130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

circuit-boards, which may include costly surface-mount components. REFERENCES

Fig. 5. Microstrip two-stub reject filter for validating the measured dielectric constant of FR4. All dimensions are in inches. Substrate: NELCO 14-mil FR4.

Fig. 6. Microstrip reject filter—comparison of measured and predicted (Momentum) rejection, return loss, and insertion loss.

ADS’s circuit simulator using (2) for the dielectric constant. It was then further adjusted with Momentum, using (3). The layout of the filter is shown in Fig. 5. We fabricated the filter along with TRL calibration standards covering the 2–12-GHz frequency range. With these standards, we deembedded our Wiltron test fixture’s coax-to-microstrip transitions and microstrip lines up to the input and output ports of the filter. As shown in Fig. 6, the measured insertion loss and return loss are within 1% of the performance predicted by Momentum. V. CONCLUSION FR4’s known variability is best managed with a circuit-board process control monitor. The efficient shape and noncritical test conditions of our parallel-coupled resonator make it a good candidate. Its insertion response can be an important part of a specification provided to a circuit-board vendor. These resonators can be placed on the edge of or between the circuits on a standard panel. After the panel has been processed, one can measure the frequency response of the filter to determine if the dielectric constant of the substrate is sufficiently close to the desired value by comparing the frequencies of the transmission zeroes with the specification. The verification test can be used to decide whether or not to separate and assemble the production

[1] J. R. Aguilar, M. Beadle, P. T. Thompson, and M. W. Shelley, “The microwave and RF characteristics of FR4 substrates,” in IEE Low Cost Antenna Technol. Colloq., Feb. 1998, vol. 24, pp. 2/1–2/6. [2] N. K. Das, S. M. Voda, and D. M. Pozar, “Two methods for the measurement of substrate dielectric constant,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 7, pp. 636–642, Jul. 1987. [3] M.-Q. Lee and S. Nam, “An accurate broadband measurement of substrate dielectric constant,” IEEE Microw. Guided Wave Lett, vol. 6, no. 4, pp. 168–170, Apr. 1996. [4] D. Shimin, “A new method for measuring dielectric constant using the resonant frequency of a patch antenna,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 9, pp. 923–931, Sep. 1986. [5] Y. K. Verma and A. K. Verma, “Accurate determination of dielectric constant of substrate materials using modified Wolff model,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, vol. 3, pp. 1843–1846. [6] H. G. Akhavan and D. Mirshekar-Syahkal, “Slot antennas for measurement of properties of dielectrics at microwave frequencies,” in IEE Nat. Antennas Propag. Conf. Dig., 1999, pp. 8–11. [7] P. A. Bernard and J. M. Gautray, “Measurement of dielectric constant using a microstrip ring resonator,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 3, pp. 592–595, Mar. 1991. [8] Y. Kantor, “Dielectric constant measurements using printed circuit techniques at microwave frequencies,” in 9th Electrotech. Conf. Dig., May 1998, vol. 1, pp. 101–105. [9] H. Yue, K. L. Virga, and J. L. Prince, “Dielectric constant and loss tangent measurement using a stripline fixture,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 21, no. 11, pp. 441–446, Nov. 1998. [10] S. Gruszczynski and M. Zaradny, “A simple resonance method of measurement of dielectric constant of thin and intermediate thickness microwave laminates,” in 15th Int. Microw., Radar, Wireless Commun. Conf. Dig., May 2004, vol. 1, pp. 206–209. [11] D. I. Amey and J. P. Curilla, “Microwave properties of ceramic materials,” in Proc. 41st Electron. Comput. Tech. Conf., May 1991, pp. 267–272. [12] R. L. Peterson and R. F. Drayton, “A CPW T-resonator technique for electrical characterization of microwave substrates,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 3, pp. 90–92, Mar. 2002. [13] T. T. Ha, Solid-State Microwave Amplifier Design. New York: Wiley, 1981, pp. 34–35. Eric L. Holzman (S’86–M’89–SM’95) received the B.S., M.S., and Ph.D. degrees from the University of California at Los Angeles (UCLA), in 1984, 1987, and 1989, respectively, all in electrical engineering. In 2004, he joined Northrop Grumman Electronic Systems, Baltimore, MD, as a Consulting Engineer with the Advanced RF Product Technology Department. His research involves design and analysis of active arrays and other antennas operating from UHF to millimeter-wave frequencies. From 1999 to 2004, he was a Senior Microwave Engineer with YDI Wireless, South Deerfield, MA, where he designed antennas and transceiver circuits for a variety of fixed wireless applications. From 1993 to 1999, he was a Principal Engineer and Manager with Lockheed Martin Government Electronic Systems, where he was involved in the design of advanced, solid-state phased arrays. He began his career designing power oscillators, low-noise amplifiers and antennas for the Hughes Missile Systems Company. He has authored approximately 35 publications. He authored Essentials of RF and Microwave Grounding (Artech House, 2006) and Solid-State Microwave Power Oscillator Design (Artech House, 1992). He holds seven patents in the microwave field. He is listed in Who’s Who in Young America (1992). Dr. Holzman a member of Tau Beta Pi and Eta Kappa Nu. He is a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He is past chairman of the Philadelphia Chapter of the IEEE Antennas and Propagation (AP)/Microwave Theory and Techniques (MTT) societies. He was a member of the Organizing Committee for the Benjamin Franklin Symposium (1995–1997). He was the recipient of the 1997 Lockheed Martin Engineer of the Year award for his research on antennas and transmit/receive modules. He is a former Howard Hughes Fellow.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

3131

Deembedding and Unterminating Microwave Fixtures With the Genetic Algorithm Alexei S. Adalev, Nikolay V. Korovkin, Masashi Hayakawa, and Jürgen B. Nitsch, Fellow, IEEE

Abstract—A new method of deembedding a test fixture effect from the data of microwave measurements is presented in this paper. It is shown that the traditional unterminating problem (the problem of finding fixture characteristics) being posed in a finite frequency range may be reduced to a problem of fitting the characteristics of the “thru” experiment. The latter is proposed to be solved by using the genetic algorithm (GA). A special trick is suggested to take fixture power loss into consideration, which is sometimes necessary to increase the goodness of fitting the characteristics. Physically correct constraints for optimization variables and optimal parameters of the GA are discussed in this paper. The method is applicable for all microwave fixtures, except for those whose characteristics are influenced by the relative position of the adapters comprising the fixture. The method does not have any specific frequency limitation and does not require any calibration standards. The results of the experiments performed have validated a high efficiency of the method proposed, and confirm that the accuracy of a deembedding problem solution is close to the goodness of fitting data of the “thru” experiment. Index Terms—Calibration, deembedding, genetic algorithm (GA), microwave network analyzer (NA), scattering-parameter measurement, test fixture.

I. INTRODUCTION HERE ARE a lot of experiments in electrical engineering that are performed with respect to determination of frequency characteristics of a device-under-test (DUT). It is often actually difficult to measure these characteristics directly at microwave frequencies. In a number of cases, measurements are performed at the reference plane of a measuring device [e.g., network analyzer (NA)], which is separated from the DUT by an intervening fixture. This fixture can be represented as a couple of two-ports, between which the DUT is embedded or so) of the two-port [see Fig. 1(a)]. If the parameters ( networks describing the fixture are known, the parameters of the embedded DUT may be determined from the experimental data obtained at the NA reference plane. This procedure is called deembedding [1]. The problem of fixture parameters determination (called unterminating) cannot be solved directly either. These parameters are obtained from the measurements made at the NA ref-

T

Manuscript received February 13, 2006; revised April 12, 2006. A. S. Adalev and M. Hayakawa are with the Department of Electronic Engineering, University of Electro-Communications, Tokyo 182-8585, Japan (e-mail: [email protected]; [email protected]). N. V. Korovkin is with the Electromechanical Department, Saint-Petersburg State Polytechnical University, Saint-Petersburg 195251, Russia (e-mail: [email protected]). J. B. Nitsch is with the Institute for Fundamental Electrical Engineering and Electromagnetic Compatibility, Otto-von-Guericke University, Magdeburg D-39106, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877063

Fig. 1. Deembedding stages. (a) Complete experiment. (b) “Thru” experiment. (c) Representation of the adapters with uniform lossless lines.

erence plane when known devices (standards) are embedded in the fixture. Depending on the set of standards used for unterminating, a lot of calibration techniques have been developed. The most widespread and simple is the conventional shortopen-load-thru (SOLT) calibration where all the parameters of the standards are well known. A limited number of standards and problems related to the accuracy of their characterization result in developing a series of different self-calibration techniques. They do not require the standards to be well known completely. Unknown parameters of the standards are determined together with the DUT parameters during the deembedding procedure. The most popular self-calibration techniques are thru-reflect-line (TRL) and its modifications proposed in [2]–[4]. Some drawbacks of the TRL and of related techniques were partly or completely overcome by some other methods, e.g., [5]–[9]. However, due to the self-calibration property of these techniques, there are some shortcomings that are peculiar to all the methods to a greater or lesser extent. There are frequency limitation [10], a strong dependence of the accuracy on the estimates of standards parameters [4], [11]–[13], a lot of additional experiments related to determination of unknown parameters of the standards, and weak universality due to a limited assortment of the standards. The latter is a very important point. Actually, most of standards have been developed for printed circuit board (PCB) and surface mount technologies (SMTs), whereas in many cases, we often work with air lines using different fixtures. A great variety of lines do not allow us to develop calibration standards for solving the deembedding problem. Certainly in some problems the effect of the fixture may be considered as negligible, but beginning from some frequency, we have to take the fixture into account and develop its

0018-9480/$20.00 © 2006 IEEE

3132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

model. As an example, we can mention the well-known problem of measuring transfer impedance of a coaxial cable when a special triaxial fixture is used (see, e.g., [14] and [15]). In this paper, we propose a new method of deembedding and unterminating that is valid for symmetrical microwave fixtures, which are used very often in practice. In this method, unterminating is considered to be an optimization problem and is solved by using the genetic algorithm (GA) on basis of data of the “thru” experiment. It should be mentioned that the method can be used for deembedding a nonsymmetric fixture as well, if there is a possibility to make separate copies of left and right parts of the fixture. In this case, two unterminating problems should be solved. Each of them is based on data of the “thru” experiment performed by using a real part of the fixture and its copy. For the first time, the idea of the method was used for modeling a part of the triaxial fixture in [15]. Here, we essentially increase an accuracy of the method by reconsidering a set of optimization parameters and involving a special technique of treating fixture power loss. One of the main points of this paper is validation of the method by comparing simulation and experimental results. Some preliminary results appeared in [16]. Here, we discuss the technique in more detail involving new experimental data. In Section II, the optimization problem is posed. Optimization parameters and physically reasonable constraints are discussed in Section III. Section IV describes the algorithm of solution of the optimization problem and some peculiarities of GA implementation. Simulation and experimental results obtained for rod-to-plane (RTP) and microstrip lines are presented in Section V. By emphasizing the main results, Section VI concludes this paper. II. STATEMENT OF THE OPTIMIZATION PROBLEM In the case of a symmetrical fixture, the latter can be represented as two identical two-ports (further called adapters) connected to the DUT with their output terminals 2 and 2’. Let us perform the “thru” experiment by connecting the adapters to each other in the way shown in Fig. 1(b) and measuring -parameters at the NA reference plane. Considering cascaded networks, it is convenient to operate with their chain parameters, which may be easily converted to the -parameters [17]. It is obvious that we cannot determine mathematically adapter parameters by using data only from the “thru” experiment. Indeed, under the assumption of reciprocity, each nonsymmetric adapter is characterized by three independent parameters, whereas the “thru” connection of the adapters reveals a symmetric equivalent two-port with two independent and ) measured in the experiment. A parameters (e.g., product of the chain matrices of the left and right adapters gives us the chain matrix of the “thru” two-port. Considering the right adapter to be a mirrored copy of the left one and taking into account the reciprocity property, we may describe the “thru” experiment by the following system:

(1)

and are the chain parameters of the where left adapter obtained at some frequency . To solve the initial problem, a subsidiary experiment should be performed, e.g., in [4], the “line” experiment is made. This experiment introduces an additional origin of possible errors due to a rough characterization of the line used in this experiment, whereas in this paper, we try to avoid performing any experiment except the “thru” one. Let us look at (1). This system is undetermined and no new test frequency gives us deficient information to solve the problem since three new unknown parameters appear versus two measured ones at each frequency point. Actually an infinite number of test frequencies taken from some limited frequency range give us an infinite number of unknowns. This is a very general mathematical description and it is not reasonable to use (1) directly in practice. Indeed, in a limited frequency range, any real line can be characterized by a finite number of frequency-independent parameters revealing geometrical and material properties of the line. Taking this into consideration, we should conclude that a model of the adapter is characterized by a finite number of frequency-independent parameters, which can be obtained from the experiments made at the same number of test frequencies. Since practical implementation of the adapter model is not required in the deembedding process, any combination of the above-mentioned frequency-independent parameters can be and . Howused for satisfying the characteristics ever, using a “physically correct” model limits the number of possible solutions and allows us to fit the experimental characand using a minimum number of model teristics parameters. The adapter model is considered to be “physically correct” if: 1) model parameters are limited within some reasonable ranges that cover the estimates made for the adapter and 2) the element basis of the model is equal to or wider than that for the real adapter. The first rule is rather obvious. The second one reveals model potential. Indeed, to consider, for example, energy dissipation in the adapter, it is necessary to introduce into the model some parameters that can potentially describe this process. Still it should be emphasized that a model that breaks these rules is also valid for solving deembedding problem since the main aim is to fit the characteristics and obtained in the “thru” experiment. The following “physically correct” model of the adapter is uniform proposed in this paper. We consider the adapter as lossless transmission lines (TLs) connected in cascade [see Fig. 1(c)]. Each line is characterized by a couple of parameters, and delay . Thus, the th i.e., characteristic impedance line can be characterized by the following chain parameters:

(2)

where and . By representing the chain matrix of the adapter as a product of chain matrices of the uniform

ADALEV et al.: DEEMBEDDING AND UNTERMINATING MICROWAVE FIXTURES WITH GA

lines, the following form of (1) can be finally derived for frequencies:

test

3133

TABLE I HIGH PERMEABILITY/PERMITTIVITY MATERIALS

(3)

where . , system (3) becomes completely determined For mathematically and may be solved directly. However, (3) is the system of nonlinear transcendent equations, which is rather . For , even complicated to be solved even for derivation of (3) is a very difficult procedure. Moreover, as a of test frequencies in a real experiment exrule, the number ceeds the number of unknown uniform lines comprising the adapter model. Therefore, an overdetermined problem should be solved. This also embarrasses the direct solution of (3). Instead of solving system (3), which is a rather difficult by solving an opprocedure, we propose to find timization problem of fitting the experimental characteristics and transmission coefficients) obtained (e.g., reflection in the “thru” experiment. It should be mentioned that optimization techniques has already been used for increasing accuracy of solution for the unterminating problem [18]. However, this required redundant data obtained in subsidiary experiments utilizing a great number of known reflective standards. In this paper, we use data only from the “thru” experiment. We consider the optimization problem to be posed for the certain as follows: frequency range

(4) where

and is a minimized functional, and are simulated characteristics of the line in Fig. 1(c). It is obvious that (4), as well as system (3), may have several solutions (adapter models) in a given frequency range. Any of them may be taken for solving deembedding problems in the fre. The frequency characteristics of a model quency range are more similar to that of the adapter, the smaller the corresponding functional value (4). III. OPTIMIZATION PARAMETERS AND CONSTRAINTS Let us first discuss the total number of the optimization parameters and parameter constraints in respect to “physical correctness” of the model.

The first group of parameters appears in the form of the vector consisting of characteristic impedances of the uniform . An upper constraint may be estimated by lines analyzing the adapter structure. Considering the adapter as a nonuniform TL, one may find a segment with the highest characteristic impedance. A rough estimate of this value multiplied . A reasonable by some assurance factor may be used as value for assurance factor is 2–10 depending on the complexity of the adapter. For unknown permeability and permittivity of adapter materials, that is usually true, the adapter may be treated as an air line. Indeed, even ferrites at a frequency more (see than 300 MHz are characterized by the ratio Table I). Thus, the actual characteristic impedance is less than . Finally, it should be noted that that estimated for does not prevent us from getting a solution, but excessive decreases the “physical correctness” of the model. By following the same way, it is possible to determine a lower constraint. However, as the investigations have shown, any small positive value (nonzero, since (2) is used for calculation) may be used . as a lower constraint, e.g., The other group of parameters consists of the delays . Obviously the lower constraint for the parameters of this group should be equal to zero. There is no sense, and rather difficult, to search some appropriate value for the upper constraint for a particular delay. It is more reasonable to limit a total delay corresponding to the whole adapter. It was found that convergence of an optimization process is very sensitive to , and sometimes even a small error in the total delay embarrasses getting the solution of the optimization problem. Since, in a general is unknown, the latter is proposed to case, the exact value of be treated as an optimization parameter with corresponding conis zero and the upper one straints. The lower constraint for can be estimated as follows:

(5) is a maximum estimate of the adapter geometwhere rical length taken with some assurance factor, is the speed of light, and are maximum permittivity and permeability available for present-day electrotechnical materials. Acmay be taken as cording to Table I, the upper constraint for , depending on the presence of ferrites in the transmission path of the adapter.

3134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

The whole set of the optimization parameters may be associ, ated with a normalized parameter vector as follows: where

(6)

Finally, it should be mentioned that, in some cases, energy dissipation in the adapter becomes significant. This does not allow us to fit the experimental characteristics completely by using a lossless adapter model. However, power loss in the adapter may be easily involved into the model by using the following technique. Input power in the “thru” experiment may be obtained as follows:

Fig. 2. Deembedding loss from the characteristics of the “thru” experiment.

where , and are chain parameters of a lossless “thru” two-port enclosed between the conductances . Frequency dependence of may be easily obtained from (7) as follows: where denotes the real part of a complex number, is a complex amplitude of the source voltage, is the input impedance, and is an input conductance. Whereas the power transferred to the load is

(9) Or, by using -parameter notation, it may be represented in the form of the following equation:

where

is a complex amplitude of the voltage across the load, , and is a voltage transmission coefficient. Obviously the power loss in two mirror-image adapters satisfies the following equation:

(7) where is an equivalent parallel conductance preceding a lossless model of the two adapters. is a complicated function of frequency It is obvious that having, in general, a lot of extremes. Moreover, this function is valid only for the “thru” experiment since power loss in a two-port depends on the load (even if the load is completely reactive). Thus, there is no sense to look for an appropriate mathematical function describing a frequency dependence of the power loss and (or) involve additional optimization parameters in the problem. It is easier to deembed the loss before the optimization process and embed them after finding a lossless model. In order to keep the symmetry, it is necessary to consider all the power loss to be dissipated in two identical parallel conductances (Fig. 2). Deembedding should be performed as follows:

(8)

(10)

It should be emphasized that for low-loss adapters, is a subject of errors, since small loss is determined as a difference between two relatively large values and . Therefore, deembedding of loss should be done only in a case of having difficulties with solving (4) for the original characteristics of the “thru” experiment. By following the technique discussed, we present an adapter as a couple of the parallel conductance (10) followed by a lossless model obtained as a solution of the optimization problem (4). Chain parameters of this couple do not depend on the load and may be used in the deembedding problem. Here we consider energy dissipation due to radiation in an adapter to be negligible. Otherwise the deembedding problem has no sense in itself, since chain parameters of radiating adapters depend on the DUT embedded between them. IV. ALGORITHM OF SOLVING THE OPTIMIZATION PROBLEM To choose an optimization method for solving (4), let us discuss some features of the problem. First of all, we should mention a great number of optimization parameters, . An estimate of the minimum number of uniform lines comprising the model may be obtained by performing some trial optimization processes of fitting the experimental characteristics. In any case, the number of optimization parameters is rather

ADALEV et al.: DEEMBEDDING AND UNTERMINATING MICROWAVE FIXTURES WITH GA

3135

Fig. 3. Main unit of the GA.

large, e.g., 10–40 parameters were used in our problems. Secondly, due to a large number of optimization parameters, functional (4) has a lot of local minima. It can be explained at least by the fact that there exist some optimal solutions for the optimization problem posed for a smaller number of optimization parameters. Moreover, it should be noticed that there is generally no any estimate of the initial condition required for beginning the optimization process. The initial condition (initial parameter vector ) has to be chosen randomly. All the features mentioned make it difficult to use gradient or direct search methods of optimization. In this paper, we use one of the evolutionary methods, namely, the GA. GA ability for overcoming the above-mentioned “bad” features of an optimization problem is well known. Therefore, it has been successfully used for solving a lot of electromagnetic optimization problems [20]. Let us briefly discuss the GA implementation used for optimization in this paper. We consider a real-coded GA since binary-coded GAs are less efficient when applied to problems with a great number of parameters [21]. In a real-coded GA, optimization variables appear directly in a chromosome (Fig. 3) in the form of genes , where and are constraints posed in the problem. Thus, a value of the optimized function , often named as a fitness function, can be calculated for each chromosome (parameter vector). Each pair “chromosome–fitness function value” is called the “GA person” and is considered as a main unit of the algorithm. Showing a parallel calculation, the GA works with a population of persons at each iteration , where is the number of iterations. A population (Fig. 4) is considered to be ordered in respect to fitness function values so that the best person takes the first place in the population. The GA repeatedly modifies a population of persons (individual solutions) “evolving” toward the optimal solution. The selection of choosing the th person as a parent is performed according to the roulette principle with probability depending on fitness function

Fig. 4. Implementation of the GA.

TABLE II GA PARAMETERS

a long “life time.” Generally, for optimization we used , where is the number of optimization parameters, and . A new generation (children) of the size is created from the parents by using three main GA operators, i.e., Crossover, Gemmation, and Mutation. We use the scattered crossover, i.e., each gene of a child is chosen randomly between the corresponding genes of two parents. Some genes of the child chromosome are then mutated. In the gemmation procedure, one parent is chosen from the population and one offspring is generated directly by mutating the parent chromosome. We use a multiply uniform mutation of a chromosome when some randomly chosen genes in the chromosome are replaced by mutated ones determined as follows: (12)

(11)

is an integer number chosen randomly from the range is a random value from the range , and is a maximum relative value of mutation. Table II lists the mutation parameters used for optimization.

where is used for fitness function scaling, where the power is the number of persons in a population, and is the worst value of the fitness function among all the persons in the population. In the present GA realization, an elitist strategy is used, i.e., at each iteration, best chromosomes in the population form an Elite and automatically survive to the next generation having

V. SIMULATION AND EXPERIMENTAL RESULTS One of the most popular air lines, whose responses are studied in different problems, is the RTP line. The problem of deembed-

3136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 5. RTP line with two feeding cone-shaped increasers. Fig. 7. Equivalent conductance (10) obtained for the “thru” experiment.

S -parameters obtained at NA reference plane

Fig. 8. Optimization process convergence for the “thru” experiment of the adapters in Fig. 5.

ding a microwave fixture becomes especially obvious for such a line when the diameter of the rod exceeds 2–3 mm. In this case, a special cone-shaped increaser (Fig. 5) is applied to feed the line by using one of microwave coaxial connectors, e.g., of subminiature A (SMA) type. Rods for the RTP line used in our experiments were made from brass as solid structures. One rod was made for the complete experiment (with DUT embedded according to Fig. 5). Another solid rod (without the central part of the length 150 mm) was made for the “thru” experiment. The plane and angle bars were made from aluminium. The characteristics obtained in the complete experiment (with the uniform RTP line as a DUT) are presented in Fig. 6. The first aim is to solve the unterminating problem and create a model of the adapter. For this purpose, the “thru” experiment was performed. Being based only on the rough estimates of the geometrical dimensions of the adapter, the following constraints were chosen according to Section III for solving (4): , mm, . Actually, we failed to solve (4) for the original characteristics of the “thru” two-port (two-port formed by two adapters in the “thru” experiment) since the GA could not find any combination of lossless uniform lines providing a good fitting of the characteristics (giving a sufficiently small value of the functional). The situation became better after the deembedding loss

according to (8). The equivalent conductance (10) preceding a lossless model of the adapter is presented in Fig. 7. Having deembedded the loss, an optimization problem (4) for a lossless “thru” two-port was solved taking different number of uniform lines ( and ). The variant of ten lines is chosen as the main one since it showed practically similar results (in respect to fitness function) with that for . Optimization process was stopped after 3000 iterations. Each iteration took approximately 5.4 s (totally 4 h and 32 min). Algorithm convergence is presented in Fig. 8. A rather good indicator of globality of the solution presented by the best chromosome is an Elite Similarity. This parameter is determined as a mean value of the normalized dot products (cosine of the angle between two vectors) calculated for all the pairs of chromosomes in an Elite. The moment of an abrupt Elite degeneration may be clearly observed in Fig. 8 at . It is obvious that the optimization process may be stopped earlier, namely, when a mean value of Elite fitness functions is close to the best one (at 200th–300th iteration). The solution of (4) obtained for ten lines is presented in Fig. 9 (solid curve), where propagation time is considered to be calculated for the front of a wave. The estimate of the characteristic impedance distribution calculated on the basis of the dimensions shown in Fig. 5 is specified via a dashed curve. The optimized distribution is rather different from the estimate. However, both of them provide total time delays, which are quite close to the

Fig. 6. Frequency response of for the RTP line (Fig. 5).

ADALEV et al.: DEEMBEDDING AND UNTERMINATING MICROWAVE FIXTURES WITH GA

3137

Fig. 9. Characteristic impedance distribution for the adapter in Fig. 5. Fig. 11. Characteristics of the DUT embedded between the RTP adapters: obtained by using the complete adapter model (13) (solid curve) and obtained by = 200 ps as an adapter model using the 50- line with delay  = T (dashed–dotted curve). Characteristics of the ideal lossless air RTP line 150-mm long formed by the rod of diameter 8 mm, hung at a height 20 mm over the perfect ground (dashed curve).

two adapters [see Fig. 1(a)]. A matrix of chain parameters of the DUT may be obtained as follows:

(14)

Fig. 10. Characteristics of the “thru” connection of the actual RTP adapters (dashed curve) and their models (solid curve).

experimental one. The experimental value of the adapter time delay was determined as a half of the frequency-average group delay calculated for the “thru” experiment. Chain parameters of the complete model of the adapter may be easily obtained by embedding the loss as follows:

(13) where and are chain parameters of the lossless model of the adapter (see Fig. 2) built with the system of cascaded lossless uniform lines , where . Characteristics of the “thru” connection of two complete adapter models (Fig. 10, solid curves) fit the experimental ones (dashed curves), obtained for the real adapters, quite good. Deembedding problem consists in extracting frequency characteristics of the DUT from the experimental data of the complete experiment (Fig. 6) when the DUT is installed between

where is a matrix of chain parameters of the two-port formed in the complete experiment by two adapters and the DUT. The characteristics obtained for the RTP line (Fig. 5) by following (14) are presented in Fig. 11 with solid curves. The characteristics obtained by presenting the adapter as a delay-line with delay ps are also shown (dashed–dotted curves). For comparison, calculated characteristics of the ideal lossless air RTP line (height: 20 mm, rod diameter: 8 mm, length: 150 mm) are depicted in Fig. 11 via dashed curves. Assuming that the actual characteristics of the DUT are close to that for the ideal RTP line (dashed curves), we notice that using the technique proposed in this paper is considerably more effective than only shifting phase. The example discussed above does not let us completely understand the effectiveness of the method proposed in this paper since the actual characteristics of the DUT are not known definitely. To validate the method, we made a set of microstripbased lines: two adapters and a DUT (Fig. 12) whose frequency characteristics may be easily measured with an NA. To provide both “thru” connection of the adapters and the complete experiment (left adapter–DUT–right adapter), each piece has necessary SMA connectors and adapters, which are shown schematically in Fig. 12. The right adapter was made as a mirrored copy of the left one, which was checked by comparing their frequency characteristics. As the investigations have shown, a good fitting of the characteristics obtained in the “thru” experiment may be reached by using only a system of lossless uniform lines (Fig. 13). The extraction of loss (embedding and deembedding) is not necessary due to their smallness. Indeed, the equivalent conductance (10)

3138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 14. Characteristic impedance distribution for the left adapter in Fig. 12. Fig. 12. Set of microstrip-based lines (PTFE/FR4 substrate of the thickness 1.57 mm, dielectric constant is approximately 4.2 4.7) used for validation of the method proposed in this paper.



Fig. 15. Frequency characteristics of the actual left adapter in Fig. 12 (dashed curve) and its model obtained as a solution of the unterminating problem (solid curve).

Fig. 13. Characteristics of the “thru” connection of the actual microstrip adapters (dashed curve) and their models (solid curve).

obtained for this fixture is at least five times less than that for the RTP fixture studied earlier (see Fig. 7). This may be easily explained by the fact that, in the frequency range considered, ohmic loss in the conductors constitutes most of the total loss, whereas a volume of conductors in the RTP fixture exceeds that for the microstrip one considerably. A solution of (4) was obtained for ten uniform lines by using the following constraints: , mm, and . Optimization process was stopped at the 300th iteration (optimization time is approximately 30 min). The functional value reached is approximately 0.04, and the similarity of the Elite at the final iteration is approximately 0.98. The solution of (4) is presented in Fig. 14 (solid curve). An estimate of impedance distribution based on the dimensions specified in Fig. 12 is depicted via a dashed curve. Despite the difference in characteristic impedance distributions in Fig. 14, a rather good fitting of the “thru” characteristics results in a good approximation of the adapter characteristics (Fig. 15). It should be mentioned that the latter is observed for both directions of the wave propagation.

Fig. 16. Frequency characteristics obtained after deembedding the microstrip fixture (left and right adapters in Fig. 12) from the data of the complete experiment using the created adapter model (solid curve) and experimental characteristics of the actual DUT (dashed curve).

An accuracy of a deembedding problem solution depends on that for the unterminating problem. The characteristics of the DUT obtained after deembedding the microstrip fixture from the data of the complete experiment are presented in Fig. 16. It may be seen here that the solution accuracy is close to the goodness of fitting data of the “thru” experiment (Fig.13).

ADALEV et al.: DEEMBEDDING AND UNTERMINATING MICROWAVE FIXTURES WITH GA

VI. CONCLUSION The method proposed in this paper allows us to deembed a microwave fixture from experimental data measured at the NA reference plane, only on the basis of characteristics of the “thru” subsidiary experiment. The method is applicable for all microwave fixtures, except for those whose characteristics are influenced by the relative position of the adapters comprising the fixture. In contrast to the self-calibration techniques, the method does not have any frequency limitation and does not require any calibration standards for performing the experiments. This paper has shown that the traditional unterminating problem being posed in a finite frequency range may be reduced to a problem of fitting the characteristics of the “thru” experiment. The basic model of an adapter is a system of cascaded lossless uniform lines whose parameters (characteristic impedance and delay) are considered to be optimized. Moreover, a special technique proposed allows us to extend the basic model by involving fixture power loss whose presence sometimes makes a significant obstacle to a good fitting of the characteristics. Physically correct constraints for optimization parameters may be chosen according to the recommendations proposed in this paper. The recommendations are based on the review of present-day electrotechnical materials used for microwave applications. The GA is considered to be one of the most appropriate optimization techniques for solving the problem posed in this paper. The investigations performed have revealed optimal GA parameters, which enabled us to find the solution of the problem in a relatively short time. The results of the experiments performed have validated a high efficiency of the method proposed and confirm that the accuracy of the deembedding problem solution is close to the goodness of fitting the data of the “thru” experiment. REFERENCES [1] R. F. Bauer and P. Penfield, “De-embedding and unterminating,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 3, pp. 282–288, Mar. 1974. [2] G. F. Engen and C. A. Hoer, “Thru-reflect-line: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979. [3] C. A. Hoer and G. F. Engen, “On-line accuracy assessment for the dual six-port ANA: Extension to nonmating connectors,” IEEE Trans. Instrum. Meas., vol. IM-36, no. 4, pp. 524–529, Aug. 1987. [4] M. B. Steer, S. B. Goldberg, P. D. Franzon, and A. Enders, “Comments on ‘An accurate measurement technique for line properties, junction effects, and dielectric and magnetic parameters’,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 410–411, Feb. 1992. [5] H.-J. Eul and B. Schiek, “A generalized theory and new calibration procedures for network analyzer self-calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 4, pp. 724–731, Apr. 1991. [6] H. Heuermann and B. Schiek, “Line network network (LNN): An alternative in-fixture calibration procedure,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 3, pp. 408–413, Mar. 1997. [7] K. J. Silvonen, “A general approach to network analyzer calibration,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 4, pp. 754–759, Apr. 1992. [8] I. Rolfes and B. Schiek, “Calibration of vector network analyzers on the basis of the LRR-method,” Adv. Radio Sci., no. 1, pp. 21–25, 2003. [9] T. E. Kolding, “A four-step method for de-embedding gigahertz on-wafer CMOS measurements,” IEEE Trans. Electron Devices, vol. 47, no. 4, pp. 734–740, Apr. 2000.

3139

[10] N. H. Zhu, C. Qian, Y. L. Wang, E. Y. B. Pan, and P.-S. Chung, “Frequency limitation in the calibration of microwave test fixtures,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 9, pp. 2000–2006, Sep. 2003. [11] J. P. Mondal and T.-H. Chen, “Propagation constant determination in microwave fixture de-embedding procedure,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 4, pp. 706–714, Apr. 1988. [12] R. B. Marks and D. F. Williams, “Characteristic impedance determination using propagation constant measurement,” IEEE Microw. Guided Wave Lett., vol. 1, no. 6, pp. 141–143, Jun. 1991. [13] D. F. Williams and R. B. Marks, “Accurate transmission line characterization,” IEEE Microw. Guided Wave Lett., vol. 3, no. 8, pp. 247–249, Aug. 1993. [14] F. M. Tesche, M. V. Ianoz, and T. Karlsson, EMC Analysis Methods and Computational Models. New York: Wiley, 1997. [15] N. V. Korovkin, J. Nitsch, and H.-J. Scheibe, “Improvement of cable transfer impedance measurement with the aid of the current line method,” in IEEE Int. Electromagn. Compat. Symp., Istanbul, Turkey, May 11–16, 2003, pp. 211–215. [16] A. S. Adalev, N. V. Korovkin, and M. Hayakawa, “De-embedding microwave fixtures with the genetic algorithm,” in IEEE 6th Int. Electromagn. Compat. Electromagn. Ecol. Symp., Saint-Petersburg, Russia, Jun. 21–24, 2005, pp. 190–194. [17] R. E. Collin, Foundations for Microwave Engineering., 2nd ed. New York: McGraw-Hill, 1992. [18] D. Williams, “De-embedding and unterminating microwave fixtures with nonlinear least squares,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 6, pp. 787–791, Jun. 1990. [19] J. Smit and H. P. J. Wijn, Ferrites: Physical Properties of Ferrimagnetic Oxides in Relation to Their Technical Application. Eindhoven, The Netherlands: Philips’ Tech. Library, 1959. [20] Y. Rahmat-Samii and E. Michielssen, Eds., Electromagnetic Optimization by Genetic Algorithms Ser., ser. Microw. Opt. Eng. New York: Wiley, 1999. [21] L. Chambers, Ed., The Practical Handbook of Genetic Algorithms, Applications, 2nd ed. New York: Chapman & Hall/CRC, 2001.

Alexei S. Adalev was born in Ryazan, Russia, on November 11, 1975. He received the B.S., M.S., and Ph.D. degrees in electrical engineering from SaintPetersburg State Polytechnical University, Saint-Petersburg, Russia, in 1996, 1998, and 2001, respectively. In 2001, he was a Teaching Assistant with St. Petersburg State Polytechnical University, Chair of Theoretical Electrical Engineering, and then an Assistant Professor in 2003. In 2001, he gained practical experience with the D. V. Efremov Scientific Research Institute of Electrophysical Apparatus, Saint-Petersburg, Russia, as a Principal Engineer. He is currently with the University of Electro-Communications, Electronic Engineering Department, Tokyo, Japan, mainly involved with the identification and analysis of electrical devices described by stiff and ill-conditioned mathematical models, evolutional optimization, biological effect of electromagnetic field (EMF) and other electromagnetic compatibility (EMC) problems.

Nikolay V. Korovkin was born in Leningrad, Russia, on January 9, 1954. He received the M.S., Ph.D., and Doctor degrees in electrical engineering from SaintPetersburg State Polytechnical University, Saint-Petersburg, Russia, in 1977, 1984, and 1995, respectively. Since 1997 he has been a Professor with the Electromechanical Department, Chair of Theoretical Electrical Engineering, Saint-Petersburg State Polytechnical University. He was also a Visiting Professor with the University of Electro-Communications, Tokyo, Japan, the Swiss Federal Institute of Technology (EPFL), Zürich, Switzerland, and the Otto-von-Guericke University Magdeburg, Magdeburg, Germany. His main scientific interests are EMC problems, stiff systems, impulse processes in linear and nonlinear systems, and “soft” methods of optimization. Prof. Korovkin is a member of the St. Petersburg Division, International Power Academy (since 1996).

3140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Masashi Hayakawa was born in Nagoya, Japan, on February 26, 1944. He received the M.S. and Ph.D. degrees in electrical engineering from Nagoya University, Nagoya, Japan, in 1968 and 1974, respectively. In 1970, he joined the Research Institute of Atmospherics, Nagoya University, as a Research Associate, and became an Assistant Professor in 1978, and an Associate Professor in 1979. In 1976, he was a Visiting Lecturer with Sheffield University, Sheffield, U.K. From 1980 to 1981, he was a Visiting Professor with the Centre de Recherches en Physique de l’Environnement, Orleans, France. Since 1991, he has been a Professor with the University of Electro-Communications, Tokyo, Japan. He is currently an Associate Editor of Radio Science (USA), the Journal of Atmospheric Electricity (Japan), and is on the Editorial Board of the Indian Journal of Radio and Space Physics. His interests are magnetospheric/ionospheric radio emission, atmospheric electricity (Schumann resonance, sprite, etc.), seismo-electromagnetics, EMC, direct and inverse problems of wave propagation, and modern methods of signal-processing seismogenic radio emission. Prof. Hayakawa was the international chair of Commission E of URSI, and is the former president of the Society of Atmospheric Electricity of Japan. He is a member of URSI, the American Geophysical Union, The Society of Atmospheric Electricity of Japan, The Society of Geomagnetism and Earth, Planetary and Space Science, the Institute of Electronic, Information and Communication Engineers (IEICE), Japan, and the Institute Electrical Engineers of Japan.

Jürgen B. Nitsch (F’04) was born in Königsberg, East Prussia, in 1943. He received the M.S. and Ph.D. degrees in theoretical physics from the University of Cologne, Cologne, Germany, in 1971 and 1974, respectively. From 1974 to 1986, he was a Research Assistant and Assistant Lecturer with the University of Cologne. In 1981, he became a University Lecturer (Habilitation) and received the Venia Legendi. In March 1993, he became an Ausserplanmässiger Professor. From 1986 to March 1997, he was a Civil Servant as Head of the Electromagnetic Engineering Department, NBC Research and Development Institute, Federal Armed Forces, Munster, Germany. From 1989 to 1990, he spent a sabbatical year with the Air Force Research Laboratory, Albuquerque, NM. Since April 1997, he has been a Full Professor with the Otto-von-Guericke-University, Magdeburg, Germany. He edited and coauthored Fundamental Problems of Modern Physics (Bi-Verlag, 1980). He has authored or coauthored over 150 publications and reports related to nuclear, relativistic, and electromagnetic research. His current main research interests include electromagnetic interactions with systems and cables, network analysis, and numerical methods in electromagnetics. Dr. Nitsch is a member of the IEEE–EMC Committee of the German Section, head of the German URSI Commission E, and member of the North Atlantic Treaty Organization (NATO) Research and Technology Organization Sensors and Electronics Technology Panel Working Group 01. In June 1998, he became an elecromagnetic pulse (EMP) Fellow of the SUMMA Foundation.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

3141

The Performance of Analog Photonic Links Employing Highly Compressed Erbium-Doped Fiber Amplifiers Vincent J. Urick, Member, IEEE, Matthew S. Rogge, Member, IEEE, Frank Bucholtz, Member, IEEE, and Keith J. Williams, Member, IEEE

Abstract—We present the tradeoffs of employing highly compressed erbium-doped fiber amplifiers (EDFAs) in analog microwave photonic links. We employ the newly developed concept of noise penalty and introduce the concept of generalized relative intensity noise to facilitate system design. Theoretical and experimental results demonstrate that a highly compressed EDFA: 1) can achieve near shot-noise-limited performance, even with large optical noise figure and 2) can simultaneously increase the spurious-free dynamic range, increase the compression dynamic range, increase the analog gain, and decrease the analog noise figure, as compared to an unamplified link with identical components. Index Terms—Microwave photonics, optical amplification, relative intensity noise (RIN).

Fig. 1. Architecture for the evaluation of an optically amplified analog photonic link. EDFA: erbium-doped fiber amplifier, MZM: Mach–Zehnder modulator, PD: p-i-n photodiode.

SFDR, and in an optically amplified analog photonic link are derived and, in Section III, experimental data demonstrate the utility of the expressions for analog link design. II. PERFORMANCE METRICS FOR ANALOG PHOTONIC SYSTEMS

I. INTRODUCTION HOTONIC links provide promising alternatives for functions traditionally fulfilled by all-microwave components in both commercial and military applications. Such applications include signal routing in radar systems [1], wideband signal processing and channelization [2], true-time delay for phased-array antennas [3], and radio over fiber [4]. A photonic link offers much higher available bandwidth, reduced size and weight, increased flexibility, and reduced susceptibility to electromagnetic interference. In order to optimize the analog performance of such links, in terms of RF gain ( ), RF noise factor ( ), spurious-free dynamic range (SFDR), and 1-dB compression dynamic range ( ), large received optical power is desired. Therefore, optical loss due to, for example, electrooptic modulators, wavelength-division multiplexers, optical filters, and optical switches must be offset by high-power laser transmitters and/or optical amplification. A shot-noise-limited laser with enough output power for a particular application is the most desirable solution, but here we show that a highly compressed erbium-doped fiber amplifier (EDFA) can achieve performance near the fundamental shot-noise limit. We also demonstrate that the addition of an EDFA to an analog photonic link can significantly improve all analog performance metrics, even in the case of quite large optical noise factor ( ). In Section II, analytical expressions for , ,

P

Manuscript received January 19, 2006; revised April 4, 2006. This work was supported by the U.S. Department of Defense. The authors are with the Naval Research Laboratory, Washington DC 20375 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877065

, , SFDR, and are derived Expressions for for an analog photonic link. The most common modulation format is assumed, namely, an intensity-modulated link employing a quadrature-biased Mach–Zehnder modulator (MZM), as shown in Fig. 1. We further assume that a single EDFA is placed before the modulator to amplify the transmit laser and that direct detection with a p-i-n photodiode is employed. This pre-modulation optical amplification in a short-length link is a distinctly different application than, say, optical amplification in long-haul analog links, such as in a cable-television system, where optical amplification is employed to boost signal power after transmission loss. In the following analytical treatment of the system in Fig. 1, all equations are in linear units and we refer the reader to the Appendix for compact logarithmic versions of the equations intended as a reference for optically amplified analog system design. We first write the optical electric field at the output of the laser as , where is the center frequency of the laser and is the electric field amplitude. We can calculate the optical electric field at the outputs of the MZM by using the transfer matrix

(1) where is the phase shift due to the applied dc quadrature-bias voltage and RF drive voltage. Here, is the peak RF signal voltage at frequency and is the frequency-dependent peak voltage required to yield -peak phase shift. Also, is the gain of the EDFA

U.S. Government work not protected by U.S. copyright.

3142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

and it is assumed that there is no optical loss. Using (1), the small-signal output RF power can be calculated and, hence, the small-signal RF gain can be derived as

is the zeroth-order Bessel function, which is solved to yield . For the two-tone 1-dB compression dynamic range ( ), we can then write

(2)

(6)

where is the dc photocurrent and and are the input and output link impedances, respectively. It is important to point out here that (2) holds for any quadrature-biased-MZM photonic link, as long as the received photocurrent corresponds to the optical signal only. For the situation considered here, a highly compressed EDFA, the dc photocurrent due to spontaneous emission is negligible. However, in cases where the spontaneous emission contributes significantly to the total dc photocurrent, (2) will not yield the correct RF gain. To determine , we assume a thermally limited input signal such that , where is the total frequency-dependent output noise spectral density, is Boltzmann’s constant, and is the temperature. At this point, it is useful to introduce the concept of “generalized relative intensity noise ( )” defined as the ratio of the total output noise spectral density to the average dc signal power . Using the definition of and (2), the can be written as

Equations (2)–(6), therefore, give five frequency-dependent metrics for an analog photonic link. With and fixed, the system performance is optimized by maximizing and minimizing . While seemingly simplistic, the ramifications of this approach in optically amplified analog link design are not entirely obvious. Next, we expand in terms of the following six possible sources of RIN in an optically amplified photonic link: 1) laser RIN ; 2) input thermal RIN ; 3) output thermal RIN ; 4) shot RIN ; 5) RIN due to signal-spontaneous beating 6) RIN due to spontaneous-spontaneous beating . An analytical expression can be written for all of these terms, except for , which is typically a measured frequencydependent quantity specific to a particular laser. The expressions for input and output thermal-noise-limited RIN and shot-noiselimited RIN are given by

(3) (7) The two-tone SFDR for a quadrature-biased MZM can be written as [5] , where is the output-referenced third-order intercept point (OIP3). Again using the definition of , we can rewrite the SFDR as

(4) The single-tone for a quadrature-biased MZM is given by , where is the phase-shift amplitude for 1-dB compression. By setting the ratio of the linear approximation for the RF output power to the exact RF output power equal to 1 dB, we arrive at , where is the first-order Bessel function, which is solved to yield . Also, note here that the peak input voltage at 1-dB compression is . Like SFDR and , can then be written in terms of as (5) Equation (5) gives the 1-dB CDR for a single RF input. In practice, multiple RF signals simultaneously modulate the optical carrier. An experimental example of this is the commonly used two-tone test. With two equal-amplitude signals applied to the MZM, the equation for the phase-shift amplitude at 1-dB compression is , where

(8) (9) where it is assumed in (7) and (8) that the input and output link impedances are matched to the source and load, respectively, and is the elementary charge constant. For the RIN due to optical amplification, we use the equations in [6] to derive

(10) (11) is the optical input power into where is Planck’s constant, the EDFA, and is the optical bandwidth. In deriving (10) and (11), we used the high-gain limit, as well as the assumption that . In this limit, note that of the six contributing RIN terms, only and are RF-frequency dependent (if the photodiode frequency response is neglected). For a low-noise laser, (9) typically dominates an unamplified system and is a fundamental limit on the system performance, whereas (10) typically will dominate optically amplified systems. However, by comparing (10) and (9), it is quite conceivable that if is low enough and if there is post-EDFA loss (decreased ), then can be comparable to in an optically amplified link [7], [8].

URICK et al.: PERFORMANCE OF ANALOG PHOTONIC LINKS EMPLOYING HIGHLY COMPRESSED EDFAs

Fig. 2. Measured RIN spectra for I = 20 mA using the Er : glass laser (solid black) and the Nd–YAG laser (solid gray). Also shown are the shot-noise-limited RIN (dashed black), the extrapolated Er : glass laser RIN (dotted black), and the extrapolated Nd–YAG laser RIN (dotted gray).

We, therefore, write the noise penalty [7], [8] for an optically amplified link as

(12) which describes how close the noise performance of an optically amplified link is to fundamentally limited (shot-noise limited) noise performance. We demonstrate in Section III that, in spite of the degradation in optical noise figure (NF) due to the EDFA, an optically amplified analog link can achieve near shot-noise-limited performance and we show that the addition of a highly compressed EDFA into a photonic link can simultaneously increase , SFDR, and , while decreasing . III. EXPERIMENTAL RESULTS Using the architecture shown in Fig. 1, the performance of an optically amplified photonic link employing a highly compressed EDFA has been evaluated. An Er : glass laser at 1.55 m with 18.5-dBm output power (METEOR Laser, CLR Photonics, Louisville, CO) was employed for experiments with and without the EDFA. In addition, an Nd–YAG laser at 1.32 m with 22.8-dBm output power (M125N, Lightwave Electronics, Mountain View, CA) was used as a shot-noise-limited comparison. The total RIN spectra using these lasers are shown in Fig. 2 for mA. The relaxation oscillations for each laser are near 300 kHz, but the Nd–YAG falls off much quicker. However, in either case, the extrapolated is below 190 dBc/Hz at 1 GHz and the total RIN is limited by shot noise for 20-mA photocurrent at 1 GHz. Therefore, all measurements at 1 GHz should not be affected by with either the Nd–YAG or Er : glass laser in the system. The EDFA used in the experiments employs a counterpumped all-polarization-maintaining component design with a maximum output power of approximately 26 dBm and a

3143

Fig. 3. Measured fundamental (diamonds) and third-order intermodulation (triangles) responses for the unamplified (black) and amplified (gray) Er : glass = 2:9 mA, resulting in laser link at 1 GHz. For the unamplified link, I G = 13 dB, NF = 23 dB, and CDR = 152 dB Hz; for the amplified link, I = 16:8 mA, resulting in G = 2 dB, NF = 20 dB, and CDR = 155 dB Hz.

0

1

1

small-signal gain of 41 dB (PMFA 25, PriTel Inc., Naperville, IL). The MZM (Mach-10 P/N 10059-000, Covega, Jessup, MD) had V and V at 1 GHz for 1.55 and 1.32 m, respectively, and the photodiode (DSC-50, Discovery Semiconductor, Ewing, NJ) had a 0.8-A/W responsivity. Finally, for these experiments, . The experiments comprised the measurement of , , SFDR, and using the Nd–YAG laser, the unamplified Er : glass laser, and the amplified Er : glass laser. For all of the amplified experiments, the output power of the Er : glass laser was fixed at 18.5 dBm and the pump power for the EDFA was varied in order to adjust its output power. There are two comparisons to be made with these measurements. First, analog system performance using the Er : glass laser was compared with and without the EDFA. Second, system performance in the shotnoise limit, obtained using the Nd–YAG laser, was compared to the performance of the amplified Er : glass laser for the same received photocurrent. Note that both of these measurements address the scenario of a high-power source followed by optical loss, measured to be 12.9 dB in this case. To compare the amplified and unamplified Er : glass laser link performance, the analog performance was first measured without the EDFA using a two-tone test at 1.00 and 1.01 GHz with mA. The results of this measurement were limited by shot noise ( dBc/Hz) and are dB, dB, dB Hz , and dB Hz (see Fig. 3). For the amplified link, the EDFA was pumped at the maximum specified power, which corresponds to a pump current of 900 mA for this particular EDFA. This resulted in an EDFA output power of 26.1 dBm (7.6-dB optical gain) with dB. The resulting photocurrent was 16.8 mA and the measured was 163 dBc/Hz. Note that even with high , the noise penalty as defined by (11) is only 4 dB. In other words, the system performance is limited by a combination of dBc/Hz and dBc/Hz. As shown in Fig. 3, this resulted in dB, dB, dB Hz , and dB Hz,

3144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

results are significant in terms of analog system design and we present the necessary analytical expressions to complement the experimental results. In particular, we cite the convenience of the newly developed optical amplifier noise penalty and the concept of generalized RIN. APPENDIX Here, we list the logarithmic versions of the previous equations. The additional assumptions are made that and that THz. We also explicitly write the units in square brackets to avoid confusion with the equations in Section II. The aforementioned logarithmic versions are as follows: Fig. 4. Measured SFDR for the amplified Er : glass link as a function of pump current for the EDFA (black squares). For comparison, the calculated shot-noise-limited SFDR for the same optical power is shown (gray squares). In addition, measured EDFA noise figure (gray triangles), EDFA gain (black circles), and noise penalty (black triangles) are also shown.

dB mA

V

(13)

dB V

dBc Hz

(14)

dB Hz all quite significant improvements over the unamplified link even with a high . The analog performance of the Nd–YAG link was measured at mA and compared to the amplified Er : glass link at the same photocurrent, again using a two-tone test. For the shot-noise-limited Nd–YAG link, dBc/Hz and dB, dB, dB Hz , and dB Hz. By adjusting the pump current to the EDFA, the amplified Er : glass link achieved dB, dB, and dB Hz , and dB Hz for mA ( dBc/Hz). For this pump current of 350 mA, dB, whereas dB. The difference in between the two links is due to the differing for each wavelength. Taking this into account for the measured , it has been demonstrated that there is no penalty in for the amplified link and the penalties for and are equal to NP[dB], whereas the SFDR penalty is (2/3)NP[dB]. Noting that the Nd–YAG is the highest power shot-noise-limited laser at 1 GHz that is available to us, we plot in Fig. 4 the measured SFDR for the amplified Er : glass link as a function of pump power against the calculated SFDR for a shot-noise-limited system operating at the same photocurrent. Also shown in Fig. 4 are the EDFA gain, and NP, demonstrating that NP can be quite low for the highly compressed EDFA ( 34 dB into compression). In addition, the NP decreases as the EDFA is forced harder into compression, even though rises. IV. CONCLUSION Increased analog performance in terms of RF gain, RF noise factor, SFDR, and 1-dB CDR has been demonstrated using a highly compressed EDFA, as compared to an identical unamplified link. The amplified link results may be slightly counterintuitive in that the optical noise factor was quite high. We further demonstrate that the analog performance penalties of links employing highly compressed optical amplifiers can be quite low as compared to the fundamental shot-noise limit. Both of these

dBc Hz

(15)

dBc Hz dB Hz dBc Hz

(16)

dB Hz

(17)

dBc Hz V

(18)

mA

(19)

mA

(20)

dBc Hz dBc Hz dBc Hz dB

dBm

(21)

dBc Hz dB

dBm

GHz (22)

REFERENCES [1] G. C. Tavik, C. L. Hilterbrick, J. B. Evans, J. J. Alter, J. G. Crnkovich, J. r., J. W. de Graaf, W. Habicht II, G. P. Hrin, S. A. Lessin, D. C. Wu, and S. M. Hagewood, “The advanced multifunction RF concept,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1009–1020, Mar. 2005. [2] J. Capmany, B. Ortega, D. Pastor, and S. Sales, “Discrete-time optical processing of microwave signals,” J. Lightw. Technol., vol. 23, no. 2, pp. 702–723, Feb. 2005. [3] A. L. Campillo, E. E. Funk, D. A. Tulchinsky, J. L. Dexter, and K. J. Williams, “Phase performance of an eight-channel wavelength-division-multiplexed analog-delay line,” J. Lightw. Technol., vol. 22, no. 2, pp. 440–447, Feb. 2004. [4] E. E. Funk, V. J. Urick, S. J. Strutz, J. L. Dexter, and K. J. Williams, “110 km 256-QAM digital microwave over fiber link,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 3, pp. 269–272. [5] B. H. Kolner and D. W. Dolfi, “Intermodulation distortion and compression in an integrated electrooptic modulator,” Appl. Opt., vol. 26, no. 17, pp. 3676–3680, Sep. 1987. [6] E. Desurvire, Erbium-Doped Fiber Amplifiers. New York: Wiley, 1994.

URICK et al.: PERFORMANCE OF ANALOG PHOTONIC LINKS EMPLOYING HIGHLY COMPRESSED EDFAs

[7] V. J. Urick, F. Bucholtz, and K. J. Williams, “Noise penalty of highly saturated erbium-doped fiber amplifiers in analog links,” IEEE Photon. Technol. Lett, vol. 18, no. 6, pp. 749–751, Mar. 2006. [8] ——, “Correction to ‘Noise penalty of highly saturated erbium-doped fiber amplifiers in analog links’,” IEEE Photon. Technol. Lett., vol. 18, no. 13, p. 1475, Jul. 2006.

Vincent J. Urick (M’05) was born in Sunbury, PA, on February 27, 1979. He received the B.S. degree (magna cum laude) in physics (with minors in electronics and mathematics) from Bloomsburg University, Bloomsburg, PA, in 2001, the M.S. degree in applied physics from George Mason University, Fairfax, VA, in 2005, and is currently working toward the Ph.D. degree in physics at George Mason University. In 2001, he joined the Naval Research Laboratory, Washington, DC, as a Research Physicist in the Photonics Technology Branch, where he is involved with the development of analog microwave and millimeter-wave photonics systems, subsystems, and components. His current research interests include analog photonic link linearization, novel analog modulation formats, noise reduction in photonic links, and longhaul analog links. Mr. Urick is a member of the Optical Society of America, Sigma Pi Sigma, and Phi Kappa Phi.

Matthew S. Rogge (M’95) received the B.S. degree (summa cum laude) in electrical and computer engineering (with a minor in mathematics) from the University of Missouri, Columbia, in 1997, and the M.S. and Ph.D. degrees in electrical engineering from Stanford University, Stanford, CA, in 2002 and 2004, respectively. In 2004, he joined the Microwave Photonics Section, Naval Research Laboratory, Washington, DC, where he is currently involved with microwave and millimeter-wave photonic systems. Dr. Rogge is a member of Eta Kappa Nu.

3145

Frank Bucholtz (M’81) was born in Detroit, MI, on April 4, 1953. He received the B.S. degree in physics and mathematics from Wayne State University, Detroit, MI, in 1975, and the M.S. and Ph.D. degrees in physics from Brown University, Providence, RI, in 1977 and 1981, respectively. From 1981 to 1983, he was a National Research Council (NRC) Post-Doctoral Research Associate with the Naval Research Laboratory, Washington, DC, where he conducted research in the area of ferrimagnetic devices for microwave signal processing. He is currently a member of the Optical Sciences Division, Naval Research Laboratory. His research interests include fiber-optic sensors, hyperspectral imaging, and analog microwave photonics.

Keith J. Williams (S’86–M’89) was born in Lincoln, NE, on March 17, 1964. He received the B.S. degree (cum laude) in electrical engineering from the University of Nebraska, Lincoln, in 1987, and the M.S. and Ph.D. degrees in electrical engineering from the University of Maryland at College Park, in 1989 and 1994, respectively. His doctoral dissertation concerned the area of microwave p-i-n photodetector nonlinearities. In 1987, he joined the Optical Sciences Division, Naval Research Laboratory, Washington, DC, where his research interests include characterization and performance of microwave optical devices, microwave fiber-optic links and systems, high-speed opto-electronics, new concepts for solving microwave-related problems with fiber-optic solutions, and high current photodiodes. Dr. Williams is a member of the Optical Society of America and Tau Beta Pi.

3146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Thermal Implications of the New Relaxed IEEE RF Safety Standard for Head Exposures to Cellular Telephones at 835 and 1900 MHz Qing-Xiang Li, Student Member, IEEE, and Om P. Gandhi, Life Fellow, IEEE

Abstract—The bioheat equation is used to solve for the temperature rise of the various tissues including the brain for three anatomical models with 1-mm resolution for exposure to cellular telephones at 835 and 1900 MHz for radiated power levels allowed under the previous and the newly relaxed IEEE RF Safety Standards and the International Commission on Non-Ionizing Radiation Protection (ICNIRP) Standard. It is shown that the temperature increase for parts of brain in excess of 1.3 C will result for the radiated power levels allowed under the relaxed RF Safety Standard accepted by the IEEE. This temperature increase is up to 2.5 and 7.0 higher than that for the radiated powers allowed for the ICNIRP and the previous IEEE safety guidelines, respectively. Index Terms—Anatomic model of the head, cellular telephones, safety standards, temperature increase in brain.

I. INTRODUCTION HE 1999 IEEE RF Safety Standard C95.1 [1] has recently been revised to allow increased peak level specific absorption rate (SAR) from the previous value of 1.6 W/kg for any 1 g of tissue to a higher limit of 2.0 W/kg for any 10 g of tissue[3]. While this aspect of the revised standard harmonizes the IEEE standard with the SAR limit suggested in the International Commission on Non-Ionizing Radiation Protection (ICNIRP) Safety Standard [2], an important exception is made in the new IEEE Safety Standard [3] for the pinna, i.e., the outer ear, for an increased limit of 4.0 W/kg for general public and a 5 higher limit of 20.0 W/kg for any 10 g of pinna tissue for occupational exposures. No such exception for the pinna tissue is made in the ICNIRP Safety Standard where all electromagnetically exposed tissues are considered in determining peak 10-g SAR. We have previously considered the implication of allowing a higher limit for the pinna tissue in the relaxed IEEE Standard [3] on the maximum allowable powers for cellular telephones at 835 and 1900 MHz [4]. Using anatomic models of the human head, we show that this relaxation to higher limits for the pinna tissue will result in allowing wireless telephone radiated powers using the relaxed IEEE Safety Standard to be up to 2 higher than those allowed under ICNIRP Guidelines. A second factor is that a plastic “pinna” specific anthropomorphic mannequin (SAM) head model is being used for pre-market compliance testing of

T

Manuscript received September 29, 2005; revised March 8, 2006. The authors are with the Electrical and Computer Engineering Department, University of Utah, Salt Lake City, UT 84112 USA (e-mail: [email protected]. edu; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877050

cellular telephone emissions [5], [6]. For this model, the SAR in the plastic “pinna” is zero. If, as in SAM, the SAR in the pinna is ignored and the SAR in the head-only tissue is the limiting factor, it will result in compliant radiated power levels to be over a factor of 5 higher than those allowed under ICNIRP Safety Guidelines used in more than 30 countries without violating the newly relaxed IEEE RF Safety Standard [3]. For the current research expanded from a recent conference presentation [7], the bioheat equation is used to solve for the temperature rise of the various tissues including the brain for exposure to cellular telephones at 835 and 1900 MHz for radiated power levels allowed under the previous and newly relaxed IEEE RF Safety Standards [1], [3] and the ICNIRP Standard [2]. A result of these calculations is to show that while negligible heating of the brain will result for power levels allowed for the previous 1999 IEEE Standard, temperature increases in excess of 1.3 C may result for parts of the brain for the radiated power levels allowed under the newly relaxed RF Safety Standard of the IEEE. II. MODELS OF THE HEAD Two different anatomic models, namely, the Utah model and the “Visible Man” model, are used for calculation of peak 1and 10-g SARs needed for compliance with the IEEE and the ICNIRP Safety Guidelines, respectively. Both of these models, described in detail in an earlier paper [8], are classified into various tissues, e.g., brain, fat, bone, cartilage, etc. with voxel resolution of 1 1 1 mm . A visualization of the two anatomic models used for comparison studies is given as Fig. 1(a) and (b), respectively. Whereas the Utah model was obtained from the magnetic resonance imaging (MRI) scans of a male volunteer of 64-kg weight and 176.4-cm height [9], the “Visible Man” model was segmented by Ziriax and Mason (personal communication) from the MRI scans of the cadaver of a husky 105-kg individual. Even though the weights of the heads of the two models are within 10% of each other (5406 against 5949 g), the weights of the various tissues are considerably different and are given by Gandhi and Kang in [8]. Most notably, the amount of fat in the “Visible Man” head model is considerably higher (1010 versus 685 g), and the brain is somewhat smaller (1134.5 versus 1501.2 g) and the pinna for the “Visible Man” model is much thinner, i.e., 6 versus 14 mm for the Utah model. Due to the electromagnetic (EM) absorption by the tissues, the thickness of the pinna has a significant effect on the amount of radiated energy reaching the brain. Thus, the thermal implication for the brain is considerably different for a human with

0018-9480/$20.00 © 2006 IEEE

LI AND GANDHI: THERMAL IMPLICATIONS OF NEW RELAXED IEEE RF SAFETY STANDARD FOR HEAD EXPOSURES TO CELLULAR TELEPHONES

3147

TABLE I MASS DENSITIES AND THERMAL PROPERTIES ASSUMED FOR THE VARIOUS TISSUES [10]–[19]

Fig. 1. Visualization of the three anatomically based models of the head. (a) Utah model with 14-mm-thick ear. (b) “Visible Man” model. (c) The Utah model with 6-mm-thick ear. (Color version available online at: http://ieeexplore.ieee.org.)

a thicker pinna, e.g., an adult as compared to a smaller head model with a thinner pinna, e.g., head of a child [9]. Even for adults, the thickness of the pinna can vary a great deal, e.g., the head models of Fig. 1(a) and (b) with a pinna thicknesses of 14 and 6 mm, respectively. To understand the role that the thickness of the pinna may play on the temperature elevation for the brain, we have reduced the thickness of the voxels associated with the right side pinna of the Utah model by a factor 6/14 and reattached it back to the model of the head. This manipulation allows us to create yet another version of the Utah anatomic model, shown in Fig. 1(c), where the thickness of the pinna is 6 mm instead of the original 14-mm-thick pinna for this model. Thus, a total of three anatomic models shown in Fig. 1 are used both for SAR and for thermal calculations in this paper. As described in [8] and [9], the Utah model shown in Fig. 1(a) was obtained from the MRI scans of a male volunteer with pixel resolution of 1.974 1.974 mm for the cross sections and 3-mm spacing between the various slices or cross sections. For the current calculations, the pixel size is assumed to be 2.0 2.0 3.0 mm. The voxels of the model with dimensions of 2.0 2.0 3.0 mm are then subdivided to obtain a new model with finer resolution of 1.0 1.0 1.0 mm. III. BIOHEAT EQUATION FOR TEMPERATURE CALCULATIONS The transient bioheat conduction equation has been used by several authors to obtain the thermal response of the various tissues of the head for exposure to energy radiated by cellular telephones [10]–[15]. Taken from [13], [16], and [17], a version of this equation for the temperature of voxel ( ) is as follows:

(1) Here, for the voxel

(denoted by for brevity), instantaneous temperature of the tissue ( C); mass of the tissue for the voxel (kg); specific heat of the tissue [W h kg)];

thermal conductivity of the tissue W C m)]; metabolic heat generation per unit volume (W/m ); EM energy deposition per unit volume (W/m ); blood-flow rate [kg/(m hr)]; temperature of arterial blood entering the tissue ( C); volume of the voxel (m ); radiative and convective heat losses from the peripheral cells per unit volume (W); evaporative heat dissipation per unit volume (W). As in [13], the bioheat equation incorporates thermal conduction, metabolic heat generation, EM energy deposition (SAR), heat exchange through blood flow into various tissues, and heat dissipation at the surface by radiative, convective, and evaporative losses (due to insensible perspiration) from the voxels at the surface for each of the three anatomic models [see Fig. 1(a)–(c)]. The tissues, their mass densities, and the thermal properties assumed for the current calculations are given in Table I. These thermal properties have been gleaned from several references, i.e., [10]–[19]. However, unlike some of the previous authors [10]–[12], we have taken a much higher value of the blood-flow rate for the skin of the face and head. The value of 33 600 kg/(m h) is close to the average of 25 740 for the skin with hair and 42 180 kg/(m h) for the skin of the face [20]. In (1), the heat losses of the voxels at the surface of the model include radiative, convective, and evaporative losses. The radiative heat loss is represented by the Stefan–Boltzmann formula [21], [22]

C (2)

3148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

where W m K is the Stefan–Boltzmann constant; 0.98 emissivity of the head (skin); area of the head that is effective in radiating heat (m ); temperature of the skin ( C); ambient air temperature (assumed to be 25 C for current calculations). The convective heat loss from the body is given from [23] (3) W m C is the convective heat-transfer coefwhere ficient. The evaporative heat loss due to insensible perspiration from the surface voxels is given by Inouye et al. [21] as follows: (4) where W m mmHg is the evaporative coefficient; vapor pressures of water at skin and in air, respectively (mmHg); area of the voxel exposed to air (m ). The vapor pressure of water over the range of roughly 27 C–37 C can be represented well by [22] mmHg

(5)

The heat exchanged through the neck and the remaining parts of the body has been approximated by means of setting the neck boundary temperature as the temperature of the blood taken to be 36.8 C for the current calculations. The EM energy deposition per unit volume to use for each of the voxels in (1) is obtained using the finite-difference time-domain (FDTD) method detailed in some of our earlier papers [8], [9]. The ambient temperature and arterial blood temperature are assumed to be 25 C and 36.8 C, respectively. This three-dimensional transient heat conduction equation [see (1)] is solved by an implicit finite-difference method [24] that achieves a higher order accuracy of the Crank–Nicholson formulation. The successive over-relaxation method is used for rapid convergence. The problem is solved on a Sun Microsystems UltraSparc III workstation (CPU speed of 1.2 GHz). For the simulation time step taken to be 1 or 5 s, the results are very similar. The steady-state temperatures for basal conditions are obtained using a 2.5-s time step and 50 min of CPU time for a simulated time of 1 h. Two test runs for a canonical problem of a homogeneous sphere were performed to check the accuracy of the above numerical method. One is for radiative heat dissipation to air and the other is for conductive heat dissipation where a higher temperature (37 C) sphere is immersed in a lower constant tem-

TABLE II CELLULAR TELEPHONE HANDSETS AND ANTENNAS ASSUMED FOR SAR CALCULATIONS

perature (30 C) bath. For both of these cases, the numerical solutions were very close and within 1%–2% of the temperatures obtained from the analytical solutions. IV. ORIENTATIONS AND TELEPHONES USED FOR SAR CALCULATIONS The method used for calculation of SAR distributions is the well-established FDTD method. This method described in several texts (e.g., Taflove [25] and Taflove and Hagness [26]) has been successfully used by various researchers [8]–[15] for calculating SAR distributions for a variety of cellular telephones both at 835 and 1900 MHz. To understand the thermal implications of the peak local 1- or 10-g SARs for the various tissues recommended in the previous IEEE and ICNIRP Standards [1], [2] and the newly relaxed standard for IEEE [3], we have considered a number of telephone handset dimensions and monopole antenna lengths given in Table II typical of cellular telephones in use today. It is recognized that a near-field radiation source such as a cellular telephone may be placed in different orientations relative to the head for typical use. A couple of standardized orientations, namely, the cheek position and 15 -tilted position, have been suggested in the SAR Compliance Standards [5], [6]. For the current calculations, we have assumed the four handsets at 835 and 1900 MHz each with respective nominal quarterwave monopole antennas placed against the right ear of the three anatomic models for 15 -tilted positions, as shown in Fig. 2. The radiated powers for each of the handsets are scaled up or down to obtain the peak 1- or 10-g SARs of tissues suggested in the various safety guidelines as follows. 1) The previous IEEE C95.1 limits the peak 1-g SARs to 1.6 and 8.0 W/kg on an all-tissue basis (pinna and nonpinna tissues) with the tissue volume in the shape of a cube for controlled and uncontrolled environments, respectively [1]. 2) The current ICNIRP Guideline limits the peak 10-g SARs to 2.0 and 10.0 W/kg on an all-tissue basis with the tissue volume in the shape of a cube for general public and occupational exposures, respectively [2]. 3) The newly relaxed IEEE Standards Coordinating Committee 28.4 [3] standard would limit the peak 10-g SARs of 2.0 and 10.0 W/kg for nonpinna tissues only and would use more relaxed higher limits of 4.0 and 20.0 W/kg for pinna tissues for general public and occupational exposures, respectively [3]. V. RESULTS Using the bioheat equation (1), the temperatures are calculated for each of the voxels of the head as a function of

LI AND GANDHI: THERMAL IMPLICATIONS OF NEW RELAXED IEEE RF SAFETY STANDARD FOR HEAD EXPOSURES TO CELLULAR TELEPHONES

3149

Fig. 2. Visualization of the three anatomic models with 15 -tilted placement of the cellular telephone. Also shown are the two cross-sectional planes passing through the highest temperature point of the brain for each of the models. (a) Utah model with 14-mm-thick ear. (b) “Visible Man” model. (c) Utah model with 6-mm-thick ear. (Color version available online at: http://ieeexplore.ieee.org.)

1

Fig. 4. Maximum temperature increase T as a function of all-tissue peak 1-g SAR. Shown here are the results calculated for the three anatomic models of Fig. 2, and four assumed telephones of various typical dimensions given in MHz. (b) f MHz. (Color version available online Table II. (a) f at: http://ieeexplore.ieee.org.)

= 835

Fig. 3. Temperature variation as a function of time for the highest temperature point of the brain for three anatomic models of the human head [see Fig. 1(a)–(c)]. The dotted lines correspond to the irradiation times of approximately 6-11.5 min for which the temperature increase T is 0.707 or 70.7% of the maximum value T . (a) f MHz. (b) f MHz. (Color version available online at: http://ieeexplore.ieee.org.)

1

= 835

1

= 1900

2

time for an exposure duration of up to 30 min for each of the three anatomic models of Fig. 1(a)–(c) for the eight handsets of dimensions and antenna lengths given in Table II. Used for the calculations are the radiated powers that correspond to the SAR limits prescribed in the previous IEEE and ICNIRP Guidelines and the newly relaxed Safety Guidelines [1]–[3] summa-

= 1900

rized as items 1)-3) in Section IV. For the nonpinna 10-g SAR of 10 W/kg suggested for the occupational exposure in [3], the temperature variations for the most heated voxels of the brain for each of the three models are given in Fig. 3(a) and (b) for irradiation frequencies of 835 and 1900 MHz, respectively. These correspond to the maximum SAR points for the brain for the corresponding models for the assumed handsets. Also denoted by the fine dotted lines are the time durations of approximately 6–11.5 min for which the temperature increase is 0.707 or 70.7% of the maximum value for each of the models. The maximum temperature increases for any voxel of the brain as a function of peak 1- or 10-g all-tissue (both pinna and nonpinna) SARs are given in Figs. 4(a) and (b) and 5(a) and (b) for irradiation frequencies of 835 and 1900 MHz, respectively. Using a least squares regression analysis, the maximum temperature increase for the brain can be written in terms of peak 1- or 10-g all-tissue SARs from Figs. 4 and

3150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

1

Fig. 6. Maximum temperature increase T as a function of peak 1-g SAR for the brain. Shown here are the results calculated for the three head models, and four assumed telephones of various typical dimensions each at 835 and 1900 MHz for a variety of irradiated powers. (Color version available online at: http://ieeexplore.ieee.org.)

1T as a function of all-tissue peak = 1900 MHz. (Color version available

Fig. 5. Maximum temperature increase MHz. (b) f 10-g SAR. (a) f online at: http://ieeexplore.ieee.org.)

= 835

5, respectively. From Fig. 4, for the peak 1-g all-tissue SAR in watts/kilogram ,

C at 835 MHz (6) C at 1900 MHz (7) From Fig. 5, for the peak 10-g all-tissue SAR in watts/kilogram ,

C at 835 MHz C at

(8) MHz (9)

1

Fig. 7. Maximum temperature increase T as a function of peak 10-g SAR for the brain. Other conditions are similar to those for Fig. 6. (Color version available online at: http://ieeexplore.ieee.org.)

From (6)–(9), it is clear that for a given 1- or 10-g SAR the maximum temperature increase for the brain is lower (52%–63%) at 1900 MHz than at 835 MHz. Obviously, this is due to the higher absorption at 1900 MHz of the intervening tissues such as pinna, skin, skull, and the cerebrospinal fluid surrounding the brain. Thus, for a given 1- or 10-g all-tissue SAR, the SAR or EM energy coupled to the brain is considerably lower at 1900 MHz than at 835 MHz. That the maximum temperature increase of the brain is only related to the amount of energy coupled to the brain regardless of frequency is illustrated in Figs. 6 and 7. In these figures, is plotted against the peak 1- or 10-g SAR for the brain for the three anatomic head models (Fig. 2) for a variety of typical handset dimensions of Table II, both at 835 and 1900 MHz, respectively. It should be noted that

LI AND GANDHI: THERMAL IMPLICATIONS OF NEW RELAXED IEEE RF SAFETY STANDARD FOR HEAD EXPOSURES TO CELLULAR TELEPHONES

3151

1) As mentioned in Section IV, the previous IEEE C95.1 limits the peak 1-g all-tissue SAR to 1.6 and 8.0 W/kg for uncontrolled (general public) and controlled (occupational) exposures, respectively. From Fig. 4 and (6) and (7), this implies fairly low values of for any voxel of the brain of 0.049 C 0.016 C and 0.025 C 0.011 C for uncontrolled (general public) exposure at 835 and 1900 MHz, respectively. Since 5 higher SARs are permitted for the controlled (occupational) environments, the temperature increases would be correspondingly higher, but still fairly small and less than 0.242 C 0.08 C. 2) The current ICNIRP Guideline [2] suggests somewhat higher SARs of 2.0 and 10.0 W/kg for a larger 10-g mass of the tissue without any distinction between pinna or nonpinna tissues. From Fig. 5 and (8) and (9), somewhat higher, but still relatively modest temperature elevations for any voxel of the brain of 0.115 C 0.033 C and 0.072 C 0.034 C are calculated for general public exposure at 835 and 1900 MHz, respectively. Here too, because of the 5 higher SARs (10 W/kg) permitted for the occupational exposures, the temperature increase would be correspondingly higher and on the order of 0.576 C 0.168 C for any voxel of the brain. VI. THERMAL IMPLICATIONS OF THE NEW IEEE SAR LIMITS

1 = 835

Fig. 8. Maximum temperature increase T as a function of peak 10-g nonpinna SAR. As recommended in the newly relaxed IEEE Safety Standard, the MHz. (b) f MHz. (Color SAR for the pinna is excluded. (a) f version available online at: http://ieeexplore.ieee.org.)

= 1900

the scatter of the calculated is relatively small in spite of the wide variability of head models, cellular telephones, and irradiation frequencies, and the following relationships can be written. From Figs. 6 and 7, respectively,

C at 835 or 1900 MHz

(10) C

at 835 or 1900 MHz

(11)

From the data presented here, the following conclusions may be drawn regarding the maximum temperature increase for any part of the brain for the peak 1- or 10-g all-tissue SARs suggested in the previous IEEE or the ICNIRP Safety Guidelines [1], [2].

The new standard proposed by IEEE Standards Coordinating Committee 28.4 would retain the peak 10-g SAR limits of 2.0 and 10.0 W/kg of the ICNIRP Standard, but apply it only for the relatively-shielded nonpinna tissues of the head and use a higher limit of 4.0 and 20.0 W/kg for pinna tissues for general public and occupational exposures, respectively [3]. Based on the calculations performed for the three anatomic models and four handsets each, we plot as a function of nonpinna 10-g SARs up to 10 W/kg suggested in the new IEEE Standard in Fig. 8(a) and (b) for 835 and 1900 MHz, respectively. From Fig. 8, for peak 10-g nonpinna SARs (in watts/kilogram), the following relationships may be obtained:

C at 835 MHz (12) C at 1900 MHz (13) From Fig. 8, brain temperature elevations as high as 1.35 C and 1.04 C are calculated for exposure to a nonpinna SAR of 10 W/kg suggested in the IEEE new standard for controlled (occupational) exposures at 835 and 1900 MHz, respectively. Some visualizations of the temperature elevations for the exposed parts of the brain for the three anatomic models are given in Figs. 9 and 10 for 835 and 1900 MHz, respectively. Shown here are the temperature elevations of 0.1 C or larger for the two cross-sectional cuts marked A and B through the highest SAR or points. The volumes with temperature increase higher than 0.1 C are of approximate dimensions 3.5 8 8 cm and 2 5 5 cm at 835 and 1900 MHz, respectively.

3152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Fig. 9. Visualization of the calculated temperature increase at 835 MHz for the various parts of the brain for conditions of exposure to a peak 10-g nonpinna SAR of 10 W/kg recommended in the newly relaxed IEEE Standard [3]. Shown here are the temperature elevations of 0.1 C or larger for the two cross-sectional cuts marked A and B through the highest SAR or points in Fig. 2. (a) Cross-sectional cut “A.” (b) Cross-sectional cut “B.” (c) Logarithmic scale for temperature increase. (Color version available online at: http://ieeexplore.ieee.org.)

Fig. 10. Visualization of the calculated temperature increase at 1900 MHz for the various parts of the brain for conditions of exposure to a peak 10-g nonpinna SAR of 10 W/kg recommended in the newly proposed IEEE Standard [3]. Shown here are the temperature elevations of 0.1 C or larger for the two cross-sectional cuts marked A and B through the highest SAR or points in Fig. 2. (a) Cross-sectional cut “A.” (b) Cross-sectional cut “B.” (c) Logarithmic scale for temperature increase. (Color version available online at: http://ieeexplore.ieee.org.)

VII. CONCLUSION We have solved the bioheat conduction equation for three anatomically based models of the human head to study the

thermal implications of the previous and newly relaxed IEEE RF Safety Standards and the ICNRP Standard [1]–[3] for the brain for exposure to cellular telephones operating at 835 and 1900 MHz. Four different handset sizes are considered for

LI AND GANDHI: THERMAL IMPLICATIONS OF NEW RELAXED IEEE RF SAFETY STANDARD FOR HEAD EXPOSURES TO CELLULAR TELEPHONES

each of the frequencies representative of a variety of handsets in use today. It is shown that the temperature elevation for parts of the brain can be as high as 1.35 C and 1.04 C for exposure to nonpinna SAR of 10 W/kg suggested in the relaxed IEEE Safety Standard for controlled (occupational) exposures at 835 and 1900 MHz, respectively. Meanwhile, the highest brain temperature elevations for exposure to SAR levels suggested in the linear relationships between the brain temperature elevation and the different SAR measures are thoroughly studied by using different anatomic models and frequencies, and by using different anatomic models, frequencies, and handset sizes in this paper. By least squares regression analysis, the linear parameters and standard deviations are obtained for as a function of 1- or 10-g SAR values. Typically, we have C C, and C at 835 MHz. The brain temperature elevations caused by EM exposures from cellular telephones operating at 1900 MHz are somewhat lower than those of 835 MHz due to the higher absorption at 1900 MHz of the intervening tissues, e.g., pinna, skin, skull, etc. The difference between the two radiation frequencies are not obvious when we consider as a function of brain 1- or 10-g SARs since the maximum temperature increase of the brain is only related to the amount of energy coupled to the brain regardless of frequency. This analysis also demonstrates statistically that the newly relaxed safety standard will allow the brain temperature elevation about up to 2.5 that of the ICNIRP Standard and up to 7.0 the previous IEEE RF Safety Standard. We have not focused on the SAR limit suggested for the pinna since it is not being tested in the current compliance standard using a plastic pinna SAM. Unlike the SAM, for the current calculations, we have not ignored the SAR in the pinna, and have used the radiated power levels so as to reach the SAR limits proposed for the nonpinna tissues. As shown earlier [4], [13], even higher radiated powers would be allowed if the SAR in the pinna is ignored. REFERENCES [1] IEEE Standard for Safety Levels With Respect to Human Exposure to Radiofrequency Electromagnetic Fields, 3 kHz to 300 GHz, IEEE Standard C95.1, 1999. [2] ICNIRP, “Guidelines for limiting exposure to time-varying electric, magnetic, and electromagnetic fields (up to 300 GHz),” Health Phys., vol. 74, pp. 494–522, 1998. [3] Standard for Safety Levels with Respect to Human Exposure to Radiofrequency Electromagnetic Fields, 3 kHz to 300 GHz, IEEE Standards Coordinating Committee 28.4, 2006. [4] O. P. Gandhi and G. Kang, “Inaccuracies of a plastic ‘pinna’ SAM for SAR Testing of cellular telephones against IEEE and ICNIRP safety guidelines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 2004–2012, Aug. 2004. [5] Basic Standard for Measurement of Specific Absorption Rate Related to Human Exposure to Electromagnetic Fields from Mobile Phones (300 MHz–3 GHz), CENELEC Eur. Standard EN50361, 2001. [6] Recommended Practice for Determining the Peak Spatial-Average Specific Absorption Rate (SAR) in the Human Body Due to Wireless Communications Devices: Measurement Techniques, IEEE Standard 1528, 2003. [7] Q. X. Li and O. P. Gandhi, “Thermal implications of the present and proposed RF safety standards for the brain for exposure to cellular telephones at 835 and 1900 MHz,” presented at the XXVIIIth Gen. Assembly URSI, New Delhi, India, Oct. 23–29, 2005.

3153

[8] O. P. Gandhi and G. Kang, “Some present problems and a proposed experimental phantom for SAR compliance testing of cellular telephones at 835 and 1900 MHz,” Phys. Med. Biol., vol. 47, pp. 1501–1518, 2002. [9] O. P. Gandhi, G. Lazzi, and C. M. Furse, “Electromagnetic absorption in the human head and neck for mobile telephones at 835 and 1900 MHz,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 10, pp. 1884–1897, Oct. 1996. [10] G. M. Van Leeuwan, J. J. Lagendijk, B. J. Van Leersum, A. P. Zwamborn, S. N. Hornsleth, and A. N. Kotte, “Calculation of change in brain temperatures due to exposure to a mobile phone,” Phys. Med. Biol., vol. 44, pp. 2367–2379, 1999. [11] J. Wang and O. Fujiwara, “FDTD computation of temperature rise in human head for portable telephones,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1528–1534, Aug. 1999. [12] P. Bernardi, M. Cavagnero, S. Pisa, and E. Piuzzi, “Specific absorption rate and temperature increases in the head of a cellular-phone user,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1118–1126, Jul. 2000. [13] O. P. Gandhi, Q. X. Li, and G. Kang, “Temperature rise for the human head for cellular telephones and for peak SARs prescribed in safety guidelines,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1607–1613, Sep. 2001. [14] P. Bernardi, M. Cavagnaro, S. Pisa, and E. Piuzzi, “Power absorption and temperature elevations induced in the human head by a dual-band monopole-helix antenna phone,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2539–2546, Dec. 2001. [15] A. Hirata and T. Shiozawa, “Correlation of maximum temperature increase and peak SAR in the human head due to handset antennas,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1831–1841, Jul. 2003. [16] I. Chatterjee and O. P. Gandhi, “An inhomogeneous thermal block model of man for the electromagnetic environment,” IEEE Trans. Biomed. Eng, vol. BME-30, no. 11, pp. 707–715, Nov. 1983. [17] M. Hoque and O. P. Gandhi, “An inhomogeneous thermal block model of man for electromagnetic exposure conditions,” Univ. Utah, Salt Lake City, UT, 1988, unpublished. [18] F. A. Duck, Physical Properties of Tissues: A Comprehensive Book. New York: Academic, 1990. [19] F. P. Incropera and D. P. DeWitt, Fundamentals of Heat and Mass Transfer. New York: Wiley, 1995. [20] J. A. J. Stolwijk and J. D. Hardy, , H. K. Douglas, Ed., “Control of body temperature,” in Handbook of Physiology. Bethesda, MA: Amer. Physiol. Soc., 1977, sec. 9: Reaction to Environmental Agents, pp. 45–68. [21] T. Inouye, F. K. Hick, S. E. Tesler, and R. W. Keetan, “Effect of relative humidity on heat loss of men exposed to environments of 80, 76, and 72 F,” Amer. Soc. Heating, Refrigerating, Air Conditioning Eng. Trans., vol. 59, p. 329, 1953. [22] A. P. Gagge and Y. Nishi, “Heat exchange between human skin surface and thermal environment,” in Handbook of Physiology. Baltimore, MD: Williams & Wilkins, 1971, ch. 5, sec. 9: Reaction to Environmental Agents, pp. 69–92. [23] Y. Nishi and A. P. Gagge, “Direct evaluation of convective heat transfer coefficient by naphthalene sublimation,” J. Appl. Physiol, vol. 29, pp. 830–838, 1970. [24] P. L. T. Brian, “A finite-difference method of high-order accuracy for the solution of three-dimensional transient heat conduction problem,” Amer. Inst. Chem. Eng. J, vol. 7, no. 3, pp. 367–370, 1961. [25] A. Taflove, Ed., Advances in Computational Electrodynamics: The Finite-Difference Time-Domain Method. Boston, MA: Artech House, 1998. [26] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 3rd ed. Boston, MA: Artech House, 2005.

Qing-Xiang Li (S’00) received the B.Sc. and M.Sc. degrees in electrical engineering from the Northwestern Polytechnic University, Xi’an, China, 1992 and 1995, respectively, and the Ph.D. degree in electrical engineering from the University of Utah, Salt Lake City, in 2005. Her research interests are dosimetry and biomedical applications of experimental and numerical EM techniques.

3154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Om P. Gandhi (S’57–M’58–SM’65–F’79–LF’99) is a Professor of electrical engineering with the University of Utah, Salt Lake City. From 1992 to 1999, he was Chairman of the Department of Electrical Engineering, University of Utah. He has authored or coauthored several book chapters, and over 200 journal papers on EM dosimetry, microwave tubes, and solid-state devices. He also edited Biological Effects and Medical Applications of Electromagnetic Energy (Prentice-Hall, 1990) and coedited Electromagnetic Biointeraction (Plenum,

1989). He is listed in Who’s Who in the World, Who’s Who in America, Who’s Who in Engineering, and Who’s Who in Technology Today. Dr. Gandhi was elected a Fellow of the American Institute for Medical and Biological Engineering in 1997. He was president of the Bioelectromagnetics Society (1992–1993), co-chairman of the IEEE SCC 28.IV Subcommittee on RF Safety Standards (1988–1997), and chairman of the IEEE Committee on Man and Radiation (COMAR) (1980–1982). He was the recipient of the 1995 d’Arsonval Medal of the Bioelectromagnetics Society, the 2001 Microwave Pioneer Award presented by the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), and the 2002 State of Utah Governor’s Medal for Science and Technology.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

3155

Letters Comments on “CMOS Low-Noise Amplifier Design Optimization Techniques”

whereas [1, eqs. 10 and 12] expresses it as 0 Zopt = Zopt 0 sLs

Jingxue Lu and Fengyi Huang The above paper [1] analyzes the four low-noise amplifier (LNA) design techniques, i.e.: 1) classical noise matching; 2) simultaneous noise and input matching (SNIM); 3) power-constrained noise optimization; and 4) power-constrained simultaneous noise and input matching (PCSNIM) based on the noise parameter expressions so as to provide the guideline for LNA designers. Unfortunately, there are some errors in the derivations of noise parameter expressions for the SNIM, which also applied to the PCSNIM. In [1, eq. 8], the noise factor F is represented as

F

=1+

where

0 Zopt =



1

o Yopt

5 (1

=

!Cgs

2 

5 (1

1 gd0 1

1+s

2

Cgs (Lg + Ls)

0(sCgsRs )

1+

jcj 5

1+

jcj 5

jcj 5

:

2

2

Ls 

2  (1 0 jcj ) 5 : !!T Ct

2

 1 + jcj 5

2

0 jcj2 )

+

1+

Correspondingly, an approximation of Ls should be revised as shown in [1, eq. 32]

1

2 Rs gm



0 jcj2 ) + j

REFERENCES

0 5 (1 0 jcj2 )gm (sCgs)2 Rs2 0 sLg2

[1] T.-K. Nguyen, C.-H. Kim, G.-J. Ihm, M.-S. Yang, and S.-G. Lee, “CMOS low-noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1433–1442, May 2004.

:

However, it should be

F

=1+

Authors’ Reply

1

2 Rs gm

1 gd0 1

1+s

2

Cgs (Lg + Ls)

0 (sCgsRs )

2

1+

jcj 5

 1 + jcj 5

The authors of the paper [1] would like to thank Lu and Huang for their useful comments on some equations in the original paper [1]. As pointed out by Lu and Huang, [1, eq. (8)] should be replaced by

2

0 5 (1 0 jcj2 )gm (sCgs)2[Rs2 0 s2(Lg + Ls )2] : Therefore, by solving the zero solutions after differentiating the newly derived noise factor expression with respect to Rs and Lg , the optimum impedance Zopt is given by

Zopt =

!Cgs



5

(1

0 jcj2 ) + j

2  (1 0 jcj2 ) + 5

1+

jcj 5

1+

jcj

 5

Trung-Kien Nguyen, Chung-Hwam Kim, Gook-Ju Ihm, Moon-Su Yang, and Sang-Gug Lee

2

2

0 sLs

Manuscript received February 28, 2006. The authors are with the Institute of RF and Opto-Electronic Integrated Circuits, Department of Radio Engineering, Southeast University, Nanjing 210096, China (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877051

F

=1+

1

2 Rs gm

1 gd0 1

2 1 + s Cgs (Lg + Ls)

0 (sCgsRs )

2

1+

jcj 5

 1 + jcj 5

2

2

0 5 (1 0 jcj2 )gm (sCgs)2 [Rs2 0 s2 (Lg + Ls )2 ] : Manuscript received March 27, 2006. T.-K. Nguyen, G.-J. Ihm, M.-S. Yang, and S.-G. Lee are with the School of Engineering, Information and Communications University, Daejeon 305-732, Korea (e-mail: [email protected]). C.-H. Kim is with Teltron Inc., Daejeon 350-343, Korea. Digital Object Identifier 10.1109/TMTT.2006.877052

0018-9480/$20.00 © 2006 IEEE

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

3155

Letters Comments on “CMOS Low-Noise Amplifier Design Optimization Techniques”

whereas [1, eqs. 10 and 12] expresses it as 0 Zopt = Zopt 0 sLs

Jingxue Lu and Fengyi Huang The above paper [1] analyzes the four low-noise amplifier (LNA) design techniques, i.e.: 1) classical noise matching; 2) simultaneous noise and input matching (SNIM); 3) power-constrained noise optimization; and 4) power-constrained simultaneous noise and input matching (PCSNIM) based on the noise parameter expressions so as to provide the guideline for LNA designers. Unfortunately, there are some errors in the derivations of noise parameter expressions for the SNIM, which also applied to the PCSNIM. In [1, eq. 8], the noise factor F is represented as

F

=1+

where

0 Zopt =



1

o Yopt

5 (1

=

!Cgs

2 

5 (1

1 gd0 1

1+s

2

Cgs (Lg + Ls)

0(sCgsRs )

1+

jcj 5

1+

jcj 5

jcj 5

:

2

2

Ls 

2  (1 0 jcj ) 5 : !!T Ct

2

 1 + jcj 5

2

0 jcj2 )

+

1+

Correspondingly, an approximation of Ls should be revised as shown in [1, eq. 32]

1

2 Rs gm



0 jcj2 ) + j

REFERENCES

0 5 (1 0 jcj2 )gm (sCgs)2 Rs2 0 sLg2

[1] T.-K. Nguyen, C.-H. Kim, G.-J. Ihm, M.-S. Yang, and S.-G. Lee, “CMOS low-noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1433–1442, May 2004.

:

However, it should be

F

=1+

Authors’ Reply

1

2 Rs gm

1 gd0 1

1+s

2

Cgs (Lg + Ls)

0 (sCgsRs )

2

1+

jcj 5

 1 + jcj 5

The authors of the paper [1] would like to thank Lu and Huang for their useful comments on some equations in the original paper [1]. As pointed out by Lu and Huang, [1, eq. (8)] should be replaced by

2

0 5 (1 0 jcj2 )gm (sCgs)2[Rs2 0 s2(Lg + Ls )2] : Therefore, by solving the zero solutions after differentiating the newly derived noise factor expression with respect to Rs and Lg , the optimum impedance Zopt is given by

Zopt =

!Cgs



5

(1

0 jcj2 ) + j

2  (1 0 jcj2 ) + 5

1+

jcj 5

1+

jcj

 5

Trung-Kien Nguyen, Chung-Hwam Kim, Gook-Ju Ihm, Moon-Su Yang, and Sang-Gug Lee

2

2

0 sLs

Manuscript received February 28, 2006. The authors are with the Institute of RF and Opto-Electronic Integrated Circuits, Department of Radio Engineering, Southeast University, Nanjing 210096, China (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.877051

F

=1+

1

2 Rs gm

1 gd0 1

2 1 + s Cgs (Lg + Ls)

0 (sCgsRs )

2

1+

jcj 5

 1 + jcj 5

2

2

0 5 (1 0 jcj2 )gm (sCgs)2 [Rs2 0 s2 (Lg + Ls )2 ] : Manuscript received March 27, 2006. T.-K. Nguyen, G.-J. Ihm, M.-S. Yang, and S.-G. Lee are with the School of Engineering, Information and Communications University, Daejeon 305-732, Korea (e-mail: [email protected]). C.-H. Kim is with Teltron Inc., Daejeon 350-343, Korea. Digital Object Identifier 10.1109/TMTT.2006.877052

0018-9480/$20.00 © 2006 IEEE

3156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Therefore, [1, eq. (12)] needs to be revised as

0 o Zopt = 1=Yopt =

!Cgs



5

(1

0 jcj2 ) + j

1+

2  (1 0 jcj2 ) + 5

jcj 5

1+

 5

jcj

:

2

Except for the above noted revisions, the remaining expressions in [1] are correct. The revisions do not affect the general conclusion of [1], although some of the numerical results are modified. The authors sincerely apologize for any confusion that their errors may have caused. The authors are also grateful to Lu and Huang for their comments, which gave them an opportunity of reviewing their work.

By applying the same method, [1, eq. (25)] is now

REFERENCES

Zopt =

 (1 0 jcj2 ) + j Ct + jcj 5 Cgs 2 !Cgs  (1 0 jcj2 ) + Ct + jcj 5 Cgs

 5  5

2

[1] T.-K. Nguyen, C.-H. Kim, G.-J. Ihm, M.-S. Yang, and S.-G. Lee, “CMOS low-noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1433–1442, May 2004.

:

Accounting for these errors, [1, eqs. (28) and (29)] should be replaced by



!Cgs



5

(1

0 jcj2 )

= Re[Zs ]

2 2 !Cgs  (1 0 jcj2 ) + Ct + jcj  5 Cgs 5 j Ct + jcj  Cgs 5 0 sLs = Im[Zs ]: 2  (1 0 jcj2 ) + Ct + jcj  2 5 Cgs 5

Correspondinginly, [1, eqs. (32) and (33)] should be revised as

Ls  Ls 





5

(1

0 jcj2 )

Corrections to “Optically Beamformed Beam-Switched Adaptive Antennas for Fixed and Mobile Broadband Wireless Access Networks” Miguel A. Piqueras, Gerd Grosskopf, Borja Vidal, Javier Herrera, Jose Manual Martínez, Pablo Sanchis, Valentín Polo, Juan L. Corral, Alexandre Marceaux, Julien Galière, Jérôme Lopez, Alain Enard, Jean-Luc Valard, Olivier Parillaud, Eric Estèbe, Nakita Vodjdani, Moon-Soon Choi, Jan Hendrik den Besten, Francisco M. Soares, Meint K. Smit, and Javier Marti With no possible explanation, we forgot to include author Raymond van Dijk in the byline of the above paper [1]. His complete address information is: Raymond van Dijk, TNO Defence, Security and Safety, 2597 AK The Hague, The Netherlands (e-mail: [email protected]). We regret and apologize for this oversight.

!!T Ct  (1 0 jcj2 ) 5 : !!T Cgs

In [1, Appendix, eqs. (A9) and (A14)] should be

REFERENCES

F

= 1+

1

2 Rs gm

1 gd0 1

2 1 + s Cgs (Lg + Ls)

0 (sCgsRs )2

1+

1+

[1] M. A. Piqueras et al., “Optically beamformed beam-switched adaptive antennas for fixed and mobile broad-band wireless access networks,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 887–899, Feb. 2006.

2

jcj 5 2

jcj 5

0 5 (1 0 jcj2 )gm (sCgs)2 [Rs2 0 s2 (Lg + Ls )2 ] 0 o Zopt = 1=Yopt =

!Cgs



5

(1

0 jcj2 ) + j

2  (1 0 jcj2 ) + 5

1+

jcj 5

1+

jcj

 5

2

:

Manuscript received February 27, 2006. M. A. Piqueras, B. Vidal, J. Herrera, J. M. Martínez, P. Sanchis, V. Polo, J. L. Corral, and J. Martí are with the Nanophotonics Technology Center, Universidad Politécnica de Valencia, 46022 Valencia, Spain (e-mail: vpolor@ntc. upv.es). G. Grosskopf and M.-S. Choi are with the Fraunhofer-Institute for Telecommunications, Heinrich-Hertz Institute, 10587 Berlin, Germany. A. Marceaux, J. Galière, J. Lopez, A. Enard, J.-L. Valard, O. Parillaud, E. Estèbe, and N. Vodjdani are with the Alcatel-Thales III–V Lab, 91460 Marcoussis, France. J. H. den Besten, F. M. Soares, and M. K. Smit are with the COBRA Research Institute, Technische Universiteit Eindhoven, 5600 MB Eindhoven, The Netherlands. Digital Object Identifier 10.1109/TMTT.2006.877053

0018-9480/$20.00 © 2006 IEEE

3156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 7, JULY 2006

Therefore, [1, eq. (12)] needs to be revised as

0 o Zopt = 1=Yopt =

!Cgs



5

(1

0 jcj2 ) + j

1+

2  (1 0 jcj2 ) + 5

jcj 5

1+

 5

jcj

:

2

Except for the above noted revisions, the remaining expressions in [1] are correct. The revisions do not affect the general conclusion of [1], although some of the numerical results are modified. The authors sincerely apologize for any confusion that their errors may have caused. The authors are also grateful to Lu and Huang for their comments, which gave them an opportunity of reviewing their work.

By applying the same method, [1, eq. (25)] is now

REFERENCES

Zopt =

 (1 0 jcj2 ) + j Ct + jcj 5 Cgs 2 !Cgs  (1 0 jcj2 ) + Ct + jcj 5 Cgs

 5  5

2

[1] T.-K. Nguyen, C.-H. Kim, G.-J. Ihm, M.-S. Yang, and S.-G. Lee, “CMOS low-noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1433–1442, May 2004.

:

Accounting for these errors, [1, eqs. (28) and (29)] should be replaced by



!Cgs



5

(1

0 jcj2 )

= Re[Zs ]

2 2 !Cgs  (1 0 jcj2 ) + Ct + jcj  5 Cgs 5 j Ct + jcj  Cgs 5 0 sLs = Im[Zs ]: 2  (1 0 jcj2 ) + Ct + jcj  2 5 Cgs 5

Correspondinginly, [1, eqs. (32) and (33)] should be revised as

Ls  Ls 





5

(1

0 jcj2 )

Corrections to “Optically Beamformed Beam-Switched Adaptive Antennas for Fixed and Mobile Broadband Wireless Access Networks” Miguel A. Piqueras, Gerd Grosskopf, Borja Vidal, Javier Herrera, Jose Manual Martínez, Pablo Sanchis, Valentín Polo, Juan L. Corral, Alexandre Marceaux, Julien Galière, Jérôme Lopez, Alain Enard, Jean-Luc Valard, Olivier Parillaud, Eric Estèbe, Nakita Vodjdani, Moon-Soon Choi, Jan Hendrik den Besten, Francisco M. Soares, Meint K. Smit, and Javier Marti With no possible explanation, we forgot to include author Raymond van Dijk in the byline of the above paper [1]. His complete address information is: Raymond van Dijk, TNO Defence, Security and Safety, 2597 AK The Hague, The Netherlands (e-mail: [email protected]). We regret and apologize for this oversight.

!!T Ct  (1 0 jcj2 ) 5 : !!T Cgs

In [1, Appendix, eqs. (A9) and (A14)] should be

REFERENCES

F

= 1+

1

2 Rs gm

1 gd0 1

2 1 + s Cgs (Lg + Ls)

0 (sCgsRs )2

1+

1+

[1] M. A. Piqueras et al., “Optically beamformed beam-switched adaptive antennas for fixed and mobile broad-band wireless access networks,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 887–899, Feb. 2006.

2

jcj 5 2

jcj 5

0 5 (1 0 jcj2 )gm (sCgs)2 [Rs2 0 s2 (Lg + Ls )2 ] 0 o Zopt = 1=Yopt =

!Cgs



5

(1

0 jcj2 ) + j

2  (1 0 jcj2 ) + 5

1+

jcj 5

1+

jcj

 5

2

:

Manuscript received February 27, 2006. M. A. Piqueras, B. Vidal, J. Herrera, J. M. Martínez, P. Sanchis, V. Polo, J. L. Corral, and J. Martí are with the Nanophotonics Technology Center, Universidad Politécnica de Valencia, 46022 Valencia, Spain (e-mail: vpolor@ntc. upv.es). G. Grosskopf and M.-S. Choi are with the Fraunhofer-Institute for Telecommunications, Heinrich-Hertz Institute, 10587 Berlin, Germany. A. Marceaux, J. Galière, J. Lopez, A. Enard, J.-L. Valard, O. Parillaud, E. Estèbe, and N. Vodjdani are with the Alcatel-Thales III–V Lab, 91460 Marcoussis, France. J. H. den Besten, F. M. Soares, and M. K. Smit are with the COBRA Research Institute, Technische Universiteit Eindhoven, 5600 MB Eindhoven, The Netherlands. Digital Object Identifier 10.1109/TMTT.2006.877053

0018-9480/$20.00 © 2006 IEEE

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free preprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2006.878284

Digital Object Identifier 10.1109/TMTT.2006.880192

Digital Object Identifier 10.1109/TMTT.2006.880193

Digital Object Identifier 10.1109/TMTT.2006.880195

EDITORIAL BOARD Editors: D. WILLIAMS and A. Mortazawi Associate Editors: A. CANGELLARIS, A. CIDRONALI, M. DO, K. ITOH, J. LIN, D. LINTON, S. MARSH, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, V. RIZZOLI, R. SNYDER, R. WU, T. WYSOCKI, A. YAKOVLEV REVIEWERS A. Abbaspour-Tamijani D. Abbott M. Abdulla M. Abe M. Abedin M. Abouzahra M. Abramowicz R. Achar E. Ackerman D. Adam E. Adle M. Adlerstein M. Afsar K. Agarwal K. Agawa K. Ahmed D. Ahn H.-R. Ahn M. Aikawa M. Akaike Y. Akaiwa E. Akmansoy S. Aksoy A. Akyurtlu F. Alessandri C. Algani F. Ali M. Ali W. Ali-Ahmad F. Alimenti C. Alippi B. Alpert A. Alphones S. Al-Sarawi A. Altintas A. Alvarez-Melcom S. Amari C. Anastasiou U. Andersson Y. Ando P. Andreani K.-S. Ang I. Angelov S. Anlage O. Anwar I. Aoki R. Aparicio V. Aparin F. Arndt U. Arz M. Asai P. Asbeck H. Ashok H. Ashoka A. Atalar A. Atia N. Audeh S. Auster P. Auxemery I. Awai A. Aydiner K. Aygun R. Azadegan A. Babakhani I. Bahl D. Baillargeat S. Bajpai W. Bakalski J. Baker-Jarvis B. Bakkaloglu K. Balmain Q. Balzano S. Banba J. Bandler R. Bansal F. Bardati I. Bardi S. Barker D. Barlage J. Barr J. Bartolic D. Bates G. Baudoin Q. Balzano B. Beker G. Belenky D. Belot C. Bell P. Bell J. Benedikt J. Bernhard G. Bertin H. Bertoni E. Bertran W. Beyene A. Beyer M. Bialkowski E. Biebl P. Bienstman S. Bila M. Bilakowski A.-L. Billabert F. Bilotti H. Bilzer O. Biro R. Bisiso B. Bisla D. Blackham M. Blank P. Blondy D. Boccoli F. Bohn B. Boeck L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria O. Boric-Lubecke A. Borji J. Bornemann W. Bosch R. Bosisio S. Boumaiza M. Bozzi E. Bracken R. Bradley V. Bratman T. Brazil G. Brehm K. Breuer B. Bridges J. Brinkoff S. Broschat S. Brozovich D. Budimir D. Buechler M. Buff C. Buntschuh J. Bunton J. Burghartz P. Burghignoli Y. Bykov A. Caballero B. Cabon J. Calame

M. Calcatera C. Caloz C. Camacho-Penalosa E. Camargo R. Cameron S. Cammer C. Campbell M. Campovecchio F. Canavero J. Cao J. Capmany F. Capolino G. Carchon R. Carter N. Carvalho F. Casas J. Catala R. Caverly J. Cavers Z. Cendes B. Cetiner R. Chair H. Chaloupka A. Chambarel B. Chambers C.-H. Chan Y.-J. Chan C.-Y. Chang F. Chang G. Chang H.-C. Chang H.-R. Chang K. Chang E. Channabasappa H. Chapell W. Chappell M. Chatras S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen H.-H. Chen J. Chen R. Chen W.-K. Chen Y.-J. Chen K.-K. Cheng Y.-C. Cheng W.-C. Chew C.-Y. Chi Y.-C. Chiang C.-F. Chiasserini I.-T. Chiang J. C. Chiao I. Chiba D. Chigrin A. Chin C.-C. Chiu Y. Cho C. Choi J. Choi M.-J. Choi C.-K. Chou Y.-H. Chou D. Choudhury K. Choumei Y. Chow C. Christodoulou C. Christopoulos H.-R. Chuang Y. Chung B. Chye R. Cicchetti C. Cismaru D. Citrin P. Civalleri A. Ciubotaru T. Clark R. Clarke J. Cloete E. Cohen F. Colomb B. Colpitts M. Condon D. Consonni J. Corral A. Constanzo I. Corbella E. Costamagna A. Coustou J. Craninckx J. Crescenzi S. Cripps D. Cros T. Crowe M. Cryan J. Culver C. Curry W. Curtice M. da Cunha W.-L. Dai T. Dahm G. Dambrine B. Danly F. Danneville N. Das M. Davidovich A. Davis C. Davis L. Davis H. Dayal F. De Flaviis H. De Los Santos A. De Lustrac P. De Maagt J. de Mingo R. De Roo L. de Vreede D. De Zutter B. Deal A. Dearn P. Debicki J. Deen A. Deleniv M. DeLisio S. Demir A. Deutsch V. Devabhaktuni Y. Deval A. Diet L. Ding A. Djermoun T. Djordjevic J. Dobrowolski D. Dolfi W. Dou M. Douglas P. Draxler A. Dreher F. Drewniak J. Drewniak D. Dubuc S. Dudorov L. Dunleavy V. Dunn A. Duzdar

S. Dvorak L. Dworsky M. Dydyk M. Edwards R. Ehlers H. Eisele G. Eisenstein G. Eleftheriades M. Elliott T. Ellis A. Elsherbeni R. Emrick N. Engheta A. Enokihara Y. Eo H. Eom C. Ernst M. Esashi L. Escotte I. Eshrah V. Esposti M. Essaaidi K. Esselle H. Estaban J. Esteban C. Fager J. Fan D.-G. Fang M. Farina W. Fathelbab A. Fathy J. Favennec A. Fazal E. Fear M. Feldman A. Fernandez A. Ferrero T. Fickenscher J. Fiedziuszko D. Filipovic A. Fliflet B. Floyd P. Focardi N. Fong K. Foster P. Foster B. Frank C. Free J. Freire M. Freire R. Freund F. Frezza I. Frigyes C. Froehly J. Fu R. Fujimoto T. Fujioka O. Fujiwara H. Fukuyama V. Fusco D. Gabbay N. Gagnon J. Gallego B. Galwas O. Gandhi B.-Q. Gao J. Gao J. Garcia R. Garver A. Gasiewski B. Geelen B. Geller V. Gelnovatch W. Geppert F. Gerecht J. Gering M. Gerken S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini J. Gilb A. Glisson M. Goano E. Godshalk M. Goldfarb P. Goldsmith M. Golio N. Gomez X. Gong R. Gonzalo S. Gopalsami A. Gopinath R. Gordon A. Gorur K. Goverdhanam W. Grabherr L. Gragnani J. Grahn G. Grau A. Grebennikov T. Gregorzyk I. Gresham A. Griol D. R. Grischowsky C. Grossman E. Grossman T. Grzegorczyk A. Gupta K. Gupta M. Gupta R. Gutmann W. Gwarek J. Hacker M. Hafizi S. Hadjiloucas S. Hagness D. Haigh P. Hale D. Ham K. Hamaguchi S. Hamedi-Hagh J. Hand K. Hashimoto Q. Han T. Hancock A. Hanke V. Hanna Z. Hao S. Hara L. Harle A. Harish P. Harrison H. Hartnagel J. Haslett G. Hau R. Haupt S. Hay H. Hayashi J. Hayashi L. Hayden J. Heaton

P. Hedekvist W. Heinrich G. Heiter M. Helier R. Henderson F. Henkel J. Herren P. Herczfeld F. Herzel J. Hessler A. Hiatala C. Hicks M. Hieda A. Higgins M. Hikita W. Hioe Y. Hirachi T. Hiraota A. Hirata T. Hiratsuka Y.-C. Ho W. Hoefer K. Hoffmann R. Hoffmann J. Hong J.-S. Hong K. Horiguchi Y. Horii J. Horng J. Horton K. Hosoya R. Howald H. Howe H.-M. Hsu H.-T. Hsu J.-P. Hsu C.-W. Hsue C.-C. Huang C. Huang F. Huang H. Huang H.-C. Huang J. Huang T.-W. Huang P. Huggard H.-T. Hui D. Humphreys A. Hung C.-M. Hung H. Hung J.-J. Hung I. Hunter H.-Y. Hwang T. Idehara S. Iezekiel J.-Y. Ihm Y. Iida H. Iizuka P. Ikalainen Y. Ikeda P. Ikonen K. Ikossi M. Ilic J. Inatani K. Iniewski H. Inokawa A. Inoue M. Ishida A. Ishimaru T. Ishizaki S. Islam Y. Ismail Y. Isota M. Ito T. Itoh Y. Itoh T. Ivanov C. Iversen D. Iverson M. Iwamoto Y. Iyama H. Izumi D. Jachowski C. Jackson D. Jackson R. Jackson M. Jacob S. Jacobsen D. Jaeger B. Jagannathan N. Jain R. Jakoby G. James V. Jandhyala M. Janezic H. Jantunen B. Jarry P. Jarry A. Jastrzbeski E. Jeckein W. Jemison Y. Jeon J. Jeong Y.-H. Jeong G. Jerinic A. Jerng T. Jerse D. Jiao J.-M. Jin J. Joe L. Johansson T. Johnson A. Joseph K. Joshin J. Joubert P. Juodawlkis P. Kabos S.-T. Kahng T. Kaho D. Kajfez T. Kamel Y. Kamimura H. Kamitsuna K. Kamogawa S. Kanamaluru H. Kanaya M. Kanda P. Kangaslahtii V. Kaper M. Kärkkäinen A. Karpov U. Karthaus A. Karwowski T. Kashiwa R. Kaul K. Kawakami A. Kawalec T. Kawanishi S. Kawasaki H. Kayano M. Kazimierczuk R. Keam L. Kempel P. Kenington K. Kenneth S. Kenny

Digital Object Identifier 10.1109/TMTT.2006.880190

A. Kerr A. Khalil A. Khanifar J. Kiang Y.-W. Kiang P.-S. Kildal O. Kilic B. Kim H. Kim I. Kim J.-P. Kim M. Kim W. Kim B. Kimm K. Kimura S. Kimura A. Kirilenko V. Kisel S. Kishimoto A. Kishk T. Kitamura K. Kitayama T. Kitazawa W. Klaus E. Klumprink R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kogami B. Kolner S. Komaki M. Komaru J. Komiak A. Komijani G. Kompa A. Konczykowska Y. Konishi A. Koonen B. Kopp K. Kornegay M. Koshiba T. Kosmanis J. Kot Y. Kotsuka S. Koul V. Kourkoulos A. B. Kozyrev A. Krenitskiy N. Kriplani K. Krishnamurthy V. Krishnamurthy A. Kroenig C. Kromer C. Krowne V. Krozer W. Kruppa R. Kshetrimayum H. Ku H. Kubo E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar M. Kunert J. Kuno M. Kunst C.-N. Kuo J.-T. Kuo H. Kurebayashi T. Kuri F. Kuroki S. Kusunoki D. Kuylenstierna M. Kuzuhara I. Kwon Y.-W. Kwon R. Lai Y.-L. Lai P. Lampariello M. Lanagan M. Lancaster P. Lane U. Langmann Z. Lao G. Lapin L. Larson J. Laskar A. Lauer G. Lazzi Y. Le Coz Y. Le Guennec S. Le Maguer B. Lee C. Lee J.-F. Lee J.-W. Lee K. Lee R. Lee S.-G. Lee T. Lee Y.-C. Leong R. Leoni K.-W. Leung P. Leuchtmann G. Leuzzi A. Leven A. Levi R. Levy A. Lewandowski M. Lewis K. Li L.-W. Li X. Li Y. Li Y.-M. Li M. Liberti L. Ligthart S. Lim E. Limiti C. Lin J. Lin Y.-D. Lin Y.-S. Lin L. Lind S. Lindenmeier F. Ling A. Lipparini D. Lippens V. Litvinov C.-P. Liu Q.-H. Liu S.-I. Liu W. Liu O. Llopis D. Lo A. Loayssa R. Loison J. Long K. Lorincz U. Lott J.-H. Loui H.-C. Lu L.-H. Lu S. Lu

W.-T. Lu V. Lubecke G. Lucca S. Lucyszyn R. Luebbers L. Lunardi J. Luy S. Lyshevski J.-G. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella P. Macchiarella J. Machac S. Maci J. Maciel M. Madihian B. Madhavan V. Madrangeas M. Magana S. Mahmoud S. Mahon I. Maio A. Majedi M. Majewski M. Makimoto J. Malherbe D. Malocha T. Manabe G. Manganaro T. Maniwa C. Mann H. Manohara R. Mansour D. Manstretta J. Mao S.-G. Mao S. Marchetti R. Marques J. Martens J. Marti F. Martin E. Martinez K. Maruhashi D. Masotti A. Massa S. Masuda A. Materka B. Matinpour M. Matsuo A. Matsushima A. Matsuzawa S. Matsuzawa G. Matthaei D. Matthews J.-P. Mattia J. Maurer J. Mayock J. Mazierska S. Mazumder G. Mazzarella K. McCarthy T. McKay J. McKinney R. McMillan D. McQuiddy P. Meany F. Medina S. Melle F. Mena C. Meng H.-K. Meng W. Menzel F. Mesa A. Metzger P. Meyer C. Mias K. Michalski G. Michel E. Michielssen A. Mickelson R. Miles D. Miller R. Minasian B. Minnis D. Mirshekar J. Mitchell O. Mitomi R. Mittra M. Miyakawa R. Miyamoto M. Miyazaki K. Mizuno S. Mizushina M. Mohamed S. Mohammadi A. Mohammadian M. Mongiardo J. Morente M. Morgan K. Mori A. Morini N. Morita E. Moros A. Morris J. Morsey H. Mosallaei M. Mrozowski J.-E. Mueller M. Muraguchi K. Murata H. Muthali T. Nagatsuma P. Nagel K. Naishadham T. Nakagawa M. Nakajima N. Nakajima J. Nakayama M. Nakayama M. Nakhla J. Nallatamby S. Nam S. Narahashi A. Natarajan J. Nath B. Nauwelaers J. Navarro I. Nefedovlgor H.-C. Neitzert B. Nelson S. Nelson A. Neri H. Newman D. Ngo E. Ngoya C. Nguyen K. Niclas E. Niehenke P. Nikitin A. Niknejad N. Nikolova T. Nirmalathas K. Nishikawa T. Nishikawa

K. Nishimura T. Nishino K. Nishizawa G. Niu W. Ng S. Nogi K. Noguchi T. Nojima A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido T. Nurgaliev D. Oates J. Obregon J. O’Callahan M. O’Droma M. Odyneic I. Ogawa M. Ogusu K. Oh M. Ohawa T. Ohira I. Ohta M. Ohtsuka S. Oikawa K. Okada Y. Okano H. Okazaki V. Okhmatovski A. Oki M. Okoniewski A. Oliner J. Olsson F. Olyslager A. Omar M. Omiya K. Onodera B.-L. Ooi I. Oppermann R. Orta S. Ortiz J. Ou T. Owada M. Ozkar J. Page de la Pega W. Palmer G.-W. Pan A. Paolella C. Papanicolopoulos J. Papapolymerou B.-K. Park C.-S. Park W. Park A. Parker D. Parker T. Parker J. Pearce B. Pejcinovic S.-T. Peng R. Pengelly R. Penty J. Pereda B. Perlman L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson A. Petosa A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierce B. Piernas J. Pierro P. Pieters M. Piket-May L. Pileggi Z.-Y. Ping M. Pirola A. Platzker C. Plett C. Pobanz R. Pogorzelski R. Pokharel R. Pollard G. Ponchak M. Popovic J. Portilla M. Pospieszalski V. Postoyalko A. Pothier S. Prasad D. Prather D. Prescott A. Priou D. Purdy Y. Qian T. Quach C. Quendo R. Quere F. Raab V. Radisic K. Radhakrishnan T. Rahkonen C. Railton A. Raisanen K. Rajab O. Ramahi J. Randa R. Ranson T. Rappaport J. Rathmell C. Rauscher J. Rautio B. Rawat J. Rayas-Sanchez R. Reano G. Rebeiz J. Rebollar B. Redman-White M. Reddy R. Reid H.-M. Rein J. Reinert R. Remis K. Remley C. Rey L. Reynolds A. Rezazadeh E. Rezek A. Riddle B. Riddle J.-S. Rieh E. Rius I. Robertson R. Robertson A. Rodriguez R. Rogers H. Rogier U. Rohde N. Rolland R. Romanofsky

A. Rong Y. Rong D. Root L. Roselli A. Rosen U. Rosenberg L. Roy M. Royer J. Roychowdury T. Rozzi B. Rubin M. Rudolph P. Russer D. Rutledge T. Ruttan A. Rydberg T. Rylander D. Rytting C. Saavedra A. Safavi-Naeini A. Safwat M. Sagawa B. Sahu A. Saitou I. Sakagami K. Sakaguchi K. Sakakibara K. Sakamoto K. Sakoda M. Salazar-Palma C. Samori L. Samoska A. Sanada Y. Sanada M. Sanagi P. Sandhiva U. Sangawa A. Sangster K. Sano K. Sarabandi T. Sarkar C. Sarris H. Sato M. Sato S. Sato H. Sawada H. Sawaya A. Sawicki A. Sayed I. Scherbatko J. Schellenberg G. Schettini F. Schettino B. Schiek M. Schindler E. Schlecht E. Schmidhammer D. Schmitt J. Schneider J. Schoukens A. Schuchinsky R. Schuhmann J. Schultz J. Schutt-Aine A. Seeds Y. Segawa T. Seki S. Selberherr G. Semouchkin E. Semouchkina Y.-K. Seng R. Settaluri J. Sevic O. Sevimli Y. Segawa Z. Shao M. Shapiro A. Sharma S. Sharma T. Shen Z.-X. Shen Y. Shestopalov H. Shigesawa Y.-C. Shih H. Shimasaki S. Shinjo N. Shino N. Shinohara T. Shimozuma W. Shiroma K. Shogen N. Shuley M. Shur D. Sievenpiper A. Sihvola C. Silva M. Silveira M. Silveirinha M. Silveirinhao K. Silvonen G. Simin R. Simons B. Sinha F. Sinnesbichler J. Sinsky J. Sitch H.-J. Siweris R. Sloan A. Smith D. Smith G. Smith P. Smith R. Snyder H. Sobol A. Sochava M. Solano K. Solbach M. Solomon M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers M. Soyuer R. Sparks P. Staecker D. Staiculescu S. Stapleton J. Staudinger P. Stauffer P. Steenson K. Stephan M. Steyaert S. Stitzer A. Stoehr B. Strassner M. Stubbs M. Stuchly A. Suarez G. Subramanyam R. Sudbury N. Suematsu M. Sugiyama D. Sullivan L. Sundstrom

Y. Suzuki J. Svacina D. Swanson D. Sweeney R. Syms B. Szendrenyi W. Tabbara M. Tabib-Azar A. Taflove M. Taghivand N. Taguchi Y. Tahara G. Tait Y. Tajima T. Takagi K. Takahashi S. Takayama Y. Takayama S. Takeda I. Takenaka M. Taki K. Takizawa S. Talisa N. Talwalkar B.-T. Tan C.-Y. Tan J. Tan C.-W. Tang W.-C. Tang S. Tanaka T. Tanaka Y. Tanaka M. Tani E. Taniguchi H. Tanimoto R. Tascone J. Taub J. Tauritz R. Tayrani D. Teeter F. Teixeira R. Temkin M. Tentzeris K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson D. Thompson M. Tiebout L. Tiemeijer H. Toda M.-R. Tofighi M. Togashi T. Tokumitsu R. Tomasiunas A. Tombak K. Tomiyasu I. Toyoda S. Tretyakov R. Trew A. Trifiletti C. Trueman A. Truitt C.-M. Tsai E. Tsai L. Tsang H.-Q. Tserng T. Tsiboukis J. Tsui M. Tsuji T. Tsujiguchi T. Tsukahara K. Tsukamoto K. Tsunoda H. Tsurumi S. Tu R. Tucker M. Tur C.-K. Tzuang H. Uchida S. Uebayashi T. Ueda S. Ueno J. Uher F. Uhlmann T. Ulrich T. Umeda Y. Umeda F. Urbani T. Uwano P. Vainikainen P. Valanju F. Van de Water P. van den Berg D. Van der Weide G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie J. Vankka F. Van Straten K. Varian G. Vasilecu A. Vegas-Garcia L. Vegni A. Verma R. Vernon J. Verspecht B. Vidal L. Vietzorreck A. Viitanen A. Vilches C. Vittoria S. Vitusevich D. Viveiros V. Volman K. Wada K. Wakino D. Walker R. Walker M. Wallis C. Walsh C. Wan S. Wane B.-Z. Wang C. Wang D. Wang E. Wang H. Wang J. Wang K.-C. Wang S. Wang T.-H. Wang W. Wang X. Wang K. Warnick P. Warr S. Wartenberg O. Watanabe S. Watanabe R. Waugh D. Webb K. Webb R. Webster S. Wedge C.-J. Wei

J. Weirt R. Weigel G. Weihs R. Weikle C. Weil D. Weile A. Weily S. Weinreb J. Weiss C. Weitzel T. Weller C.-P. Wen M.-H. Weng R.-M. Weng S. Wentworth J. Whelehan L. Whicker J. Whitaker N. Whitbread D. White I. White S. Whiteley A. Whittneben B. Widrow G. Wilkins J. Williams T. Williams A. Williamson B. Willen B. Wilson J. Wiltse T. Winslow J. Winters A. Wittneben M. Wnuk M.-F. Wong S. Wong W. Woo J. Wood R. C. Wood G. Woods D. Woolard B.-L. Wu C. Wu H. Wu K. Wu K.-L. Wu Q. Wu Y.-S. Wu J. Wuerfl M. Wurzer J. Wustenberg G. Xiao C. Xie H. Xin Y.-Z. Xiong J. Xu Y. Xu Q. Xue T. Yakabe K. Yamamo S. Yamamoto S. Yamashita K. Yamauchi F. Yang H.-Y. Yang K. Yang Y. Yang Y.-J. Yang Z. Yang S. Yanagawa F. Yanovsky H. Yao J. Yao J. Yap B. Yarman K. Yashiro H. Yasser K. Yasumoto S. Ye J. Yeo S.-P. Yeo A. Yilmaz W.-Y. Yin S. Yngvesson N. Yoneda T. Yoneyama C.-K. Yong J.-G. Yook J.-B. Yoon R. York I. Yoshida S. Yoshikado L. Young M. Yousefi J.-W. Yu M. Yu P.-K. Yu W. Yu S.-W. Yun P. Yue A. Zaghoul A. Zaghloul A. Zajic K. Zaki P. Zampardi J. Zapata L. Zappelli J. Zehentner L. Zhang Q.-J. Zhang R. Zhang S. Zhang W. Zhang Y. P. Zhang A. Zhao L. Zhao Y. Zhao F. Zhenghe W. Zhou A. Zhu L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin D. Zimmermann R. Ziolkowski H. Zirath J. Zmuidzinas A. Zozaya