IEEE MTT-V054-I05 (2006-05) [54, 5 ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 01629031......Page 1
020 - 01629032......Page 3
030 - [email protected] 4
040 - [email protected] 12
050 - [email protected] 20
060 - [email protected] 28
070 - [email protected] 36
080 - [email protected] 44
090 - [email protected] 50
100 - [email protected] 58
110 - [email protected] 66
120 - [email protected] 75
130 - [email protected] 86
140 - [email protected] 98
150 - [email protected] 108
160 - [email protected] 117
170 - [email protected] 125
180 - [email protected] 136
190 - [email protected] 143
200 - [email protected] 152
210 - [email protected] 165
220 - [email protected] 173
230 - [email protected] 181
240 - [email protected] 193
250 - [email protected] 203
260 - [email protected] 213
270 - [email protected] 221
280 - [email protected] 228
290 - [email protected] 235
300 - [email protected] 244
310 - [email protected] 256
320 - [email protected] 263
330 - [email protected] 271
340 - [email protected] 279
350 - [email protected] 286
360 - [email protected] 294
370 - [email protected] 303
380 - [email protected] 315
390 - [email protected] 326
400 - [email protected] 332
410 - [email protected] 339
420 - [email protected] 348
430 - [email protected] 358
440 - 01629073......Page 368
450 - 01629074......Page 369
460 - 01629075......Page 370
470 - 01629076......Page 371
480 - 01629077......Page 372

Citation preview

MAY 2006

VOLUME 54

NUMBER 5

IETMAB

(ISSN 0018-9480)

PAPERS

A New Compact Microstrip Two-Layer Bandpass Filter Using Aperture-Coupled SIR-Hairpin Resonators With Transmission Zeros ..... ........ ......... ......... ........ ......... ......... ........ ......... ...... A. Djaiz and T. A. Denidni 120-GHz-Band Millimeter-Wave Photonic Wireless Link for 10-Gb/s Data Transmission ...... ........ ......... ......... .. A. .. Hirata, T. Kosugi, H. Takahashi, R. Yamaguchi, F. Nakajima, T. Furuta, H. Ito, H. Sugahara, Y. Sato, and T. Nagatsuma Microstrip Diplexers Design With Common Resonator Sections for Compact Size, But High Isolation ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .... C.-F. Chen, T.-Y. Huang, C.-P. Chou, and R.-B. Wu A 60-GHz Point-to-Multipoint Millimeter-Wave Fiber-Radio Communication System . ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... . S. T. Choi, K. S. Yang, S. Nishi, S. Shimizu, K. Tokuda, and Y. H. Kim A Derived Physically Expressive Circuit Model for Multilayer RF Embedded Passives ....... ... .. J. Wang and K.-L. Wu

1929 1937 1945 1953 1961

Analysis and Experiments for High-Efficiency Class-F and Inverse Class-F Power Amplifiers . ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... .. Y. Y. Woo, Y. Yang, and B. Kim The Optimization of On-Wafer Shield-Based Test Fixture Layout ....... ........ ......... ......... ... T. Kaija and P. Heino

1969 1975

Substrate Integrated Waveguides Optimized for Ultrahigh-Speed Digital Interconnects ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ....... J. J. Simpson, A. Taflove, J. A. Mix, and H. Heck

1983

A Vector Intermodulation Analyzer Applied to Behavioral Modeling of Nonlinear Amplifiers With Memory . ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ..... A. Walker, M. Steer, and K. G. Gard

1991

Finite-Difference Formulation Accounting for Field Singularities ........ . H. Zscheile, F. J. Schmückle, and W. Heinrich A Waveguide-Based Two-Step Approach for Measuring Complex Permittivity Tensor of Uniaxial Composite Materials .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ....... M. J. Akhtar, L. E. Feher, and M. Thumm Frequency-Tuning Technique for Remote Detection of Heartbeat and Respiration Using Low-Power Double-Sideband Transmission in the -Band . ......... ......... ........ ......... .. Y. Xiao, J. Lin, O. Boric-Lubecke, and V. M. Lubecke A Novel Microstrip Square-Loop Dual-Mode Bandpass Filter With Simultaneous Size Reduction and Spurious Response Suppression .... ......... ........ ......... ......... ........ ......... .... S.-W. Fok, P. Cheong, K.-W. Tam, and R. P. Martins Orthomode Transducer for Millimeter-Wave Correlation Receivers ...... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ . O. A. Peverini, R. Tascone, G. Virone, A. Olivieri, and R. Orta

2000 2011 2023 2033 2042

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Broadband Integrated Millimeter-Wave Up- and Down-Converter GaAs MMICs ....... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ... S. J. Mahon, E. Convert, P. T. Beasly, A. Bessemoulin, A. Dadello, A. Costantini, A. Fattorini, M. G. McCulloch, B. G. Lawrence, and J. T. Harvey Nonlinear Source Resistance in High-Voltage Microwave AlGaN/GaN HFETs ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... . R. J. Trew, Y. Liu, G. L. Bilbro, W. Kuang, R. Vetury, and J. B. Shealy Modeling of Realistic Rectangular -Coaxial Lines ..... ... ...... M. Lukic´ , S. Rondineau, Z. Popovic´ , and D. S. Filipovic´ Quantum-Noise Theory for Terahertz Hot Electron Bolometer Mixers .. ....... .. ..... E. L. Kollberg and K. S. Yngvesson FET-Integrated CPW and the Application in Filter Synthesis Design Method on Traveling-Wave Switch Above 100 GHz .. ........ ......... .. ....... ........ ...... Z.-M. Tsai, M.-C. Yeh, H.-Y. Chang, M.-F. Lei, K.-Y. Lin, C.-S. Lin, and H. Wang

2050 2061 2068 2077 2090

A Dual-Band RF Front-End of Direct Conversion Receiver for Wireless CDMA Cellular Phones With GPS Capability .. .. ........ ......... ......... ........ ......... ......... ........ ... W. Kim, J. Yu, H. Shin, S.-G. Yang, W. Choo, and B.-H. Park

2098

RF Operation of MOSFETs Under Integrated Inductors ......... ......... ........ ......... .... N. Nastos and Y. Papananos

2106

Dynamically Optimum Lookup-Table Spacing for Power Amplifier Predistortion Linearization ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ..... C.-H. Lin, H.-H. Chen, Y.-Y. Wang, and J.-T. Chen

2118

Efficient 3-D Capacitance Extraction Considering Lossy Substrate With Multilayered Green’s Function ....... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... .. Z. Ye, W. Yu, and Z. Yu Low-Power Ultra-Wideband Wavelets Generator With Fast Start-Up Circuit ... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .. D. Barras, F. Ellinger, H. Jäckel, and W. Hirt A Novel Self-Coupled Dual-Mode Ring Resonator and Its Applications to Bandpass Filters ... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ....... Y.-H. Jeng, S.-F. R. Chang, Y.-M. Chen, and Y.-J. Huang

2128 2138 2146

Space-Mapping Optimization of Planar Coupled-Resonator Microwave Filters ... .. S. Amari, C. LeDrew, and W. Menzel

2153

Quasi-Static Modeling of Defected Ground Structure ... ......... ... ....... ...... N. C. Karmakar, S. M. Roy, and I. Balbin A Computational Study of Ultra-Wideband Versus Narrowband Microwave Hyperthermia for Breast Cancer Treatment .. .. ........ ......... ......... ........ ......... ......... ........ ... M. Converse, E. J. Bond, B. D. Van Veen, and S. C. Hagness

2160

Analysis of Optical Carrier-to-Sideband Ratio for Improving Transmission Performance in Fiber-Radio Links ......... .. .. ........ ......... ......... ........ ......... ......... .. C. Lim, M. Attygalle, A. Nirmalathas, D. Novak, and R. Waterhouse The Dependence of Electromagnetic Far-Field Absorption on Body Tissue Composition in the Frequency Range From 300 MHz to 6 GHz ..... ........ ......... ......... ... A. Christ, A. Klingenböck, T. Samaras, C. Goiceanu, and N. Kuster A Split-Field Iterative ADI Method for Simulating Transverse-Magnetic Waves in Lossy Media ....... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... S. Wang and J. H. Duyn Analysis and Modeling of Layout Scaling in Silicon Integrated Stacked Transformers . ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... . T. Biondi, A. Scuderi, E. Ragonese, and G. Palmisano Finite-Element Modeling of Low-Stress Suspension Structures and Applications in RF MEMS Parallel-Plate Variable Capacitors ...... ......... ........ ......... ......... ........ ......... ......... ........ ..... A. M. Elshurafa and E. I. El-Masry Design and Optimization of Broadband Asymmetrical Multisection Wilkinson Power Divider . ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ...... H. Oraizi and A.-R. Sharifi Fast and Direct Coupled-Microstrip Interconnect Reduced-Order Modeling Based on the Finite-Element Method ..... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ..... S.-H. You and E. F. Kuester Resonant Spherical Hole in a High Loss Liquid at Millimeter Wavelengths ..... . Z. E. Eremenko and E. M. Ganapolskii CAD Equivalent-Circuit Modeling of Attenuation and Cross-Coupling for Edge-Suspended Coplanar Waveguides on Lossy Silicon Substrate ........ ......... ......... ........ ... ....... ......... ........ ......... .. L. L. W. Leung and K. J. Chen A High-Efficiency Waveguide Applicator for In Vitro Exposure of Mammalian Cells at 1.95 GHz ..... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ .. M. L. Calabrese, G. D’Ambrosio, R. Massa, and G. Petraglia Adaptive Multivariate Rational Data Fitting With Applications in Electromagnetics .... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ....... A. Cuyt, R. B. Lenin, S. Becuwe, and B. Verdonk Efficient Implementations of the Crank–Nicolson Scheme for the Finite-Difference Time-Domain Method .... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ...... G. Sun and C. W. Trueman Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

2169 2181 2188 2196 2203 2211 2220 2232 2243 2249 2256 2265 2275 2285

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $16.00 per year for electronic media only or $32.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE K. VARIAN, President M. P. DELISIO D. HARVEY S. M. EL-GHAZALY J. HAUSNER M. HARRIS K. ITOH

J. S. KENNEY, L. KATEHI S. KAWASAKI J. S. KENNEY

President Elect N. KOLIAS T. LEE J. LIN

W. H. CANTRELL, Secretary D. LOVELACE V. J. NAIR J. MODELSKI B. PERLMAN A. MORTAZAWI D. RUTLEDGE

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

W. SHIROMA R. SNYDER R. SORRENTINO

N. KOLIAS, Treasurer K. VARIAN K. WU R. WEIGEL R. YORK S. WETENKAMP

Distinguished Lecturers

K. TOMIYASU L. YOUNG

L. E. DAVIS W. GWAREK W. HEINRICH

W. HOEFER T. ITOH B. KIM

J. LASKAR J. C. RAUTIO D. RYTTING

Past Presidents M. SHUR P. SIEGEL R. J. TREW

K. C. GUPTA (2005) R. J. TREW (2004) F. SCHINDLER (2003)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: D. KREMER Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: L. HAYS Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: F. SULLIVAN Central & South Italy: R. TIBERIO Central No. Carolina: T. IVANOV Chicago: R. KOLLMAN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. D. BALUSEK Dayton: A. TERZOULI, JR. Denver: K. BOIS Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: S. O’CONNOR

Foothill: C. ANTONIAK France: O. PICON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: K.. MICHALSKI Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: E. HU New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: Y. THODESEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: T. WU Ottawa: J. E. ROY Philadelphia: J. B. MCCORMACK Phoenix: C. WEITZEL Poloand: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: F. J. V. HASSELMANN Rochester: S. M. CICCARELLLI/ J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorad: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: S.. KUMAR Seattle: K. POULSON Seoul Coucil: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH

Editor-In-Chief MICHAEL STEER North Carolina State Univ. Raleigh, NC 27695-7911 USA Phone: +1 919 515 5191 Fax: +1 919 513 1979 email: [email protected]

Singapore: O. B. LEONG South Africa: P. W. VAN DER WALT South Australia: H. HANSON South Brazil: L. C. KRETLEY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: E. C. FEAR Spain: L. DE HARO Springfield: S. C. REISING Sweden: A.. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI Ukraine West: M. I. ANDRIYCHUK UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: E. I. VELIEV Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: S. NOGHANIAN Yugoslavia: B. MILOVANOVIC

Associate Editors

ANDREAS CANGELLARIS RUEY-BEEI WU ZOYA POPOVIC Univ. of Illinois, Urbana Champaign Univ. of Colorado, Boulder National Taiwan Univ. USA USA Taiwan, R.O.C. email:[email protected] email: [email protected] email: [email protected] AMIR MORTAZAWI DYLAN F. WILLIAMS ALESSANDRO CIDRONALI Univ. of Michigan, Ann Arbor NIST Univ. of Florence USA USA Italy email: [email protected] email: [email protected] email: [email protected] YOSHIO NIKAWA KENJI ITOH STEVEN MARSH Mitsubishi Electronics Midas Consulting Kokushikan Univ. Japan U.K. Japan email: [email protected] email: [email protected] email: [email protected] DAVID LINTON TADEUSZ WYSOCKI JOSÉ PEDRO Queen’s Univ. Belfast Univ. of Wollongong Univ. of Aveiro Northern Ireland Australia Portugal email: [email protected] email: [email protected] email: jcp.mtted.av.it.pt M. GOLIO, Editor, IEEE Microwave Magazine G. PONCHAK, Editor, IEEE Microwave and Wireless Component Letters

MANH ANH DO Nanyang Technological Univ. Singapore email: [email protected] VITTORIO RIZZOLI Univ. of Bologna Italy email: [email protected] SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers MICHAEL R. LIGHTNER, President and CEO SAIFUR RAHMAN, Vice President, Publication Services and Products LEAH H. JAMIESON, President-Elect PEDRO RAY, Vice President, Regional Activities J. ROBERTO DE MARCA, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association JOSEPH V. LILLIE, Treasurer CELIA L. DESMOND, Vice President, Technical Activities W. CLEON ANDERSON, Past President RALPH W. WYNDRUM, JR., President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $77.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2006 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188.

Digital Object Identifier 10.1109/TMTT.2006.876211

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

1929

A New Compact Microstrip Two-Layer Bandpass Filter Using Aperture-Coupled SIR-Hairpin Resonators With Transmission Zeros Azzeddine Djaiz and Tayeb A. Denidni, Senior Member, IEEE

Abstract—A new design of a compact two-layer bandpass filter using aperture-coupled microstrip stepped-impedance hairpin resonators is proposed and investigated in this paper. The proposed filter is composed of four stepped-impedance hairpin resonators located on two stacked microstrip layers, and the couplings between the resonators on the upper layer and those on the lower one are obtained by using three coupling apertures etched on a common ground plane placed between the two layers. In addition, the use of tapped input/output couplings allow this bandpass filter to generate two independent transmission zeros. A full-wave simulator is used to design the proposed structure and calculate the aperture coupling coefficients. With this two-layer configuration, the proposed filter becomes very compact and occupies a small space. To demonstrate the proposed design, a four-order bandpass-filter prototype was designed, fabricated, and tested at 2.14 GHz. Furthermore, a single-layer filter prototype was also designed and fabricated at the same frequency band and then compared to the first one. As a result, a significant reduction of approximately 50% was achieved. These features make the proposed structure suitable for compact and high-performance circuit component designs in microwave circuits. Index Terms—Microstrip filters, miniaturized circuits, multilayer configurations, stepped-impedance resonators (SIRs).

I. INTRODUCTION HE RAPID growth of mobile wireless communication systems has led to a great increasing demand for miniaturized and compact microwave filters since most mobile platforms have a limited space for the required filters. Over the last decades, planar microstrip filters with various configurations have been proposed [1], [2]. These filter designs have attracted broad attention in microwave applications due to their many interesting features in terms of high performance, light weight, low cost, and ease of fabrication. However, these conventional microstrip filters are still large structures, which take more space, especially at low frequencies, and they should be miniaturized as small as possible. To achieve this objective, various approaches have been proposed for filter miniaturization. For instance, open-loop resonator filters have been considered as an effective solution to the problem of filter sizes [3], [4]. In the same context, hairpin resonator filters and stepped-impedance resonator (SIR)

T

Manuscript received January 23, 2006. This work was supported by the National Science Engineering Research Council of Canada. The authors are with the RF Laboratory, Institut National de la Recherche Scientifique-Energie, Materiaux et Télécommunications, Université du Quebec, Montréal, QC, Canada H5A 1K6 (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872797

filters have also been suggested and investigated by many researchers [5]–[11]. Recently, a new cross-coupled filter with two improved hairpin resonator using resonator-embedded topology has been demonstrated, which leads to a significant miniaturization [12]. However, these configurations are implemented on a singlelayer substrate so their size is still a little bit larger and takes more space than the available real state allocated to these filters, especially at low RF frequency bands (frequencies below or around 3 GHz). To resolve this problem, two-layer or multilayer filter designs become suitable approaches for a filter-size reduction. For instance, a two-layer filter structure has been presented in [13] and [14]. In this approach, open-loop resonators have been employed. In the same perspective, another two-layer design with one aperture for the mixed coupling has been investigated in [15] and [16]. From these previous approaches, it can be noted that only open-loop resonators with one type of coupling have been considered. In this paper, we propose a new two-layer microstrip bandpass filter using a resonator-embedded topology where two different coupled apertures for both electrical and magnetic couplings are introduced. The proposed filter is based on the design reported in [12], but uses two layers with three coupled apertures instead of a single-layer approach. The proposed filter configuration consists of four resonators etched on two stacked layer substrates, and the couplings between the resonators in the upper layer and those in the lower one are obtained by introducing three slots in a common ground plane placed between the two layers. The intensity of the electric and magnetic couplings depends on the dimension and location of these apertures. In addition, based on [17] and [18], the technique using a tapped-line input/output structure was used in order to generate the transmission zeros. To design these slots with respect to filter characteristics, numerical simulations using a full-wave simulator (ADS) [19] were performed. To certify the approach, a compact filter prototype for wireless applications at 2.14 GHz was successfully designed, fabricated, and tested. The simulated and measured results verify the validation of the proposed design. A part of results were presented in a previous conference paper [20]. Furthermore, to examine its performance in terms of compactness, a second prototype using a single layer is also designed and fabricated, and then a comparison between the two prototypes is presented. The remainder of this paper is organized as follows. In Section II, the resonance characteristics and the design of a single SIR are presented and investigated. Section III introduces the

0018-9480/$20.00 © 2006 IEEE

1930

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 1. Various SIR configurations. (a) Basic SIR. (b) Stepped-impedance hairpin resonator. (c) Miniaturized hairpin resonator.

mechanism of notch frequency generation. Three basic coupling structures and the design procedure used in the proposed filter are then described in Section IV. To validate the design, experimental results are presented and compared with the simulations in Section IV. Finally, concluding remarks are given in Section V. II. OVERVIEW OF SIRs In general, a SIR is a cascade of alternating high- and low-impedance transmission lines [21]. The high-impedance lines act as series inductors, while the low-impedance lines act as shunt capacitors. Fig. 1 shows various SIRs with different geometric configurations, i.e., basic SIR, stepped-impedance hairpin resonator, and miniaturized hairpin resonator. To simplify the theory analysis, the basic structure of a halfwavelength SIR, shown in Fig. 1(a), is considered. In this structure, both ends are open and formed by two microstrip transmission lines having different characteristic impedance and with corresponding electrical length and , respectively. Ignoring the effect of the step discontinuity, the fundamental resonance condition of a SIR can be derived by examining the input admittance of the composite transmission line from the open plane, and it can be written as [22] (1) The resonance condition given by follows:

can be described as

Fig. 2. Spurious frequency as a function of impedance ratio

K.

The relationship between the total electric length resonator and electric length is given by

and the

(4) (5)

(6) From (4), it can be shown that the resonator length reaches a minimum value for and a minimum value when , which means that we should choose a very small value of in order to obtain the smallest filter size. It may be shown that the first spurious resonance frequency is given by [22] (7) From (7), it can be noted that can be controlled by changing the impedance ratio . Fig. 2 shows the first spurious frequency versus when equals , which corresponds to the resonance behavior at the half-wavelength uniform impedance resonator (UIR). However, when . Using this type of resonator, we propose a more compact configuration that uses stepped-impedance hairpin resonators and the miniaturized hairpin resonator, as illustrated in Fig. 1(b)–(c), respectively. This new filter design uses a combination of these resonators etched on two stacked substrates, as shown in Fig. 3, which leads to a significant size reduction. III. MECHANISM OF THE NOTCH GENERATION

(2) where the impedance ratio

is defined by (3)

It is known that the tapped-line input/output coupling can generate transmission zeros [23]. Effectively, with this configuration, multiple attenuation poles can be easily created near the passband. To study this phenomenon, numerical simulations were carried out on a four-pole SIR-hairpin resonators with an asymmetric input/output tapped-line structure. Fig. 4

DJAIZ AND DENIDNI: NEW COMPACT MICROSTRIP TWO-LAYER BANDPASS FILTER USING APERTURE-COUPLED SIR-HAIRPIN RESONATORS

1931

Fig. 4. Simulation responses for the proposed filter with various tapped-line positions.

Fig. 3. Geometric configuration of the proposed filter.

Fig. 5. Relationship of normalized second transmission zero and the tap position.

shows the simulation responses with two transmission zeros in the upper stopband. From these curves, it can be noted that the advantage of the proposed filter configuration is that the second transmission zero can be easily controlled by the tapcoupling input/output position, while the first transmission zero remains unchanged. Fig. 5 shows the relation between the normalized second transmission zeros and the tap position defined in Fig. 1. From these results, it can be seen that the frequency of the second transmission zero shifts according to the position . As mentioned before, the previous technique does not affect the first transmission zero. It obviously due to the filter structure, which is different from those reported in [18] and [23]. It can also be mentioned that this frequency is to be created by the electric coupling . Fig. 6 shows the simulation responses, where the tuning of the first transmission zero is accomplished by changing in the electric coupling apertures dimension ( ), as defined in Fig. 3. It can be seen that the responses reported in Fig. 6 vary from elliptic filter when is different from zero and the Chebyshev filter when is equal to zero or has a very small value.

To demonstrate our approach, a four-pole bandpass filter prototype was designed with specifications listed in Table I. In this design, a procedure with three steps is used. First, using the procedure described in [20], the lumped-element values of the corresponding low-pass prototype filter are evaluated. We then choose a proper impedance ratio of the high- and low-impedance SIR sections. The positions of the tapped line and the lengths of the coupled resonators are then fixed according to the desired frequencies of the transmission zeros, as explained above. Finally, we determine the spacing between adjacent resonators and the size of the coupling slots to achieve the necessary coupling coefficients. Using this procedure, the geometric configuration of the proposed filter is shown in Fig. 3, where two layers are used and two types of aperture couplings are introduced in the common ground plane located between the two layers. The adjacent resonators either in the upper layer or in the lower one are placed within a respective distance to produce the necessary mixed coupling. To generate the required electric

IV. FILTER DESIGN

1932

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 6. Simulation responses for the proposed filter with various electric coupling aperture dimension.

TABLE I SPECIFICATIONS OF THE BANDPASS FILTER

Fig. 7. Coupling structure and typical resonant frequency responses for electric coupling.

and magnetic couplings between upper and lower resonators, three slots are introduced in the common ground, as illustrated in Fig. 3(b). In each layer, the proposed filter uses a combination of two kinds of resonators, i.e., miniaturized hairpin resonators and SIRs [12]. To determine the coupling coefficients, numerical simulations were carried out. Figs. 7–9 show the simulated resonant frequency responses of the electric and magnetic aperture couplings and the mixed coupling. From each type of coupling curve, two split resonant-mode frequencies can be easily identified by two resonant peaks. As a result, it can be conclude that, the larger the aperture size, the wider the separation of the two modes, indicating the stronger the coupling. It can be noted that the high-mode frequency of the magnetic coupling and the low mode of the electric coupling remain unchanged regardless of the aperture size or coupling strength. To simulate the frequency responses of these basic couplings, a full-wave electromagnetic (EM) simulator (HP-ADS) is used. The coupling coefficient can then be extracted by using the following relation: Fig. 8. Coupling structure and typical resonant frequency responses for magnetic coupling.

(8) and are the higher and lower resonant frequenwhere cies, respectively, and represents the coupling coefficient between resonator and .

Using the results presented in Figs. 7 and 8 and (8), design curves are obtained for electric and magnetic aperture coupled coupling coefficients. Similarly, using Fig. 9 and (8), the mixed coupling coefficient curve is also obtained. Using these design

DJAIZ AND DENIDNI: NEW COMPACT MICROSTRIP TWO-LAYER BANDPASS FILTER USING APERTURE-COUPLED SIR-HAIRPIN RESONATORS

1933

Fig. 9. Coupling structure and typical resonant frequency responses for mixed coupling.

Fig. 10. Dimensions of the proposed filter.

curves, the proposed filter shown in Fig. 10 is optimized, fabricated, and tested. The cross-couplings could be synthesized using the method described in [24] from which the lumped-element values of the low-pass prototype filter are found to be . The coupling coefficients and the loaded can be calculated as follows:

Fig. 11. Typical coupling coefficients of the coupling structures for: (a) electric coupling, (b) magnetic coupling, and (c) mixed coupling.

(9) found to be (10) and the coupling coefficient matrix

and the loaded

are

1934

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE II DIMENSIONS OF THE DESIGNED FILTER

Fig. 13. Simulated and measured S -parameter of the filter.

Fig. 12. Fabricated single-layer bandpass filter.

The coupling coefficient is used to determine the required size of the two identical electrical coupled slots shown in Fig. 7, and the coupling coefficient is used to estimate the required size of the magnetic coupled slot illustrated in Fig. 8. Finally, the coupling coefficients and are used to determine the necessary space between the resonators located on the same layer as shown in Fig. 9 (mixed coupling). Fig. 11 shows these aperture coupling coefficient as functions of aperture dimensions and . Thus, from these design curves, the optimal dimensions of the apertures are determined and a four-pole bandpass filter was designed with geometric parameters listed in Table II, and the corresponding dimensions are shown in Fig. 10.

Fig. 14. Fabricated circuit prototype.

V. EXPERIMENTAL IMPLEMENTATION In order to demonstrate the compactness enhancement of the proposed approach, a single-layer prototype filter using identical resonators as the proposed one was fabricated and measured. Fig. 12 shows a photograph of the fabricated single-layer filter, and its simulated and measured responses are shown in Fig. 13. From these results, it can be seen that a little frequency shift between the two response filters can be observed. This second filter prototype has a size of 21.9 mm 14.3 mm. Based on the proposed design, a four-pole bandpass filter prototype using two layer substrates was fabricated. The filter prototype was built with Rogers RO4003 substrate with a relative dielectric constant of 3.38, a loss tangent of 0.0027, and a thickness of 20 mil by using a standard printed circuit board (PCB) process. The input/output loads are achieved via tapped feed lines [25]. Fig. 14 shows a photograph of the fabricated two-layer filter prototype, where only the two resonators on the top layer are visible.

Fig. 15. Simulated and measured S -parameter of the filter.

To examine the filter performances, experimental measurements were carried out using an HP8722 network analyzer. Measured filter responses are presented and compared with simulations. Fig. 15 shows the simulated and experimental

DJAIZ AND DENIDNI: NEW COMPACT MICROSTRIP TWO-LAYER BANDPASS FILTER USING APERTURE-COUPLED SIR-HAIRPIN RESONATORS

1935

5.28 GHz. The obtained results have shown excellent performances in terms of size reduction and an insertion loss. In addition, a good agreement has been achieved between experimental and simulated results. With these features, the proposed filter is suitable for compact microwave systems. REFERENCES

Fig. 16. Simulated and measured spurious response of the proposed filter.

results about and . It can be observed that the simulated and measured results display a good agreement. The filter has a center frequency of 2.14 GHz with a bandwidth of 2.79% (from 2.11 to 2.17 GHz), and its stopband rejection at both sides is better than 35 dB. The return loss is better than 15 dB over the operating bandwidth. The measured insertion loss is approximately 1.69 dB, while the simulated one is higher than 0.045 dB. This insertion loss would be mainly due to the effect of the input/output subminiature A (SMA) connectors and to the dielectric and radiation losses of the substrate. Fig. 16 shows the first spurious response characteristics of the designed filter at 5.28 GHz. The comparison between the experimental and simulated results gives a quite good agreement in terms of frequency response form. From these results, it should be noted that this first spurious response is lower than 16.1 dB, and this first spurious frequency is 2.46 . These results justify the resonator design equations. The proposed filter with two layers has a size of 10.8 mm 14.3 mm, which implies that the proposed filter has a half size of a single-layer filter. It can then be concluded that the new structure automatically produces a 50% size reduction compared to single-layer filter designs. VI. CONCLUSION In this paper, a new compact two-layer bandpass filter using aperture-coupled microstrip SIRs etched on a two-layer structure has been proposed and investigated. In the proposed design, the coupling between the filter resonators have been achieved through apertures etched in the common ground placed between the two layers. In addition, for transmission zero generation, the tapped-line input/output feed mechanism is used in this filter. Based on this architecture, we can easily achieve a significant size reduction of 50% compared with conventional single-layer filters. Furthermore, the aperture coupled technique used in the design is efficient, simple, and easy to be implemented. The validity of the proposed filter has been confirmed through design, fabrication, and measurements. The filter has a center frequency of at 2.14 GHz and the first spurious response at

[1] S. B. Cohn, “Parallel coupled transmission line resonator,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 11, pp. 2099–2109, Nov. 1996. [2] E. G. Cristal and S. Frankel, “Hairpin-line and hybrid hairpin-line/halfwave parallel-coupled-line filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 11, pp. 719–728, Nov. 1972. [3] J.-S. Hong and M. J. Lancaster, “Cross-coupled microstrip square open-loop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 11, pp. 2099–2109, Nov. 1996. [4] H. Nam, H. Lee, and Y. Lim, “A design and fabrication of bandpass filter using miniaturized microstrip square SIR,” in Elect. Electron. Technol. Conf. Dig., Aug. 2001, pp. 395–398. [5] J.-S. Hong and M. J. Lancaster, “Cross-coupled microstrip hairpin-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 1, pp. 118–122, Jan. 1998. [6] M. Sagawa, K. Takahashi, and M. Makimoto, “Miniaturized hairpin resonator filters and their application receiver front-end MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1991–1997, Dec. 1989. [7] J.-T. Kuo, M.-J. Maa, and P.-H. Lu, “A microstrip elliptic function filter with compact miniaturized hairpin resonators,” IEEE Microw. Guided Wave Lett., vol. 10, no. 3, pp. 94–95, Mar. 2000. [8] J. R. Crute and L. E. Davis, “A compact microstrip interdigital steppedimpedance bandpass filter with enhanced stop band,” Microw. Opt. Technol. Lett., vol. 34, no. 5, pp. 336–340, Sep. 2002. [9] J.-T. Kuo and E. Shih, “Microstrip stepped impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [10] X. Wang, P. Liu, and Y. Li, “New compact configuration of a steppedimpedance ceramic bandpass filter,” Microw. Opt. Technol. Lett., vol. 41, no. 2, pp. 146–149, Apr. 2004. [11] Y. W. Kong and S. T. Chew, “A miniaturized end-coupled filter using stepped-impedance resonators,” Microw. Opt. Technol. Lett., vol. 46, no. 2, pp. 97–99, Jul. 2005. [12] S.-Y. Lee and C.-M. Tsai, “New cross-coupled filter design using improved hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2482–2490, Dec. 2000. [13] J.-S. Hong and M. J. Lancaster, “Aperture-coupled microstrip openloop resonators and their applications to the design of novel microstrip bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1848–1855, Sep. 1999. [14] J.-T. Kuo, C. F. Jou, and C.-C. Chen, “Design of microstrip bandpass filters with aperture coupled miniaturized hairpin resonators,” in Asia–Pacific Microw. Conf., 2001, pp. 421–424. [15] A. Djaiz and T. A. Denidni, “A reduced-size two-layer bandpass filter,” Microw. Opt. Technol. Lett., vol. 44, no. 6, pp. 512–515, Feb. 2005. [16] T. A. Denidni and A. Djaiz, “Design of two-layer six pole bandpass filter for wireless applications,” Electron. Lett., vol. 41, no. 5, pp. 254–256, Mar. 2005. [17] M. Matsuo, H. Yabuki, and M. Makimoto, “The design of a half-wavelength resonator BPF with attenuation poles at desired frequencies,” in IEEE MTT-S Int. Microw. Symp. Dig., 2000, pp. 1181–1184. [18] E. Shih and J. Kuo, “A new compact microstrip stacked-SIR bandpass filter with transmission zeros,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, pp. 1077–1080. [19] HP-ADS, Momentum User’s Manuel. Palo Alto, CA: Agilent Technol., 2004. [20] A. Djaiz and T. A. Denidni, “A new two-layer bandpass filter using stepped impedance hairpin resonators for wireless applications,” in IEEE, MTT-S Int. Microw. Symp. Dig., 2005, [CD ROM]. [21] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [22] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [23] ——, “Microstrip stepped impedance resonators bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003.

1936

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

[24] R. Levy, “Filters with single transmission zeros at real or imaginary frequencies,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 4, pp. 172–181, Apr. 1976. [25] J. S. Wong, “Microstrip tapped-line filter design,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 1, pp. 44–50, Jan. 1979.

Azzeddine Djaiz received the Dipl. Ing. degree from the Telecommunication Institute of Oran, Oran, Algeria, in 1986, the D.E.A. degree in communication and signal processing from the University of Setif, Setif, Algeria, in 1990, and the M.Sc. degree in telecommunications from the Institut National de la Recherche Scientifique (INRS-EMT), Université de Quebec, Montréal, QC, Canada, in 2005. From 1987 to 1997, he was an Assistant Professor with the Electrical Engineering Department, M’sila University, M’sila, Algeria. From 1997 to 2004, he was a Professor with the Institut Supérieur d’électronique, Montréal, QC, Canada. He is currently a Member of Staff with the RF Laboratory, Institut National de la Recherche Scientifique-Energie, Materiaux et Télécommunications (INRS–EMT), Université du Quebec, Montréal, QC, Canada. His main research interests include microwave filter design and printed antennas.

Tayeb A. Denidni (M’98–SM’04) received the B.Sc. degree in electronic engineering from the University of Setif, Setif, Algeria, in 1986, and the M.Sc. and Ph.D. degrees in electrical engineering from Laval University, Quebec City, QC, Canada, in 1990 and 1994, respectively. From 1994 to 1996, he was an Assistant Professor with the Engineering Department, Université du Quebec Rimouski (UQAR), Rimouski, QC, Canada. From 1996 to 2000, he was also an Associate Professor with UQAR, where he founded the Telecommunications laboratory. Since August 2000, he has been with the Personal Communications Staff, Institut National de la Recherche Scientifique (INRS–EMT), Université du Quebec, Montréal, QC, Canada. He founded the RF Laboratory, INRS–EMT, for graduate student research in the design, fabrication, and measurement of antennas. He possesses ten years of experience with antennas and microwave systems and is leading a large research group consisting of two research scientists, five Ph.D. students, and three M.S. students. Over the past ten years, he has graduated numerous graduate students. He has served as the Principal Investigator on numerous research projects on antennas for wireless communications. He has authored over 50 papers in refereed journals. He has also authored or coauthored over 80 papers and invited presentations in numerous national and international conferences and symposia. His current research interests include planar microstrip filters, dielectric-resonator antennas, electromagnetic-bandgap (EBG) antennas, antenna arrays, and microwave and RF design for wireless applications. Dr. Denidni is a member of the Order of Engineers of the Province of Quebec, Canada. He is also a member of URSI (Commission C).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

1937

120-GHz-Band Millimeter-Wave Photonic Wireless Link for 10-Gb/s Data Transmission Akihiko Hirata, Member, IEEE, Toshihiko Kosugi, Hiroyuki Takahashi, Ryouichi Yamaguchi, Fumito Nakajima, Tomofumi Furuta, Hiroshi Ito, Senior Member, IEEE, Hirohiko Sugahara, Yasuhiro Sato, Member, IEEE, and Tadao Nagatsuma, Senior Member, IEEE

Abstract—A 120-GHz-band wireless link that uses millimeter-wave (MMW) photonic techniques was developed. The output power and noise characteristics of 120-GHz-band MMWs generated by converting a 125-GHz optical subcarrier signal were evaluated. It was then shown that the noise characteristics of the 125-GHz signal generated with these photonic technologies is sufficient for 10-Gb/s data transmission. We constructed a compact 120-GHz-band wireless link system, and evaluated its data transmission characteristics. This system achieved error-free transmission of OC-192 and 10-GbE signals over a distance of more than 200 m with a received power of below 30 dBm. Index Terms—Millimeter-wave (MMW) radio communication, photodiodes.

I. INTRODUCTION NE OF the technology trends regarding wireless communications is the increase in data rate needed to catch up with the expanding broadband society. The data rate of fiber communications currently exceeds the gigabit-per-second level, even in local area networks (LANs). In the case of high-definition television (HDTV) broadcastings, it is necessary to achieve a 30-Mbit/s data rate for broadcasting, and a 1.5-Gb/s data rate for uncompressed HDTV signal (HD-SDI signal) transmission. To cope with these broadband needs, the data rate of wireless communications has been increasing year by year. The data rate of wireless LAN standard 802.11n is set at 108 Mbit/s. As for cellular phones, 1.0-Gb/s packet transmission has been achieved in a fourth-generation (4G) field experiment [1], and fixed wireless access (FWA) has already realized a 1.25-Gb/s data rate by using the 60- or 70-GHz band [2]. On the other hand, a 10-Gb/s data rate is required for transmission of a 10-Gb Ethernet (10 GbE) signal or multiplex transmission of HD-SDI signals. No wireless communications system has yet achieved a 10-Gb/s data rate. A multivalue modulation scheme is commonly used to increase the data rate; however, even using that scheme, it is difficult for state-of-the-art devices to achieve 10-Gb/s data rate. A more practical way is to boost the carrier frequency to over 100 GHz and use a simple modulation scheme. To achieve a 10-Gb/s data rate, we have developed a 120-GHz-band millimeter-wave (MMW) wireless link [3],

O

Manuscript received January 9, 2006. A. Hirata, H. Takahashi, R. Yamaguchi, Y. Sato, and T. Nagatsuma are with NTT Microsystem Integration Laboratories, NTT Corporation, Atsugi-shi, Kanagawa 243-0198, Japan (e-mail: [email protected]). T. Kosugi, F. Nakajima, T. Furuta, H. Ito, and H. Sugahara are with NTT Photonics Laboratories, NTT Corporation, Atsugi-shi, Kanagawa 243-0198, Japan. Digital Object Identifier 10.1109/TMTT.2006.872798

[4]. This link employs photonic techniques for the generation, amplification, transmission, and modulation of MMW signals because photonic components are suitable for generating high-frequency signals [3]. In our wireless link system, 1.55- m optical signals are modulated at 120 GHz; they are again intensity modulated by 10-Gb/s data signals and are then opto-electro (O/E) converted. The key device of the system is a high-power and high-speed uni-traveling carrier photodiode (UTC-PD) that can generate 10-dBm-output power by using a resonant matching circuit at a frequency of 100 GHz [5], [6]. The received MMW signals are demodulated by using envelope detection with a Schottky barrier diode. By using this wireless link, we have already achieved 10-Gb/s data transmission in an anechoic chamber [4]. Our system uses photonic devices for generating a high-frequency carrier signal and modulating a broadband data signal. It must, therefore, account for the output power and noise characteristics of the MMW signal generated by the above-mentioned photonic technologies. Moreover, it should be noted that we were not able to evaluate the long-distance transmission characteristics of the MMW wireless link because legal controls are imposed on the emission of radio waves in free space. This paper describes the output-power, noise, and data transmission characteristics of the 120-GHz-band wireless link. We obtained an experimental radio station license from the Japanese Ministry of Internal Affairs and Communications for our outdoor transmission experiments. In our former studies, the carrier frequency of the link was set to 120 GHz. In the current studies, we changed the carrier frequency to 125 GHz in order to avoid interference with radio-astronomy observations. First, we set up a 120-GHz-band wireless link using photonic technologies. Next, we evaluated the output power and noise characteristics of 125-GHz MMW signals generated by photonic technologies. We then determined the minimum received power for 10-Gb/s data transmission, and compared it with the experimentally measured one. Finally, we estimated the transmissions distance from output power, antenna gain, and atmospheric loss. II. WIRELESS LINK USING PHOTONIC TECHNOLOGIES Fig. 1 shows a schematic of our wireless link system. The transmitter is composed of a low phase-noise photonic MMW generator [7] and a UTC-PD module [8]. In the photonic MMW generator, the output of a ultra-narrow linewidth single-mode laser is modulated at a frequency of 62.5 GHz with an LiNbO optical intensity modulator. The ultra-narrow linewidth laser uses a cavity formed by two fiber Bragg gratings (FBGs) fusion sliced to a short piece of activematerial.The modulated optical signals are fed into

0018-9480/$20.00 © 2006 IEEE

1938

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Critical current

can be approximated as

(1)

Fig. 1. Schematic of 120-GHz-band wireless link.

a planar lightwave circuit (PLC) that integrates an arrayed waveguide grating (AWG) and a 3-dB coupler [7]. The channel spacing of the AWG is 60 GHz, and two output channels with an interval of 120 GHz are connected with the 3-dB coupler. The PLC, therefore, acts as an optical filter that outputs two modes whose frequency interval is 125 GHz. The output signal is amplified by an erbium-doped fiber amplifier (EDFA). The optical MMW signal is modulated by data signals. Many communication standards, such as OC-192 and 10 GbE, employ optical fibers for 10-Gb/s data transmission. We, therefore, used an O/E converter and clock and data recovery (CDR) in the data signal circuit. To limit the occupied bandwidth of the wireless link, we used an absorptive Bessel low-pass filter (LPF) before the intensity modulator to limit the bandwidth of the data signals. The modulated optical signal is amplified by the second EDFA. The amplified optical signal is filtered by an optical bandpass filter (BPF) with a 3-dB pass bandwidth of 5 nm, and it is input into the UTC-PD module, which is composed of a UTC-PD and an InP high electron-mobility transistor (HEMT) amplifier [9]. The optical MMW signal is O/E converted and amplified by the UTC-PD module. The generated MMW signal is transmitted from a high-gain antenna. The received MMW signal is amplified and demodulated with a receiver module employing a receiver MMIC chip [10]. The receiver MMIC chip includes a low-noise amplifier (LNA) and an amplitude shift-keying (ASK) demodulator that has a sensitivity of 400 mV/mW. The demodulated data signals are amplified with a baseband LNA. They are then input into the CDR, and are converted into electric signal with an electrooptic (E/O) converter. III. PHOTONIC TRANSMITTER CHARACTERISTICS Our wireless system uses photonic techniques for generating the MMW signal. Here, we investigate the output power and noise characteristics of the MMW signal generated by photonic technologies. A UTC-PD is used as an O/E converter. First we investigated the saturation output power of the UTC-PD at a frequency of 125 GHz. The UTC-PD output power saturates mainly because of the space–charge effect under a large reverse-bias voltage condition [10]. When the photocurrent of the UTC-PD increases, mobile charge density increases in the depletion layer of a UTC-PD and modulates the field profile, which causes output saturation.

where is depletion width, is junction area, is load resistance, is critical electron density, is electron overshoot velocity, is dielectric constant, is voltage applied to the PD, is operation voltage, is built-in voltage, and is critical electron field [10]. The frequency characteristics of the UTC-PD output power are determined by the capacitance–resistance (CR) time constant-limited bandwidth and the carrier transit timelimited bandwidth . We investigated the effect of junction capacitance on the UTC-PD output power at a frequency of 125 GHz. Junction capacitance is expressed as

(2)

of

The ratio of UTC-PD output power at an operation frequency over the output power during dc operation is given by

(3)

where is the operation frequency and is the parasitic capacitance. The effect of UTC-PD series resistance is ignored for simplicity. Equations (1) and (3) indicate that an increase in junction area increases ; on the other hand, decreases. There is, therefore, an optimum junction area that generates maximum output power. We calculated the dependence of UTC-PD output power on the junction area by using (1) and (3). We set the constant (4 10 cm/s) to make the simulation of saturation output power simple, even though, in reality, changes with . In the case of a UTC-PD with a 3-dB bandwidth of 170 GHz ( nm; GHz) [6], a maximum output power of 8 dBm is obtained with a junction area of approximately 30–40 m . We measured the output power of the UTC-PD with a 3-dB bandwidth of 170 GHz. The output power was measured by a power meter connected with a MMW probe. Fig. 2 shows the dependence of UTC-PD output power on photocurrent. Maximum output power is obtained when a UTC-PD with a junction area of 29 m is used; this measured power qualitatively coincides with the simulation results. In the case of a UTC-PD with a 3-dB bandwidth of over 310 GHz ( nm, GHz) [11], the simulation result from (1) and (3) indicates that the UTC-PD generates a maximum output power of approximately 10 dBm in the case the junction area is approximately 25 m . In a narrowband operation, the UTC-PD output power can be increased by introducing a resonating narrowband matching circuit [6]. The UTC-PD itself can thus generate an output power of approximately 10 dBm at 125 GHz; however, it requires a high

HIRATA et al.: 120-GHz-BAND MMW PHOTONIC WIRELESS LINK FOR 10-Gb/s DATA TRANSMISSION

Fig. 2. Dependence of UTC-PD output power on photocurrent as a function of junction area.

optical input power because the responsivity of the UTC-PD deteriorates with increased carrier transit time-limited bandwidth. The improvement of PD responsivity enables us to use EDFAs with low-output power, thereby decreasing the cost of the wireless link. For example, the responsivity of a UTC-PD with a 3-dB bandwidth of 310 GHz is approximately 0.075 A/W [11]. From a practical point-of-view, an increase in PD responsivity and a decrease in optical input power are important. Therefore, we used a UTC-PD module with a HEMT amplifier in order to improve the responsivity of the UTC-PD module [8]. The gain of the amplifier is over 20 dB, and its maximum output power exceeds 10 dBm. The equivalent responsivity of the PD-amp module is high, i.e., 4.7 A/W, which is approximately 12 times higher than that of the UTC-PD module without an amplifier. We, therefore, conclude that a UTC-PD module with an HEMT amplifier is suitable for a practical wireless link system with an output power of 10 dBm. Next, we investigated the noise characteristics of the MMW signal generated by the photonic technologies. The noise characteristic of the transmitter mainly depends on relative intensity noise (RIN) of the laser, shot noise of the UTC-PD, and thermal noise of the HEMT amplifier [12]. RIN of the optical MMW signal (measured at a point after the bandpass filter (BPF) in Fig. 1) is plotted in Fig. 3. The peak at 1.5 MHz is due to the relaxation oscillation frequency of the ultra-narrow linewidth single-mode laser. The RIN at a frequency of over 1 GHz is below 140 dB/Hz, which indicates that the RIN of the photonic MMW generator is quite low. The intensity noise power from the laser is given

(4) where is the output power of the UTC-PD, and and are the lower and upper bandwidths of the data signal, respectively. is estimated to be 10 dBm when the output power of the module is 10 dBm. From (4), is estimated to be 53.7 dBm when the output power of the UTC-PD module is 10 dBm. The noise power that comes from the UTC-PD shot noise is expressed as

(5)

1939

Fig. 3. RIN of optical MMW signal at 125 GHz.

is the photocurrent of the UTC-PD (3 mA), is elecwhere tron charge, is the bandwidth of the amplifier (20 GHz), and is load resistance (50 ). is 66.2 dBm when the output power of the UTC-PD module is 10 dBm. The noise power due to the thermal noise of the amplifier in the transmitter is given by (6) is the noise figure (NF) where is Boltzmann’s constant, of the amplifier in the transmitter (7 dB) and is the temperature. Substituting these values in (6) gives of 63.6 dBm. The total carrier-to-noise (C/N) ratio of the transmitted signal calculated using and is 42.4 dB. The C/N ratio at the receiver for error-free transmission is 20.2 dB, as discussed in Section IV. These results indicate that the C/N ratio of the transmitting signal is high enough for error-free transmission. IV. DATA-TRANSMISSION CHARACTERISTICS The 120-GHz-band wireless-link system employs amplitude shift keying (ASK) for modulation and envelope detection for demodulation. In this system, the probability of error in a spacing signal and the probability of error in a marking signal are given by [13] (7) (8) (9) (10) where is the error function, is a threshold level , and is the C/N ratio. Total error rate is expressed as

(11) Fig. 4 shows the dependence of error rate on the C/N ratio given by (11). A bit error rate (BER) of below 10 is required in order to meet the standard for general optical-fiber communications such as OC-192 and 10-GbE. Fig. 4 indicates that a C/N ratio of over 20.2 dB is necessary for a BER of below 10 .

1940

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 4. Dependence of BER on C/N ratio in the 10-Gb/s wireless link using ASK modulation.

Fig. 5. BER characteristic of 10-Gb/s data transmission of an ASK signal. The transmitter and receiver module are connected with each other via a waveguide.

Next we investigated the thermal noise of the amplifier in the receiver. is given by

1 PRBS data at 10.3125 Gb/s from these measurements, 2 a pulse-pattern generator (PPG) was E/O converted into an optical signal, which was input into the data-input port shown in Fig. 1. The demodulated optical data signal was O/E converted and was input into an error-rate detector (ED). A BER of 10 was obtained with a received power of 30.5 dBm. The characteristic deterioration due to the increase in data rate comes from not only the insufficient bandwidth of MMW and photonic devices for the 10.3125-Gb/s data rate, but also the difference between O/E and E/O converters for OC-192 and 10 GbE because the minimum received power for a BER of 10 is almost the same when O/E and E/O converters are not used and electrical PRBS data are directly input into the optical modulator in the transmitter and into the ED in the receiver. These results indicate that the 120-GHz-band wireless link can support both OC-192 and 10-GbE network interfaces. We evaluated the transmission characteristics of a wireless link that uses the optical MMW source, UTC-PD module, and receiver module. A photograph of the transmitter is shown in Fig. 6. The controller consists of two devices; a 125-GHz optical MMW source and a data modulator. The core of the transmitter is composed of a Cassegrain antenna (CA), and the equipment in which a photodiode module and a board for supplying dc power to the UTC-PD module is integrated. We obtained an experimental radio station license from the Japanese Ministry of Internal Affairs and Communications in August 2005. The specification of the wireless link is given in Table I. The center frequency is 125 GHz, the occupied bandwidth is from 116.5 to 133.5 GHz, and the maximum output power is 10 dBm. The radio station registers two types of the antenna; a CA and a Gaussian optic lens antenna (GOA) whose antenna gains are 48.7 and 52.7 dBi, respectively. We measured the BER characteristics of the wireless link with the experimental setup shown in Fig. 1. Fig. 7 shows the BER characteristics of the wireless link at data rates of 9.953 and 10.3125 Gb/s. The transmission distance was 200 m (9.953 Gb/s) or 300 m (10.3125 Gb/s), and the CA was used for both the transmitter and receiver. A BER of below 10 was obtained at a received power of 32.5 dBm for 9.953 Gb/s, and 30.2 dBm for 10.3125 Gb/s. The received power for a BER of 10 is almost the same as that shown in Fig. 5, which means that the use of the antenna did not deteriorate the transmission characteristics. To our knowledge, this is the first time that

(12) is the NF of the amplifier in the receiver and where is the bandwidth of the amplifier (20 GHz). In the receiver, an HEMT monolithic microwave integrated circuit (MMIC) receiver chip was used [14]. This receiver chip includes HEMT LNAs with an NF of 6 dB. is thus 64.9 dBm, and the C/N ratio of the transmitting signal is 42.4 dB. As shown in Fig. 3, the C/N ratio for a BER of 10 at the receiver is 20.2 dB. The transmission loss of the waveguide planar circuit in the receiver module is approximately 1.5 dB, and we set the modulation depth to be 80% so that the linear region of the LiNbO optical intensity modulator could be used. The required received power for a BER of 10 is, therefore, 40.9 dBm. We investigated the data-transmission characteristics of the wireless link. First, using a UTC-PD module and a receiver module, we evaluated the 10-Gb/s data transmission of an ASK signal at 125 GHz. The experimental setup is almost the same as that shown in Fig. 1, except that the UTC-PD module and receiver module are connected with each other via a variable waveguide attenuator. As for the data signal, we used a 2 1 pseudorandom pattern bit stream (PRBS) data at 9.953 Gb/s from an OC-192 network tester in order to meet the OC-192 standard. The output of the network tester is an optical signal so the optical signal was O/E converted and was fed into a clock-data recovery (CDR) unit. This electric signal was input into an optical intensity modulator. The output power of the UTC-PD module is 10 dBm. The demodulated data signal was amplified, and input into the CDR. The recovered signal was E/O converted and fed into the network tester. The BER characteristic is shown in Fig. 5. A BER of 10 is obtained at a received power of 32.3 dBm. The theoretical received power for a BER of 10 is 40.9 dBm. We suppose that the difference between the experimental result and the theoretical value comes from the phase distortion of the MMW signal due to the MMIC and planar-circuit-to-waveguide transition and bandwidth limitation of data signals with the LPF. Next, we measured the BER characteristics at a data rate of 10.3125 Gb/s, which corresponds to a 10-GbE data rate. In

HIRATA et al.: 120-GHz-BAND MMW PHOTONIC WIRELESS LINK FOR 10-Gb/s DATA TRANSMISSION

1941

Fig. 6. Experimental radio station.

TABLE I SPECIFICATIONS OF THE EXPERIMENTAL RADIO STATION

Fig. 8. BER characteristics of the 120-GHz-band experimental radio station using CA or GOA.

We calculated the maximum transmission distance of the 120GHz-band wireless link as follows. The propagation loss is given by (13) where is the transmission distance and is the wavelength. The received power is, therefore, expressed as dB

Fig. 7. BER characteristics of a 120-GHz-band experimental radio station using CA at a data rate of 9.953 or 10.3125 Gb/s.

OC-192 and 10-GbE signals were transmitted outdoors over a radio wireless link. We evaluated the dependence of BER characteristics on the antenna. Both the CA and GOA were used for the transmitter antenna, and the receiver employed a CA with an antenna gain of 48.7 dBi. The transmission distance was 200 m. Fig. 8 shows the BER characteristic of the wireless link at a data rate of 9.953 Gb/s. A BER of below 10 was obtained at a received power of 32.5 dBm. The received power for a BER of 10 is almost the same as that shown in Fig. 5. No obvious difference is observed between the two antennas; therefore, both antennas can be used for the 120-GHz-band wireless link.

(14)

where is the transmitter antenna gain, is the receiver antenna gain, is the atmospheric gaseous loss, and is the rain loss. at a frequency of 125 GHz is 1.5 dB/km. Figs. 9 and 10 show the received power dependence on the transmission distance when the wireless link uses CA and GOA, respectively. To meet the OC-192 standard, a BER of less than 10 is required. On the other hand, the BER standard of conventional FWA is 10 . In our wireless link, the required received power for BERs of 10 and 10 at a data rate of 9.953 Gb/s is 32.5 and 38 dBm, respectively, as shown in Fig. 6. Therefore, under a fair condition, the maximum transmission distance of the wireless link is approximately 1.5 km for CA, and 3.0 km for GOA in order to achieve a BER of below 10 . In the case of a BER of 10 , the maximum transmission distance increases up to 2.5 km for CA and 4.4 km for GOA. The wireless link can therefore transmit 10-Gb/s data over a distance of a few kilometers under a fair condition. One of the disadvantages of using MMWs is that attenuation by rainfall is very severe. Table II gives the attenuation per kilometer as a function of rainfall rate. For enterprise applications, link availability requirements are generally 99% or greater. For carrier-class links, availability is generally considered to be 99.999% (i.e., “five nines”). The rain loss is 10.9 and 36.9 dB/km for rain rates of 22 mm/h (99.9%) and 120 mm/h (99.999%), respectively. The transmission distance of a link with a GOA for a BER of 10 is, therefore, 1.0 km (22 mm/h) or 0.5 km (120 mm/h), and that for a BER of 10 is 1.4 km (22 mm/h) or 0.65 km (120 mm/h). These results indicate that the transmission distance of the 120-GHz-band wireless link is limited to up to 500–600 m for guaranteeing 99.999% availability.

1942

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

200 m with a received power of below 30 dBm. The maximum transmission distance estimated from antenna gain and output power is 3–4 km under a fair condition or a few hundred meters to guarantee of 99.999% availability. The wireless link has connectivity with 10-Gb/s optical fiber communications and is suitable for “last-mile” access.

ACKNOWLEDGMENT

Fig. 9. Dependence of received power on transmission distance in the wireless link system using CA. The received power is calculated from (14).

The authors thank Dr. Y. Kado, NTT Microsystem Integration Laboratories, Atsugi-shi, Kanagawa, Japan and Dr. T. Enoki and Dr. Y. Yoshikuni, both with NTT Photonics Laboratories, Atsugi-shi, Kanagawa, Japan, for their encouragement and discussions.

REFERENCES

Fig. 10. Dependence of received power on transmission distance in the wireless link system using GOA. The received power is calculated from (14).

TABLE II DEPENDENCE OF WIRELESS LINK AVAILABILITY ON HOURLY RATE OF RAINFALL IN JAPAN

V. CONCLUSION Utilizing photonic technologies for a wireless link is effective for realizing 10-Gb/s data transmission. The combination of a 125-GHz optical MMW generator and a UTC-PD module can generate a 125-GHz MMW carrier signal whose output power and noise characteristics are sufficient for 10-Gb/s data transmission. We constructed a compact 120-GHz-band wireless link system, and obtained an experimental radio station license from the Japanese Ministry of Internal Affairs and Communications. The experimental radio station achieved error free transmission of OC-192 and 10-GbE signals over a distance of more than

[1] H. Kawai, K. Higuchi, N. Maeda, M. Sawahashi, T. Ito, Y. Kazura, A. Ushirokawa, and H. Seki, “Likelihood function for QRM-MLD suitable for soft-decision turbo decoding and its performance for OFCDM MIMO multiplexing in multipath fading channel,” IEICE Trans. Commun., vol. E88-B, no. 4, pp. 47–57, 2005. [2] K. Ohata, K. Maruhashi, M. Ito, S. Kishimoto, K. Ikuina, T. Hashiguchi, K. Ikeda, and N. Takahashi, “1.25 Gbps wireless Gb Ethernet link at 60 GHz-band,” in IEEE MTT-S Int. Microw. Symp. Dig. , 2003, vol. 1, pp. 373–376. [3] A. Hirata, M. Harada, and T. Nagatsuma, “120-GHz wireless link using photonic techniques for generation, modulation, and emission of millimeter-wave signals,” J. Lightw. Technol., vol. 21, no. 10, pp. 2145–2153, Oct. 2003. [4] A. Hirata, T. Minotani, and T. Nagatsuma, “Millimeter-wave photonics for 10-Gb/s wireless link,” in IEEE LEOS Tech. Dig., 2002, pp. 477–478. [5] T. Ishibashi, N. Shimizu, S. Kodama, H. Ito, T. Nagatsuma, and T. Furuta, “Uni-traveling-carrier photodiodes,” in Ultrafast Electron. Optoelectron. Tech. Dig., 1997, pp. 166–169. [6] H. Ito, T. Nagatsuma, A. Hirata, T. Minotani, A. Sasaki, Y. Hirota, and T. Ishibashi, “High-power photonic millimeter wave generation at 100 GHz using matching-circuit-integrated uni-travelling carrier photodiodes,” Proc. Inst. Elect. Eng.—Optoelectron., vol. 150, no. 2, pp. 138–143, 2003. [7] A. Hirata, H. Togo, N. Shimizu, H. Takahashi, K. Okamoto, and T. Nagatsuma, “Low-phase noise photonic millimeter-wave generator using an AWG integrated with a 3-dB combiner,” IEICE Trans. Electron., vol. E88-C, no. 7, pp. 1458–1464, 2005. [8] H. Ito, T. Furuta, T. Kosugi, A. Hirata, H. Takahashi, Y. Muramoto, M. Tokumitsu, Y. Sato, T. Nagatsuma, and T. Ishibashi, “Over-10-dBm output uni-traveling-carrier photodiode module integrating a power amplifier for wireless transmissions in the 125-GHz band,” IEICE Electron. Exp., vol. 2, pp. 446–450, 2005. [9] T. Kosugi, T. Shibata, T. Enoki, M. Muraguchi, A. Hirata, T. Nagatsuma, and H. Kyuragi, “A 120-GHz millimeter-wave MMIC chipset for future broadband wireless application,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, vol. 1, pp. 129–132. [10] T. Ishibashi, T. Furuta, H. Fushimi, S. Kodama, H. Ito, T. Nagatsuma, N. Shimizu, and Y. Miyamoto, “InP/InGaAs uni-traveling-carrier photodiodes,” IEICE Trans. Electron., vol. E83-C, no. 6, pp. 938–949, 2000. [11] H. Ito, T. Furuta, S. Kodama, and T. Ishibashi, “InP/InGaAs uni-traveling-carrier photodiode with a 310 GHz bandwidth,” Electron. Lett., vol. 36, pp. 1809–1810, 2000. [12] S. B. Alexander, Optical Communication Receiver Design. Bellingham, Washington: SPIE Opt. Eng. Press, 1997, pp. 121–166. [13] S. Sekino, Basis of Digital Modulation and Demodulation Circuit (in Japanese). Tokyo, Japan: Ohm Publ., 2001, pp. 30–35. [14] T. Kosugi, M. Tokumitsu, T. Enoki, M. Muraguchi, A. Hirata, and T. Nagatsuma, “120-GHz Tx/Rx chipset for 10-Gb/s wireless applications using 0.1-m-gate InP HEMTs,” in IEEE CSIC Dig., 2004, pp. 171–174.

HIRATA et al.: 120-GHz-BAND MMW PHOTONIC WIRELESS LINK FOR 10-Gb/s DATA TRANSMISSION

Akihiko Hirata (M’03) was born in Kyoto, Japan, on August 24, 1968. He received the B.S. and M.S. degrees in chemistry from Tokyo University, Tokyo, Japan, in 1992 and 1994, respectively. In 1994, he joined Atsugi Electrical Communications Laboratories (now NTT Microsystem Integration Laboratories), Nippon Telegraph and Telephone Corporation (NTT), Kanagawa, Japan. His current research involves MMW antenna and photonic technology. Mr. Hirata was the recipient of the 2002 Asia–Pacific Microwave Conference (APMC) Prize.

Toshihiko Kosugi received the M.S. and Ph.D. degrees in electrical engineering from Osaka University, Osaka, Japan, in 1990 and 1993, respectively. His doctoral dissertation concerned the characterization of the point defect in GaAs and processing of GaAs. In 1993, he joined the Nippon Telegraph and Telephone Corporation (NTT). He is currently with the NTT Photonics Laboratories, Kanagawa, Japan, where he is engaged in the study of microwave characteristics of HEMTs on InP and its application to monolithic microwave integrated circuits (MMICs).

Hiroyuki Takahashi was born in Aichi, Japan, on October 15, 1978. He received the B.S. and M.S. degrees in applied physics from Nagoya University, Nagoya, Japan, in 2001 and 2003, respectively. In 2003, he joined Microsystem Integration Laboratories, Nippon Telegraph and Telephone Corporation (NTT), Kanagawa, Japan, where he has been engaged in research on MMW characteristics and devices.

Ryouichi Yamaguchi was born in Tokyo, Japan, on June 9, 1955. He received the B.S. and M.S. degrees in mechanical engineering from Waseda University, Japan, in 1979 and 1981, respectively. In 1981, he joined Muashino Electrical Communication Laboratories, Nippon Telegraph and Telephone Public Corporation, Tokyo, Japan, where he was involved with e-beam lithography. In 1983, he joined Atsugi Electrical Communication Laboratories, NTT, Kanagawa, Japan, where he has been engaged in the research and development of a very large scale integration (VLSI) process measurement system, an e-beam lithography system, a VLSI computer-aided design (CAD) system, a rapid prototyping system, and a MMW radio transmission system.

1943

Fumito Nakajima was born in Hokkaido, Japan, in 1975. He received the B.S. degree in electrical engineering and M.S. and Ph.D. degrees in electronics and information engineering from Hokkaido University, Hokkaido, Japan, in 1998, 2000, and 2003, respectively. In 2003, he joined the Photonics Laboratories, Nippon Telegraph and Telephone Corporation (NTT), Kanagawa, Japan. His current research interests include terahertz-wave devices and high-speed photonic technology. Dr. Nakajima is a member of the Japan Society of Applied Physics and the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Tomofumi Furuta was born in Tokyo, Japan, in 1958. He received the B.S. and M.S. degrees from the Tokyo University of Agriculture and Technology, Tokyo, Japan, in 1981 and 1983, respectively, and the Ph.D. degree from the University of Tokyo, Tokyo, Japan, in 1986, all in the electrical engineering. In 1986, he joined NTT Laboratories, NTT Corporation, Kanagawa, Japan, where he has been engaged in the research of semiconductor physics and devices. Dr. Furuta is a member of the Japan Society of Applied Physics.

Hiroshi Ito (M’92–SM’03) received the B.S. and M.S. degrees in physics and Ph.D. degree in electrical engineering from Hokkaido University, Hokkaido, Japan, in 1980, 1982, and 1987, respectively. Since joining NTT Electrical Communication Laboratories, Musashino, Tokyo, Japan, in 1982, he has been involved in research on growth and characterization of III–V compound semiconductors using molecular beam epitaxy (MBE) and MOCVD, and their applications to GaAs- and InP-based heterojunction devices such as heterojunction bipolar transistors (HBTs), heterojunction field-effect transistors (HFETs), lasers, photodiodes, and integrated optical devices. From 1991 to 1992, he was a Visiting Scientist with Stanford University. He is currently a Group Leader, Senior Research Engineer, Supervisor with NTT Photonics Laboratories, NTT Corporation, Kanagawa, Japan. His current research involves ultrafast photonic devices for high-bit-rate and MMW/sub-MMW systems. Dr. Ito is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, the Japan Society of Applied Physics, and the Physical Society of Japan. He was the recipient of the 2004 International Conference on Solid-State Devices and Materials (SSDM) Paper Award and the 2004 Yokosuka Research Park (YRP) Award.

Hirohiko Sugahara received the B.E., M.E., and Dr.Eng. degrees in electrical engineering from Waseda University, Tokyo, Japan, in 1980, 1982, and 1998, respectively. Since 1982, he has been with the NTT Photonics Laboratories, NTT Corporation, Kanagawa, Japan, where he was engaged in the research on metal–semiconductor contacts and GaAs integrated-circuit (IC) reliability. He is currently engaged in the development of the InP ICs for high-speed communication systems.

1944

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Yasuhiro Sato (M’98) received the B.S., M.S., and Ph.D. degrees in chemistry from the University of Tokyo, Tokyo, Japan, in 1987, 1989, and 2004, respectively. In 1989, he joined NTT Large Scale Integration (LSI) Laboratories, Atsugi, Japan. From 1989 to 2004, he was involved with LSI interconnection technology, ultra-thin-film CMOS/silicon-on-insulator (SOI) process integration for low-power application, and low-power communication appliances for ubiquitous services. He is currently engaged in research and development of MMW wireless communication technology. Dr. Sato is a member of the Japan Society of Applied Physics and the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Tadao Nagatsuma (M’93–SM’02) received the B.S., M.S., and Ph.D. degrees in electronic engineering from Kyushu University, Fukuoka, Japan, in 1981, 1983, and 1986, respectively. In 1986, he joined Electrical Communications Laboratories, Nippon Telegraph and Telephone (NTT) Corporation, Atsugi Kanagawa, Japan. He is currently a Distinguished Technical Member, Senior Research Scientist, and Supervisor with NTT Microsystem Integration Laboratories, Kanagawa, Japan. His current research involves ultrahigh-speed electronics and MMW photonics, and their application to sensors and communications. Dr. Nagatsuma is a member of the Optical Society of America. He was the recipient of the 1989 Young Engineers Award presented by the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, the 1992 IEEE Andrew R. Chi Best Paper Award, the 1997 Okochi Memorial Award, the 1998 Japan Microwave Prize, the 2000 Minister’s Award of the Science and Technology Agency, and the 2002 Asia–Pacific Microwave Conference (APMC) Prize.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

1945

Microstrip Diplexers Design With Common Resonator Sections for Compact Size, But High Isolation Chi-Feng Chen, Ting-Yi Huang, Chi-Ping Chou, and Ruey-Beei Wu, Senior Member, IEEE

Abstract—High isolation and compact size microstrip diplexers designed with common resonator sections have been proposed. By exploiting the variable frequency response of the steppedimpedance resonator, resonators can be shared by the two filter channels of the desired diplexer if their fundamental and the first spurious resonant frequency are properly assigned. Size reduction are, therefore, achieved by introducing a few common resonator sections in the circuit. This concept has been verified by the experimental results of two diplexer circuits. One of the diplexers is composed of two three-pole parallel-coupled bandpass filters and the other is composed of two four-pole cross-coupled bandpass filters, which are formed by only five and six resonators, respectively. Both of them occupy extremely small areas while still keeping good isolations. Good agreements are also achieved between measurement and simulation. Index Terms—Bandpass filter, diplexer, microstrip filter, stepped-impedance resonator (SIR), transmission zero.

I. INTRODUCTION N MODERN wireless and mobile communication systems, filters are always playing important and essential roles. Planar filters are particularly popular structures because they can be fabricated using printed circuit technology and are suitable for commercial applications due to their compact size and low-cost integration [1]. Moreover, planar filters using the structures of parallel-coupled and cross-coupled resonators are preferable and extensively used in communication systems because of their high practicality and high performance [2]–[9]. For a planar filter design, it is necessary to select proper resonator types since resonators are the basic components of a filter. To reduce the resonator size, several types of resonators such as the U-shaped hairpin resonators [4], [5], the open-loop resonators [6], [7], and the folded open-line resonators [9], [10] have been proposed to design different kinds of bandpass filters. However, all of them are always too large. Among these popular resonators, the most frequently used is the stepped impedance

I

Manuscript received December 31, 2005; revised February 19, 2005. This work was supported in part by the National Science Council under Grant NSC 93-2752-E-002-003-PAE. The authors are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan 10617, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.873613

resonator (SIR) because it was originally presented not only to reduce the resonator size, but also to control all of the resonant frequencies by properly adjusting its structural parameters [11], [12]. In multiservice and multiband communications, diplexers are often needed to have some capabilities of high compactness, light weight, and high isolation. Several layouts have been proposed to satisfy these conditions. To reduce the circuit size, diplexers based on the slow-wave open-loop resonators with high-impedance meander lines [13], the folded coupled-line resonators [14], the miniaturized open-loop resonators [15], SIRs [16], and spiral inductor resonators [17] have been proposed. Diplexer based on the stepped-impedance coupled-line resonator (SICR) [18] can offer a compact size and better isolation performance by equalizing velocities of the oddand even-mode waves in an inhomogeneous medium. In addition, some diplexers are designed to resolve the spurious response problem. The diplexer presented in [19] uses balanced open-circuited periodic stubs as a bandstop network to provide both low loss and high isolation between the channels, but increases the circuit size. In [20], the double-loop resonator has been presented, however, it is not practical for standard manufacturing. A photonic-bandgap structure [21] consumes much development time and increases the losses. In this paper, high isolation and compact size microstrip diplexers have been proposed. The designed diplexers are based on combination of two filters, which are constructed with some common resonators sections. By properly locating the fundamental and the first spurious resonant frequencies of SIRs, they can be shared by both filter channels. Based on this guideline, the circuit size can be dramatically reduced. This concept has been verified by two experimental results. Since the total number of resonators is reduced, these diplexers are extremely compact in size and are much smaller than those mentioned above. Additionally, in [22], it has described in great detail the behavior of ring resonators such as the ones used in our diplexer designs. This paper is divided into five sections, which are organized as follows. Section II describes the theory of an SIR. Sections III and IV give the design procedures for the two diplexers. Experimental data are also presented and compared with the simulated results. Finally, Section V draws some brief conclusions.

0018-9480/$20.00 © 2006 IEEE

1946

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 1. Structure of the SIR. (a)

K = Z =Z < 1. (b) K = Z =Z > 1.

II. CHARACTERISTICS OF SIRs Fig. 1(a) and (b) shows the typical structures of the half-wavelength SIR for the cases of and , respectively, where is the impedance ratio defined as (1) The resonance conditions can be described by one of the following two equations:

Fig. 2. Ratios of the first higher order resonant frequency to the fundamental resonant frequency of SIRs.

(2) (3) Equations (2) and (3) correspond to the odd- and even-mode resonances, respectively. If the length ratio of the SIR is defined as

(4) substituting (4) into (2) and (3) yields

(5) and

(6) It should be noted that the fundamental frequency and the other higher order mode frequencies can be determined by property choosing a suitable combination of the impedance and the length ratios of the SIR. The ratios of the first spurious frequency to the fundamental frequency of SIRs are shown in Fig. 2. It is obvious that for the cases . The larger the impedance ratio , the closer the distance between the fundamental, and the first spurious frequencies can be obtained. The most interesting observation is that for a given impedance ratio , it is better to choose in order to obtain the smaller values of . On the contrary, if is required, then the cases of must be chosen. In this study, the main purpose is to reduce the diplexer size. This begins with the design of a diplexer based on two connecting bandpass filters. If some of the resonators can be shared by the two filters channels, the total number of resonators can be

Fig. 3. Typical coupling structure of a diplexer with two three-pole parallelcoupled bandpass filters. (a) Conventional structure. (b) Proposed structure.

reduced. In order to act as a common resonator, the fundamental and first spurious resonant frequencies of a SIR are chosen to be the center frequencies of the lower and higher channel filters, respectively. A suitable combination of and can be chosen from Fig. 2. The dimensions of the common resonators can, therefore, be determined. To demonstrate this concept, diplexers with Chebyshev and quasi-elliptic responses have been realized and are described below. III. DESIGN OF A DIPLEXER BASED ON TWO THIRD-ORDER PARALLEL-COUPLED BANDPASS FILTERS The first diplexer is formed by connecting two three-pole Chebyshev bandpass filters. The typical coupling structure is shown in Fig. 3, where each node represents a resonator and the solid lines between nodes represent the direct coupling path. Fig. 3(a) shows a conventional coupling structure and Fig. 3(b) shows the proposed one. As can be seen, the proposed structure has saved one resonator space in comparison with the conventional one. In addition, two extra transmission-line segments connecting both filter channels that act as an open-circuit transformer at the center frequency of the other filters are need for the conventional structure. These extra line segments can also be removed for the proposed one. Therefore, the diplexer size can be reduced. The circuit structure of this diplexer is shown in Fig. 4. As can be seen, this diplexer has not only saved one resonator space, but

CHEN et al.: MICROSTRIP DIPLEXERS DESIGN WITH COMMON RESONATOR SECTIONS FOR COMPACT SIZE, BUT HIGH ISOLATION

Fig. 4. Layout of the diplexer composed of two three-pole parallel-coupled bandpass filters.

1947

Fig. 5. External quality factor and fractional bandwidth ratio versus the tapped line position of resonator 1.

TABLE I DESIGN PARAMETERS OF THE SIR ON A SUBSTRATE OF DIELECTRIC CONSTANT 3.38 AND THICKNESS 0.508 mm

also saved the space of the conventional T junction matching circuit. For convenience, the upper and lower third-order filters are labeled as filters A and B, respectively. The resonators are labeled as resonators 1–5, as indicated in Fig. 4. These two filters can be designed independently. The designed parameters of each resonator are listed in Table I. The resonant frequency of resonators 2 and 3 is designed to be 1.5 GHz, and the resonant frequency of resonators 4 and 5 is 2 GHz. Thus, the fundamental and second higher order resonant frequencies of resonator 1 can then be determined as 1.5 and 2 GHz, respectively. The impedance ratios of resonators 2–5 are chosen to be less than unity due to compactness consideration. Resonator 1 is chosen with parameters and , which can be obtained from Fig. 2 in order to meet the specifications mentioned above. In order to obtain the physical dimensions of the two filters, full-wave simulator IE3D has been used to extract the external quality factors and coupling coefficients. The external quality factor can be obtained by the equation [7]

(7) represent the resonant frequency and the where and 3-dB bandwidth of the input or output resonator. Fig. 5 shows

Fig. 6. External quality factor versus the tapped line position of resonators 3 and 5.

the simulated external quality factor versus the tapped line position of resonator 1 for the first and second resonant modes, and Fig. 6 shows the simulated external quality factor versus the tapped line position of resonators 3 and 5. It is well known that the external quality factor is dependent on the filter bandwidth. This implies that once the tapped line position of resonator 1 is located, the external quality factor and filter bandwidth are also determined simultaneously. It is clear from Fig. 5 that the two external quality factor curves intersect at a point mm . Therefore, diplexers with the same lower and upper channel bandwidths can also be designed using this structure. Theoretically, when is adjusted from 5 to 16 mm, the range of fractional bandwidth ratio of the upper to lower channels is from 13 to 0.2, as indicated. The coupling coefficient can be evaluated from the two dominant resonant frequencies for any two synchronously tuned coupled resonators [2]. If and are defined to be the lower and

1948

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 7. Coupling coefficients versus the distances between the resonators. Fig. 8. Simulated results of the diplexer with two third-order Chebyshev responses.

higher of the two resonant frequencies, respectively, the coupling coefficient can be obtained by

(8) where represents the coupling coefficient between resonators and . Fig. 7 shows the simulated coupling coefficients versus the distances between the resonators. The two third-order Chebyshev bandpass filters with 0.1-dB ripple level were designed with the given specifications. The center frequencies of the two filters are 1.5 and 2 GHz. Both fractional bandwidths ( and ) are 3.4%. The circuit was designed to be fabricated using copper metallization on a Rogers RO4003 substrate with a relative dielectric constant of 3.38, a thickness of 0.508 mm, and a loss tangent of 0.0027. The lumped circuit element values of the low-pass prototype filter are , , , , and . Thus, the coupling coefficients and input/output single-loaded external quality factors of the two filters can then be found to be

and

Fig. 9. Measured results of the diplexer with two third-order Chebyshev responses.

(9) From (9), the gaps between the resonators and the tapped line positions of the input/output resonator can be determined by Figs. 5–7. Geometric parameters of the diplexer are mm, mm, mm, mm, mm, mm, and mm. The overall circuit size is approximately 33.9 mm 54.4 mm, showing a small size only about one-half material wavelength. It should be noted that if the ratio of the center frequencies between the higher

and lower channels becomes larger, the size of the common resonator can be further reduced and the effect of space reduction will be more obvious. The simulated and measured results of the diplexer, including the group delay, are shown in Figs. 8 and 9, respectively. Measurement was carried out using an Agilent E5071B network analyzer. It can be seen that the isolation is more than 40 dB between the two channels. It should be noted that the larger the order of resonators, the better the isolation with a tradeoff of the size and insertion loss. The rejection of filter A at a high band

CHEN et al.: MICROSTRIP DIPLEXERS DESIGN WITH COMMON RESONATOR SECTIONS FOR COMPACT SIZE, BUT HIGH ISOLATION

Fig. 10. Measured wideband response of the diplexer.

1949

Fig. 12. Layout of the diplexer composed of two four-pole cross-coupled bandpass filters.

TABLE II DESIGN PARAMETERS OF THE SIR ON A SUBSTRATE OF DIELECTRIC CONSTANT 3.38 AND THICKNESS 0.508 mm

Fig. 11. Typical coupling structure of a diplexer with two four-pole crosscoupled bandpass filters. (a) Conventional structure. (b) Proposed structure.

signal is more than 45 dB and the rejection of filter B at a low band signal is more than 35 dB. The measured return losses at lower and higher bands are less than 16 dB. The measured insertion losses at lower and higher bands are approximately 2.7 and 2.8 dB, respectively. As can be seen, the experimental results agree well with the simulated data. Finally, it is interesting to observe the wideband response of this diplexer shown in Fig. 10. Due to the irregularly distributed higher order resonant frequencies of resonators 1, 2, and 4 over the stopband, the spurious passbands did not appear. It is obvious that the out-of-band rejections of the two filters are at least more than 20 dB over the measured frequency range, resulted in good stopband responses. IV. DESIGN OF A DIPLEXER BASED ON TWO FOURTH-ORDER CROSS-COUPLED BANDPASS FILTERS The second diplexer is based on the combination of two fourpole quasi-elliptic bandpass filters. The typical coupling structure is shown in Fig. 11, where each node represents a resonator. The solid and dashed lines represent the direct and cross coupling paths, respectively. Fig. 11(a) shows a conventional coupling structure, which directly combines two elliptical filters. Fig. 11(b) shows the proposed one, which is composed of only six resonators, where two of the middle resonators are shared by both filters. As can be seen, two resonator spaces are saved so that the diplexer size can be extremely reduced.

Fig. 12 shows the layout of the diplexer designed by connecting two fourth-order cross-coupled microstrip bandpass filters with two common resonator sections. The two filters can also be designed independently. For convenience, the upper and lower fourth-order filters are named as filters C and D, respectively. The resonators are labeled as resonators 1, 2, 3, 4, 1 , and 4 , as indicated in Fig. 12. In our design, the resonant frequency of resonators 1 and 4 is designed to be 1.5 GHz and resonators 1 and 4 is 1.76 GHz. Therefore, the fundamental and second higher-order resonant frequencies of middle resonators 2 and 3 can be designed as 1.5 and 1.76 GHz, respectively. The value of resonators 2 and 3 can then be evaluated and, hence, their physical parameters will be determined from Fig. 2. As can be seen, one of the suitable solutions is to choose the combinations of and . Similar to the aforementioned procedures in Section III, the impedance ratios of resonators 1, 4, 1 , and 4 are chosen to be less than a unit due to the compactness consideration. The designed parameters of each resonator are listed in Table II. It is well known that, to realize an elliptical response, the direct and cross-coupling paths should be built to achieve a cross coupling. The phase responses of the magnetic and mixed coupling structures are out-of-phase with the electric coupling structure, showing opposite signs of them. It is worth mentioning that at resonance of the second higher order mode, the resonator has the maximum electric field density near the open end and the center of the resonator. Thus, the coupling

1950

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 13. (a) Traditional magnetic coupling structure at the fundamental mode resonance. (b) Proposed mixed coupling structure at both of the fundamental and second mode resonances.

structure shown in Fig. 13(a) will excite an electric coupling at the second mode resonance so that filter D will not present a so-called cross-coupling effect. To overcome this problem, the coupling structure with the extended coupled line sections shown in Fig. 13(b) is proposed in order to excite a mixed coupling at both of the fundamental and second mode resonances. It turns out that both filters C and D can achieve the cross-coupling effect and, hence, the transmission zeros of each filter at the upper and lower sides of the passband edge can be obtained. In addition, a skew-symmetric feeding structure was introduced to create two extra transmission zeros on the opposite sides of the passband without increasing the circuit size and degrading the electrical performance [23]. These extra transmission zeros occur at the frequencies where lengths between the tapped point and the open end of the input/output resonator approximate a quarter guided wavelength. For each filter, one of the extra transmission zeros is tuned to be located at the passband of the other filter in order to improve the channel isolation. In an actual design, the extra upper zero of filter C is located at approximately 1.76 GHz; whereas the extra lower zero of filter D is located at approximately 1.5 GHz. With the aforementioned conditions, the tapped line positions can be easily located from Figs. 14 and 15, and the external quality factor and the bandwidth of both filters can also be determined simultaneously. For our demonstration, the two fourth-order cross-coupled bandpass filters were designed with the following specifications. The center frequencies of the two filters are 1.5 and 1.76 GHz. The fractional bandwidths ( and ) for both bands are 3.8% and 3.3%, respectively. The circuit was also designed to be fabricated on a Rogers RO4003 substrate. The lumped-circuit element values of the low-pass prototype filter are , , , , and . Thus, the coupling coefficients and input/output single-loaded external quality factor of filter C can be found to be

Fig. 14. External quality factor and f sition of resonator 1.

=f versus the tapped line po-

Fig. 15. External quality factor and f sition of resonator 1 .

=f versus the tapped line po-

and

(10) Likewise, the coupling coefficients and input/output single-loaded external quality factor of filter D are found to be

and

(11)

CHEN et al.: MICROSTRIP DIPLEXERS DESIGN WITH COMMON RESONATOR SECTIONS FOR COMPACT SIZE, BUT HIGH ISOLATION

1951

Fig. 16. Coupling coefficients versus the distances between the resonators of the diplexer.

Fig. 18. Measured results of the diplexer with two fourth-order quasi-elliptical responses.

Fig. 17. Simulated results of the diplexer with two fourth-order quasi-elliptical responses.

To implement the diplexer, each filter is designed to be opencircuited at the T-junction of port 1 at the center frequency of the other filter. This can be easily done by tuning the length of their input feeding lines. The physical dimensions of the two filters can be determined by the simulated coupling coefficients and external quality factors shown in Figs. 14–16, which are also obtained by (7) and (8). The geometric parameters obtained for this diplexer are mm, mm, mm, mm, mm, mm, and mm. The prototype circuit size is around 37.3 mm 59.1 mm, which also shows a very small size, approximately 75% of the conventional diplexer design, because the space of the two resonators has been saved. Also, if the ratio of the center frequencies between the higher and lower channels becomes larger, the effect of space reduction will be more obvious. Finally, the simulated and measured results, including the group delay, are shown in Figs. 17 and 18, respectively. As expected, the cross-coupling effects have been achieved, showing

two transmission zeros beside the passband of each filter. It can also be seen that the extra transmission zeros due to the feeding structure are at 1.35 and 1.76 GHz for filter C and at 1.5 and 2.09 GHz for filter D. One of the extra transmission zeros has been designed to be located at the other filter’s passband, thus much better isolation can be obtained. The isolation is greater than 30 dB between the two channels. The out-of-band rejection level of each filter is better than 30 dB over the measured frequency range. The measured return losses at lower and higher bands are less than 16 and 17 dB, respectively. The measured insertion losses at lower and higher bands are approximately 2.8 and 3.2 dB, respectively. Obviously the measured results are in good agreement with simulated ones. V. CONCLUSION The miniaturized microstrip diplexers designed by integrating two bandpass filters with common resonator sections have been proposed in this paper. This concept has been verified by two diplexer circuits. One is formed by connecting two third-order parallel-coupled bandpass filters and the other is formed by connecting two fourth-order cross-coupled bandpass filters. They are composed of only five and six resonators, respectively. The results show that these sizes are extremely small and their isolations are also fairly good. Perfect agreement between measurement and simulation has also been obtained. Therefore, these circuits are particularly suitable for multiband and multiservice applications in future mobile communication systems.

1952

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

REFERENCES [1] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, ch. 8. [2] J. S. Hong and M. J. Lancaster, Microstrip Filter for RF/Microwave Applications. New York: Wiley, 2001, ch. 8. [3] S. B. Cohn, “Parallel coupled transmission-line resonator filters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 223–231, Apr. 1958. [4] E. G. Cristal and S. Frankel, “Hairpin-line and hybrid hairpin-line/halfwave parallel-coupled-line filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 11, pp. 719–728, Nov. 1972. [5] G. L. Matthaei, N. O. Fenzi, R. J. Forse, and S. M. Rohlfing, “Hairpincomb filters for HTS and other narrowband applications,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1226–1231, Aug. 1997. [6] J. S. Hong and M. J. Lancaster, “Couplings of microstrip square openloop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 11, pp. 2099–2109, Nov. 1996. [7] ——, “Design of highly selective microstrip bandpass filters with a single pair of attenuation poles at finite frequencies,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1098–1107, Jul. 2000. [8] S. Y. Lee and C. M. Tsai, “New cross-coupled filter design using improved hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2482–2490, Dec. 2000. [9] J. T. Kuo, M. J. Maa, and P. H. Lu, “A microstrip elliptic function filter with compact miniaturized hairpin resonators,” IEEE Trans. Microw. Guided Wave Lett, vol. 10, no. 3, pp. 94–95, Mar. 2000. [10] M. Sagawa, K. Takahashi, and M. Makimoto, “Miniaturized hairpin resonator filters and their application to receiver front-end MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1991–1997, Dec. 1989. [11] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [12] M. Sagawa, M. Makimoto, and S. Yamashita, “Geometrical structures and fundamental characteristics of microwave stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1078–1085, Jul. 1997. [13] S. S. Oh and Y. S. Kim, “A compact duplexer for IMT-2000 handsets using microstrip slow-wave open-loop resonators with high-impedance meander lines,” in Radio Wireless Conf., Aug. 2001, pp. 177–180. [14] C. M. Tsai, S. Y. Lee, C. C. Chuang, and C. C. Tsai, “A folded coupledline structure and its application to filter and diplexer design,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 1927–1930. [15] E. Goron, J.-P. Coupez, C. Person, Y. Toutain, H. Lattard, and F. Perrot, “Accessing to UMTS filtering specifications using new microstrip miniaturized loop-filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 1599–1602. [16] A. F. Sheta, J. P. Coupez, G. Tanne, S. Toutain, and J. P. Blot, “Miniature microstrip stepped impedance resonator bandpass filters and diplexers for mobile communications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1996, pp. 607–610. [17] G. A. Lee, M. Megahed, and F. D. Flaviis, “Design of multilayer spiral inductor resonator filter and diplexer for system-in-a-package,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 527–530. [18] S. Srisathit, S. Patisang, R. Phromloungsri, S. Bunnjaweht, S. Kosulvit, and M. Chongcheawchamnan, “High isolation and compact size microstrip hairpin diplexer,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 101–103, Feb. 2005. [19] B. Strassner and K. Chang, “Wide-band low-loss high-isolation microstrip periodic-stub diplexer for multiple-frequency applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1818–1820, Oct. 2001. [20] Y. Toutain, C. Person, and J. P. Coupez, “Design and implementation of a compact microstrip Tx/Rx diplexer for UMTS equipments,” in Proc. Int. MIKON’02 Conf., 2002, pp. 187–190. [21] V. Radisic, Y. Qian, R. Coccioli, and T. Itoh, “Novel 2-D photonic bandgap structure for microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 69–71, Feb. 1998.

[22] L. H. Hsieh and K. Chang, “Equivalent lumped elements G; L; C; and unloaded Q’s of closed- and open-loop ring resonators,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 2, pp. 453–460, Feb. 2002. [23] C. M. Tsai, S. Y. Lee, and C. C. Tsai, “Performance of a planar filter using a 0 feed structure,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2362–2367, Oct. 2002. Chi-Feng Chen was born in PingTung, Taiwan, R.O.C., on September 3, 1979. He received the B.S. degree in physics from the Chung Yuan Christian University, Taoyuan, Taiwan, R.O.C., in 2001, the M.S. degree in electrophysics from the National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 2003, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include the design of microwave filters and associated RF modules for microwave and millimeter-wave applications.

Ting-Yi Huang was born in Hualien, Taiwan, R.O.C., on November 12, 1977. He received the B.S. degree in electrical engineering and M.S. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2000 and 2002, respectively, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University. His research interests include computational electromagnetics, the design of microwave filters, transitions, and associated RF modules for microwave and millimeter-wave applications.

Chi-Ping Chou was born in Taoyuan, Taiwan, R.O.C., in 1981. He received the B.S. degree in electrical engineering from National Center University, Taoyuan, Taiwan, R.O.C., in 2003, and the M.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2005. His areas of interest include the analysis and design of microwave filters and diplexers.

Ruey-Beei Wu (M’91–SM’97) received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 1979 and 1985, respectively. In 1982, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. He is also with the Graduate Institute of Communications Engineering, National Taiwan University, which was established in 1997. From March 1986 to February 1987, he was a Visiting Scholar with IBM, East Fishkill, NY. From August 1994 to July 1995, he was with the Electrical Engineering Department, University of California at Los Angeles. He was also appointed Director of the National Center for High-Performance Computing (1998–2000) and has served as Director of Planning and Evaluation Division since November 2002, both under the National Science Council. His areas of interest include computational electromagnetics, transmission line and waveguide discontinuities, microwave and millimeter-wave planar circuits, and interconnection modeling for computer packaging.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

1953

A 60-GHz Point-to-Multipoint Millimeter-Wave Fiber-Radio Communication System Sung Tae Choi, Member, IEEE, Ki Seok Yang, Member, IEEE, Seiji Nishi, Satoru Shimizu, Kiyohito Tokuda, Member, IEEE, and Yong Hoon Kim, Member, IEEE

Abstract—A 60-GHz point-to-multipoint wireless access link with data rate of 156 Mb/s incorporating 60-GHz transceiver modules and full-duplex fiber-optic millimeter-wave transmission is developed for short-range applications such as indoor wireless local area networks and intelligent transport systems. For compact system configuration, a small-size millimeter-wave transceiver module with planar antennas is developed. The transceiver module is based on broadband planar integration and packaging of millimeter-wave circuits. The RF output power is 10 dBm and the measured 3-dB antenna beamwidth is 30 . The total size of the developed 60-GHz transceiver module, except input and output connectors, is 50 mm 75 mm 35 mm. A point-to-point full duplex fiber-optic configuration is extended to the scheme with multiple access points (APs) by using a tree coupler and a dense wavelength division multiplexing multiplexer. The AP has a simple configuration without frequency conversion. The bit error rate and packet error rate performances of the 60-GHz fiber-radio access link are evaluated. Furthermore, the effect of the extension to the scheme with multiple APs is investigated.

+

Index Terms—Broadband wireless access, fiber-optic radio link, millimeter-wave communication, millimeter-wave transceiver module, point-to-multipoint (P-MP).

I. INTRODUCTION S THE demand for high-speed multimedia services increases, millimeter-wave wireless access systems have been considered for broadband wireless applications such as wireless local area networks (WLANs), fixed wireless access (FWA) systems, and intelligent transport systems (ITSs). Great efforts have been undertaken to develop point-to-multipoint (P-MP) high-speed wireless access systems with bit rates of over 100 Mb/s in the millimeter-wave band. A 156-Mb/s indoor

A

Manuscript received December 8, 2005; revised April 6, 2006. This work was supported by the Ministry of Information and Communication, Korea, under the Information Technology Research Center Support Program supervised by the Institute of Information Technology Assessment (IITA-2005-C1090-05020029), by millisys Inc., and by the OKI Electric Industry Co., Ltd. under the Favourite Access Millimeter-wave Network Project. S. T. Choi was with the Department of Mechatronics, Gwangju Institute of Science and Technology, Gwangju 500-712, Korea. He is now with the National Institute of Information and Communications Technology, Yokosuka, Kanagawa 239-0847, Japan. K. S. Yang was with the Department of Mechatronics, Gwangju Institute of Science and Technology, Gwangju 500-712, Korea. He is now with the Georgia Electronic Design Center, School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30308 USA. S. Nishi, S. Shimizu, and K. Tokuda are with the Wireless Technology Research and Development Division, OKI Electric Industry Co., Ltd., Yokosuka, Kanagawa 239-0847, Japan. Y. H. Kim is with the Department of Mechatronics, Gwangju Institute of Science and Technology, Gwangju 500-712, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.873617

Fig. 1. P-MP wireless access system incorporating full duplex fiber-optic millimeter-wave transmission.

high-speed WLAN in the 60-GHz band has been developed for wireless extension of asynchronous transfer mode (ATM) networks [1]. A 38-GHz WLAN supporting bit rates of 156 Mb/s based on the enhanced reservation-based slotted idle signal multiple access (RS-ISMA) protocol and Gaussian minimum shift keying (GMSK) transceiver has been demonstrated [2]. Recently the packet reservation dynamic time-slotted multiple access (PR-DSMA) protocol has been developed for fiber-optic radio transmission with long transmission delay [3]. To overcome high propagation loss in the millimeter-wave band, millimeter-wave fiber-radio systems have also been actively investigated as a promising solution for the efficient delivery of millimeter-wave signals over long distances [4]–[6]. In the P-MP fiber-radio access networks, several access points (APs) are likely to be connected with a single centralized control station (CS). Therefore, it is foreseen that a low-cost and small-size AP is the key to the successful initial deployment of the system. Recently, several optical networking concepts for P-MP fiber-optic link configuration have been demonstrated. A star-tree architecture incorporating wavelength division multiplexing (WDM), and an optical P-MP ring network architecture based on the cascaded optical add/drop multiplexer (MUX) and the AP with an electroabsorption transceiver have been reported [7], [8]. In this paper, we present a 60-GHz P-MP wireless access link with high data rate of 156 Mb/s incorporating small-size 60-GHz transceiver modules and full duplex fiber-optic millimeter-wave transmission for short-range broadband applications such as indoor WLAN and ITS applications. A point-to-point (P-P) fiber-optic configuration is extended to the scheme with multiple APs using a tree coupler and a dense

0018-9480/$20.00 © 2006 IEEE

1954

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 2. Schematic diagram of the 60-GHz P-MP wireless access system incorporating full duplex fiber-optic millimeter-wave transmission.

TABLE I MEASURED SYSTEM PERFORMANCE OF P-MP WIRELESS ACCESS LINK

wavelength division multiplexing (DWDM) MUX. A 60-GHz full duplex transceiver module with small size is developed for compact system configuration of the CS and wireless mobile terminals (MTs). For the performance optimization of the fiber-optic link, the optical modulation and detection properties are experimentally examined. The bit error rate (BER) and packet error rate (PER) performances of 60-GHz radio-over-fiber (RoF) links employing 156-Mb/s differential phase-shift keying (DPSK) modems are measured and compared. In addition, the effect of the extension to the scheme with multiple APs from a single AP is evaluated. II. SYSTEM CONFIGURATION AND SPECIFICATIONS A. P-MP Wireless Access Link Incorporating Full Duplex Fiber-Optic Transmission Fig. 1 shows a configuration of a P-MP broadband wireless access link incorporating full duplex fiber-optic millimeter-wave transmission. This system is developed to provide a P-MP high-speed wireless access link of 156 Mb/s in the 60-GHz band. As shown in Fig. 2, the developed prototype fiber-radio millimeter-wave wireless access system consists of a centralized CS, two remote APs (AP29 and AP31) for wireless access, and two wireless MTs (MT1 and MT2). It has a frequency division duplex (FDD) channel configuration. The measured overall system performance specifications of the access link are summarized in Table I. The basic P-P fiber-optic

configuration based on external modulation employing an electroabsorption modulator (EAM) and a photodetector (PD) is extended to the scheme with multiple APs using a single-mode tree coupler in the downlink and a DWDM MUX in the uplink. The central CS and two APs are connected together by single-mode fibers (SMFs) of 1-km length. With the fiber-optic link between a CS and APs, the millimeter-wave signal can be transported over long distances through optical fibers. The wireless MT is equipment for users. The CS unit consists of a P-MP full duplex fiber-optic interface with APs, a 60-GHz transceiver module with a 156-Mb/s DPSK modem, and a baseband signal-processing board installed on a PC. The 60-GHz transceiver module and the 156-Mb/s DPSK modem in the CS have similar configurations with the wireless MT described in Section II-B. The AP unit consists of a fiber-optic interface with the CS, low-noise and high-gain amplifier modules with bandpass filters (BPFs), and planar-type transmitting and receiving antennas. The fiber-optic downlink consists of a continuous wave (CW) distributed feedback (DFB) laser diode (LD) and an EAM for an electro-to-optic conversion, an optical single-sideband (SSB) filter, an erbium-doped fiber amplifier (EDFA), a tree coupler to distribute the signal to multiple APs, an add/drop MUX, and a PD for optic-to-electric conversion, as shown in Fig. 2. The optical downlink carrier wavelength of LD is 1560.61 nm. The 59.5-GHz signal is externally modulated by the EAM and delivered to two APs. The RF power of 0.8 dBm is launched into the EAM in the CS. The transmitted optical signal is photo-detected by a PD to regenerate the millimeter-wave signal in the AP. To overcome the fiber dispersion effects, the lower sideband (LSB) of the modulated signal is eliminated by the optical SSB filter. The fiber-optic uplink has a similar configuration with the downlink. The optical signals from two APs are combined at a DWDM MUX and amplified by an EDFA. The amplified optical signal is photo-detected by the PD to recover the millimeter-wave signal in the CS. A 3-dB fixed attenuator is inserted between the PD and the low-noise amplifier (LNA) to configure a dc current path for the PD. The LDs in the CS with , 1552.52 nm, and , 1554.13 nm, are used for the EAM in AP31 and AP29, respectively, for the uplink only. The optical signals are directly translated to 60-GHz millimeter-wave signals in the downlink of an AP, and vice versa in the uplink. To simplify the AP configuration, all LDs for the

CHOI et al.: 60-GHz P-MP MILLIMETER-WAVE FIBER-RADIO COMMUNICATION SYSTEM

Fig. 3. Simplified schematic diagram of the 60-GHz wireless MT.

EAM of APs are located within the CS. In addition, there is no mixer and local oscillator (LO) for frequency conversion in the AP. This configuration achieves a simple, small size, and potentially low-cost AP. The RF output power of the AP is 10 dBm with a linear gain of 50 dB in the downlink. The output 1-dB compression point is 15.5 dBm at 59.5 GHz. In the uplink, an LNA with linear gain of 57.5 dB and noise figure of 6.5 dB is used. To maintain a wide service area in an AP, a 2 1 circular waveguide array antenna with right-hand circular polarization (RHCP) is used [9]. The measured 3-dB antenna beamwidth is 30 for the elevation (EL) direction and 60 for the azimuth (AZ) direction. The measured antenna gain is 11 dBi and the coverage area of an AP is around 10 m. As a medium access control (MAC) protocol to configure a P-MP wireless access link with full duplex high data rates of 156 Mb/s, the enhanced RS-ISMA is used [10], [11]. In order to realize P-MP access in the RS-ISMA protocol, the transmitting power of a wireless MT should be turned off when it is not communicating with an AP and a burst mode clock and data recovery (CDR) is used in the receiver of the CS because the AP receives the burst signal from each MT [11]. A sub-optimum DPSK detection scheme is used to simplify the demodulation circuits of the modem [12]. B. Wireless MT Employing a Small-Size 60-GHz Transceiver Module Fig. 3 shows a simplified block diagram of the 60-GHz wireless MT. It has an FDD channel configuration to provide the full duplex transmission of 60-GHz band 156-Mb/s DPSK signal on each channel. It consists of a 60-GHz transceiver module, a 156-Mb/s DPSK modem, and a baseband signal-processing board installed on a PC. The design efforts in the 60-GHz transceiver module is focused on the conception of a simple and compact transceiver architecture incorporating broadband planar integration and packaging of millimeter-wave circuits. The implementation of planar millimeter-wave BPFs is one of the key issues for low-cost and small-size realization of millimeter-wave modules. A surface mountable planar BPF with narrow bandwidth based on a novel microstrip line to integrated waveguide (IWG) transition for planar integration of rectangular waveguides is developed [13]. The three-pole Chebyshev

1955

Fig. 4. 60-GHz small-size full-duplex transceiver module with integrated planar antennas.

filter shows an insertion loss of 3 dB and a 2.4% bandwidth at the center frequency of 61.5 GHz. In addition, a broadband chip interconnection based on a grounded coplanar-waveguide transition is used to minimize the degradation due to the discontinuity in millimeter-wave range. The extracted insertion loss of the interconnection is less than 0.2 dB over dc to 70 GHz. The IF and LO circuits are built on a low-cost RO4003 substrate. The transceiver front-end is packaged in a WR-15 waveguide module using the waveguide-to-microstrip line transitions. The developed 60-GHz full duplex transceiver module is shown in Fig. 4. The total size of the module, except input and output connectors, is 50 mm 75 mm 35 mm. The transceiver module consists of a transmitter and receiver with two planar type antennas and a shared 14-GHz LO oscillator with a frequency doubler. In order to reduce the complexity of the LO oscillator chain and the module size, a subharmonic image rejection mixer with a 90 hybrid is used for the upand down-converter. The different IF frequencies of 5.5 and 3.5 GHz are used with a shared LO oscillator for the transmitter and receiver, respectively. The measured phase noise at 28 GHz is 90.64 dBc/Hz @ 100-kHz offset. In order to reduce multipath fading effects and to obtain high isolation between the transmitter and receiver, the separated 2 2 circular waveguide planar array antennas with RHCP are used. The measured antenna gain is 14 dBi and the 3-dB antenna beamwidth is 30 for both - and -plane, as shown in Fig. 5. The measured RF output power of the transmitter is 10 dBm and the output 1-dB compression point is 16 dBm. The 156-Mb/s DPSK modem unit is inter-connected with the baseband MAC board by a low voltage differential signaling (LVDS) cable. In order to realize the P-MP in the RS-ISMA protocol, a single-pole single-through (SPST) switch with the short rising time of 4.1 ns and the high isolation of 55.6 dB is used to turn on and off the signal for burst transmission in the uplink channel. A successive detection log video amplifier (SDLVA) provides a saturated IF signal output and a detected video voltage output for the received signal strength indicator (RSSI) that is logarithmically proportional to the received IF power. The saturated IF output power of the SDLVA is 12 dBm. It has the dynamic range of 70 dB and the tangential signal sensitivity of 70 dBm. The measured logarithmic slope is 100 5 mV/dB with log linearity of 2 dB. The data

1956

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE II MEASURED PERFORMANCE OF WIRELESS MT

Fig. 5. Measured far-field radiation pattern of the MT antenna in AZ direction.

Fig. 7. Configuration of a fiber-optic millimeter-wave link based on 60-GHzband external modulation.

Fig. 6. Demodulated signal from the 155.52-Mb/s DPSK demodulator.

and clock signal are extracted from the demodulated signal by a 156-Mb/s CDR circuit. The RSSI resets the CDR circuit in the burst mode operation. Fig. 6 shows the demodulated signal from the DPSK demodulator. Table II lists the measured performance of the wireless MT. C. Fiber-Optic Millimeter-Wave Transmission A P-MP full duplex fiber-optic millimeter-wave link is configured by extending a basic P-P fiber-optic millimeter-wave link based on the 60-GHz-band external modulation [4]. For the performance optimization of the fiber-optic link, the optimum driving conditions of the EAM and PD, and the power level of the LD are experimentally examined. A basic simple fiber-optic link, as shown in Fig. 7, is firstly configured and investigated to determine the operating points of the EAM and PD. The link consists of a DFB LD, an EAM, and a PD connected together by SMFs. The LD is operated at the wavelength of 1554.13 nm in the CW mode and has the relative intensity noise (RIN) of approximate 167 dB/Hz at 60 GHz. It launches the power of 3 dBm into the EAM. The PD has a

dc responsivity of 0.7 A/W. When the EAM is modulated with a 61.5-GHz subcarrier with the RF input power of 3.5 dBm, an optical double-sideband (DSB) signal is generated. The modulated sideband peak is observed at the modulation frequency of 61.5 GHz (0.49 nm) apart from optical input light of 1554.13 nm. The maximum RF power at the output of the PD is detected when the EAM bias voltage minimize the difference between the optical carrier power and the subcarrier power of 61.5 GHz in a fiber-optic link with an optical amplifier. The EAM bias voltage is swept to find the optimum operating point of the EAM. As the bias voltage decrease, the output optical carrier power is also decreased. However, the 61.5-GHz subcarrier power has a peak around 2.0 V at the output of the EAM. As shown in Fig. 8, when the EAM bias voltage is 2.28 V, the difference is a minimum. The fiber-to-fiber insertion loss of the EAM is 11.9 dB at a bias voltage of 2.28 V and the 61.5-GHz peak value is 39.1 dBm. The PD bias voltage is also swept to find the optimum bias point. As the reverse dc-bias voltage decrease, the recovered RF power at the PD is increased. However, if the bias voltage is lower than 1.2 V, there is only a little difference in the detected RF output power. When the PD bias voltage is 1.4 V, the recovered RF output power is the maximum, as shown in Fig. 9. When the RF input power is 3.5 dBm, the detected RF power from the PD is 66.2 dBm and the conversion efficiency is 62.7 dB. One problem of the optical DSB signal transmission in SMF, especially in the wavelength region around 1550 nm, is that it causes a periodic fading of the millimeter-wave signal along the fiber due to the fiber chromatic dispersion [14]. The power

CHOI et al.: 60-GHz P-MP MILLIMETER-WAVE FIBER-RADIO COMMUNICATION SYSTEM

Fig. 8. Intensity of the optical carrier and the 61.5-GHz RF subcarrier in the optical DSB spectrum of the EAM.

Fig. 9. Measured RF output power at PD output versus PD bias voltage (V 2.28 V, RF input power: 3.5 dBm, LD: 3 dBm).

0

0

+

:

penalty due to the fiber dispersion depends on the fiber length and the modulation frequency. The LSB of the modulated signal is eliminated by an optical SSB filter to overcome the fiber dispersion effects, as shown in Fig. 2. The optical notch filter is centered at the LSB of 1553.64 nm with a peak attenuation over 30 dB. Fig. 10 shows the optical output spectrum with the SSB filter of the EAM modulated at 61.5–GHz subcarrier with the RF input power of 3.5 dBm. The modulated upper sideband peak is observed at the modulation frequency of 61.5 GHz apart from optical input light of 1554.13 nm, and the peak value is 40.37 dBm. To determine the optimum power level of the LD into the EAM, the RF power at the PD output in the CS is measured as a function of the input power of the LD. The EDFA has the saturated output power of 15 dBm, the noise figure of 5 dB, and the optical gain of 25 dB in the uplink of the Fig. 2. If the LD power is higher than 1.0 dBm, there is only a little difference in the detected RF output power, as shown in Fig. 11. In this experiment, the LD power of 3 dBm is used. When the RF

1957

Fig. 10. Optical SSB spectrum of tthe EAM modulated at 61.5 GHz (V 2.28 V, RF input power: 3.5 dBm, LD: 3 dBm).

0

0

+

:

Fig. 11. Measured RF output power at PD output in the CS versus LD input power (V : 2.28 V, RF input power: 3.5 dBm, PD: 1.4 V).

0

0

0

input power is 3.5 dBm, the RF output power of the PD is 41.78 dBm and the conversion efficiency is 38.28 dB. III. BER AND PER MEASUREMENTS RESULTS Based on the small-size transceiver modules and full duplex fiber-optic millimeter-wave transmission, a 60-GHz P-MP wireless access link with data rate of 156 Mb/s is configured as shown in Fig. 2 and the BER and PER performances are measured and compared. In the fiber-radio access link, the carrier-to-noise ratio (CNR) degradation mainly occurs in the fiber-optic link and radio link, the CNR degradation in the other parts of the system is negligible. The relationship between the required CNR values can be expressed as [15] (1) is the required CNR of the total system, where is the required CNR of the fiber-optic link, and is the

1958

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 13. Measured uplink BER performances of the ROF links with an AP and 60-GHz radio links (RF). Fig. 12. Measured downlink BER performances of the ROF links with an AP and 60-GHz radio links (RF).

required CNR of the radio link. The BER should be less than 1 10 for transmission of the MPEG-2 based video signal [10]. An experimental setup with an AP is firstly configured to measure the BER and PER performances of downlink and uplink. The setup consists of IF modems of the CS/MT, 60-GHz transceivers of CS/MT, and full duplex fiber-optic link with an AP. The CS is connected with an AP through SMFs. The AP and MT units are connected with 60-GHz variable attenuators. The variable attenuator controls the RF input power to the receivers of the MT and AP. The BER is measured for both channels using a 155.52-Mb/s pseudorandom bit sequence (PRBS) of 2 1. If the RF input power into the EAM of the CS is higher than 4 dBm, the shot noise and the effective relative intensity noise (ERIN) including the amplified spontaneous emission noise of the EDFA are dominant noise sources in the fiber-optic downlink [16]. The calculated is higher than 30 dB and the CNR degradation from the fiber-optic downlink is negligible from (1). In the fiber-optic uplink, if the minimum RF input power into the EAM of an AP is 13.9 dBm, the RF output power of the PD in the CS is 49.7 dBm. In this case, the calculated CNR is approximately 24 dB and CNR degradation of the total system is less than 0.5 dB from (1). The measured BER performances are shown in Figs. 12 and 13 for the downlink and uplink as a function of the receiving RF input power level, respectively. The input sensitivity to meet the required BER of less than 1 10 is approximately 68.8 and 68 dBm for the downlink and uplink, respectively. For comparison, 60-GHz radio links without APs and the fiber-optic link are also measured. It consists of IF modems and 60-GHz transceivers of the CS/MT. The measured BERs of the downlink and uplink with the RoF links are almost the same with that of a 60-GHz radio link (RF) without a fiber-optic link, as shown in Figs. 12 and 13. It is because the CNR degradation from the fiber-optic link is negligible and the major degradation is from the radio link. The PER performances of the full duplex fiber-radio access link with an AP are also measured by counting the number of the packet

Fig. 14. Measured downlink and uplink PER of the fiber-radio access link with an AP.

error when sending 10 packets. The PER of 1 10 means error-free transmission. Fig. 14 shows the measured downlink and uplink PER performances of the 60-GHz RoF links with an AP. Furthermore, the experimental setup is extended to the fiberradio access link with two APs to investigate the effect of multiple APs. One of two APs is connected with a MT through 60-GHz variable attenuators and the other one is connected with antennas for normal operation. Figs. 15 and 16 show the comparison of the measured BERs of the 60-GHz RoF links with two APs (RoF, 2AP) and one AP (RoF, 1AP) for the downlink and uplink, respectively. For the downlink, the BERs of the RoF links with two APs are almost same with that of the RoF links with one AP, as shown in Fig. 15. Even though it is extended to the multiple APs, there is no additional performance degradation in the downlink. However, the measured uplink BERs of Fig. 16 show additional 2.7- and 3.1-dB degradations for AP29 and AP31 in the RoF links with two APs, respectively. It is due

CHOI et al.: 60-GHz P-MP MILLIMETER-WAVE FIBER-RADIO COMMUNICATION SYSTEM

Fig. 15. Measured downlink BER performances of the RoF links with two APs and one AP.

1959

has been demonstrated for short-range broadband applications such as indoor WLAN and ITS communications. Based on planar integration and packaging of millimeter-wave circuits, a small-size 60-GHz transceiver module with planar antennas has been developed for compact system configuration of the CS and the wireless MT. A P-MP full duplex fiber-optic link with two APs has been configured by using a tree coupler and a DWDM MUX. To simplify the AP configuration, all LDs for the EAM of APs are located within the CS and there is no mixer and LO oscillator for frequency conversion in the AP. The measured BERs of the RoF links with an AP are almost the same with that of the 60-GHz radio link without a fiber-optic link because the CNR degradation from the fiber-optic link is negligible and the major degradation is from the radio link. Even though it is extended to the multiple APs, there is no additional performance degradation in the downlink. However, an additional 3-dB BER degradation has been shown in the fiber-radio uplink with two APs. REFERENCES [1] J. Mikkonen, C. Corrado, C. Evci, and M. Progler, “Emerging wireless broadband networks,” IEEE Commun. Mag., vol. 36, no. 2, pp. 112–117, Feb. 1998. [2] K. Takahashi, S. Fujita, M. Inoue, G. Wu, and H. Yabuki, “A compact -band 156 Mbps transceiver for a WLAN system using PTFE/FR-4 laminated MCMs,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 787–790. [3] H. Harada, “100 Mbps and beyond point-to-multipoint ultra high-speed radio access system: Concept and fundamental experimental results,” in Proc. IEEE VTC, Oct. 2003, pp. 1874–1879. [4] K. Kitayama, “Architectural considerations of radio-on-fiber millimeter-wave wireless access systems,” in Proc. ISSSE98, Oct. 1998, pp. 378–383. [5] K. Kitayama, A. Stöhr, T. Kuri, R. Heinzelmann, D. Jäger, and Y. Takahashi, “An approach to single optical component antenna base stations for broadband millimeter-wave fiber-radio access systems,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2588–2595, Dec. 2000. [6] D. Novak, A. Nirmalathas, C. Lim, C. Marra, and R. B. Waterhouse, “Fiber-radio: Challenges and possible solutions,” in Proc. MWP, Sep. 2003, pp. 49–54. [7] A. Nirmalathas, C. Lim, D. Novak, D. Castleford, R. Waterhouse, and G. Smith, “Millimeter-wave fiber-wireless access systems incorporating wavelength division multiplexing,” in Proc. APMC, Dec. 2000, pp. 625–629. [8] A. Stöhr, K. Kitayama, and D. Jäger, “Full-duplex fiber-optic RF subcarrier transmission using a dual-function modulator/photodetector,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1338–1341, Jul. 1999. [9] S. Nishi and K. Tokuda, “Development of millimeter wave video transmission system-development of antenna,” in Proc. APMC, Dec. 2001, pp. 509–512. [10] G. Wu, M. Inoue, H. Murakami, and Y. Hase, “156 Mbps ultrahigh-speed WLAN prototype in the 38 GHz band,” in Proc. IEEE GLOBECOM, Nov. 2001, pp. 3573–3578. [11] M. Inoue, G. Wu, Y. Hase, A. Sugitani, E. Kawakami, S. Shimizu, and K. Tokuda, “An IP-over-Ethernet-based ultrahigh-speed WLAN prototype operating in the 60-GHz band,” IEICE Trans. Commun., vol. E83-B, no. 8, pp. 1720–1730, Aug. 2000. [12] F. Xioung, Digital Modulation Techniques. Norwood: Artech House, 2000. [13] S. T. Choi, K. S. Yang, K. Tokuda, and Y. H. Kim, “A -band planar narrow bandpass filter using a new type integrated waveguide transition,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 12, pp. 545–547, Dec. 2004. [14] J. Park, W. V. Sorin, and K. Y. Lau, “Elimination of fiber chromatic dispersion penalty on 1550 nm millimeter-wave transmission,” Electron. Lett., vol. 33, no. 6, pp. 512–513, Mar. 1997.

Ka

Fig. 16. Measured uplink BER performances of the RoF links with two APs and one AP.

to the added thermal noise from the other AP because the uplink is basically a combining network. The expected approximate BER degradation is a function of the number of the AP as dB

(2)

where is the number of the AP. Additionally, the shot noise of the PD in the CS is increased, when it is extended to multiple APs. This uplink BER degradation can be minimized by adding a kind of optical filter between the EAM output of the AP and the DWDM MUX input of the CS to decrease the shot noise effects by reducing the difference between the optical carrier power and the subcarrier power of 61.5 GHz. IV. CONCLUSION A 60-GHz P-MP wireless access link with data rate of 156 Mb/s incorporating small-size 60-GHz transceiver modules and full duplex fiber-optic millimeter-wave transmission

V

1960

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

[15] I. M. I. Habbab and A. A. M. Saleh, “Fundamental limitation in EDFA-based subcarrier-multiplexed AM-VSB CATV system,” J. Lightw. Technol., vol. 11, no. 1, pp. 42–48, Jan. 1993. [16] G. K. Gopalakrishnan, R. P. Moeller, M. M. Howerton, W. K. Burns, K. J. Williams, and R. D. Esman, “A low-loss downconverting analog fiber-optic link,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2318–2323, Sep. 1995.

Sung Tae Choi (M’04) was born in Seongju, Korea, in 1973. He received the B.S. degree in electronics from Kyungpook National University, Daegu, Korea, in 1995, and the M.S. and Ph.D. degrees in mechatronics from the Gwangju Institute of Science and Technology (GIST), Gwangju, Korea, in 1997 and 2004, respectively. In 2004, he joined millisys Inc., Gwangju, Korea, where he was involved in millimeter-wave communications. Since April 2005, he has been an Expert Researcher with the Yokosuka Radio Communications Research Center, National Institute of Information and Communications Technology (NICT), Yokosuka, Japan. His research interests include microwave and millimeter-wave circuits and antenna design, millimeter-wave integration and packaging, millimeter-wave radar systems, high-speed millimeter-wave communication systems, and millimeter-wave fiber-radio access systems.

Ki Seok Yang (M’05) was born is Seoul, Korea, in 1974. He received the B.S. degree from HanKuk Aviation University, Geonggi-do, Korea, in 1996, and the M.S. and Ph.D. degrees in mechatronics from the Gwangju Institute of Science and Technology (GIST), Gwangju, Korea, in 1998 and 2005, respectively. Since 2005, he has been with the Georgia Electronic Design Center (GEDC), School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta. His research interests include microwave and millimeter-wave passive and active components design, CMOS millimeter-wave circuit design, low phase-noise oscillators, frequency synthesizers, millimeter-wave propagation channels, and high-speed millimeter-wave communication systems.

Seiji Nishi received the B.S., M.S., and Ph.D. degrees in physics from Osaka University, Osaka, Japan, in 1974, 1976, and 1979, respectively. In 1979, he joined the OKI Electric Industry Co., Ltd., Kanagawa, Japan, where he is currently a member of the Wireless Technology Research and Development Division, Public Solutions Company. His current research interests are the development of millimeter-wave components. Dr. Nishi was the recipient of the Third Yokosuka Research Park (YRP) Award in 2004.

Satoru Shimizu received the B.E. degree in electronics engineering from Kyoto University, Kyoto, Japan, in 1987, and the Ph.D. degree from Chiba University, Chiba, Japan, in 1995. In 1987, he joined the OKI Electric Industry Co., Ltd., Kanagawa, Japan, where he is currently a member of the Wireless Technology Research and Development Division, Public Solutions Company. His current research interests are high-speed wireless communication systems and intelligent transportation systems. Dr. Shimizu was the recipient of the Paper Award presented by the Marine Acoustics Society in Japan in 1995.

Kiyohito Tokuda (M’87) was born in Ishikawa, Japan, on December 13, 1957. He received the B.E., M.E., and Dr. Eng. degrees in electrical engineering from Hosei University, Tokyo, Japan, in 1981, 1983, and 1996, respectively. In 1983, he joined the Electronic and Communication Systems Laboratory, Research and Development Group, OKI Electric Industry Co., Ltd., Kanagawa, Japan. Since then, he has been engaged in research on mobile data transmission technology and signal-processing technology. He is a General Manager of the Research and Development Division, OKI Electric Industry Co., Ltd. His current research interests include multimedia mobile access communication systems (MMACs) and intelligent transport systems (ITSs). Dr. Tokuda is a member of the Institute of Electrical, Information and Communication Engineers (IEICE), Japan.

Yong Hoon Kim (M’90) was born in Donghae, Korea, in 1952. He received the B.S. degree in radio science and engineering from Kyung Hee University, Seoul, Korea, in 1974, the M.S. degree in electronic engineering from Yonsei University, Seoul, Korea, in 1976, and the Dr.-Ing. degree from Stuttgart University, Stuttgart, Germany, in 1990. From 1976 to 1980, he was an Instructor with the Second Airforce Academy. From 1990 to 1994, he was with the Korea Aerospace Research Institute (KARI), as the Department Head of Space Application Technology, Avionics, and Space Payload. Since 1995, he has been a Professor with the Department of Mechatronics, Gwangju Institute of Science and Technology (GIST), Gwangju, Korea. Since then, he has contributed to the development of microwaves, millimeter-wave components, millimeter-wave active and passive imaging systems, and high-speed millimeter-wave communication systems. He is currently focused on the medical application of radars, radiometers for telemedicine, millimeter-wave interferometric synthetic aperture imaging radiometers, and radio-on-fiber millimeter communication systems. In 2000, he founded millisys Inc., Gwangju, Korea, where has been a representative. As a result of outstanding research activities in his field, he holds several patents for method and apparatus for obtaining high-resolution images in Korea, the U.S., and Japan. Dr. Kim is a board member of the Korean Society of Remote Sensing (KSRS), the Korean Institute of Communication and Sciences (KICS), the Institute of Electronics Engineering of Korea (IEEK), the Korea Institute of Intelligent Transport Systems (ITS), and the Korea Navigation Institute (KONI).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

1961

A Derived Physically Expressive Circuit Model for Multilayer RF Embedded Passives Jie Wang and Ke-Li Wu, Senior Member, IEEE

Abstract—In this paper, a derived physically expressive circuit model is presented for automatically deriving an equivalent-circuit model of a multilayered embedded RF passive. The scheme is based on the partial-element equivalent-circuit (PEEC) model and a simple circuit transformation. By defining an appropriate cutoff criterion that is related to the highest frequency of interest, most of the internal nodes in the coupling circuit generated by the PEEC model can be approximately absorbed by the remaining frequency-independent LC elements progressively. The model is very simple to implement and has apparent physical meaning. Mathematically, this model progressively recombines the least significant system pole with other less significant poles. The resultant physically expressive circuit model will be very useful in designing multilayer embedded RF circuits. Four examples are given for demonstrating the validity and effectiveness of the scheme. Index Terms—Electromagnetic (EM) simulation, equivalent circuits, low-temperature co-fired ceramic (LTCC), RF circuits, system-on-package (SoP).

I. INTRODUCTION ITH THE fast development of low-loss and high-density integrated packaging technologies such as low-temperature co-fired ceramic (LTCC) [1], [2], system-on-package (SoP) [3] is considered as one of the most promising solutions for integrated electronic systems and wireless products. In designing an advanced SoP, one of the desired computer-aided design (CAD) tools is an algorithm that can systematically generate a physically meaningful circuit model for large-scale embedded RF passives and interconnection traces. The needs for such a tool mainly comes from two aspects, which are: 1) a co-simulation of a mixed-signal heterogeneous system that consists of digital circuits, which are modeled by a circuit simulator in the time domain, and analog passive circuits, which are simulated by electromagnetic (EM) simulation in the frequency domain and 2) the prediction of electromagnetic interference (EMI) among the RF passive circuits. Although tremendous research has been done in EM modeling and the extraction of a circuit representation of embedded passives at gigahertz frequencies, the resultant circuit models are either limited to a predefined layout or the complexity of the models is overwhelming even with certain model simplification. Obvi-

W

Manuscript received December 2, 2005; revised February 18, 2006. This work was supported by the Innovation and Technology Fund and Research Grants Council Direct Research Grant of Hong Kong, Special Administrative Region, under Grant 6901523/ITS/011/03 and Grant 2050326. The authors are with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.873621

ously, it is highly desirable to develop a systematic technique that can directly convert a generic layout of an RF embedded passive circuit to a concise circuit model that is valid in a given range of frequency. Preferably, the circuit model processes a clear physical meaning associated to the physical layout. A number of techniques for extraction of lumped element equivalent circuits for embedded RF passives have been developed over the past years. The most popular technique is to construct an equivalent-circuit model based on a predefined circuit topology from physical intuition. The component values of the circuit model can be determined by empirical formulas or by curve fitting [4]. Due to the lack of good understanding of the parasitic coupling mechanism, for complex passive layouts, such a circuit model cannot be too sophisticated and unique. A systematic approach to extract a circuit model directly from EM simulation was proposed by Timmons and Wu in 2000 [5]. The approach is based on Cauer networks synthesis and the matching of the system poles and related residues instead of physical attributes. Although this approach is applicable to a sufficient wide frequency band of interest, the model can only supplant the original distributed RF circuit from the viewpoint of scattering parameters. It is well known that the partial element equivalent circuit (PEEC) model is evolved from the mixed potential integral equation (MPIE). Under the quasi-static assumption, which can be readily justified for embedded RF passives whose dimension is much smaller than the wavelength, the PEEC model can generate a frequency-independent circuit model containing all self and mutual capacitance and inductance among all the discrete computational mesh elements of a multilayered circuit [6], [7]. Although the PEEC model, which will be called a coupling circuit model in this paper, can be regarded as a primitive equivalent circuit, the number of the circuit elements is excessive to handle for practical cases and the capacitors and inductors in the model do not have apparent physical meanings. In this paper, a new methodology, called the derived physically expressive circuit model (DPECM), for systematically deriving an equivalent-circuit model for embedded multilayered RF passive circuits is presented. The method starts with the coupling circuit generated from the lossless quasi-static PEEC model and consists of a sequence of combining and deducting operations of a “ -circuit” to a “ -circuit” on all the nodes of the coupling circuit model one by one. The method deletes each removable internal node in a coupling circuit model by first absorbing its fundamental attribute by its neighboring nodes and then omitting its minor attribute, which usually contributes only to the high-frequency response. Physically, the remaining internal nodes and the associated LC components in the resultant

0018-9480/$20.00 © 2006 IEEE

1962

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

circuit will dominate the main property of the original coupling circuit model and will present a clear physical meaning. Mathematically, the procedure is a model order reduction (MOR) [8]–[11] scheme. This is because each node combination and deduction operation performs the function of combining the effect of the least important poles with that of the other less important poles of the original coupling circuit model. This property will be illustrated by an example. Thanks to its clear physical meaning, the circuit model derived by this scheme has been successfully applied to optimization designs of various LTCC embedded RF circuits [12] as a dynamic coarse model in the aggressive space mapping (ASM) [13].

II. THEORY A. PEEC Model The PEEC algorithm was originally developed by Ruehli for modeling three-dimensional (3-D) multiconductor systems based on an integral-equation description of the geometry that is interpreted in terms of circuit elements. Since the structure under consideration is assumed to be very small, as compared to the wavelength, the quasi-static condition can be applied. In addition, the conductor loss and dielectric loss are omitted in this study for simplicity. The two major equations for building the coupling circuit of the PEEC model are

(1) (2)

where and are the lengths of inductive elements, and are areas of capacitive elements, and and are partial inductance and coefficient of potential of the coupling circuit model, respectively. Notice that the integrals in the above equations are surface integrals for an infinite thin conducting strip model. A PEEC model concerning multilayered RF circuits with finite metal thickness has been developed in [14]. The static Green and can be expressed in a series form as the functions kernel for the integrals. As an example of PEEC modeling, Fig. 1(a) shows a group of typical computational mesh elements used in a PEEC model for modeling a short section of an infinitely thin straight conducting strip in which the capacitive meshes are represented by solid lines and the inductive meshes are represented by dash lines. Fig. 1(b) shows the corresponding PEEC coupling circuit model. It is seen that a capacitive mesh can be represented as a node and an inductive mesh is represented by an inductor between two nodes in the corresponding circuit. Once the meshes are generated and the nodes are identified, (1) and (2) are then applied on each pair of infinite thin inductive and capacitive meshes, respectively, to calculate the partial mutual inductance and coupling capacitance.

Fig. 1. (a) Inductive and capacitive meshes of a PEEC model. (b) Coupling circuit of the PEEC model.

B. Frequency-Dependent MOR Once the general PEEC model converts the original 3-D multilayered embedded passive into a coupling circuit model, one can obtain its electric behavior by simulating the circuit using a circuit simulator. One of the methods for simulating the coupling circuit is the nodal voltage method, which will serve as the starting point of the MOR method to be presented in this paper. If the numbers of the external ports and the internal nodes in the and , respectively, the nodal voltage equacircuit are tion of the coupling circuit can be expressed as follows:

(3)

By the definition of the admittance matrix associated with external ports, the -parameters associated to the external ports can be found by

(4) Obviously, the computational expense of this expression for . To reduce the -parameters of the circuit is proportional to the computational cost, an efficient way is to reduce the number of internal nodes of the coupling circuit model, i.e., to reduce the order of the matrix in (4). The technique for reducing the number of nodes of the coupling circuit model is based on the conversion of a -circuit to a -circuit. As shown in Fig. 2(a), node 0 that is to be deleted through admittance , is connected to node which is the function of frequency. Assume the voltage at node

WANG AND WU: DPECM FOR MULTILAYER RF EMBEDDED PASSIVES

1963

Substituting (6) into (5), we have

(7) .. .

Equation (7) states that the -circuit is converted to a -cirin cuit shown in Fig. 2(b) after node 0 is deleted. The value Fig. 2(b) can be expressed as

(8)

Fig. 2. (a) deleted.

Y -circuit before node 0 is

deleted. (b)

1-circuit after node 0 is

is frequency dependent, will Since be a function of frequency. Nevertheless, all of the nodes, except the port nodes and ground nodes, can be deleted by this procedure node by node. It is worth mentioning that although this node deduction procedure is frequency dependent, it is still approximately ten times faster than evaluating (4) because there is no matrix inverse involved. C. Frequency-Independent MOR

is and the current in branch is can be achieved by circuit theory:

, the following equations

(5)

Since

there is

(9)

(6) where

Since the above-mentioned MOR method is frequency dependent, the procedure must be repeated for every frequency sampling over a frequency range of interest. The fact suggests that if one can convert the coupling circuit model into a simpler circuit model by removing most of the internal nodes frequency independently with an acceptable approximation, not only does the order reduction need not be repeated for other frequencies, but the resultant circuit model may also incorporate certain physical meanings. To achieve the objective, let us discuss the frequency-independent MOR method. in (8). GenWe start with investigating the expression of erally, can be expressed as

The admittance can be expressed by (9) essentially because only the capacitor, inductor, and their combination tank are to as a function of be considered here. Thus, we can express frequency

(10)

1964

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Having had the approximation, (12) will be rewritten as (14) where Fig. 3. Equivalent circuit for y .

which can be further simplified as

(11) where

(12) where and are the total inductance and capacitance connected to the node to be deleted. Obviously, can be interpreted by the equivalent circuit shown in Fig. 3. Each component in this circuit is frequency independent. Although the circuit shown in Fig. 3 is a representation of (11) without any approximation, it is not suitable for further manipuand inductor brings lation because the series of capacitor some frequency-dependent terms in deleting node or node in the subsequent steps. When (11) for is used in the next steps for deleting node or , the resultant combined branch admittance will not have the same simple format as that in (9) any more. In order to derive a frequency-independent circuit mode, some approximation needs to be done to simplify the third term of (11)

(13) If

,

where is chosen to minimize an average error in the given frequency range of interest, is the number of frequency samples, and is the angular frequency of the th frequency sample. This approximation means that a capacitor is used to replace the original LC serial resonator. This approximation is acceptable when the resonance frequency of the resonator is much higher than a cutoff frequency of interest.

Therefore, if an internal node of a coupling circuit model satisfies the condition of , it is called a removable node. Note that (14) combines the major influence of the removable node into those nodes that are immediately connected to the means that the interaction node. The condition of between the capacitive and inductive couplings associated to an internal node is very weak over the frequency range of interest. For a coupling circuit model generated from the PEEC, most of the coupling capacitances and inductances are usually small enough. Therefore, the condition is true for most of the internal nodes for the frequencies in the gigahertz range. To show that the procedure described above can lead to a physically expressive circuit model, we take the coupling circuit model in Fig. 1(b) as an example. At low frequencies, the curand ( means rent flowing through the inductors of the inductor between nodes 1 and 2) has almost the same value due to the small shunt capacitance of , , and , where subscript 4 denotes the ground node. Due to this physical phenomenon, we can delete node 2 by combining the two inducactors into one whose value is equal to cording to (14). The shunt capacitors of , , and will , , and with their values determined be combined to by (14). At this stage, the number of meshes shown in Fig. 1(a) is reduced from 3 to 2. Node 1 and 3 will represent nodes with larger areas and their shunt capacitances to ground will increase. The increased capacitance and inductance associated with nodes 1 and 3 may not satisfy the approximate condition at nodes 1 and 3. If this is the case, the nodes will be left in the ultimate equivalent circuit; otherwise, the nodes will be deleted by the “combing-and-deducting” process. It can be seen that this procedure retains the essential attribute at each local feature (node) and eliminates the insignificant effects associated to the local feature. The significant local attributes are then consolidated by a few more prominent circuit elements, which have clearer physical meanings. For a complex 3-D multilayered structure, the same node deduction procedure can be systematically applied to each internal node in the coupling circuit model. The nodes that do not satisfy the approximate condition will be retained to form the final equivalent-circuit model. To implement the MOR procedure with a high degree of fidelity, the following two important details should be discussed. 1) In a practical implementation, we can set a cutoff value for gauging every node by its value of throughout an entire coupling circuit model. It means that if a node satisfies (15)

WANG AND WU: DPECM FOR MULTILAYER RF EMBEDDED PASSIVES

1965

Fig. 4. Circular spiral inductor.

where is the highest angular frequency of interest, it will be considered as a removable node. The smaller the value is, the more nodes will be retained in the final equivalent circuit. In the examples throughout this paper, the cutoff value is set to 0.15. 2) Since the coupling circuit model will be a dynamic circuit during the MOR iteration, one must select a judicious pattern for reducing the number of internal nodes. It is found that by removing the most removable node, whose is the least among all the remaining internal nodes, at each step of the iteration the process can retain the essential attribute of the circuit most. This is because corresponds to the least imthe node with least portant pole of the original system. This point will be illustrated by an example in Section III. The benefits of having a frequency-independent and model order reduced circuit model are obvious. First, the circuit model reveals a clear correlation between the circuit mode and the physical circuit layout. The circuit model consists of not only the elements of major capacitance and inductance, but also the components exhibiting the higher order parasitic couplings. Second, since the order of the original coupling circuit model has been significantly reduced, and the MOR process only needs to be done once, the simulation expense will be greatly reduced even when the time for the MOR is counted.

Fig. 5. Equivalent circuit of the circular spiral inductor.

III. APPLICATION EXAMPLES The examples presented here are typical embedded RF passives in LTCC SoP modules. All of the full-wave EM simulation results are obtained by using CST Microwave Studio (V.5.1.3). The first example is a planar circular spiral inductor, as illustrated in Fig. 4. The inductor is located at height of in a grounded substrate whose height is . The dimensions of the inductor are , , , , and (all in millimeters). The dielectric constant of the substrate is 9.1. The original coupling circuit model generated from the PEEC model has 16 internal nodes. After a MOR process, only one internal node is left. The corresponding derived lumped-element circuit model is shown in Fig. 5(a). The physical meaning of each component of the circuit model is obvious. The inductors and in this equivalent-circuit model denote the main partial inductance that we want to achieve and the capacitors , , and (4 is the ground

Fig. 6. S -parameters of: (a) magnitude and (b) phase of the derived equivalent circuits and full-wave EM model for the circular spiral inductor.

node) are due to the parasite effects between the strip and ground. Due to the shunt parasitic capacitor, this inductor is divided into two parts with a mutual inductive coupling . The -parameters of the two-port structure computed by a full-wave EM model and the derived circuit model are presented in Fig. 6. Good agreement can be observed. The equivalent circuit of this inductor can be further simplified into a model with only one inductor and two shunt parasitic capacitors if the accuracy is not the first priority. The simpler

1966

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE I SYSTEM POLES OF Y -MATRIX FOR THE SPIRAL INDUCTOR IN THE DPECM PROCESS

Fig. 8. Derived equivalent circuit of the four-layer capacitor. Fig. 7. Four-layer capacitor.

circuit model is shown in Fig. 5(b) and the corresponding -parameters are superposed in Fig. 6. In this case, the cutoff value is set to 0.65. The -parameter comparison of the circuit models [see Fig. 6(a) and (b)] and the full-wave EM model shown in Fig. 6 indicates that the circuit model [see Fig. 6(a)] is more accurate than the circuit model [see Fig. 6(b)]. In order to mathematically justify the proposed MOR scheme presented in this paper, the system poles of the -matrix for this spiral inductor circuit can be found using the modified nodal analysis (MNA) method [15]. The system poles of the -matrix after each internal removable node being removed are listed in Table I. The first row in this table represents the number of internal nodes that have been deleted. The columns list the corresponding system poles, in the order of descending importance, that exist in pairs symmetric to the origin. Interestingly, from this table, one can find that after each node is removed, the largest pole, i.e., the least important pole, is “absorbed” by other less significant poles. The second example is a four-layer capacitor that is embedded in a substrate with a height of mm, as shown in Fig. 7. The four square plates with heights of , , , and (all in millimeters) have the same dimension of mm mm. The dimension of the input/output strip is mm mm. The dielectric constant of the substrate is 7.8. The equivalent circuit of this four-layer structure is derived as shown in Fig. 8. In this circuit, node 1

denotes the two plates connected by the via-hole from layers 1 to 3; node 2 corresponds to the two plates in layers 2 and 3 that are also connected by a via-hole; nodes 3 and 4 denote the two ports; and node 5 is the ground node. Each component in this circuit has an obvious physically meaning associated to the original layout. The capacitor between nodes 1 and 2 is the main capacitor of interest. The capacitors between nodes 1–5 are introduced because of the parasite effects between the plates and ground. The discontinuities between the input/output strips and the square plates lead to the two parasitic inductors and in the circuit model, and capacitors and are parasitic capacitors. The -parameters by the full-wave EM model and the derived equivalent circuit are shown in Fig. 9 and agreement is excellent. The third example is a multilayer high-pass filter, as shown in Fig. 10. The filter is constructed by an eight-layer substrate with a dielectric constant of 9.1 and a thinnest tape thickness of 0.041 mm. The thickness of the conductor is 0.01 mm. In other words, the metallization thickness is approximately one-fourth of the thinnest dielectric thickness. In order to accurately model this filter whose metallization thickness cannot be neglected, a novel PEEC algorithm without increasing the number of PEEC circuit elements is applied for generating the coupling circuit model [14]. The proposed DPECM is then used to derive the equivalent circuit, which is depicted in Fig. 11. Note that , , , , and are the main elements of the original high-pass filter schematic and , , , and are parasitic elements. The -parameters of the equivalent circuit and the full-wave EM simulation are superposed in Fig. 12 and good

WANG AND WU: DPECM FOR MULTILAYER RF EMBEDDED PASSIVES

1967

Fig. 11. Derived equivalent circuit of the high-pass filter.

Fig. 12. S -parameters of the equivalent circuit and full-wave EM model for the high-pass filter.

Fig. 9. S -parameters of: (a) magnitude and (b) phase of the derived equivalent circuit and full-wave EM model for the four-layer capacitor.

Fig. 13. Bandpass filter.

Fig. 10. High-pass filter.

agreement can also be observed. The time for DPECM is approximately 10 s, while the time for the full-wave EM simulation by CST is approximately 1200 s. The fourth example is a multilayer bandpass filter, as shown in Fig. 13. The filter is constructed by a seven-layer substrate with dielectric constant of 7.8 and a thinnest tape thickness of 0.043 mm. The thickness of the conductor is 0.01 mm. The derived equivalent circuit of this bandpass filter is shown in Fig. 14. Note that , , , , , , , , and are the main elements of the original bandpass filter

Fig. 14. Derived equivalent circuit of the bandpass filter.

schematic and others are parasitic elements. This bandpass filter has been built using LTCC technology. The -parameters of the equivalent circuit and the measurement, as well as full-wave EM model, are superposed in Fig. 15, and good agreement can also

1968

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 15. S -parameters of the equivalent circuit, measurement, and the fullwave EM model for the bandpass filter.

be observed. The time for the DPECM and then to perform a simulation is approximately 7 s, while the time for the full-wave EM simulation by CST is approximately 280 s. IV. CONCLUSION A novel DPECM for embedded RF passives has been presented. The model is based on a quasi-static PEEC model and a simple systematic MOR scheme. Due to the quasi-static natural of the PEEC model, this DPECM is accurate enough for RF embedded passives when the frequency of interest is lower than 5.0 GHz. The circuit model has been proven to be effective and robust in deriving a physically expressive equivalent circuit of a 3-D multilayer RF passive circuit without any physical intuition and prior knowledge. Since the computation time for deriving the circuit model and generating the -parameters over a wide frequency band of interest is a few orders of magnitude faster than any full-wave EM simulator, the proposed scheme can be used as a real time design library for embedded RF passives. Four examples have been provided to illustrate the details and effectiveness of the model. Since each component in the equivalent circuit obviously relates to a part of the original layout, one can physically adjust the dimension of the structure in order to achieve the desired values of the components of interest when designing an embedded RF circuit. The equivalent-circuit model can be extended to the cases with substrate loss and conductor loss. For high-frequency applications, a full-wave Green’s function for layered media should be used to accommodate the radiation loss. All these extensions of the proposed model will be reported in future work. REFERENCES [1] A. Sutono, D. Heo, Y.-J. E. Chen, and J. Laskar, “High- LTCC based passive library for wireless system-on-package (SOP) module development,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1715–1724, Oct. 2001. [2] K. Rambabu and J. Bornemann, “Simplified analysis technique for the initial design of LTCC filters with all-capacitive coupling,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1787–1791, May 2005. [3] K. Lim et al., “RF-system-on-package (SOP) for wireless communications,” IEEE Micro, vol. 3, no. 1, pp. 88–99, Mar. 2002. [4] P. L. Werner, R. Mittra, and D. H. Werner, “Extraction of SPICE-type equivalent circuits of microwave components and discontinuities using the genetic algorithm optimization technique,” IEEE Trans. Compon., Packag., Manuf. Technol. B, vol. 23, no. 1, pp. 55–61, Feb. 2000.

Q

[5] I. Timmins and K.-L. Wu, “An efficient systematic approach to model extraction for passive microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1565–1573, Sep. 2000. [6] A. E. Ruehli, “Equivalent circuit models for three-dimensional multi-conductor systems,” IEEE Trans. Microwave Theory Tech., vol. MTT-22, no. 3, pp. 216–221, Mar. 1974. [7] H. Heeb and A. E. Ruehli, “Three-dimensional interconnect analysis using partial element equivalent circuits,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 39, no. 11, pp. 974–982, Nov. 1992. [8] N. Marques, M. Kamon, J. White, and L. M. Silvera, “A mixed nodalmesh formulation for efficient extraction and passive reduced-order modeling of 3-D interconnects,” in Proc. Design Autom. Conf., San Francisco, CA, Jun. 1998, vol. 35, pp. 297–302. [9] A. Odabasioglu, M. Celik, and L. T. Plieggi, “PRIMA: Passive reduced-order internnect macromodeling algorithm,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 17, no. 8, pp. 645–654, Aug. 1998. [10] A. C. Cangellaris and M. Igarashi, “Rules for robust generation of accurate reduced-order models of high-speed coupled interconnections,” in 50th Electron. Compon. Technol. Conf., Las Vegas, NV, May 2000, pp. 502–507. [11] J. Cullum, A. Ruehli, and T. Zhang, “A method for reduced-order modeling and simulation of large interconnect circuits and its application to PEEC models with retardation,” IEEE Trans. Circuits Syst. II, Analog. Digit. Signal Process., vol. 47, no. 4, pp. 261–273, Apr. 2000. [12] K.-L. Wu, Y.-J. Zhao, J. Wang, and M. K. K. Cheng, “An effective dynamic coarse model for optimization design of LTCC RF circuits with aggressive space mapping,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 393–402, Jan. 2004. [13] J. W. Bandler, R. M. Biernacki, S. H. Chen, R. H. Hemmers, and K. Madsen, “Electromagnetic optimization exploiting aggressive space mapping,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2874–2882, Dec. 1995. [14] K. L. Wu, L. K. Yeung, and Y. Ding, “An efficient PEEC algorithm for modeling of LTCC RF circuits with finite metal strip thickness,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 390–392, Sep. 2003. [15] C.-W. Ho, A. E. Ruehli, and P. A. Brennan, “The modified nodal approach to network analysis,” IEEE Trans. Circuits Syst., vol. CAS-22, no. 6, pp. 504–509, Jun. 1975.

Jie Wang was born in JiangSu Province, China, in 1975. He received the B.S. and Ph.D. degrees from the Southeast University of China, Nanjing, China, in 1996 and 2001, respectively, both in radio engineering. Since January 2002, he has been with The Chinese University of Hong Kong, Shatin, Hong Kong, where he is currently a Post-Doctoral Fellow. His current research interests include the development of EM modeling for multilayer embedded RF passives and the design of various RF modules by using LTCC technology.

Ke-Li Wu (M’90–SM’96) received the B.S. and M.Eng degree from Nanjing University of Science and Technology, Nanjing, China, in 1982 and 1985, respectively, and the Ph.D. degree from Laval University, Quebec, QC, Canada, in 1989. From 1989 to 1993, he was with the Communications Research Laboratory, McMaster University, as a Research Engineer. In March 1993, he joined the Corporate Research and Development Division, Com Dev International, Cambridge, ON, Canada, where he was a Principal Member of Technical Staff in charge of developing advanced EM design software for microwave subsystems for satellite and wireless communications. Since October 1999, he has been with the Department of Electronic Engineering, The Chinese University of Hong Kong, where he is currently a Professor. He has authored or coauthored numerous publications in the areas of EM modeling and microwave and antenna engineering. His current research interests include EM modeling, microwave filters, LTCC technology, multichip module (MCM) technologies, antennas for wireless terminals, and active RFID systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

1969

Analysis and Experiments for High-Efficiency Class-F and Inverse Class-F Power Amplifiers Young Yun Woo, Youngoo Yang, Member, IEEE, and Bumman Kim, Senior Member, IEEE

Abstract—This paper presents analytic and experimental comparisons for high-efficiency class-F and inverse class-F amplifiers. The analytic formula of the efficiencies, output powers, dc power dissipations, and fundamental load impedances of both amplifiers are derived from the ideal current and voltage waveforms. Based on the formula, the performances are compared with a reasonable condition: fundamental output power levels of class-F and inverse class-F amplifiers are conditioned to be identical. The results show that the inverse class-F amplifier has better efficiency than that of class-F amplifiers as the on-resistance of the transistor increases. For experimental comparison, we have designed and implemented the class-F and inverse class-F amplifiers at 1-GHz band using a GaAs MESFET and analyzed the measured performances. Experimental results shows 10% higher power-added efficiency of the inverse class-F amplifier than that of the class-F amplifier, which verifies the waveform analysis. Index Terms—Class-F amplifier, harmonics control circuit, highefficiency amplifier, inverse class-F amplifier, power amplifier.

I. INTRODUCTION GROWING popularity of the wireless communication systems makes the high-efficiency RF power amplifiers very important RF components. The class-F amplifier, which has short load termination at even-order harmonics (current peaking) and open load termination at odd-order harmonics (voltage peaking), has become a representative of the high-efficiency amplifier [1]–[3]. Very recently, the inverse class-F amplifier has started to draw attention due to its superior performance. It is commonly known that the inverse class-F amplifiers, which have open load at even-order harmonics (voltage peaking) and short load at odd-order harmonics (current peaking), can deliver higher efficiency than class-F operation. Some papers with partial analyses, simulations, or experiments, which demonstrated advantages of the inverse class-F amplifier, have been reported [4]–[6]. However, there have been no reports treating fully analytic and experimental comparisons for the clear explanation of the better efficiency of the inverse class-F amplifier.

A

Fig. 1. Ideal current and voltage waveforms according to the load line on transistor’s I–V plane. Class-F (solid line). Inverse class-F (dotted line).

The purpose of this paper is to provide the quantitative and clear explanation of better efficiency, and the design guide for optimizing the output power or efficiency of the inverse class-F amplifiers in comparison to the class-F amplifiers. For that purpose, the efficiency equations of the inverse class-F and conventional class-F amplifiers are derived using ideal time-domain waveforms. The analytic comparisons are then carried out using the equations under the condition that the fundamental output power of both amplifiers are identical around where they have maximum PAE. For an experimental comparison, the class-F and inverse class-F amplifiers at 1-GHz band are designed and implemented. The analysis and experimental results clearly show why the inverse class-F amplifier has higher efficiency than the class-F amplifier. II. ANALYTIC APPROACH

Manuscript received November 24, 2005; revised February 1, 2006. This work was supported in part by the Korean Ministry of Education under the BK21 Project and by the Center for Broadband OFDM Mobile Access (BrOMA) at the Pohang University of Science and Technology (POSTECH) under the ITRC Program of the Korean MIC, supervised by IITA (IITA-2005-C1090-0502-0008). Y. Y. Woo and B. Kim are with the Department of Electrical Engineering, Pohang University of Science and Technology, Pohang 790-784, Korea (e-mail: [email protected]). Y. Yang is with the School of Information and Communication, Sungkyunkwan University, Suwon 440-746, Korea (e-mail: yang09@skku. edu). Digital Object Identifier 10.1109/TMTT.2006.872805

A. Representation of Parameters Fig. 1 shows the ideal time-domain current and voltage waveforms of the class-F and inverse class-F amplifiers, when they have the same fundamental output power under the same drain biases. The class-F amplifiers have half-sinusoidal current and square-wave voltage signals. On the contrary, the inverse class-F amplifiers have square-wave current and half-sinusoidal voltage signals. These ideal waveforms of the class-F and inverse class-F amplifiers can be analyzed using Fourier series

0018-9480/$20.00 © 2006 IEEE

1970

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

expansion, which gives expressions for the various parameters, such as a dc power dissipation, a fundamental RF output power, and a required fundamental load impedance to obtain a proper RF output power. The calculation is summarized in the Appendix. For the class-F amplifiers, they are presented using the variables shown in Fig. 1 as follows:

(1) (2) (3) In the same way, parameters of the inverse class-F amplifiers can be calculated as in the following equations using , , and :

(4) (5) (6) where . Using (1), (2), (4), and (5), the efficiencies of the class-F and inverse class-F amplifiers can be easily calculated as follows:

%

(7)

%

(8)

Fig. 2. Performances of the class-F and inverse class-F amplifiers for the identical fundamental RF output power condition with varying R from 0 to 2 . (a) Efficiency and fundamental load impedance. (b) DC power dissipation and fundamental RF output power.

The solution of (9) can be represented as

where and are the efficiencies of the class-F and inverse class-F amplifiers, respectively. From (7) and (8), if is zero, the efficiencies of the class-F and inverse class-F amplifiers are 100%. The efficiencies could be differentiated from each other due to the different knee voltages originated from the different peak current levels.

(10) Selecting a reasonable value of case, (10) is rewritten as

B. Performance Comparison To compare the performances of the class-F and inverse class-F amplifiers, we take the condition of the same output power at the same drain bias voltage. Since the same transistors are used in the design, other design parameters may need to be adjusted in order to satisfy the above conditions for both amplifiers. Using the condition of from (2) and (5), we can get a second-order equation of for a fixed drain bias and as follows:

(9)

from (10) and adding the

for

for (11) If (11) is substituted to the inverse class-F formula of (4)–(6) and (8), we can easily obtain the analytic forms of dc power dissipation, RF output power, load impedance, and efficiency

WOO et al.: ANALYSIS AND EXPERIMENTS FOR HIGH-EFFICIENCY CLASS-F AND INVERSE CLASS-F POWER AMPLIFIERS

1971

Fig. 3. Harmonic control circuits for: (a) class-F amplifier and (b) inverse class-F amplifier.

of the inverse class-F amplifier as functions of not , but , where the RF output power is identical with that of the class-F amplifier. The resulting equations are not presented in this paper because of complexity. The calculation is numerically performed using MATLAB. The comparison results of performances between the class-F and inverse class-F amplifiers are presented in Fig. 2. For the calculation, we assumed a supply of 5 V and of 1 A with a uniform transconductance. The efficiency of the inverse class-F amplifier is better than that of the class-F amplifier with increasing due to the higher to ratio to maintain an identical , as shown in Fig. 2(a). Fig. 2(b) shows a significantly decreasing dc power consumption of the inverse class-F amplifier for the same RF output power, as increases. From the analysis results and Fig. 1, we can expect that the inverse class-F amplifier delivers superior efficiency when the amplifiers are not limited by the breakdown voltage, but by the bias voltage, which is the normal operation condition of handset power amplifiers. III. DESIGN AND EXPERIMENTS FOR THE VERIFICATION For experimental comparison of the class-F and inverse class-F amplifiers, we have designed 1-GHz-band class-F and inverse class-F amplifiers using OKI’s 0.1-W MESFET KGF1284. The simulation of the two amplifiers is performed using Agilent’s Advanced Design System (ADS) with an in-house MESFET large-signal model [7].

Fig. 4. Simulated root-mean-square values of drain voltage and current signals in frequency domain for: (a) class-F amplifier and (b) inverse class-F amplifier.

The designed class-F and inverse class-F amplifiers have the same input matching networks and the same dc bias (class-B bias point with V and V), except operation modes (class-F versus inverse class-F). The second and third harmonic components are terminated properly in the design for circuit simplicity. In order to control the two harmonic components, we have constructed the output harmonic control networks, as illustrated in Fig. 3. Fig. 3(a) and (b) shows the harmonic control circuits for class-F and inverse class-F amplifiers, respectively. The control circuits include both arm shunt stubs for better harmonic trap and tuning lines (gray lines in Fig. 3) for compensating detuning effects of the device’s parasitic passive components. Output matching networks of the two amplifiers are designed for optimum performance with these harmonic control circuits. We have simulated the two designed amplifiers and probed

1972

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 6. Implemented: (a) class-F amplifier and (b) inverse class-F amplifier.

Fig. 5. Simulated time-domain voltage and current waveforms for: (a) class-F amplifier and (b) inverse class-F amplifier.

voltage and current signals. The results are shown in Figs. 4 and 5, which are the drain voltages and currents of the two amplifiers in the frequency and time domains, respectively. As expected, the class-F amplifier has third-order voltage peaking with third-order load impedance of 252.26 and second-order current peaking with second-order load impedance of 1.05 , while the inverse class-F amplifier has second-order voltage peaking with second-order load impedance of 419.5 and third-order current peaking with third-order load impedance of 3.00 , as shown in Fig. 4. Time-domain signals also show that the class-F amplifier has a square-like voltage signal and a current signal close to half-sine wave, while the inverse class-F amplifier has the opposite (see Fig. 5). The photographs of the implemented class-F and inverse class-F amplifiers are shown in Fig. 6. We have measured output powers and power-added efficiencies (PAEs) of the two amplifiers using a 1-GHz one-tone signal. Fig. 7 shows the simulated and measured power responses and PAEs of the class-F and inverse class-F amplifiers. As shown in Fig. 7(a), the inverse class-F amplifier has approximately 1-dB lower gain because the square-wave drain current needs more input drive than the half-sinusoidal drain current for the same output power level. The maximum PAE of the class-F amplifier is approximately 64% at an output power of 22.5 dBm. The maximum PAE of the inverse class-F amplifier is approximately 74% at the same output power level, which is 10% higher than that of the class-F amplifier. The superior PAE performance of the inverse class-F

Fig. 7. Simulated and measured performances of the class-F and inverse class-F amplifiers. (a) Output power levels. (b) PAEs.

amplifier at the same output power level supports the analysis results well. IV. CONCLUSION We have analyzed and compared the time-domain waveforms of the class-F and inverse class-F amplifiers. The analysis results show that, under the operation condition of the same drain bias, the inverse class-F amplifier has superior PAE to the class-F amplifier when on-resistance of the transistor exists. As gets larger or the drain bias voltage gets lower, the performance difference also increases. For experimental comparison, we have designed and implemented 1-GHz class-F and inverse class-F amplifiers. The implemented inverse class-F amplifier has a maximum PAE of approximately 74% at 22.7-dBm output power, which is 10% higher than that of the class-F amplifier. This result clearly validates our analysis.

WOO et al.: ANALYSIS AND EXPERIMENTS FOR HIGH-EFFICIENCY CLASS-F AND INVERSE CLASS-F POWER AMPLIFIERS

1973

are expanded using the Fourier series as follows: (A.6)

(A.7) (A.7),

can be substituted to the knee voltage . From can be written using dc supply voltage as follows:

(A.8) Fig. 8 Ideal current and voltage waveforms for: (a) class-F amplifier and (b) inverse class-F amplifier.

From the analysis and experiment for the comparison of the two amplifiers, the inverse class-F amplifier is expected to be useful to the power amplifiers for the base-stations or handsets requiring high efficiency. This study also provides a good design guide for the inverse class-F amplifier to extract higher efficiency.

Here, the current and voltage waveforms can be rearranged with each harmonic’s component. The dc and fundamental RF components of (A.6) and (A.7) are separated as the functions of peak current, dc voltage, and as (A.9) (A.10)

APPENDIX

(A.11)

A. Class-F Fig. 8 shows the ideal current and voltage waveforms of the conventional class-F amplifiers. To extract each frequency component, the current and voltage waveforms of Fig. 8 are expanded using a Fourier series as follows:

(A.1) (A.2) where and are the time-domain current and voltage waveforms including dc and RF components, respectively. can be substituted for the knee voltage (see Fig. 1). The current and voltage waveforms can then be rearranged with each harmonic’s component. The dc and fundamental RF components of (A.1) and (A.2) are separated as the functions of peak current, dc voltage, and as

REFERENCES [1] F. H. Raab, “Class-E, class-C, and class-F power amplifiers based upon a finite number of harmonics,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1462–1468, Aug. 2001. [2] A. N. Rudiakova and V. G. Krizhanovski, “Driving waveforms for class-F power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, pp. 473–476. [3] M. K. Kazimierczuk, “A new concept of class F tuned power amplifier,” in Proc. 27th Midwest Circuits Syst. Symp., 1984, pp. 425–428. [4] A. Inoue, A. Ohta, S. Goto, T. Ishikawa, and Y. Matsuda, “The efficiency of class-F and inverse class-F amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1947–1950. [5] C. J. Wei, P. DiCarlo, Y. A. Tkachenko, R. McMorrow, and D. Bartle, “Analysis and experimental waveform study on inverse class-F mode of microwave power FETs,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, pp. 525–528. [6] S. Goto, “Effect of bias condition and input harmonic termination on high efficiency inverse class-F amplifiers,” in Proc. IEEE Eur. Microw. Conf., Sep. 2001, vol. 3, pp. 113–116. [7] T. M. Roh, Y. Kim, Y. Suh, W. S. Park, and B. Kim, “A simple and accurate MESFET channel-current model including bias-dependent dispersion and thermal phenomena,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1252–1255, Aug. 1997.

(A.3) (A.4) (A.5) B. Inverse Class-F Amplifiers Fig. 8 shows the ideal current and voltage waveforms of the inverse class-F amplifiers. The current and voltage waveforms

Young Yun Woo received the B.S. degree in electrical and computer engineering from Han-Yang University, Seoul, Korea, in 2000, and is currently working toward the Ph.D. degree in electronic and electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Korea. His current research interests include RF power amplifier design, linear power amplifier (LPA) system design, and digital predistortion (DPD) techniques for linearizing high power amplifiers.

1974

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Youngoo Yang (S’99–M’02) was born in Hamyang, Korea, in 1969. He received the Ph.D. degree in electrical and electronic engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2002. From 2002 to 2005, he was with Skyworks Solutions Inc., Newbury Park, CA, where he designed power amplifiers for various cellular handsets. Since March 2005, he has been with the School of Information and Communication, Sungkyunkwan University, Suwon, Korea, where he is currently an Assistant Professor. His research interests include design of power amplifiers, RF integrated-circuit (RFIC) design, and modeling of high power amplifiers or devices.

Bumman Kim (S’77–M’78–SM’97) received the Ph.D. degree in electrical engineering from Carnegie–Mellon University, Pittsburgh, PA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power field-effect transistors (FETs) and monolithic microwave integrated circuits (MMICs). He has developed a largesignal model of a power FET, dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined the Pohang University of Science and Technology, Pohang, Korea, where he is a Professor with the Electronic and Electrical Engineering Department and Director of the Microwave Application Research Center, where he is involved in device and circuit technology for RFICs. In 2001, he was a Visiting Professor of electrical engineering with the California Institute of Technology, Pasadena. He has authored over 150 published technical papers. Dr. Kim is a member of the Korean Academy of Science and technology and Academy of Engineering of Korea. He is an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

1975

The Optimization of On-Wafer Shield-Based Test Fixture Layout Tero Kaija and Pekka Heino

Abstract—The effect of layout design on shield-based test fixture parasitic components is studied in this paper. As a result, guidelines for shield-based test fixture layout design are given. The novel test fixture layout details studied in this paper are a slotted ground plane with different slot orientation, the use of ground-bar extensions in a ground-shielded test fixture, and the upgrade of a ground-shielded test fixture to a fully shielded structure with a common ground. It was found that a slotted ground plane does not increase the ground lead impedance significantly. Thus, successful ground-shielded test fixture processing can be ensured by obeying process stress release design rules. Furthermore, the additional ground bar extensions had a negligible effect on reducing the ground-shielded test fixture ground lead impedance. However, upgrading the ground-shielded test fixture structure to fully shielded reduced the ground lead impedance. Therefore, fully shielded test fixtures are proposed for use with two-port cascade-based deembedding methods, which commonly are incapable of taking into account ground lead parasitic components. Index Terms—Microwave measurements, modeling, RF CMOS, semiconductor device measurements.

I. INTRODUCTION HE RF characterization of integrated circuits and devices is frequently based on high-frequency on-wafer measurements. A test fixture is required to make a connection between the probe tips and the terminals of the device-under-test (DUT). The test fixture causes additional parasitic components between the calibrated reference plane at the probe tips and the terminals of the DUT. These parasitic components have to be deembedded from the raw measured data in order to obtain plain DUT parameters. Several different deembedding strategies have been studied and proposed in [1]–[9]. The number of required dummy in-fixtures to solve the test fixture parasitic components varies. Basic strategy is to use open and short in-fixtures to measure the series and parallel parasitic components of the test fixture. However, more complex deembedding methods divide the test fixture lumped-element model into smaller pieces and, therefore, require additional dummy in-fixtures. Methods proposed in [1] and [2] employ a thru in-fixture, while method [3] requires “simple” short and “simple” open in-fixtures in addition to open and short in-fixtures. Furthermore, cascade-based deembedding methods that model the transition between the

T

Manuscript received November 11, 2005; revised February 4, 2006. This work was supported by the Nokia Foundation and by the TES Foundation. The authors are with the Institute of Electronics, Tampere University of Technology, FIN-33720 Tampere, Finland (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872806

-parameter probe tip and DUT terminal employing an matrix have been developed [7]–[9]. The effect of the transition is deembedded using matrix manipulations. Thus, much research has been done in order to improve the accuracy of the available deembedding procedures. However, the development of a test fixture layout has received less attention. Test fixture layout optimization is understood as trying to have a smaller number of significant parasitic components in the employed test fixture or reducing the test fixture parasitic component absolute values. One example of layout optimized test fixtures is shield-based test fixtures. Shield-based test fixtures were introduced in [10] and later expanded upon [11], [12]. Recently, the shield-based test fixture category has been completed with the fully shielded test and scribe line fixture proposed in [13] and [14], respectively. Shield-based test fixtures reduce substrate-carried coupling. The forward coupling levels in shield-based test fixtures are often low enough to be neglected in deembedding. Furthermore, shielding reduces the amount of equivalent series resistance of the test fixture parallel parasitics [13], [15]. Therefore, the parallel parasitics of the test fixture signal lead can be modeled with a plain capacitor. Consequently, employing a grounded shield plane below the test fixture simplifies the test fixture lumped-element model. The use of shield-based test fixtures is emerging in the field of RF CMOS modeling. However, several shield-based test fixture layout issues still remain unstudied, such as the effect of a slotted ground plane, the orientation of the slots, and the ground bar extensions on shield-based test fixture ground lead impedance. These issues are experimentally studied here. Furthermore, the fully shielded structure with common ground is studied in order to further optimize the ground-shielded test fixture layout. This paper is organized as follows. Section II describes the fabricated test fixture sets. The differences between test fixture structures are also explained. In addition, a brief description of the measurement setup is given. Section III studies the effect of ground-bar extension on ground-shielded open in-fixture forward coupling. Section IV studies how the test fixture type and the layout of the ground plane in shield-based test fixtures affect the test fixture ground lead impedance. A discussion of the results obtained is given in Section V. Section VI presents conclusions. II. FABRICATED TEST FIXTURE SETS One open and one short in-fixture test set was fabricated using AMS four-metal 0.35- m standard CMOS technology. The tolerance of the vertical oxide thickness is typically quite large across the wafer in standard CMOS technologies. Therefore, the

0018-9480/$20.00 © 2006 IEEE

1976

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 2. Detailed cross-sectional view of the signal lead of the fully shielded open in-fixture. The structure of the lead resembles an enclosed stripline.

Fig. 1. Fabricated open in-fixture test set. (a) Conventional unshielded open in-fixture. (b) Ground-shielded open in-fixture without (W/O) ground bar extensions. (c) Ground-shielded open in-fixture with (W/) ground-bar extensions. (d) Fully shielded open in-fixture.

open and short in-fixtures were grouped as sets in the layout of the fabricated test chip. This way, the process induced tolerances of vertical oxide thickness does not disfigure the test fixture comparison data presented in this study. The content of these in-fixture sets is described in Section II-A. A. Open in-Fixture Test Set Open in-fixture means a test fixture with an empty DUT gap. The open in-fixture test set shown in Fig. 1 includes four items, which are one conventional unshielded, two ground-shielded, and one fully shielded open in-fixture. This set is employed to study the effect of layout on test fixture forward coupling. The probe tip pitch is 150 m and the separation between probe tips is 300 m in each fabricated fixture. Moreover, the size of the DUT gap is 12 m 30 m. Every open in-fixture has all four metal layers in the ground pads (denoted as “G”). The fixed size of the ground pads is 75 m 75 m. Furthermore, the ground-bars and their extension consist of four metal layers. The signal pad (denoted as “S”) and signal traces consist of only the two top metal layers (metal 3 and metal 4), but in fully shielded open in-fixture, the signal lead consists of metal 2 and metal 3 layers. The width of the signal lead is 30 m. The layers of the signal traces are connected employing a thick via array between the layers. The signal pads are octagonal and 75 m 60 m in size. The octagonal shape is selected in order to maximize the amount of skate in probing. Unshielded test fixture is shown in Fig. 1(a). This is the conventional test fixture configuration. A ground-shielded test fixture without an extended ground-bar is shown in Fig. 1(b). The metal 1 plane is placed below the test fixture and it is connected to ground pads. Furthermore, the ground-bars have the same width as the ground pads, meaning that there are no extensions. Moreover, a ground-shielded test fixture with additional ground-bar extension was included in the test set. This fixture is shown in Fig. 1(c). When the ground-plane is excluded, the fixture in Fig. 1(c) is identical with the fixture in Fig. 1(a). Finally, the fully shielded open in-fixture is shown in Fig. 1(d). The idea

Fig. 3. Fabricated short in-fixture test set (die photograph). (a) Conventional unshielded short in-fixture. (b) Ground-shielded short in-fixture with horizontally oriented slots in the ground plane. (c) Ground-shielded short in-fixture with mostly diagonally orientated slots in the ground plane. (d) Ground-shielded short in-fixture using solid ground plane. (e) Ground-shielded short in-fixture with extended ground-bars. (f) Fully shielded short in-fixture.

of the fully shielded test fixture was introduced in [13]. A detailed cross-sectional view of the signal lead structure is shown in Fig. 2. It is emphasized that the fully shielded fixture in the current open in-fixture test set has a common ground. In the previous study, the test fixture ground plane was divided into two parts, which were connected to the same ground potential using the probe ground [13]. B. Short In-Fixture Test Set The fabricated short in-fixture test set is shown in Fig. 3. The content of the short in-fixture test set is described in four steps. First, a conventional short in-fixture is shown in Fig. 3(a). The DUT gap is filled with metal 3 and metal 4 layers. Thus, the signal leads are short circuited to the ground bar extensions. Second, there are three ground-shielded short in-fixtures shown

KAIJA AND HEINO: OPTIMIZATION OF ON-WAFER SHIELD-BASED TEST FIXTURE LAYOUT

in Fig. 3(b)–(d), which are identical to each other, except that the ground-plane patterning is different. This set was fabricated in order to study the effect of slots and slot orientation on the ground lead impedance of the shield-based test fixtures. The short in-fixture shown in Fig. 3(d) is the reference since it has a solid ground-shield plane and solid ground-bars. Short circuiting of the signal lead to ground is carried out by placing a dense via matrix between the signal lead and ground plane at the boundary of the DUT gap, as indicated with arrows in Fig. 3(b). Third, a ground-shielded short in-fixture with ground-bar extensions is included in the test set, as shown in Fig. 3(e). Its ground-plane pattern is the same as that in the short in-fixture shown in Fig. 3(b). The signal lead is shorted to the ground by connecting the tip of the lead to ground plane and to ground-bar extensions. The effect of ground-bar extension on shield-based test fixture ground lead impedance is studied using this fixture. Fourth, a fully shielded short in-fixture is included in the test set, as shown in Fig. 3(f). Previously, no data has been available on fully shielded test fixture ground lead impedance. It is emphasized that every short in-fixture of the current test set has an identical pad arrangement. The signal leg is the same in every fixture, except in fully shielded fixture, as described in Section II-A. C. Measurement Setup On-wafer measurements were carried out using the HP8510C vector network analyzer. The measurement reference plane was calibrated to the tips of the probes using the line-reflect-reflect-match (LRRM) calibration method. Impedance standard substrate (ISS) calibration standards were employed. The calibrated frequency range of the measurement in this study is 45 MHz–25 GHz. III. EFFECT OF LAYOUT ON SHIELD-BASED TEST FIXTURE FORWARD COUPLING The ground-bar extensions are employed to supply ground potential to the DUT gap area. Typically, the layout of ground-bar extensions is symmetrical in unshielded conventional test fixtures, as shown in Fig. 1(a). Moreover, the ground-bar extensions are commonly called the test fixture dangling legs. The ground-bar extensions are mandatory in unshielded test fixtures, but not in ground-shielded test fixtures. This is because the ground-shield plane can be used to deliver ground potential to the DUT gap area. Thus, the ground plane can be denoted as the ground-shielded test fixture ground lead or the dangling leg. One reason for using ground-bar extensions in a ground-shielded test fixture could be to further strengthen the ground reference of the shield-based test fixture and further reduce the ground lead impedance. The forward coupling of a conventional unshielded open in-fixture on an insulating sapphire substrate was found partly to be due to a coupling path between the end of the signal lead and ground-bar extension [16]. However, it has not been previously studied whether ground-bar extensions have an effect on the ground-shielded test fixture forward coupling. Forward coupling of a ground-shielded open in-fixture with and without ground-bar extension was measured and the data is shown in Fig. 4. Furthermore, the measured forward coupling of an

1977

Fig. 4. Measured forward coupling (insertion loss) of the ground-shielded open in-fixture with or without ground-bar extension. Data from unshielded conventional test fixture is also included as a reference.

unshielded and fully shielded open in-fixture is included as a reference. The data in Fig. 4 indicates that the ground-bar extensions actually increase the ground-shielded test fixture forward coupling. After 4 GHz, the difference between ground-shielded open in-fixtures starts to grow, resulting in a maximum of 3-dB higher forward coupling for ground-shielded open in-fixture with ground-bar extensions compared to equal open in-fixture without the extensions. This result also supports the conclusion reached in [16] that ground-bar extensions compromise the test fixture isolation. The unshielded open in-fixture has the highest forward coupling, as expected. There is no shield against the substrate-carried coupling. Conversely, the fully shielded open in-fixture is found to have the lowest forward coupling. Unexpectedly, at the end of the measured frequency range, the difference to the ground-shielded fixture without the extensions is negligible. This behavior is slightly in contradiction with the results presented in [13]. However, there are two structural differences between the current fully shielded test fixture and the fully shielded fixture proposed in [13]. First, the width of the DUT gap is only 12 m in the current fixture, compared to 40 m in [13]. Second, the fully shielded ground plane is not split in two parts in the current fully shielded fixture. The decreased DUT gapwidth increases the forward coupling of the open in-fixture. However, the difference in forward coupling between ground-shielded and fully shielded test fixtures was found to be significant up to 20 GHz in [13]. Therefore, the decreased DUT gap size in the current fully shielded test fixture is not enough to explain why the forward coupling of the current fully shielded open in-fixture is at the same level as the ground-shielded test fixtures after 17 GHz. The reason is assumed to be inductive coupling. Coupling of traces in close proximity to gaps in return planes was studied in [17]. In our case, the traces in close proximity are the tips of the signal leads. It was found that the gap in the return plane increased the inductive coupling. However, if the gap was widened to split the ground plane, the inductive coupling decreased significantly [17]. The fully shielded open in-fixture in [13] had a

1978

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

split ground plane, which resulted in low forward coupling due to small inductive and capacitive coupling. The current fully shielded open in-fixture has a common ground plane with the DUT gap in the middle of the plane. This structure is assumed to cause higher inductive coupling compared to fixture with a split ground plane. Therefore, the isolation in the current fully shielded fixture is reduced at high frequencies. To sum up, the isolation between signal ports is decreased if ground-bar extensions are employed in a ground-shielded test fixture. A maximum 3-dB difference was found between demonstrated ground-shielded fixtures. Furthermore, the fully shielded test fixture structure with a common ground reduces forward coupling at low frequencies. It remains in the future to study if increasing the vertical size of the DUT gap (without splitting the ground plane of the fully shielded test fixture) affects the forward coupling. IV. EFFECT OF LAYOUT ON SHIELD-BASED TEST FIXTURE GROUND PLANE A. Ground Plane Layout Issues Typically the test fixture ground-shield plane is made of the process bottom metal layer. Moreover, the ground-shield plane is a large piece of solid metal. The CMOS process stress release design rules usually require chopping slots in large solid metal areas. Consequently, the maximum area of solid metal is usually limited to a fixed value, which is typically smaller than the size of the ground plane of the ground-shielded test fixture. The effect of the slots and their orientation on the ground-shielded test fixture properties has not been previously studied. Therefore, the test set includes short in-fixtures with slots in the ground plane, as shown in Fig. 3(b) and (c). The width of a single slot is fixed to 3 m, but the length varies. However, most of the slots are 30 m in length. The reference short in-fixture is shown in Fig. 3(d). It does not have a single slot. It was already mentioned in Section III that ground-bar extensions could further improve the ground reference plane. The reason why ground-bar extensions would be needed to strengthen the ground reference of the shield plane could be to compensate the effect of chopped slots. The slots in the ground plane force the ground return current to flow around the slots, which causes reactance in the ground return path. In the case where the slots have a significant effect on the ground lead impedance, the ground-bar extension could be a solution that compensates the effect of slots. However, before the current study, there was no data available, which indicated that using ground-bar extensions would further decrease the ground lead impedance or that slots in the ground plane would increase the impedance of the ground lead. These issues are studied below. B. Dangling Leg Impedance The dangling leg impedance of the test fixture is the same thing as the ground lead impedance, and it represents the impedance seen by the ground return current. Layout design can affect the test fixture dangling leg impedance. For example, the layout of the test fixtures in [1] is designed in “digital design” style. The metal traces are narrow. The connection between opposite ground pads and the connection from the

Fig. 5. Measured ground lead reactance (trend lines). Experimental unshielded test fixture data is included to demonstrate trend line fitting.

ground bar to DUT gap area are especially realized with extremely narrow metal traces. The tip of the dangling lead should provide strong ground potential since, for example, the conventional two-port cascade-based deembedding method cannot take into account the dangling leg parasitic components, as discussed in [7]. However, it is obvious that a long and narrow dangling lead has a considerable series resistance. Furthermore, a connection to this long and narrow ground lead establishes a current loop, causing excessive inductance. Another example of a nonoptimized conventional unshielded test fixture layout is described in [7]. That paper presents a conventional two-port test fixture with a asymmetrical dangling leg. However, in a ground–signal–ground (GSG) probe configuration, the dangling leg should be placed on both sides of the DUT gap. In this way, the impedance of the dangling ground leg is halved since two dangling legs are connected in parallel due to double ground fingers at the probe tips. Thus, symmetrical test fixture layouts are preferred. The dangling leg impedance is studied in two parts here: in the first part, the effect of the test fixture type on the dangling leg reactance is studied. The second part studies the effect of ground plane slots and ground-bar extensions on the dangling leg reactance. Moreover, the resistive parts are included in both parts. Inductance is a global quantity and measuring it requires a current loop. Short in-fixture provides a current loop, which enables signal and ground lead inductance extraction from measured -parameters. However, the -parameters have to be converted to -parameters. The extracted imaginary part then represents the ground lead reactance. The ground lead reactance of the unshielded short in-fixture, ground-shielded short in-fixture with ground-bar extensions, and ground-shielded short in-fixture without ground-bar extension is shown in Fig. 5. Trend lines are used to clarify the figure since the measured data for ground-shielded fixtures is nearly overlapping. The trend lines are best fit first degree polynomials for the measured data. An example of measured data for an unshielded test fixture (asterisks) and corresponding trend line (dashed–dotted line) is shown in Fig. 5. As expected, the conventional test fixture dangling leg has the greatest reactance, as shown in Fig. 5. The

KAIJA AND HEINO: OPTIMIZATION OF ON-WAFER SHIELD-BASED TEST FIXTURE LAYOUT

1979

Fig. 6. Comparison between measured ground lead reactance between groundshielded and fully shielded test fixtures. All curves are trend lines.

Fig. 7. Comparison of measured ground lead resistance between fabricated short in-fixtures. All curves are trend lines.

ground-shielded test fixtures with and without extensions were shown in Fig. 3(e) and (b), respectively. The experimental data shows that the ground-bar extension does not have a significant effect on the ground lead reactance of the ground-shielded test fixture. The second part studies the effect of ground plane slots on the dangling leg reactance. The short in-fixtures shown in Fig. 3(b)–(d) were included in the test set for this purpose. The measured data of the ground-shielded test fixture with the ground-bar extensions is included again since the scale of the -axis in Fig. 5 was quite coarse due to the large reactance of the unshielded test fixture dangling leg. In addition, the measured data of fully shielded ground lead reactance is included. The results are presented using trend lines fitted on measured data, as shown in Fig. 6. There are three ground-shielded test fixtures separated by legend indices (1)–(3), which represent the short in-fixtures shown in Fig. 3(b)–(d), respectively. The slot orientation in the ground planes of the fabricated short in-fixtures in Fig. 3(b) and (c) is selected in order to change the flow of the ground return current. In cases where these slots have a significant effect on the ground return current flow, it is observed in the dangling leg reactance. However, the measured dangling leg reactances in Fig. 6 indicate that slots do not have a significant effect on the properties of the ground-shielded test fixture ground plane in the measured frequency range. The experimental data for a ground-shielded test fixture with ground-bar extension was again included in Fig. 6 in order to provide a closer look at the differences between ground-shielded fixtures. The scale of the -axis is now smaller than in Fig. 5. The ground-shielded fixture with ground-bar extensions (circles) has the lowest ground lead reactance among the measured ground-shielded test fixtures, as seen in Fig. 6. However, the differences between ground-shielded fixtures are practically negligible. It is emphasized that the minimum number of slots was used to satisfy the process design rules. Furthermore, slots were not placed below the signal pad or signal lead. This is because the signal lead of the ground-shielded test fixture resembles a microstrip structure. Generally, it is not recommended to chop slots

to the ground plane below the strip in a microstrip structure where most of the ground return current flows. However, there is an example of ground-shielded test fixtures with a very dense slot matrix in the ground plane and under the signal lead in [18]. The authors have not studied the effect of slots on the dangling leg impedance. The test fixture ground plane in [18] resembles a woven net and, therefore, the dangling leg reactance could be higher. Incidentally, the measured data in [18] involves some serious reliability aspects since the measured inductance of the simple short in-fixture (nearly ideal short circuit) is strongly frequency dependent and has a value of 110 pH at 1 GHz, as shown in [18, Fig. 18]. As will be shown in Section IV-C, our experimental data of a measured ground-shielded short in-fixture indicates only a minor frequency dependency of the signal lead inductance over the measured frequency range and that the inductance absolute value is considerably lower than presented in [18]. Measured ground lead reactance of fully shielded test fixture was included in Fig. 6. The fully shielded test fixture has smaller ground lead reactance compared to ground-shielded test fixtures. The angle of slope of the measured reactance curve of the fully shielded fixture is smaller than the corresponding angle of slopes of the ground-shielded test fixtures. The ground lead reactance is reduced since the ground return current has a return path in the shell that surrounds the signal lead. In the microstrip structure, the main ground return path is only below the strip. Finally, the real part of the measured dangling leg impedance is shown in Fig. 7. The ground lead resistance has small differences between demonstrated fixtures. Thus, the reactive part dominates the ground lead impedance. Moreover, small data fluctuation in the beginning of the measured frequency range causes trend lines to begin from negative values. C. Signal Lead Impedance The effect of the test fixture layout on signal lead impedance is studied. Signal lead is the interconnection between probe tip and DUT gap boundary. It is emphasized that the geometry of the signal lead is equal in every test fixture. The only difference in the signal leads of the measured test fixtures is that the signal

1980

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 8. Measured signal lead reactance. Signal lead series inductance can be calculated from the measured reactance.

lead of the fully shielded test fixture consists of metal 2 and metal 3 layers, while the unshielded and ground-shielded test fixtures use metal 3 and metal 4 (the two topmost metal layers). The reactance of the signal lead is extracted by converting the measured -parameters to -parameters and then taking ( – ). The signal lead reactance is calculated for an unshielded fixture, a ground-shielded fixture with and without ground-bar extensions [the fixtures shown in Fig. 3(b) and (e)], and a fully shielded fixture. The results are shown in Fig. 8. The unshielded test fixture has the highest signal lead reactance and, thus, the highest signal lead inductance. An inductance value of 58.6 pH can be extracted from measured reactance. The ground-shielded fixtures have significantly reduced signal lead reactance compared to the unshielded test fixture. The extracted inductance value for ground-shielded test fixtures is 6.4 pH. The difference between the measured ground-shielded test fixtures is negligible. This result was expected since the ground-bar extensions should not have any effect on the signal lead inductance. Finally, the slope of the measured fully shielded fixture reactance curve is nearly flat. This means that the series inductance of the fully shielded fixture is really small. The extracted inductance value is 1.3 pH. This is due to the enclosed stripline structure of the fully shielded test fixture signal lead compared to the microstrip structure of the ground-shielded fixtures. The signal lead capacitance per unit length has a higher value compared to the ground-shielded test fixture. This reduces the characteristic impedance of the signal lead structure. Furthermore, the increased capacitance per unit length reduces the value of inductance per unit length of a transmission line [19] (1) where is the capacitance per unit length, is the phase velocity, and is the inductance per unit length. The phase velocity in the homogenous media of the stripline can be defined [19] as follows: (2) Thus, the signal lead inductance of the fully shielded test fixture is reduced compared to ground-shielded and unshielded test

Fig. 9. Measured signal lead resistance. The signal lead resistance increases at higher frequencies.

fixtures. It is emphasized that the increased parallel parasitic capacitance of the shield-based test fixtures does not affect the test fixture performance in deembedding. This capacitance is linear and has a high -value. Therefore, it can be deembedded employing conventional deembedding methods. The measured signal lead resistance of unshielded, groundshielded with/without ground-bar extension, and fully shielded is shown in Fig. 9. All shield-based fixtures have similar signal lead resistances. The signal lead resistance of the fully shielded test fixture seems to have slightly smaller resistance value at high frequencies than ground-shielded fixtures. This is because in an enclosed stripline structure, the signal current flows on both sides of the signal lead, while in a microstrip structure, the current flows mainly at the bottom surface of the strip. The unshielded test fixture has the smallest signal lead resistance. The signal lead can be considered as a single conductor without other conductors or ground plane nearby, at least not in the same scale as in the shield-based fixtures. At high frequencies, the current is forced to flow at the surface of the signal lead due to skin effect. However, the effective path of current at high frequencies surrounds the signal lead without concentrating on a certain surface, as shown in [20, Fig. 10]. Therefore, the high-frequency resistance (denoted often as the ac resistance) is assumed to be smaller than in shield-based fixtures.

V. DISCUSSION Four previously unstudied shield-based test fixture layout issues have now been experimentally studied, which are: 1) the effect of ground-bar extensions on ground-shielded test fixture forward coupling; 2) the effect of ground-bar extensions; 3) the effect of ground-plane slots and their orientation on ground-shielded test fixture ground lead impedance; and 4) the dangling leg impedance of the fully shielded test fixture. The experimental results showed that ground-bar extensions in a shield-based test fixture do not decrease the dangling leg impedance. Furthermore, the ground-bar extensions actually increased the forward coupling of the shield-based open in-fixture. Thus, based on experimental data, it is pro-

KAIJA AND HEINO: OPTIMIZATION OF ON-WAFER SHIELD-BASED TEST FIXTURE LAYOUT

posed that ground-bar extension should not be employed in ground-shielded test fixtures. Following the process design rules requires chopping slots in the ground plane in order to reduce the area of solid metal. Designing the ground plane using the minimum number of slots fulfilling the design rules did not have a significant effect on the ground lead impedance, as validated by the experimental data. Therefore, it is suggested that a minimum number of slots be chopped in shield-based test fixture ground plane in order to fulfill the process design rule requirements in the case where the employed process technology sets maximum solid metal area constraints. This improves the reliability of processing. However, it is not suggested that slots be chopped below the signal leads and signal pads. Thus, the width of the signal lead may have to be changed due to process-technology-dependent design rules. The ground-shielded test fixture layout can be upgraded to fully shielded test fixture layout. The fully shielded fixture has lowest forward coupling and the dangling leg impedance is clearly reduced compared to a shield-based or unshielded test fixture. The two-port chain matrix deembedding method was incapable of taking into account the test fixture dangling leg parasitic components, as emphasized in [7]. Therefore, the fully shielded test fixture is the most suitable test fixture type for the conventional two-port chain matrix deembedding method since it effectively reduces the dangling leg parasitic components. The achieved results are significant in relative terms. However, the differences between test fixtures in absolute values are smaller. It is emphasized that small parasitic components also have an effect on measured data. Therefore, it is an advantage if the test fixture could be as “ideal” as possible. For example, it was validated that the fully shielded test fixture has lower ground lead impedance than ground-shielded test fixtures. Therefore, the ground lead impedance could be assumed to be negligible in a fully shielded test fixture (the ground plane is then assumed to be ideal and, therefore, the ground reference is already established at the DUT boundary). “Standard” deembedding methods, such as an open/short method, can then be used to deembed the signal lead series and parallel parasitic components. These optimized test fixtures reduce the need to use complex deembedding methods (e.g., three-step deembedding method [1] or four-step deembedding method [3]) with additional deembedding standards. This is a clear benefit since additional deembedding fixtures increase the possibility of random errors (e.g., contact resistance variation) and die are utilization. VI. CONCLUSION The results obtained in this study have provided guidelines on how to design the ground-shielded test fixture in order to take into account the process design rules. Furthermore, the results have given novel information about the effect of slots and ground-bar extension on the ground-shielded test fixture parasitic components. To further optimize the layout of the groundshielded test fixture, it can be upgraded to fully shielded structure. This reduces the test fixture dangling leg impedance, as validated by the experimental data.

1981

ACKNOWLEDGMENT The authors would like to thank H. Hakojärvi and M. Kantanen, both with VTT Information Technology, Espoo, Finland, for carrying out the on-wafer measurements. REFERENCES [1] E. P. Vandamme, D. M. M.-P. Schreurs, and G. Van Dinther, “Improved three-step de-embedding method to accurately account for the influence of pad parasitics in silicon on-wafer RF test-structures,” IEEE Trans. Electron Devices, vol. 48, no. 4, pp. 737–742, Apr. 2001. [2] H. Cho and D. E. Burk, “A three-step method for the de-embedding of high-frequency S -parameter measurements,” IEEE Trans. Electron Devices, vol. 38, no. 6, pp. 1371–1375, Jun. 1991. [3] T. E. Kolding, “A four-step method for de-embedding gigahertz on-wafer CMOS measurements,” IEEE Trans. Electron Devices, vol. 47, no. 4, pp. 734–740, Apr. 2000. [4] T. E. Kolding, “On-wafer calibration techniques for gigahertz CMOS measurements,” in Proc. IEEE Int. Microelectron. Test Struct. Conf., Mar. 1999, pp. 105–110. [5] M. C. A. M. Koolen, J. A. M. Geelen, and M. P. J. G. Versleijen, “An improved de-embedding technique for on-wafer high-frequency characterization,” in Proc. IEEE Bipolar Circuits Technol. Meeting, Sep. 1991, pp. 188–191. [6] L. F. Tiemeijer and R. J. Havens, “A calibrated lumped-element de-embedding technique for on-wafer RF characterization of high-quality inductors and high-speed transistors,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 822–829, Mar. 2003. [7] M.-H. Cho, G.-W. Huang, L.-K. Wu, C.-S. Chiu, Y.-H. Wang, K.-M. Chen, H.-C. Tseng, and T.-L. Hsu, “A shield-based three-port de-embedding method for microwave on-wafer characterization of deep-submicrometer silicon MOSFETs,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2926–2934, Sep. 2005. [8] C.-H. Chen and M. J. Deen, “A general procedure for high-frequency noise parameter de-embedding of MOSFET’s by taking the capacitive effects of metal interconnections into account,” in Proc. IEEE Int. Microelectron. Test Struct. Conf., Mar. 2001, pp. 109–114. [9] C.-H. Chen and M. J. Deen, “A general noise and S -parameter de-embedding procedure for on-wafer high-frequency noise measurements of MOSFET’s,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 1004–1005, May 2001. [10] T. E. Kolding, “On-wafer measuring techniques for characterizing RF CMOS devices,” Ph.D. dissertation, RISC Group, Aalborg Univ., Aalborg, Denmark, 1999. [11] T. E. Kolding, O. K. Jensen, and T. Larsen, “Ground-shielded measuring technique for accurate on-wafer characterization of RF CMOS devices,” in Proc. IEEE Int. Microelectron. Test Struct. Conf., Mar. 2000, pp. 106–111. [12] T. E. Kolding, “Shield-based microwave on-wafer device measurements,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1039–1044, Jun. 2001. [13] T. Kaija and E. O. Ristolainen, “A novel test fixture with enhanced signal port isolation capability for on-wafer microwave measurements,” in Proc. IEEE Int. Microelectron. Test Struct. Conf., Apr. 2005, pp. 177–181. [14] A. Perrotin and D. Gloria, “RF monitoring test structures for advanced RF technologies working up to 100 GHz with less than 80 m width,” in Proc. IEEE Int. Microelectron. Test Struct. Conf., Apr. 2005, pp. 201–206. [15] T. E. Kolding, “Simple noise deembedding technique for on-wafer shield-based test fixtures,” IEEE Microw. Theory Tech., vol. 51, no. 1, pp. 11–15, Jan. 2003. [16] T. Kaija and E. O. Ristolainen, “A comparison of shielded and conventional on-wafer test fixture forward coupling on silicon-on-insulator (SOI) substrate,” Microw. Opt. Technol. Lett., vol. 45, no. 1, pp. 70–75, Apr. 2005. [17] T. M. Zeeff, T. H. Hubing, and T. P. Van Doren, “Traces in proximity to gaps in return planes,” IEEE Trans. Electromagn. Compat., vol. 47, no. 2, pp. 388–392, May 2005. [18] J. A. O’Sullivan, K. G. McCarthy, A. C. Murphy, and P. J. Murphy, “Verification of layout efficient shield-based de-embedding techniques for on-wafer HBT characterization up to 30 GHz,” in Proc. IEEE Int. Microelectron. Test Struct. Conf., Apr. 2005, pp. 119–124. [19] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, pp. 154–155.

1982

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

[20] R. Faraji-Dana and Y. Chow, “Edge condition of the field and a.c. resistance of a rectangular strip conductor,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 137, no. 2, pp. 133–140, Apr. 1990. Tero Kaija was born in Turku, Finland, in 1977. He received the M.Sc. degree in electrical engineering from the Tampere University of Technology (TUT), Tampere, Finland, in 2002, and is currently working toward the Ph.D. degree at the Institute of Electronic, TUT. He is a graduate student with The Graduate School in Electronics, Telecommunications and Automation (GETA), TUT. His research interests include high-frequency on-wafer measurements on bulk silicon and silicon-on-insulator (SOI) wafers and RF CMOS device modeling.

Pekka Heino received the M.Sc. degree from Tampere University of Technology, Tampere, Finland, in 1994, and the Dr.Tech. degree in computational engineering from the Helsinki University of Technology, Helsinki, Finland, in 1998. His research interests include microelectronics packaging, macroscale and microscale modeling of thermomechanical reliability, and thermal conduction in the microscale in common microelectronics materials and their interfaces.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

1983

Substrate Integrated Waveguides Optimized for Ultrahigh-Speed Digital Interconnects Jamesina J. Simpson, Student Member, IEEE, Allen Taflove, Fellow, IEEE, Jason A. Mix, and Howard Heck, Member, IEEE

Abstract—This paper reports an experimental and computational study of substrate integrated waveguides (SIWs) optimized for use as ultrahigh-speed bandpass waveguiding digital interconnects. The novelty of this study resides in our successful design, fabrication, and testing of low-loss SIWs that achieve 100% relative bandwidths via optimal excitation of the dominant TE10 mode and avoidance of the excitation of the TE20 mode. Furthermore, our optimal structures maintain their 100% relative bandwidth while transmitting around 45 and 90 bends, and achieve measured crosstalk of better than 30 dB over the entire passband. We consider SIWs operating at center frequencies of 50 GHz, accommodating in principle data rates of greater than 50 Gb/s. These SIWs are 35% narrower in the transverse direction and provide a 20% larger relative bandwidth than our previously reported electromagnetic bandgap waveguiding digital interconnects. Since existing circuit-board technology permits dimensional reductions of the SIWs by yet another factor of 4 : 1 relative to the ones discussed here, bandpass operation at center frequencies approaching 200 GHz with data rates of 200 Gb/s are feasible. These data rates meet or exceed those expected eventually for proposed silicon photonic technologies. Index Terms—Finite-difference time-domain (FDTD) methods, multiprocessor interconnection, waveguide bends, waveguides.

I. INTRODUCTION INCE THE advent of digital computers in the 1940s, baseband metallic stripline circuit-board interconnects have been employed for transmitting data between processors. Until recently, such interconnects provided adequate bandwidths even while data rates increased according to Moore’s Law [1]. However, as clock speeds continue to rise in the microwave frequency range above 3 GHz, problems with signal integrity, cross-coupling, and radiation that are intrinsic to stripline interconnects are becoming increasingly difficult to overcome. This has led to significant research in silicon photonics [2], which would replace the metallic striplines with optical fibers. However, realization of the complete suite of required opto-electronic technologies will involve a complex set of tasks to implement a fundamental paradigm shift in chip and interconnect design. This will necessarily involve years of study.

S

Manuscript received October 31, 2005; revised February 8, 2006. This work was supported by the Intel Corporation and by the National Computational Science Alliance under Grant ECS050007N. J. J. Simpson and A. Taflove are with the Department of Electrical Engineering and Computer Science, Northwestern University, Evanston, IL 60208 USA (e-mail: [email protected]). J. A. Mix and H. Heck are with the Platform Technologies Laboratory, Intel Corporation, Hillsboro, OR 97124 USA. Digital Object Identifier 10.1109/TMTT.2006.873622

This paper reports our recent computational and experimental studies which advance an attractive alternate possibility that relies upon evolutionary, rather than revolutionary, developments in interconnect technology. Specifically, we report the successful design, construction, and testing of ultrahigh-speed low-loss low-crosstalk bandpass waveguiding interconnects implemented in conventional circuit boards. While our measurements have been limited, to date, to bandwidths suitable for 50-Gb/s data transmission rates, it is clear that these interconnects can be scaled to permit operation at data rates of 200 Gb/s with no improvements in circuit-board manufacturing techniques. These data rates meet or exceed those expected eventually for proposed silicon photonic technologies. The optimized bandpass waveguiding interconnects discussed in this paper are based upon the substrate integrated waveguide (SIW) configuration [3]–[9]. Here, a waveguide mode is bounded vertically by two copper planes of a double-sided circuit board, and is bounded transversely by single rows of cylindrical copper pins (vias) electrically bonded to the opposing ground planes. Input and output coupling is achieved using coaxial lines terminated with short vertical probes extending completely across the gap between the upper and lower ground planes. Each probe is electrically isolated from the ground planes by etching oversized holes through the ground planes at the probe locations. Previously, we reported computational and experimental results for electromagnetic bandgap (EBG) waveguides [10], [11] operating at 10 GHz [12] and 50 GHz [13], [14]. Those structures were similar to SIWs with the exception that each waveguide was bounded in the plane of propagation by double rows of vias. Here, we build upon our EBG waveguide work to show how SIWs can be optimally designed to realize easily constructed compact waveguiding structures suitable for use as ultrahigh-speed waveguiding digital interconnects. Specifically, we show that it is possible to achieve SIWs optimized for narrow transverse dimensions, low loss, low crosstalk, and maximum bandwidth with no multimoding. The novelty of this study resides in our successful design, fabrication, and testing of low-loss SIWs that achieve 100% relative bandwidths via mode and avoidance optimal excitation of the dominant of the excitation of the mode. Furthermore, our optimal structures maintain their 100% relative bandwidth while transmitting around 45 and 90 bends, and achieve measured crosstalk of better than 30 dB over the entire passband. Our results indicate that these optimized SIWs should have excellent utility when implemented in modern digital circuit boards having typically dense layouts.

0018-9480/$20.00 © 2006 IEEE

1984

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 1. Host interface bandwidth demand trend for Intel Corporation microprocessors. Peak bandwidth demand has grown at a rate of 1.66 every two years since 1978.

2

The remainder of this paper is organized as follows. Section II provides background information relevant to the current study. Section III presents our computational and experimental study of a single straight EBG waveguide embedded in a standard double-sided circuit board comprised of FR4 dielectric and operating at a center frequency of 50 GHz. This study forms the basis of our SIW investigations subsequently reported, and is included for purposes of comparison with these results and to demonstrate the capabilities and accuracy of our finite-difference time-domain (FDTD) [15] models. Section IV presents our new computational and experimental results for an optimized single straight SIW implemented in a double-sided circuit board comprised of Rogers RT/Duriod 5880 dielectric and operating at a 50-GHz center frequency. This section also illustrates FDTD modeling results for an SIW structure scaled to a center frequency of 130 GHz. Section V then presents our new computational and experimental results for crosstalk between adjacent SIWs, and Section VI reports FDTD modeling results for optimized 45 and 90 SIW bends that are capable of maintaining the ultrawide relative bandwidth of 100%. Finally, Section VII concludes the paper and presents details of our ongoing research in this area. II. BACKGROUND AND SUMMARY OF OUR PREVIOUS RESEARCH Since the birth of the personal computer, Moore’s Law [1] has had great success in predicting the performance growth of microprocessors and PC systems. Using such metrics as processor frequency and millions-of-instruction per second (MIPs), the trends show exponential growth, with a doubling in performance roughly every 18–24 months [16]. Fig. 1 represents the assessment by Intel Corporation, Santa Clara, CA, of how such exponential performance growth also applies to rates of inter-chip data transmission. Contemporary host interface designs employ an 8-B-wide data bus operating at 1.066 Gb/s with a bi-directional single-ended signal to provide a peak bandwidth of 8.5 GB/s. Extrapolating the trend in this figure, we predict a bandwidth demand of more than 100 GB/s within ten years, which requires increasing the width of the interface, increasing the per signal data rate, or a combination of the two. In the cost-driven environment of the PC market place,

typical desktop PCs use low cost four-layer FR4-based motherboards. Increasing the width of the host interface drives higher cost by requiring additional layers in the motherboard, making wider interfaces unattractive. Addressing the demand via scaling to higher data rates presents difficulties as well. Multigigabit/s signaling solutions typically employ differential unidirectional signaling, which limits the data bus width to 2 B in order to fit within a four-layer motherboard. Under these conditions, the data rate demand becomes 50 Gb/s per differential pair, a requirement that exceeds the expected capability of printed circuit board (PCB)-based transmission line signaling by a factor of 2 [17]. Anticipating this difficulty in meeting the projected bandwidth demand of future microprocessors, we have conducted an investigation of alternative waveguiding structures that have the promise of mitigating or even avoiding the problems associated with conventional metallic interconnects, and yet can be implemented using standard circuit board fabrication techniques. Specifically, as reported in [12], our initial proof-of-concept research implemented EBG waveguides in standard PCBs. This resulted in a measured passband extending from 6 to 14.1 GHz with an insertion loss over the passband ranging from 3.6 to 7.3 dB. Success in this initial proof-of-concept led us to investigate the scalability of the EBG structure to a 50-GHz center frequency, as initially reported in [13] and [14]. Our motivation here was twofold. First, the dimensions of EBG structures scale inversely with frequency, reducing the transverse width of the EBG waveguide structure. Second, the higher center frequency is required in order to achieve higher data transmission rates. Section III expands upon the research reported in [13] and [14] by implementing a 14-pole Debye model for the FR4 dielectric dispersion characteristic at a 50-GHz center frequency. III. SINGLE STRAIGHT EBG INTERCONNECT WITH 80% RELATIVE BANDWIDTH Our computational and experimental study of a single straight EBG waveguide embedded in a standard double-sided circuit board comprised of an FR4 dielectric and operating at a center frequency of 50 GHz is presented here. This study forms the basis of our SIW investigations to be subsequently presented, and is included for purposes of comparison with these results and to demonstrate the capabilities and accuracy of our FDTD models. We first show results for an EBG waveguide analogous to those described in [12]–[14]. As in [12]–[14], the EBG waveguide is formed by removing two rows of a square lattice of pins having initially six rows. We also use the same pin radius to center-to-center spacing ratio and pin radius to waveguide width ratio . In the current study, however, the EBG structure is scaled to a center frequency of 50 GHz and uses FR4 as the dielectric material ( and at 50 GHz). For our FDTD simulations, we use a high-resolution three-dimensional (3-D) grid having a space-cell size of 4.4 4.4 7 m and a stable time step near the Courant limit. A 14-pole Debye model is implemented to accurately simulate

SIMPSON et al.: SIWs OPTIMIZED FOR ULTRAHIGH-SPEED DIGITAL INTERCONNECTS

Fig. 2. 8.6-cm-long EBG interconnect scaled to a center frequency of 50 GHz. This test structure is comprised of an FR4 dielectric material (" = 3:9 and tan  = 0:017) and has r = 0:93 mm, a = 0:17 mm, w = 2:8 mm, and h = 0:76 mm.

1985

transition introduces an input/output coupling loss of only approximately 0.6 dB. Combined with the flatness of the characteristic in the passband, it is apparent that the simple probes used to excite and receive the waveguide mode provide excellent broadband matching. Since the remaining loss is caused by propagation attenuation in the FR4 dielectric, it is clear that migration of this technology to the millimeter-wave regime will require the use of dielectric materials having low loss up to several hundred gigahertz. Candidate materials for this application include aerogels [19]. For intermediate frequencies, alternative materials such as Rogers RT/Duriod 5880 dielectric may be used, as shown below. IV. SINGLE STRAIGHT SIW INTERCONNECT WITH 100% RELATIVE BANDWIDTH

Fig. 3. Comparison between the measured and FDTD-calculated S teristics over the 8.6-cm-long EBG interconnect of Fig. 2.

charac-

the dispersive characteristics of the FR4 dielectric. In addition, a frequency-dependent skin-effect model is implemented for all copper surfaces [18]. The waveguide spans approximately 8.6 cm between the input and output probes, has height mm between the upper and lower ground planes, and distance mm (center-to-center) between the rows of vias immediately bounding the waveguide. Each via has a radius mm and is separated from its neighboring via with a center-to-center spacing mm. Fig. 2 presents a photograph of the corresponding test structure used in the measurements. Fig. 3 compares the measured and FDTD modeling results for the insertion loss of the 8.6-cm-long structure of Fig. 2. A standard Agilent microwave network analyzer (with capabilities to 67 GHz) having 50- nominal source and load impedances was used in these measurements. From Fig. 3, we first note that there exists a sharp transition from a deep stopband (below 80 dB) to a passband at approximately 30 GHz. This passband extends to approximately 70 GHz, above which the EBG structure can no longer effectively confine the propagating signal (yielding an upper stopband limit) [12]. This EBG waveguide, therefore, provides an approximately 80% fractional bandwidth. From Fig. 3, we also note very good agreement between the measured and FDTD-calculated characteristics over a wide dynamic range. This agreement extends all the way to the upper stopband limit of the EBG structure despite the complicated dispersive properties of the FR4 dielectric. At midband (50 GHz), for the 8.6-cm-long EBG waveguide is 20.4 dB. Comparison of this result with that of an identical, but longer (12.7 cm) waveguide indicates that each coaxial

Our new computational and experimental results for an optimized single straight SIW implemented in a double-sided circuit board comprised of glass reinforced PTFE dielectric, Rogers RT/Duriod 5880, and operating at a 50-GHz center frequency is presented here. In this structure and all others discussed in this paper, which are comprised of this Rogers RT/Duriod 5880 dielectric, comprehensive data for the frequency dependence of the dielectric are not available at this time. As a result, we use a frequency-independent model when simulating the Rogers RT/Duriod 5880 dielectric ( , ). This necessarily reduces the agreement between the measured and FDTD results, but not to the point where the computational modeling loses utility. The EBG interconnect designs of Section III and [12]–[14] employed and , and required at least two rows of pins bounding the waveguide to prevent significant leakage [12]. Since we are interested in designing an interconnect having the smallest possible dimensions transverse to the direction of propagation, we now study waveguides bounded by a single row of pins (i.e., SIWs). This involves numerous simulations for two classes of parametric studies, which are: 1) varying while maintaining constant and and 2) varying while maintaining constant and . From parametric study 1), relative to the EBG waveguides of Section III and [12]–[14], we find that in order to maintain a constant cutoff frequency of the fundamental mode, must be increased by up to 8% as decreases toward a value of (forming a corrugated waveguide with adjacent pins touching and having zero leakage). Taking this into account, we find that the widest bandwidth is obtained for . From parametric study 2), we find that the optimal ratio remains 6%. For larger or smaller values of , the bandwidth is reduced. Considering the above findings, the design criterion for an optimum SIW interconnect is and . However, having adjacent via pins in contact poses a difficult fabrication problem. Consequently, in the results presented here, we include a small gap of (0.20 mm) between adjacent vias. At present, this is approximately the minimum feasible gap size in standard circuit boards. Fig. 4 presents a photograph of an optimized 7.6-cm-long SIW interconnect designed to these specifications. The interconnect is comprised of a low-loss Rogers RT/Duriod 5880 dielectric for the case of mm, mm, mm, and mm. This SIW is 35%

1986

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 4. 7.6-cm-long SIW interconnect scaled to a center frequency of 50 GHz. This test structure is comprised of Rogers RT/Duriod 5880 dielectric material (" = 2:2 and tan  = 0:0009) and has r = 0:24 mm, a = 0:68 mm, w = 4:1 mm, and h = 0:76 mm. Further, it is 35% smaller in the transverse direction than the EBG waveguide of Fig. 2.

Fig. 6. Comparison between the measured and FDTD-calculated S teristics over the optimized 7.6-cm-long SIW interconnect of Fig. 4.

Fig. 5. FDTD-calculated normalized time waveform of the received voltage of the interconnect of Fig. 4 for an assumed 134-ps (full-width at half-maximum) transmitted 50-GHz carrier pulse having a Gaussian envelope.

narrower in the transverse direction than our previously reported EBG interconnects of Section III and [12]–[14]. Fig. 5 shows the FDTD-calculated normalized time waveform of the received voltage of the interconnect of Fig. 4 for an assumed 134-ps (full-width at half-maximum) transmitted 50-GHz carrier pulse having a Gaussian envelope. For this case, the spectrum of the transmitted pulse is centered at 50 GHz and falls to 22 dB at 27 and 81 GHz, respectively, the lower and upper limits of the SIW passband. From Fig. 5, we see that the received pulse exhibits little ringing. In fact, upon overlaying the received and transmitted pulses, we find essential coincidence of the zero-crossings and very little distortion. Fig. 6 compares the associated spectrum of the measured and FDTD-calculated characteristics for the SIW structure of Fig. 4. From Fig. 6, we observe a deep stopband of better than 80 dB below approximately 27 GHz. Above 27 GHz, the characteristic transitions to an ultrawide passband extending to 81 GHz, providing an approximately 100% bandwidth. The 27-GHz cutoff frequency agrees with that calculated using the equation provided by [5] for the effective width of an equivalent rectangular waveguide

As previously explained, the deviation of the measured and FDTD results within the passband is caused by the assumption of frequency-independent dielectric properties of the Rogers

charac-

RT/Duriod 5880 dielectric. The measured midband transmission loss is only 3.6 dB, a value which includes the coupling losses of the two probes and the wave propagation attenuation. Comparison of this result with those of identical, but longer (12.7 and 25.4 cm) waveguides yields 0.31-dB/cm propagation loss in the Rogers RT/Duriod 5880 dielectric at midband. The 100% relative bandwidth seen in Fig. 6 is larger than the 80% bandwidths obtained in Section III and [12]–[14] for two reasons, which are: 1) the passband is not bounded by any stopband limit imposed by the bounding vias [12] and, hence, the passband can extend fully to the cutoff frequency of the second even transverse electric (TE) mode and 2) for the case studied here, the transmitting and receiving probes are centered transversely in the waveguide and longitudinally positioned at what appears to be an optimum location of one-quarter wavelength at midband ( 54 GHz) from the ends of the waveguide (whereas in Section III and [12]–[14] the probes were positioned inline with the second column of vias). Both of these optimizations are discussed in more detail below. We now discuss reason 1) for the improved bandwidth of the SIW structures discussed here relative to our previous results. From [5], we know that the TE-mode cutoff frequencies of the SIW are the same as for those of a flat-wall rectangular waveguide having the same effective waveguide width . (The SIW considered here has mm.) Note that TM modes are not supported by the SIW structure [5] and, therefore, need not be considered. Fig. 7 illustrates the results of an investigation to see if odd TE modes can be excited in the SIW, especially the first odd TE mode, which would arise at approximately midband and potentially disrupt the desired fundamental-mode operation of the interconnect. This figure compares the measured characteristics for two 7.6-cm-long SIW interconnects of the type shown in Fig. 4. The first characteristic is for the case of both transmitting and receiving probes at the optimum transverse and longitudinal locations, while the second characteristic is for the case of both probes shifted transversely by toward the edge of the waveguide. From Fig. 7, we see that the odd modes are negligible when the probes are centered transversely, whereas the single-mode passband is halved for the off-center case. Further results not shown here reveal that the

SIMPSON et al.: SIWs OPTIMIZED FOR ULTRAHIGH-SPEED DIGITAL INTERCONNECTS

Fig. 7. Comparison of the measured S characteristics for 7.6-cm-long SIW interconnects of the type shown in Fig. 4 for the case of both transmitting and receiving probes at the optimum transverse and longitudinal locations, and for the case of both probes shifted transversely by 0:25w toward the edge of the waveguide. To illustrate the full width of the passband for the centered case beyond the limitations of the 67-GHz network analyzer, the FDTD-calculated insertion loss for the centered case is also shown.

Fig. 8. Comparison of the S characteristics for 7.6-cm-long SIW interconnects of the type shown in Fig. 4, one having transmitting and receiving probes at the optimum transverse and longitudinal locations, and one having both probes: (a) shifted longitudinally outwards toward the end of the waveguide by 1:5r and (b) shifted longitudinally inwards toward the center of the waveguide by 1:5r . We highlight with superimposed circles the effect on the bandwidth from shifting the probes.

much smaller transverse deviations of the probes from the center line caused by typical manufacturing tolerances generate an acceptably low level of odd modes. Hence, it appears feasible to manufacture SIW interconnects having passbands that extend from the cutoff frequency of the fundamental TE mode to the cutoff frequency of the second even TE mode, yielding 100% relative bandwidth. We now discuss reason 2) for the improved bandwidth of the SIW structures discussed here relative to our previous results: optimized longitudinal probe placement. Fig. 8 illustrates the effect of moving the transmitting and receiving probes longitudinally relative to the optimum position by . Compared to the optimum case, we find that the characteristic at the lower end of the passband is degraded for probes shifted closer

1987

Fig. 9. FDTD-calculated S characteristic over a 7.6-cm-long SIW interconnect analogous to that shown in Fig. 4, but scaled to a center frequency of 130 GHz.

to the waveguide ends [see Fig. 8(a)]. Furthermore, destructive interference degrades the characteristic at the upper end of the passband for probes shifted away from the waveguide ends [see Fig. 8(b)]. Our final single straight SIW structure under study is one scaled to a center frequency of 130 GHz. This example serves to illustrate the scalability of the SIW technology to center frequencies well above 100 GHz (reaching as high as 200 GHz with no required improvements in circuit board manufacturing techniques). The SIW structure of interest spans 0.76 mm between the upper and lower ground planes and has mm, and mm and mm. Fig. 9 shows the FDTD-calculated characteristic of this device, assuming a frequencyindependent model for the Rogers RT/Duriod 5880 dielectric. Here, we see that an 100% relative-bandwidth passband exists between 65 and 195 GHz, which is suitable for data rates well exceeding 100 Gb/s. In ongoing research, this structure is being fabricated and tested in the laboratory. V. CROSSTALK STUDY Our new computational and experimental results for crosstalk between adjacent SIWs is presented here. Two waveguides of the type shown in Fig. 4 are arranged to share a row of pins along a common sidewall, as shown in the insets of Fig. 10(a) and (b). We consider two modes of crosstalk: from TX to XT1 in a direction normal to the common sidewall; and from TX to XT2, representing a longitudinal coupling of the two waveguides. Each waveguide’s dimensions are equivalent to the 50-GHz centerfrequency waveguide considered in Section IV (pin radius mm, pin center-to-center spacing mm, waveguide width mm, waveguide height mm, and 0.20-mm gaps between adjacent pins). Excitation is provided at TX by the same 134-ps 50-GHz carrier pulse described earlier in Section IV. Fig. 10(a) and (b) shows the FDTD-calculated crosstalk voltage time waveforms at XT1 and XT2, respectively. These waveforms are normalized relative to the maximum received voltage time-waveform value at RX. We see that the peak crosstalk voltages at XT1 and XT2 are on the order of 0.02%

1988

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 12. Comparison between the FDTD-calculated S characteristics of the straight and 90 bend SIWs of the type shown in Fig. 4.

Fig. 10. FDTD-calculated crosstalk voltage time-waveforms at: (a) XT1 and (b) XT2. These waveforms are for an assumed 134-ps (full-width at half-maximum) transmitted 50-GHz carrier pulse having a Gaussian envelope, and are normalized relative to the maximum received voltage time-waveform value at RX.

Fig. 13. Comparison between the FDTD-calculated S characteristics of the straight and 45 bend SIWs of the type shown in Fig. 4.

Fig. 11. Comparison between the measured and FDTD-calculated crosstalk for two adjacent 7.6-cm-long SIW interconnects of the type shown in Fig. 4. For both XT 1 and XT 2, the crosstalk level is below 30 dB, wherein 0.20-mm gaps exist between the adjacent vias having r = 0:24 mm.

0

and 1%, respectively, relative to the peak voltage of the desired received signal. Fig. 11 shows the associated spectrum of the measured and FDTD-calculated crosstalk between the SIWs of Fig. 10. We see that, for pin-to-pin gaps on the order of 42% of the size of each pin, one row of pins can suppress the worst case crosstalk to below 30 dB, which is well within tolerable levels for our application. VI. OPTIMIZED 45 AND 90 BENDS FDTD modeling results for optimized 45 and 90 SIW bends capable of maintaining the ultrawide relative bandwidth of 100% are reported here. Here, we are interested in a design that minimally degrades the ultrawideband characteristics of the straight SIW structures considered in the previous sections. Our extensive studies have shown that, without careful placement of the vias in the vicinity of the bend, odd modes are generated, which reduce the single-mode passband by approximately 50%, in the manner seen in Fig. 7.

Fig. 12 illustrates our results for the most promising arrangement for a 90 bend. Here, the outer circular arc of vias has a 4.1-mm radius of curvature, while the inner circular arc has a 5.4-mm radius of curvature whose origin is displaced radially inward by 1.4 mm relative to the origin of the outer arc. These differences between the two sidewall arcs counteract the differing propagation lengths along the inside and outside edges of the bend. As seen in the graph of Fig. 12, there is very little difference between the calculated ultrawideband characteristics for the optimized 90 -bend and a straight SIW having the same overall length. Furthermore, as shown in the inset of Fig. 12, there is little if any odd-mode generation even for the worst case of a propagating pulse localized within the bend. Fig. 13 illustrates our results for the most promising arrangement for a 45 bend. Here, the outer elliptical arc of vias is described by mm, while the inner circular arc has an 8.2-mm radius of curvature whose origin is displaced radially outward by 1.4 mm relative to the origin of the outer arc. As seen in the graph of Fig. 13, there is very little difference between the calculated ultrawideband characteristics for the optimized 45 bend and a straight SIW having the same overall length. Furthermore, as shown in the inset of Fig. 13, there is little if any odd-mode generation even for the worst case of a propagating pulse localized within the bend. VII. CONCLUSIONS AND ONGOING RESEARCH This paper has reported an experimental and computational study of SIWs optimized for use as ultrahigh-speed bandpass waveguiding digital interconnects. Specifically, we have

SIMPSON et al.: SIWs OPTIMIZED FOR ULTRAHIGH-SPEED DIGITAL INTERCONNECTS

shown how to design SIWs optimized for narrow transverse dimensions, low loss, low crosstalk, and maximum bandwidth with no multimoding. The novelty of this study resides in our successful design, fabrication, and testing of low-loss SIWs that achieve 100% relative bandwidths via optimal excitation of the dominant mode and avoidance of the excitation of the mode. Furthermore, these optimal structures maintain their 100% relative bandwidth while transmitting around 45 and 90 bends, and achieve measured crosstalk of better than 30 dB over the entire passband. We have supported our computational designs with laboratory measurements of prototype SIWs that achieved 100% relative bandwidths at center frequencies of 50 GHz, accommodating in principle data rates of 50 Gb/s. Since existing circuit-board technology permits dimensional reductions of SIWs by a factor of 4 : 1 relative to the ones discussed here, bandpass operation at center frequencies approaching 200 GHz with data rates of 200 Gb/s are feasible. These data rates meet or exceed those expected eventually for proposed optical interconnects without requiring the development of a suite of essentially revolutionary silicon photonic technologies. As part of our future research, we will perform laboratory measurements on both the 130-GHz center frequency SIW of Fig. 9 and the 45 and 90 SIW bends of Figs. 12 and 13. We will also develop optimized bends for other angles. In addition, we will investigate the design of structures for frequency-division multiplexing/demultiplexing of multiple data channels onto a single SIW, as well as demonstrate working prototypes wherein SIWs are connected to actual Intel Corporation processors and memories. ACKNOWLEDGMENT The authors are pleased to recognize the support of B. Horine, Platform Technologies Laboratory, Intel Corporation, Hillsboro, OR, for his assistance in the design and manufacturing of the test samples. REFERENCES [1] G. E. Moore, “Cramming more components onto integrated circuits,” Electronics, vol. 38, no. 8, pp. 114–117, Apr. 1965. [2] S. Coffa, “Light from silicon,” IEEE Spectr., pp. 44–49, Oct. 2005. [3] J. Hirokawa and M. Ando, “Single-layer feed waveguide consisting of posts for plane TEM wave excitation in parallel plates,” IEEE Trans. Antennas Propag., vol. 46, no. 5, pp. 625–630, May 1998. [4] H. Uchimura, T. Takenoshita, and M. Fujii, “Development of a ‘laminated waveguide’,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2438–2443, Dec. 1998. [5] F. Xu and K. Wu, “Guided-wave and leakage characteristics of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 66–73, Jan. 2005. [6] F. Capolino, D. R. Jackson, and D. R. Wilton, “Mode excitation from sources in two-dimensional SIW waveguides using the array scanning method,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 49–51, Feb. 2005. [7] A. Zeid and H. Baudrand, “Electromagnetic scattering by metallic holes and its applications in microwave circuit design,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1198–1206, Apr. 2002. [8] L. Yan, W. Hong, K. Wu, and T. J. Cui, “Investigations on the propagation characteristics of the substrate integrated waveguide based on the method of lines,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 152, no. 1, pp. 35–42, 2005.

1989

[9] F. Xu, K. Wu, and W. Hong, “Domain decomposition FDTD algorithm combined with numerical TL calibration technique and its application in parameter extraction of substrate integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 329–338, 2006. [10] E. Yablonovitch, “Photonic bandgap structures,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 10, pp. 283–295, 1993. [11] J. D. Joannopoulos, R. D. Mead, and J. N. Winn, Bandgap Structures: Molding the Flow of Light. Princeton, NJ: Princeton Univ. Press, 1995. [12] J. J. Simpson, A. Taflove, J. A. Mix, and H. Heck, “Computational and experimental study of a microwave electromagnetic bandgap structure for potential use as a bandpass wireless interconnect,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 7, pp. 343–345, Jul. 2004. [13] ——, “Advances in hyperspeed digital interconnects using electromagnetic bandgap technology: Measured low-loss 43-GHz passband centered at 50 GHz,” in Proc. IEEE AP-S Int. Symp., Washington, DC, Jul. 2005, pp. 26–29. [14] M. Piket-May, W. K. Gwarek, T.-L. Wu, B. Houshmand, T. Itoh, and J. J. Simpson, “High-speed electronic circuits with active nonlinear components,” in Computational Electrodynamics: The Finite-Difference Time-Domain Method, A. Taflove and S. C. Hagness, Eds., 3rd ed. Norwood, MA: Artech House, 2005. [15] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 3rd ed. Norwood, MA: Artech House, 2005. [16] ——, “No exponential is forever: But ‘forever’ can be delayed,” in IEEE Solid-State Circuits Conf. Tech. Dig., San Francisco, CA, Feb. 2003, vol. 1, pp. 20–23. [17] J. H. Sinsky, M. Duelk, and A. Adamiecki, “High-speed electrical backplane transmission using duobinary signaling,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 152–160, Jan. 2005. [18] M. Celuch-Marcysiak, W. K. Gwarek, and M. Sypniewski, “A simple and effective approach to FDTD modeling of structures including lossy metals,” in Proc. Asia–Pacific Microw. Conf., Yokohama, Japan, Dec. 1998, pp. 991–993. [19] S.-K. Fan, J.-A. Paik, P. Patterson, M. C. Wu, B. Dunn, and C.-J. Kim, “MEMS with thin-film aerogel,” in IEEE Microelectromech. Syst. Conf., Interlaken, Switzerland, Jan. 2001, pp. 122–125. Jamesina J. Simpson (S’01) was born in Portsmouth, VA, on July 20, 1981. She is currently working toward the Ph.D. degree in electrical engineering at Northwestern University, Evanston, IL. Since her freshman undergraduate year, she has been a Research Assistant with the Computational Electromagnetics Laboratory, Northwestern University. Her current research interests include FDTD modeling of geophysically induced extremely low-frequency propagation about the entire Earth and FDTD modeling of novel ultrahigh-speed bandpass wireless interconnects for next-generation digital circuits. Ms. Simpson is a member of Tau Beta Pi. She was the recipient of the National Science Foundation Graduate Research Fellowship and the Walter P. Murphy Fellowship for her graduate studies.

Allen Taflove (F’90) has been a Professor with the Department of Electrical Engineering and Computer Science, Northwestern University, Evanston, IL, since 1984. Since 1972, he has pioneered basic theoretical approaches and engineering applications of FDTD computational electrodynamics. In a 1980 IEEE paper, he coined the FDTD acronym. He has authored or coauthored five books, 20 book chapters and papers, over 100 refereed journal papers, and 300 conference papers. He holds 14 U.S. patents. His research interests span much of the electromagnetic spectrum. He and his students model electrodynamic phenomena ranging from geophysically induced ultralow-frequency wave propagation about the entire Earth to the light-scattering behavior of early-stage colon cancer cells. The principle that “Maxwell’s equations work from dc to light” and especially for the benefit of human society is demonstrated in his laboratory every day. His publications have resulted in his being included on ISIHighlyCited.com, the Institute of Scientific Information’s list of the most-cited researchers worldwide. He has been the adviser or co-advisor of 20 Ph.D. recipients and one

1990

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

post-doctoral fellow, five of whom are now tenured or tenure-track university professors. Prof. Taflove is currently an elected member of Northwestern’s General Faculty Committee. He is also the faculty advisor to the Undergraduate Design Competition, the Honors Program in Undergraduate Research, and the student chapters of Eta Kappa Nu and Tau Beta Pi. His efforts on behalf of students at all levels were recognized by Northwestern in 2000, when he was named a Charles Deering McCormick Professor of Teaching Excellence.

Jason A. Mix received the B.S. degree in electrical engineering from the Rose-Hulman Institute of Technology, Terre Haute, IN, in 1993, and the M.S. and Ph.D. degrees in electrical engineering from the University of Colorado at Boulder, in 1995 and 1999 respectively. Upon graduation, he joined the Intel Corporation, Hillsboro, OR, where he is currently a Staff Hardware Engineer. His research interests include the field of microwave and millimeter-wave passive devices and high-speed digital interconnect design.

Howard Heck (M’04) earned the B.S.Ch.E. degree from Northwestern University, Evanston, IL, in 1985, and the M.S.E.E. degree from the National Technological University, Fort Collins, CO, in 1994. From 1985 to 1995, he was with IBM, where he developed high-performance PCB and chip packaging technologies including HyperBGA technology. Since joining the Intel Corporation, Hillsboro, OR, in 1995, he has focused on research and development for high-speed inter-chip interconnects. He led the development team for the Pentium II 100-MHz Frontside Bus, and managed teams which developed technology solutions for Direct RDRAM, DDR II, Pentium 4 Processor Frontside Bus, and Accelerated Graphics Port (AGP) interfaces. He currently leads a research and development team with the Corporate Technology Group, Intel Coporation, which is focused on modeling, simulation, measurement, and technology development for 10 –Gb/s signaling. Since 1997, he has also held a position as an Adjunct Professor with the Oregon Graduate Institute, Beaverton, where he teaches high speed digital interconnect design. He holds five U.S. patents with four pending. Mr. Heck was the recipient of an Intel Corporation Achievement Award.

+

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

1991

A Vector Intermodulation Analyzer Applied to Behavioral Modeling of Nonlinear Amplifiers With Memory Aaron Walker, Member, IEEE, Michael Steer, Fellow, IEEE, and Kevin G. Gard, Member, IEEE

Abstract—A large signal vector intermodulation network analyzer with a dynamic range of 90 dB and phase resolution of better than 2 is reported. The analyzer is used in conjunction with a multislice behavioral model to characterize memory effects in three different RF power amplifiers: an MOSFET instrumentation amplifier, a multistage GaAs/silicon-based broadband microwave integrated-circuit amplifier, and an SiGe HBT monolithic-microwave integrated-circuit amplifier. The multislice behavioral model architecture builds on conventional single-tone AM–AM and AM–PM modeling extended to capture long-term memory effects that are characterized by asymmetric intermodulation distortion (IMD). Phase asymmetries of upper and lower IMD are captured. A systematic procedure for extracting the model is presented. Index Terms—Behavioral modeling, intermodulation asymmetry, intermodulation phase measurement, multislice model, nonlinear memory effects.

I. INTRODUCTION BEHAVIORAL model of an RF front-end enables system-level performance (such as bit error rate and spectral regrowth) to be determined at the circuit-design or systemintegration stages. The models themselves can be extracted from external-terminal characterizations of subsystem components using discrete-tone signals. Traditionally such models are based on single-tone measurements with the input swept over power and the amplitude and phase responses measured experimentally or in a circuit simulation. With linear transfer functions, these models can capture some memory effects manifesting themselves as RF frequency-dependent characteristics. However, the models do not capture long-term memory effects. These effects result in large part from the interaction of down-converted signals with baseband circuitry, long-term trapping, and thermal transients. Capturing long-term memory effects is essential with digitally modulated systems as spectral regrowth and in-band and out-of-band intermodulation levels must be kept very low. Here, we present a comprehensive approach for characterizing the amplitude and phase of intermodulation distortion (IMD) using a vector intermodulation analyzer (VIMA); a multislice behavioral model architecture

A

Manuscript received January 2, 2006. A. Walker was with the Department of Electrical and Computer Engineering North Carolina State University, Raleigh, NC 27695-7914 USA. He is now with Vadum Inc., Raleigh, NC 27613 USA (e-mail: [email protected]). M. Steer and K. G. Gard are with the Department of Electrical and Computer Engineering North Carolina State University, Raleigh, NC 27695-7914 USA. Digital Object Identifier 10.1109/TMTT.2006.872810

(the multislice model) that captures long-term memory effects; and a procedure for extracting the model from measurements. The multislice model captures amplitude and phase asymmetries of the intermodulation response of RF circuits. RF nonlinear behavioral models attempt to capture complex behavior from simple measurements, especially using discrete tones [1]–[5]. Intermodulation-distortion asymmetries and memory effects (both macrolevel wideband and microlevel narrowband or modulation bandwidth-dependent effects) comprise the core of the complexities that may arise in an RF or microwave communication system [6]–[8]. Experimental techniques employing stimulus similar to that used in digitally modulated communications systems have also been developed [2], [3]. The main contribution of this study is the development of a multislice model to track the amplitude and phase responses of a nonlinear system under multitone stimulus using relatively few laboratory measurements. This paper describes enhancements of a previously reported intermodulation measurement system [10], [11], but now with higher dynamic range and relative phase determination. A review of the existing systems for measuring the phase of either harmonics or discrete tone intermodulation products is also presented in [10]. Three RF power amplifiers with various nonlinear characteristics are considered, which are: 1) a MOSFET instrumentation amplifier; 2) a GaAsbased broadband microwave integrated-circuit (MIC) amplifier; and 3) an SiGe HBT monolithic-microwave integrated-circuit (MMIC) amplifier. The results of performing the intermodulation phase measurement on these amplifiers demonstrates the effectiveness of the model architecture and extraction procedure for capturing the effect of multiple nonlinear processes. In [11], a multislice behavioral model was shown to model the phase nonlinearities that are evident in the intermodulation product. This model is developed for each of the amplifiers considered here. II. VIMA The VIMA uses a bridge technique and three phase-locked sources (see Fig. 1) [10]. The phase-locked sources maintain phase coherence, whereas alternative frequency-locked sources (the most common) do not. In a typical measurement, Sources 1 and 2 generate the two-tone test signal and the third source generates a signal corresponding to either the lower or upper intermodulation signal. The third tone, however, could be set to be one of the original tones, an harmonic, or any other intermodulation tone. The system is based on cancellation of the

0018-9480/$20.00 © 2006 IEEE

1992

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE I DYNAMIC RANGE AND PHASE UNCERTAINTY/ERROR PERFORMANCE OF PUBLISHED IMD PHASE MEASUREMENT SYSTEMS

Fig. 1. VIMA incorporating phase-locked signal sources.

Fig. 3. Block diagram of the multislice behavioral model.

Fig. 2. Contour plot of magnitude of summation between two sinusoidal tones with the same frequency for amplitude and phase differences.

nonlinear spectral output products with a synthesizer to determine the phase as opposed to a reference nonlinear device commonly used in other systems (e.g., [12] and [13]). The phase captured by the measurement is relative, but is not arbitrary, and the phase reference of the IMD products can be determined by using single-tone AM–PM data. In particular, the definition of relative phase in this context is the same as that used by Suematsu et al. [12], where the phase reference is the phase measured in the small-signal regime in a one-tone test. The VIMA (Fig. 1) has a large dynamic range enabling the system to measure the intermodulation product phase and magnitude for weak nonlinearities or small signals. A bridge technique, implemented by the power combiner, is used to compare the amplitude and phase of a distortion tone from the device-under-test (DUT) to the signal generated by a third signal source. In this bridge cancellation scheme, dynamic range determines the phase measurement uncertainty, as well as the uncertainty in the amplitude measurement. The phase uncertainty is computed based on the rejection equation for the summation of two sinusoidal tones at the same frequency. Fig. 2 presents the phase uncertainty as a contour plot (the error in the phase of opposing tones) versus the difference in power of the cancelling tones. The amplitude uncertainty is determined by the dynamic range of the spectrum analyzer, which corresponds to a system

dynamic range of 90 dB and a maximum phase uncertainty of 2 . These are compared to reported schemes for measuring the amplitude and phase distortion in Table I. The dynamic range of this system was determined by both measurement and inspection of the spectrum analyzer specifications [14]. The dynamic range is important at the weakest nonlinear response for the DUT. At low input power levels, the distortion in the analyzer front-end can overwhelm the DUT response. In this system, the stimulus power at the internal mixer was 40 dBm, while the weakest nonlinear device studied produced distortion products of 102 dBm at the mixer. During phase measurement, 30 dB of cancellation of the third-order intermodulation (IM3) tones was achieved, thus the dynamic range of the system was at least 90 dB. The analyzer specification lists the dynamic range as 105 dB for a 40-dBm power level, which verifies the observed measurements. III. MULTISLICE BEHAVIORAL MODEL Many microwave subsystems, e.g., amplifiers, have filtering or matching networks at their inputs and outputs so that only signals in the operating frequency band can be directly observed. The multislice model, shown in Fig. 3 for two slices, was introduced [8] to facilitate behavioral modeling using partially observed (in this case, band-limited) data. The model builds on conventional one-tone modeling practices as the first slice is derived from convenient AM–AM and AM–PM measurements. The second slice was initially added to reproduce amplitude asymmetries present in the IM3 products of an amplifier. The development is extended in the following sections to capture phase asymmetries as well. Additional slices can be added to the model to describe higher order effects that cannot be captured by one- and two-tone tests. A. Analysis of First Slice The multislice model is an architecture and each slice can be modeled by nearly any behavioral modeling technique. Here,

WALKER et al.: VIMA APPLIED TO BEHAVIORAL MODELING OF NONLINEAR AMPLIFIERS WITH MEMORY

we use a Weiner–Hammerstein linear–nonlinear–linear (LNL) block model for the first slice. The nonlinearity is taken as a memoryless odd-ordered polynomial. The linear networks and capture frequency selectivity resulting, for example, from filters, matching networks, and reactive parasitics. The networks capture the macrolevel memory effect, which causes variations in the magnitude and phase response of the output as a function of the frequency of the input signal. Given a general stimulus composed of incommensurate sinusoids,

1993

where is a function of the frequency and initial phase of the individual tones. Using the concept of the frequency-mixing vector developed by Weiner and Spina [21], the output of (5) at a specific frequency can be determined. The mixing vector has entries and enumerates the collection of positive and negative frequencies in the desired product as with the constraint for a given order. Using this convention, that the output for a given is

(1) (5) with the linear network

and

, the output of

is

(2) After passing through the complex polynomial block, the output for a given order of the polynomial is given by

. The coefficient is the multiwith nomial expansion coefficient for the sum of permutations of that generates . The multinomial is defined by

(6) For a low number of tones, it is convenient to sum over all of the vectors that produce a specific frequency output for a polynomial of order , as in [3]. The fundamental response of the first slice under a single-tone stimulus is then given by

(3) with . The term is applied as a complex conjugate phase shift depending on the sign of the frequencies produced by raising the complex exponential representation to the power . The output of the first slice is

(7) The IM3 response predicted by the first slice with two-tone stimulus is

(4) for a given expansion results in where again the sign of the production of a real overall result. The complete output of the first slice is given by . Expansion of (4) for a single order yields

(8) Expression (8) is identical for the upper and lower IM3 (IM3L) products. Thus, the well-known result from (8) is that a complex polynomial representation of a memoryless nonlinearity cannot produce IM3 products that have asymmetric amplitude or phase responses. Clearly the phase shift introduced by the polynomial coefficient is constant and shifts both IM3 products by the same amount. Since a single complex polynomial cannot contribute to asymmetric behavior, an additional model component must be added. The multislice model seeks to employ slices that represent intuitive and realistic behavior, thus another slice

1994

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

representing baseband upconversion effects that contributes to asymmetry will be considered. B. Analysis of the Second Slice The second slice shown in Fig. 3 is composed of identical linear networks for the macrolevel memory effects as the first slice, as well as an even-ordered complex polynomial representing the nonlinearity, which generates the baseband terms. The linear network consists of a real linear network with a low-pass response, which limits the components that get upconverted via the ideal mixer to the intermodulation products in the operating frequency band. The physical support of a baseband contribution results from several processes including cascade nonlinear effects due to input and output nonlinearities in bipolar junction transistor (BJT) devices and feedback of low-frequency distortion products through the dc-bias networks of an amplifier discussed in [6] and [17]. We will show here how the second slice reproduces this behavior and how the baseband component of the nonlinear response potentially leads to amplitude and phase asymmetries of the IM3 products. The response of the second slice is similar to that of the first with the expression for being equivalent to or

Fig. 4. Phasor addition of first and second slice components depicting phase reference of baseband components producing asymmetries in IM3 phase and magnitude. Components of the IM3 response are: (a) odd-ordered output from first slice, (b) upper (lower) baseband IM contribution from second slice, (c) lower (upper) baseband IM contribution from second slice, (d) total upper (lower) IM3 response from sum of slice outputs, and (e) total lower (upper) IM3 response from sum of slice outputs.

and negative baseband frequencies are the phase terms and . Assuming that is a real network (such as the dc-bias network) forces . Combined with , these two terms result in a complex conjugate phase response between the positive and negative baseband frequencies. Now the output of the ideal mixer at the upper IM3 (IM3H) and IM3L products are, respectively,

(9) having a low-pass response with a cutoff freNow with quency far lower than the stimulus frequencies, all harmonics of the even-order nonlinearity will be rejected. Taking the output at the baseband component at yields

(11a) and

(11b) (10a) Similarly, for

,

(10b) and vice-versa. As shown assuming that by (10), the differences between the components at the positive

is At this point, adding the effect of the output network trivial. The actual phase reference about which the baseband components are complex conjugates is evident from the results of measurement. The phasor plot in Fig. 4 shows how the symmetric magnitudes of the upper and lower baseband terms can create IM3 responses that have both amplitude and phase asymmetry. A special case of the combination between the odd-order and baseband slice is when these share a common phase reference. In this situation, only phase asymmetries arise, and the IM3 terms rotate in a complex conjugate manner as a function of the ’s, which changes the overall phase of the IM3 products as the higher order terms dominate at higher input power levels.

WALKER et al.: VIMA APPLIED TO BEHAVIORAL MODELING OF NONLINEAR AMPLIFIERS WITH MEMORY

1995

C. Model Parameter Extraction Here, we describe the procedure for extracting the components of the two-slice model of Fig. 3. The process for estimating the frequency response of the macrolevel memory blocks and was developed in [8], and a similar series of steps can be used to determine the frequency response of as a function of stimulus tone separation. The major task to be described here is extraction of the two sets of complex polynomial coefficients and . The approach to the sequence of parameter estimation for the various slices is performed in order of the contribution to the nonlinear response. The odd-ordered polynomial in the first slice dominates this response so this slice is determined first. Extraction of the coefficients of the memoryless polynomial follows the traditional AM–AM AM–PM approach of fitting the single-tone response with the optimum fit in the least squares sense. With this slice determined, the next step is to fit the difference between the first slice estimate of the IM3 products and the measured two-tone data with the even-order baseband polynomial. The difference is given by

Fig. 5. Measured magnitude and phase of the IM3 products for the Mini-Circuits 5-W amplifier.

(12) There are actually two differences, one for each of the IM3 products. The value that is fitted is the average difference between the measured IM3 products and the estimated first-slice component with one of the IM3 differences applied as a complex conjugate. Once the coefficients are generated, the baseband contribution to IM3 are computed with (10) and then summed with the first slice output to yield the total model response. Error functions based on the difference between the estimate and measured complex IM3 response are used to determine the polynomial order used in the fitting process. The order is adjusted to achieve the minimum error for each slice with respect to the IM3 tones even in the case of the first slice, which only uses single-tone information to compute the slice parameters. IV. RESULTS Multislice behavioral models were developed from IM3 vector measurements using the extraction procedure for three different power amplifiers. The amplifiers consisted of a 5-W multistage GaAs/silicon MESFET amplifier (ZHL-5W-1, Mini-Circuits, Brooklyn, NY), a high-linearity 10-W instrumentation MOSFET amplifier (1000W10, Amplifier Research, Souderton, PA), and an SiGe HBT Darlington driver amplifier mounted on an evaluation board (HMC479ST89, Hittite, Chelmsford, MA). The data collection process consisted of using the measurement system in Fig. 1 to measure the single-tone AM–AM AM–PM responses, and the amplitude and phase of the IM3 products during two-tone testing. For this study, the response of the amplifiers were measured at 450 MHz for and with a frequency separation of 10 kHz. The input power levels were swept from the small-signal region of each amplifier to the saturation point or to the maximum level recommended by the manufacturer if lower. The power at which the phase reference point was chosen depended on the amplifier under test and corresponded to the small-signal input region of the stimulus.

Fig. 6. Measured and estimated magnitude and phase of Mini-Circuits amplifier IM3 products using a single-slice model.

A. MESFET Amplifier Measurements from a two-tone test of the GaAs MESFET amplifier are shown in Fig. 5 where the amplitude and phase measurement of the IM3 products are plotted. The amplifier does not exhibit amplitude asymmetry of the IM3H and IM3L, but there is phase asymmetry. The asymmetry becomes apparent as the input power level increases above the small-signal regime where gain compression begins to occur. The first step in the model extraction procedure was to determine the odd-ordered polynomial coefficients from the AM–AM AM–PM data. The result of this step is shown in Fig. 6 for a complex polynomial of order 15. As expected, the resulting fit cannot track the asymmetry in the IM3 phase, however, the IM3 magnitude fit also fails to track the measured response. The error in the single-slice magnitude fit occurs at the same input power level as appearance

1996

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 9. Measured AM–AM AM–PM of the 10-W AR amplifier. Fig. 7. Measured and estimated magnitude and phase of Mini-Circuits amplifier IM3 products using a two-slice model.

Fig. 10. Measured magnitude and phase of the IM3 products for the AR amplifier.

Fig. 8. Polar form of the fit to the Mini-Circuits amplifier IM3 data with the magnitude in decibels (magnitudes offset such that they are nonnegative).

of the asymmetric behavior in the phase of the IM3H and IM3L products. This is strong evidence that the upconversion of baseband effects from an even-order nonlinearity are becoming important. The fit of the odd-ordered model reinforces this hypothesis since the phase component of the fit roughly splits the difference in phase between the two IM3 products. This corresponds to the case in Fig. 4 where the baseband component has the same phase reference as the strictly odd-ordered components. With the first slice of the multislice model extracted, the next step in the extraction procedure was to determine the even-order coefficients (in this case, up to eighth order) for the nonlinearity that produces the baseband terms. The result of this step and the summation in a phasor sense of the second slice with the first slice is shown in Fig. 7. The resulting fit of the two-slice model

was 0.5 dB for the magnitude of the IM3 products and the phase estimation was 3 for the majority of the input power sweep, except for the small-signal region. It is more illustrative to plot the measured and modeled IM3 responses on a polar plot, as shown in Fig. 8. B. MOSFET Instrumentation Amplifier The instrumentation MOSFET amplifier was expected to have a very weak nonlinear response since its purpose is to provide laboratory-grade amplification without distortion. The single-tone data (Fig. 9) does not indicate any onset of compression for an input power of up to 3 dBm. However, the phase of the output tone does begin to exhibit small AM–PM distortion as the input power is increased. The weak nonlinear response is also seen in the two-tone IM3 measurements (Fig. 10). Here, the IM3 tones are strictly 3 : 1 in slope with the asymmetry consisting of a systematic type error. For this data, the IM3 phase data is informative about the source of the nonlinearities contributing to the IM3 products. The phase response of the

WALKER et al.: VIMA APPLIED TO BEHAVIORAL MODELING OF NONLINEAR AMPLIFIERS WITH MEMORY

Fig. 11. Measured and modeled magnitude and phase of the IM3 products of the MOSFET instrumentation amplifier.

Fig. 12. Measured AM–AM AM–PM of the Darlington HBT amplifier.

IM3H and IM3L products clearly has a complex conjugate relationship over the entire power range suggesting that the baseband upconversion term generates the entire IM3 response. This hypothesis was reinforced by the relatively low order of the odd-ordered nonlinearity required to minimize the error functions presented in Section III, i.e., that of and , for the first and second slices, respectively. Applying the fitting procedure for the two-slice model yielded a very good fit to the IM3 data (Fig. 11). Here, the error in the IM3 amplitude was 0.7 dB and the maximum error for the IM3 phase was 2.17 with an average phase error of 0.57 for the IM3L and 0.59 for IM3H. This phase error lies below the uncertainty of the phase measurement itself. C. Darlington HBT Amplifier The Darlington HBT amplifier investigated exhibited the most complex nonlinear response of all of the amplifiers. The

1997

Fig. 13. Measured magnitude and phase of the IM3 products for the Darlington HBT amplifier.

Fig. 14. Measured and estimated magnitude and phase of Hittite amplifier IM3 products using nonlinear optimization fitting technique to extract the one-slice model ( : IM3L measured, : IM3H measured, : nonlinear optimization fit to both data sets).

3

2

+

AM–AM AM–PM measurements showed a typical response of a constant phase insertion for small-signal input levels with a change in phase as the gain became compressed and neared the saturation power level (Fig. 12). In contrast, the response of both the magnitude and phase of the IM3 products deviated considerably from the kind of response that could be captured by a polynomial (see Fig. 13). The behavior of the IM3 phase of this amplifier led to difficulties in applying the fitting procedure of the two-slice model, as outlined previously. With the other amplifiers considered, it was possible to initially fit the first slice and then fit the second. Here, it was necessary to fit both slices simultaneously. The nonlinear fitting procedure used the Levenberg–Marquardt search method. Both the measured one- and two-tone data sets were supplied to the routine to search for the appropriate solution over the problem space. This approach did

1998

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

produce the best result in terms of minimum squared error of the IM3 fit; however, there was still considerable error in the IM3 phase estimation and overestimation of the IM3 magnitude by as much as 6 dB (Fig. 14). V. CONCLUSION A scheme with 90-dB dynamic range and a maximum phase uncertainty of 2 has been presented for measuring the amplitude and phase of intermodulation products in a two-tone test. The measurement procedure was coupled with the introduction of a two-slice behavioral model and a suitable extraction procedure. The behavioral model is an architecture for capturing higher order nonlinearities that cannot be captured in a single-tone test and many possible conventional behavioral models could be used for each slice. Here, a Weiner– Hammerstein LNL block model was used with complex polynomials describing the nonlinearities. The model was used with three different types of amplifiers, and it was shown that the characteristics of the amplifiers in one- and two-tone tests could be captured. Most importantly, it was seen that amplitude and phase asymmetries in the IM3 response of a two-tone test could be adequately be captured. The two-slice model is an extension of conventional AM–AM and AM–PM models so the existing modeling and measurement infrastructure can be utilized. REFERENCES [1] J. C. Pedro, N. B. Carvalho, and P. Lavrador, “Modeling nonlinear behavior of bandpass memoryless and dynamic systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 3, pp. 2133–2136. [2] K. G. Gard, H. M. Gutierrez, and M. B. Steer, “Characterization of spectral regrowth in microwave amplifiers based on the nonlinear transformation of a complex Gaussian process,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1059–1069, Jul. 1999. [3] K. Gharaibeh and M. Steer, “Modeling distortion in multichannel communication systems,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1682–1692, May 2005. [4] H. Ku and J. S. Kenney, “Behavioral modeling of nonlinear RF power amplifiers considering memory effects,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 2495–2504, May 2005. [5] A. Soury, E. Ngoya, and J. Rousset, “Behavioral modeling of RF and microwave circuit blocs for hierarchical simulation of modern transceivers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 975–978. [6] N. B. de Carvalho and J. C. Pedro, “A comprehensive explanation of distortion sideband asymmetries,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2090–2101, Sep. 2002. [7] D. J. Williams, J. Leckey, and P. J. Tasker, “A study of the effect of envelope impedance on intermodulation symmetry using a two-tone time domain measurement system,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 3, pp. 1841–1844. [8] A. Walker, M. Steer, K. Gard, and K. Gharaibeh, “Multi-slice behavioral model of RF systems and devices,” in Radio Wireless Conf. Dig., Sep. 2004, pp. 71–74. [9] U. Lott, “A method for measuring magnitude and phase of harmonics generated in nonlinear microwave two-ports,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1998, vol. 1, pp. 225–228. [10] A. Walker, M. Steer, and K. Gard, “Simple, broadband relative phase measurement of intermodulation products,” in Proc. 65th ARFTG Conf., Jun. 2005, pp. 123–127. [11] A. Walker, M. B. Steer, and K. Gard, “Capturing the phase asymmetry of distortion in a nonlinear RF system using a multi-slice behavioral model,” IEEE Microw. Wireless Compon. Lett., to be published.

[12] N. Suematsu, T. Shigematsu, Y. Iyama, and O. Ishida, “Transfer characteristic of IM3 relative phase for a GaAs FET amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1997, vol. 2, pp. 901–904. [13] Y. Yang, J. Yi, J. Nam, B. Kim, and M. Park, “Measurement of two-tone transfer characteristics of high-power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 568–571, Mar. 2001. [14] Calibration Guide Agilent Technologies 8560 E-Series and EC-Series Spectrum Analyzers. Palo Alto, CA: Agilent Technol., 2002, vol. I and II. [15] “Large signal network analyzer technology preliminary product overview,” Maury Microw. Corporation, Ontario, CA, May 2003. [16] “Minutes of the 4th ARFTG NVNA Users’ Forum,” 4th ARFTG NVNA Users’ Forum, Jun. 2004. [17] J. H. K. Vuolevi, T. Rahkonen, and J. P. A. Manninen, “Measurement technique for characterizing memory effects in RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1383–1389, Aug. 2001. [18] P. Heymann, R. Doerner, and M. Rudolph, “Multiharmonic generators for relative phase calibration of nonlinear network analyzers,” IEEE Trans. Instrum. Meas., vol. 50, no. 1, pp. 129–134, Feb. 2001. [19] C. Crespo-Cadenas, J. Reina-Tosina, and M. J. Madero-Ayora, “Phase characterization of two-tone intermodulation distortion,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, 4 pages. [20] J. C. Pedro, J. P. Martins, and P. M. Cabral, “New method for phase characterization of nonlinear distortion products,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 971–974. [21] D. D. Weiner and J. F. Spina, Sinusoidal Analysis and Modeling of Weakly Nonlinear Circuits. New York: Van Nostrand, 1980.

Aaron Walker (S’95–M’99) received the B.S. degree in nuclear engineering from Kansas State University, Manhattan, in 1997, and the M.S. and Ph.D. degrees in electrical engineering from North Carolina State University, Raleigh, in 1999 and 2006, respectively. From 1999 to 2002 he was an Application Specific Integrated Circuit (ASIC) Design Engineer with Atmel and Zaiq Technologies. He is currently the President of Vadum Inc., Raleigh, NC. His interests include nonlinear system characterization and identification, remote sensing, and behavioral modeling.

Michael Steer (S’76–M’82–SM’90–F’99) received the B.E. and Ph.D. degrees in electrical engineering from the University of Queensland, Brisbane, Australia, in 1976 and 1983, respectively. He is currently the Lampe Family Distinguished Professor of Electrical and Computer Engineering, North Carolina State University, Raleigh. In 1999 and 2000, he was a Professor with the School of Electronic and Electrical Engineering, The University of Leeds, where he held the Chair in microwave and millimeter-wave electronics. He was also Director of the Institute of Microwaves and Photonics, The University of Leeds. He has authored over 300 publications on topics related to RF, microwave and millimeter-wave systems, high-speed digital design, and RF and microwave design methodology and circuit simulation. He coauthored Foundations of Interconnect and Microstrip Design (Wiley, 2000). Prof. Steer is active in the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). In 1997, he was secretary of the IEEE MTT-S. From 1998 to 2000, he was an elected member of its Administrative Committee. He is the Editor-in-Chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2003–2006). He was a 1987 Presidential Young Investigator (USA). In 1994 and 1996, he was the recipient of the Bronze Medallion presented by the Army Research Office for “Outstanding Scientific Accomplishment.” He was also the recipient of the 2003 Alcoa Foundation Distinguished Research Award presented by North Carolina State University.

WALKER et al.: VIMA APPLIED TO BEHAVIORAL MODELING OF NONLINEAR AMPLIFIERS WITH MEMORY

Kevin G. Gard (S’92–M’95) received the B.S. and M.S. degrees in electrical engineering from North Carolina State University, Raleigh, in 1994 and 1995, respectively, and the Ph.D. degree in electrical engineering from the University of California at San Diego, in 2003. He is currently the William J. Pratt Assistant Professor with the Electrical and Computer Engineering Department, North Carolina State University. From 1996 to 2003, he was with Qualcomm Inc., San Diego, CA, where he was a Staff Engineer and

1999

Manager responsible for the design and development of RF integrated circuits (RFICs) for code-division multiple-access (CDMA) wireless products. He has designed SiGe BiCMOS, Si BiCMOS, and GaAs metal–semiconductor field-effect transistor (MESFET) integrated circuits for cellular and personal communication systems (PCSs) CDMA, wideband code-division multiple-access (WCDMA), and AMPS transmitter applications. His research interests are in the areas of integrated circuit design for wireless applications and analysis and modeling of nonlinear microwave circuits with digitally modulated signals.

2000

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Finite-Difference Formulation Accounting for Field Singularities Horst Zscheile, Franz Josef Schmückle, Member, IEEE, and Wolfgang Heinrich, Senior Member, IEEE

Abstract—Correction factors are introduced into the finite-difference formulas which diminish the discretization error for the grid cells adjacent to field singularities. The correction factors are calculated by an iterative numerical method that can be applied to all types of rectangular edges and corners between ideal conductors and different dielectrics. Examples using a code of finite-difference method in the frequency domain show the resulting improvements in terms of accuracy, CPU time, and memory for typical monolithic-microwave-integrated-circuit three-dimensional structures. Index Terms—Field singularities, finite-difference (FD) methods, frequency domain, singular electromagnetic fields.

I. INTRODUCTION HE finite-difference (FD) method solves the Maxwell equations by replacing the differential quotients of the field components by FD quotients taken over elementary cells of the discretization mesh. Since the pioneering work by Yee [1], the FD approach has become one of the most widely used methods in electromagnetic simulation, mostly in its time-domain variant (see, e.g., [2]). The accuracy of the FD results is better the smaller the mesh cells are, but increasing mesh size leads soon to excessive memory space and CPU time requirements. In particular, large errors arise in those regions where the fields change rapidly, as is the case in regions next to field singularities. Such singularities occur at sharp corners and edges between blocks of different materials. One way to improve accuracy is to use a finer discretization in these regions, but this increases the number of cells as well. A more efficient way is to use precalculated correction factors in the FD formulas for cells adjacent to corners and edges. In other words, the singularity behavior is incorporated into the respective cells. This improves accuracy without increasing the number of cells, thus keeping numerical efforts also at the same level. Several methods were published in the past following this approach, dating back to [3] in 1981. This idea was further developed in conjunction with the finite-difference time-domain (FDTD) method for wires (e.g., [4]), coaxial waveguide geometries [5], and metallic corners in three–dimensional (3-D) monolithic-microwave integrated-circuit (MMIC) structures [6], [7]. More recently, the effect of nonequidistant discretization [8] was incorporated, and a more general treatment considering the vicinity of singularities as macrocells was introduced [9], [10].

T

Moreover, one has to mention here that all of this work can be seen as part of the FD subcell topic (for an overview, see [11]). However, none of these contributions treats the general case including not only metallic but also dielectric corners and edges. Also, the question of how the singularity factors can be determined for such a general geometry still needs to be addressed. This is presented in this paper, together with simulation results on realistic 3-D components such as spiral inductors. Our work is based on the finite-difference method in the frequency domain (FDFD) [12]–[14]. The necessary information on the singular fields is numerically calculated once for all and may be stored in a lookup table (LUT). To perform these calculations with sufficient precision, we apply an iterative FD technique. The resulting 3-D FDFD simulation code solves the boundary-value problem for 3-D structures, which yields the scattering matrix, as well as the eigenvalue problems for the longitudinally homogeneous transmission lines at the ports. We consider lossless nonmagnetic materials. As is appropriate for most MMIC structures, the discretization is nonequidistant (graded mesh) but with rectangular cells, and so are the allowed material blocks forming the corners and edges. This paper is organized as follows. In Section II, the singularity description and the derivation of the correction factors is explained. Section III then presents simulation results, which verify our approach and demonstrate improvements over the conventional FDFD formulation. Section IV contains the conclusions. II. SINGULARITY DESCRIPTION AND CORRECTION FACTORS A. FDFD Equations The FD method starts from the integral form of the Maxwell curl equations over the elementary cells (1) (2) Fig. 1 shows an elementary cell and examples of the integration surfaces and lines for (1) and (2). The field components are allocated according to Yee [1]. The contour integrals [on left-hand side of (1) and (2)] are calculated as the sum of four line integrals over the edges of the Yee cell. Each one of these integrals is approximated by the midpoint rule, e.g.,

Manuscript received November 1, 2005; revised January 17, 2006. The authors are with the Ferdinand-Braun-Institut für Höchstfrequenztechnik, 12489 Berlin, Germany (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872796 0018-9480/$20.00 © 2006 IEEE

(3)

ZSCHEILE et al.: FD FORMULATION ACCOUNTING FOR FIELD SINGULARITIES

2001

their polar axis can be chosen arbitrarily. We focus our analysis on the singularity, which, strictly speaking, is a point in space. Consequently, we need to consider only the singularity and its vicinity, which can be assumed to be small compared with wavelength. Hence, a static approach of the fields is possible [15]. The electric or magnetic field can be expressed as negative gradient of a potential or , respectively. Singular electric fields in the vicinity of corners are of the form

(5) Fig. 1. Elementary cell of the Yee grid with integration surfaces and lines; white arrows refer to electric field components, black arrows to magnetic field components.

where denotes the length of a cell edge. The surface integral over the magnetic field [on the right-hand side in (1)] is approximated by

where the singularity exponent ranges between 0 and 1 [16]. In analogy, for magnetic fields, one has equations with a singularity exponent , which is different from because of the different boundary conditions for the fields. For a line integral in (3), which starts at a singularity, the radial component of has to be considered, which, according to (5), can be written as

(6)

(4) (the permeability is assumed to be constant on the integration surface). After substitution of these approximations into (1) and (2), one obtains the discretized form of the Maxwell equations. In a similar way, the divergence equations are incorporated [13]. The starting point for our new method lies in the conversion from Maxwell’s equations to the FDFD discretized field description. Continuous electromagnetic fields can be approximated by a Taylor series, which is commonly represented in FD to the first order. This yields a relative discretization error which scales proportionally with the square of the cell dimensions. For singular fields, however, this is not true, and, therefore, grid refinement is not an efficient way to improve accuracy. Such field singularities occur at corners and edges between different materials.1 Since in our discretization scheme (Yee cell) these corners and edges always coincide with corners and edges of grid cells, the singularities affect the contour and the surface integrals of (1) only. Integration lines and surfaces in (2), on the other hand, are free from singular field components. As the singularity correction for edges is well described in the literature [6]–[9], we focus our attention to singularities at corners here. Points on edges can be treated as special cases of points on corners. B. Singularity Description For the field around a corner, a description in spherical coordinates , , and is appropriate (see Fig. 5). The direction of 1Note that, in the following, the term “edge” always refers to a two-dimensional (2-D) wedge geometry, e.g., along a strip with rectangular cross section, while “corner” is used for the 3-D counterpart, which occurs at a cube, for instance.

where is a constant for a fixed direction. Thus, the integral along a Yee cell edge (3) becomes

(7)

The midpoint rule for the same function yields a different value

(8) However, we can express the line integral exactly by the midpoint value of by writing

(9)

with the so-called line correction factor for electric fields

(10) Multiplying the electric field component by yields the correct representation of the line integral in the FD scheme. The value of depends on the order of the singularity, i.e., on geometry and material of the corner or edge, but it is independent of the cell size .

2002

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Accordingly, one can define a correction factor surface integral of (4) as

for the

(11)

If one side of the integration surface is adjacent to an edge singularity (i.e., in the 2-D case), the normal field component is a singular function of one coordinate only, and the remaining integration can be performed in the same way as for a line inteis a more compligral. For cell faces at a corner, however, cated function with a 2-D singularity and, in general, the integral in the numerator cannot be solved analytically. Moreover, the value of the surface correction factor then depends not only on the properties of the corner, but also on the ratio of the cell dimensions, which is in contrast to the line correction factor of (10). In order to determine the correction factors according to (10) and (11), one needs information on the singularity behavior of the respective electric or magnetic field component, which depends on the geometry and the materials the edge or corner is associated with. For edges, the singular exponents and fields follow from analytical formulas [17]. For corners, i.e., the 3-D case, data on some types of material combinations are available from tables (see, e.g., [18]). However, these cover only a limited number of corner constellations and provide the corresponding order of singularity, but not the field distributions, which are required for the in (11). For a general description, therefore, one has to calculate the singular electric and magnetic fields numerically. We use an iterative FD technique for this purpose, which allows the evaluation of the fields for all types of material combinations on a corner or edge. The above-mentioned results from literature were used to verify the numerical approach. The method is briefly described in the following section. C. Numerical Calculation of Singular Field Properties Both electrostatic and magnetostatic fields at a corner can be derived from potential functions that are solutions of partial differential equations of the form (12) where denotes permittivity or permeability for and , respectively. In general, the solution depends on the geometry of the corner, the materials, and on the boundary conditions on a closed surface around the corner. Our aim is to calculate the field in the very close vicinity of the corner only. Therefore, within this spatial range, the field characteristic does not depend on the remaining boundary conditions far off. In order to calculate the field, we apply a static FD formulation based on the potential solving (12). The computational domain is a cube containing the corner at its center. For an example, see Fig. 2. This domain can be subdivided into eight subcubes. Each of them is homogeneously filled. The materials

Fig. 2. Computational domain around a corner: a cube consisting of eight subcubes with homogeneous material. As an example, the case of a 90 inner metallization corner is shown.

Fig. 3. Iterative procedure for field calculation at a corner.

of the subcubes will be different according to the respective corner or edge. Additionally, infinitely thin electric walls between some of the subcubes can be included. Since one is interested in the singular behavior of the fields, one has to approach the corner very closely to obtain accurate results. The conventional static FD approach is not sufficient for this purpose but was extended by an iterative procedure. It is illustrated by Fig. 3, which gives a schematic 2-D view of the computational domain. In the first step, the boundary values on the surface of the cube are chosen arbitrarily. The iteration procedure then repeats the following steps. Step 1) The potential within the volume enclosed by is calculated using a static FD formulation. As a compromise between accuracy and numerical efforts, we use an equidistant mesh of 60 60 60 cells. Step 2) As in any potential problem, the resulting potential function can be shifted by adding a constant without changing the fields. Thus, the constant is chosen so that the potential value at the center point becomes zero, corresponding to (5). Step 3) In the next iteration step, we confine our calculation to a smaller domain around the corner, which is enclosed by the surface in Fig. 3 (actually, this domain is chosen to be of half the side length). forms the outer surface for the new step. The volume enclosed by is again discretized into 60 60 60 cells, which means that the spatial resolution of is higher than that of (inflation). As boundary values on , we take the potential values on surface from the previous iteration step. These values are mapped onto . The discretization of

ZSCHEILE et al.: FD FORMULATION ACCOUNTING FOR FIELD SINGULARITIES

is coarser than that of , however, we know the boundary values for the even-numbered2 grid points only. The values for the odd-numbered grid points on are interpolated from the potentials in the even-numbered grid points. Step 4) The new potential values on are normalized (in order to prevent a decrease of the values during the iteration, which may lead to underflow problems), and the procedure is repeated from the beginning replacing and by and , respectively. As must be postulated from physical arguments, the iteration procedure converges in the sense that, with an increasing number of steps, the potential approaches a spatial function which is independent of the boundary values of Step 1). In other words, the iterative procedure can be seen as a field calculation on a set of superimposed subgrids that become finer and finer with diminishing distance to the center. Hence, we obtain increasingly accurate data on the field behavior around the corner. The magnetic field can be treated in the same way as the electric one by considering the scalar magnetic potential and using the boundary conditions for magnetic fields at the material interfaces.

2003

Fig. 4. Singularity exponent of the electric field for the corner shown in the inset as a function of the permittivity ratio  . Comparison of numerical data (symbols) with fitting polynomial (line).

D. Line Correction Factors For the line-correction factor defined by (10), we need only the singularity exponent of the electric field at the corner. In order to determine from the potential , we use the final solution of the iteration procedure described above and choose two grid points on a straight line through the center at distances and . From (5), it then follows that and Fig. 5. Integration over the rectangle

(13)

To keep the discretization error of small, the two points should be far enough away from the singularity at the center, where the field becomes infinite in theory. On the other hand, for points near the surface , there is an additional error due to the interpolation in our iterative procedure. Therefore, we consider versus for different pairs and (with one cell length larger than ) and extrapolate to . Once we have the value of , the corresponding line-correction factor can be calculated easily using (10). If there are different dielectrics present at the corner, the subcubes of the corner domain (see Fig. 2) have different permittivities, and the singularity exponent depends not only on the metallic corner geometry, but also on permittivities. In analogy to the 2-D case [17], it is only the ratio between the permittivities that influences the singularity exponent. In order to obtain a solution feasible for practical applications, we calculate for different values of the permittivity ratio and fit a polynomial to the resulting curve. 2Numbering is assumed to start with 0 at the center for each coordinate direction.

1x 1y with corner and edge singularity. 1

As an example, Fig. 4 shows the results for an inner corner of a metallization between two different dielectrics. Plotted is the singularity exponent [see (5) and (10)] against the ratio . E. Surface Correction Factors A more difficult task is the determination of the surface correction factors required for the magnetic field. As an example, we will treat the structure shown in Fig. 5, where we have to integrate over the marked surface with an edge and a corner singularity on its boundary. Starting from (11), we need the normal component of the magnetic field on the plane . It is calculated from the FD results for scalar magnetic potential as (14) However, the error of the FD approximation according to (14) against the true value is rather large, especially near the field singularities. Unfortunately, these regions contribute strongly to the integral in (11). Therefore, a direct numerical evaluation of this integral would yield very large errors. Better results can be achieved by the following workaround.

2004

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

From the magnetic analog of (5), we know that

(15) denotes the magnetic singularity exponent for the where corner (for the sake of brevity, we omit here an additional index for “magnetic”). Additionally, we have a singularity along the edge connected to the corner. Hence, the function must become singular at on the negative -axis. To combine both singularity properties, we try the following hypothetical factorization for analysis: (16) is bounded everywhere on the integration surface. where If we now approach the corner along a straight line with , we have using (16) with (17) On the other hand, this representation must be consistent with the formulation according to (15), i.e., (18) Comparing the powers of

yields (19)

On the other hand, approaching a point on the edge at a nonzero distance from the corner, the field according to (16) must exhibit an edge singularity with (20) denotes the magnetic singularity exponent for the where edge. Comparing the powers of in (16) and (20) yields and, together with (19), , so that the magnetic field according to (16) can be written as (21) As a consequence, we know that the function

(22) is bounded. Therefore, instead of using the numerical values directly, we take the values corrected by the singularity functions (23)

in the region far from and fit to them a polynomial the singularities where the values of are more accurate. The resulting function with the explicitly included singularity terms describes the -component of the magnetic field better than the raw values do. After this mathematical manipulation, a standard numerical integration routine is applied to evaluate the integral of over the rectangle , and the result is inserted into (11) to determine the magnetic surface correction factor . Since it depends still on the mesh-cell ratio , one would have to perform the above calculation each time when changing the mesh. In order to avoid this, for different values of and fitted a we calculated function to it, so that the entire information is contained in a set of very few coefficients. It is interesting to note that there is one type of corner for which the magnetic surface correction factors are not uniquely defined. This is the case if one of the eight cells according to Fig. 2 is perfectly conducting while the remaining seven contain all (nonmagnetic) dielectrics. The geometry of this corner has an axis of threefold rotational symmetry. The singular magnetic field, however, does not exhibit this symmetry, but the field lines cross the symmetry axis at right angles. One finds that, independent of the azimuthal orientation of the field with respect to this axis, the magnetic singularity exponent always has the same value (see [19]). However, this is not true for the surface correction factor, which varies with the orientation of the field relative to the integration surface, yielding correction factors . Fortunately, this is a rather small interval. As we do not know the orientation of the field a priori (as it it is determined by the structure outside the singularity region), we use a mean value for this special case. F. Implementation Into FDFD Code Using the method described in the previous subsections, one is able to calculate the correction factors for any metallic or dielectric corner region of rectangular shape. The numerical efforts in doing so are not a problem, but the administrative efforts exceed by far the level one can afford in practical work. However, in practice, the number of possible edge and corner constellations is limited (usually, it is only a few which are needed), and the influence of permittivities and mesh-dependent features can be included by suitable curve-fitting, as described in Sections II-D and II-E. Thus, one can set up a database with the most common edge and corner constellations once and use this as an LUT in all further simulations. This greatly enhances efficiency. The second important feature regarding practical use is that this LUT must be linked to the actual structure by an automated procedure, which scans the individual structure under investigation, locates edges and corners, determines their properties, and correlates them with the data available in the LUT. For this purpose, a routine was implemented into our existing FDFD simulation software. After the structure has been discretized, it searches the mesh for edges and corners and classifies them according to the precalculated types in the LUT. Adjacent to each mesh point are eight cells, which may contain perfect electric conductors (PECs), perfect magnetic conductors

ZSCHEILE et al.: FD FORMULATION ACCOUNTING FOR FIELD SINGULARITIES

2005

Fig. 6. Structure used for detailed verification: microstrip bend.

(PMCs), or dielectrics of different permittivity. PMCs are not considered to be a different class of material, but the surfaces of PMC blocks are treated as mirror planes within the structure. Infinitely thin electric walls can be handled by our software as well. They are represented by grid planes with zero values of the tangential electric field. The type of a singularity is fully determined by the properties of the respective eight-cell region (with the only exception mentioned at the end of Section II-E). The software analyzes the filling of the eight cells and the information on infinitely thin electric walls. These data determine whether there is a singularity and of which type. To keep the number of singularity types reasonable, we restrict the search to the cases with up to three different dielectric materials (which is sufficient for most of the realistic structures), and we treat nonmagnetic materials only. There is no restriction for the positions of PEC-filled cells. If there is a field singularity, the routine calculates the corresponding line- and surface-correction factors and feeds them to the main code, where they are inserted into the discretized Maxwell equations. III. RESULTS A. Special Test Structure for Verification As a first example, we verified our method using a simple structure, which allows one to study accuracy in detail: an L-shaped bend of a microstrip line with infinitely thin metallization (see Fig. 6). The microstrip line is 320- m wide, and the overall volume of the structure is 1040 1040 400 m . The relative permittivity of the substrate is . The enclosure is formed by electric walls. The volume is discretized into cubic cells of uniform size. We performed four simulation runs with cell sizes of 80, 40, 20, and 10 m, respectively. The improvement when including the correction factors is shown in Fig. 7 for the real and imaginary parts of the transmission coefficient and , respectively. Fig. 7 shows how the calculated values of and change with cell size at a frequency of 4.8 GHz. The three curves refer to the results without singularity correction, with correction at edge points only, and with correction at both edge and corner points, respectively. The three curves in each diagram converge to the same values for , but, with

Re( )

Fig. 7. Convergence behavior with and without correction: (a) S and S as a function of cell size x of the uniform mesh (microstrip bend (b) of Fig. 6).

Im( )

1

correction, the errors are smaller. For a given accuracy, the cell size with full correction can be about three times larger than that for the conventional FDFD method without correction. This demonstrates the validity and usefulness of the correction-factor approach. In a second step, the structure of Fig. 6 is used to obtain information on the sensitivity of the results to variations of the correction factors. This is important to know, since the singularity factor calculation according to Section II necessarily induces errors or one may intentionally tolerate deviations in order to cover several corner constellations by the same factor or a simplified description. Fig. 8 provides information on this. As in Fig. 7, and are plotted against uniform cell size . The diagram shows seven curves, each of them being calculated using a set of correction factors with slightly modified values . The ’s are obtained from the correct values by means of the formula so that corresponds to the correct value and corresponds to the case without any correction. From the curves, it can be seen that moderate changes of the correction factors do not have dramatic effects on the results. At first glance, it is surprising that the smallest errors do not occur

2006

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Re( )

Fig. 8. Convergence behavior for variations in correction factors: (a) S and (b) S as a function of cell size x of the uniform mesh (microstrip bend of Fig. 6); the factor a corresponds to the changes in correction factors is equivalent to the correction factors as used in Fig. 7; a indicates (a the conventional case without correction).

=1

Im( )

1

=0

for the correct value of but for larger values. This is probably due to the fact that our method corrects the integrals in the cells next to the singularities only. Therefore, choosing compensates for discretization errors in cells farther away from the singularities and thus leads to an improved overall accuracy. However, since this value depends on the actual structure, this finding is not of practical relevance. B. Practical Examples To demonstrate the benefits of the new method, results for some typical structures will be presented comparing the simulation data with and without singularity correction. This comprises a 2-D example as well as 3-D structures where the focus lies on the following: • homogeneous coplanar-waveguide (CPW) transmission line, which provides information on accuracy of the propagation quantities; • spiral inductor in microstrip environment; • coplanar filter. 1) CPW: Due to longitudinal homogeneity, this structure is analyzed using the port-mode solver, i.e., an eigenvalue problem

= 10

Fig. 9. Results for: (a) a CPW (b) with different widths w m, (c) w m, and (d) w m of the signal line: normalized characteristic impedance at 10 GHz with and without correction factors versus 10 000/N (N denotes the number of mesh cells in the cross section).

= 16

= 40

for the cross section is treated. Fig. 9 illustrates the CPW geometry investigated. The ground-to-ground spacing of 50 m is held constant while the signal line width is varied between 10–40 m.

ZSCHEILE et al.: FD FORMULATION ACCOUNTING FOR FIELD SINGULARITIES

2007

Fig. 11. (a) Side view and (b) equivalent circuit of the spiral inductor in Fig. 10.

Fig. 10. Spiral inductor in a dielectric multilayer system: (a) shown with dielectric and (b) without dielectric with five turns (dimensions: s = w = t = 20 m, L = L = 400 m, h = 200 m, " = 12:9).

Looking at the results, we generally find that the singularity correction yields a better accuracy. In Fig. 9, this is demonstrated for the characteristic impedance , which is plotted in normalized form against the quantity 10 000/ (where is the number of mesh cells in the cross section and the subscript referring to the limit infinity), which allows easy extrapolation to the limiting value. Note that, in contrast to the verification example of Fig. 6, a graded mesh is used for the CPW and all following structures, as is realistic for practical simulations. Therefore, it is difficult to refer to cell dimensions; rather, the total mesh size is the figure of merit. Quantitatively, one can state from Fig. 9 that using singularity correction allows one to reduce the number of cross-section mesh cells by a factor of two while maintaining accuracy. Thus, the savings are considerable but less than those obtained for the structure in Section III-A with uniform cell size. 2) Spiral Inductor: Fig. 10 illustrates the structure under consideration, which is a spiral inductor in helical form, embedded in a dielectric multilayer system with five metallization layers between the dielectrics. The spiral inductor consists of one loop in each layer. It is treated as one-port in shunt configuration to ground and connected via a microstrip line. In multilayer systems, e.g., realized using low-temperature co-fired ceramic (LTCC) or thin-film technology, such spiral inductors are advantageous, because they save space and exhibit improved performance (i.e., less ohmic loss and better field coupling as in a planar inductor of the same inductance). The simulation results for this structure will be evaluated in terms of its equivalent circuit, which gives the best estimate on the importance of deviations with regard to the resulting circuit behavior. Fig. 11 provides the topology of the equivalent circuit; its elements are extracted from the simulated -parameters using optimization. represents the inductive effects while

interwiring capacitance is lumped in the element . Fig. 12 presents the convergence behavior of the inductance and the capacitance , plotted against 10 , where is the total number of cells of the mesh ( and denote the values obtained by extrapolation to infinite , i.e., the exact case). The data in Fig. 12 demonstrate that the singularity correction gives a clear advantage over the noncorrected version. For the inductance, singularity correction yields about 1% error (already for the coarse mesh, see Fig. 12), compared with approximately 5% for the noncorrected version. For the capacitance, on the other hand, we find about 5% error with singularity correction against nearly 15% deviation for the noncorrected case. The different characteristics for inductance and capacitance indicate that the magnetic field is less sensitive to spatial field resolution than the electric field and, thus, capacitance. The mesh sizes used for the calculations plotted in Fig. 12 from the right point to the left point in the charts are , , and . Specifying an accuracy of better than 1%, for instance, one faces the following situation: applying a singularity correction, it is sufficient to use (the second case in Fig. 12), whereas with the noncorrected version only the third case with grid cells meets the specified accuracy level. Thus, singularity correction saves almost a factor of 4 in 3-D mesh size, with the corresponding reduction in memory and about 80% less run time. 3) Coplanar Filter: It is necessary to investigate a series of different structures in order to obtain a comprehensive overview on the benefits of using singularity correction, e.g., the spiral inductor of Fig. 10 has a lot of edges along its turns, but their impact on overall behavior may be not as pronounced as in other cases, so that the singularity correction has a less significant influence. Generally, the benefits due to singularity correction should be highest in structures with many edges and steep spatial field gradients, as is the case in CPW circuits, for instance, which show smaller gaps and linewidths and more strongly confined fields compared with the common microstrip configurations. In order to explore this in more detail, another coplanar structure was investigated, a filter with folded stubs, which is presented in Fig. 13.

2008

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 12. Convergence behavior of: (a) the inductance L and (b) the capacitance C of the spiral inductor (L inf = 9:225 nH, C inf = 175 fF) of Fig. 10: L and C as a function of 10 =N , where N denotes the mesh size and comparison between simulations with and without correction.

Fig. 13. CPW filter with folded stubs (50- CPW with 16-m center conductor and 50-m ground-to-ground spacing).

Dimensions typical for coplanar MMICs are chosen (ground-to-ground spacing of 50 m and a 16- m-wide center conductor, which yields 50 ). As necessary, bends

Fig. 14. Frequency response of the CPW filter in Fig. 13. Magnitude of transmission coefficient against frequency, results of simulations for three mesh sizes for: (a) conventional FDFD and (b) a version with singularity correction.

and junctions are equipped with air bridges to suppress parasitic modes. The filter is designed to realize a stop band at about 10 GHz. The calculated frequency behavior is plotted in Fig. 14. The upper chart corresponds to FDFD simulations without singularity correction, and the lower chart shows the data with singularity correction. Three different mesh sizes ( , , and , respectively) are taken for simulation. The resulting error in resonance frequency is plotted in Fig. 15 against inverse mesh size . Using the singularity correction, it is sufficient to discretize the structure into about 100 000 mesh cells in order to stay below 0.5% deviation (right point in the upper curve of Fig. 15), whereas the same accuracy is achieved only with more than 600 000 grid cells (left point in the lower curve in Fig. 15) in the case of uncorrected edges and corners. Fig. 16 illustrates the CPU time-saving potential. As can be seen, the run-time rises steeply with the number of cells. The CPU times for corrected or uncorrected structures (with the same numbers of cells) are almost identical, since the computational overhead due to the correction factors is negligible. Thus, for the corrected case, the

ZSCHEILE et al.: FD FORMULATION ACCOUNTING FOR FIELD SINGULARITIES

2009

effort by the user. Savings in computation time and a mesh size of more than 80% were found. The actual benefits for a given structure depend on the type of structure analyzed and are difficult to specify a priori. As a rule-of-thumb, singularity correction yields high savings if metallization or dielectric edges and corners are involved, which require high spatial resolution well below the wavelength’s order of magnitude. This is the case for most of the MMIC-related problems, particularly in the coplanar case. REFERENCES Fig. 15. Convergence of the resonance frequency of the CPW filter in Fig. 13.

Fig. 16. CPU time for the CPW filter in Fig. 13 as a function of mesh size

N.

run-time for the same level of accuracy (see Fig. 15) is smaller by a factor of 9 or 10 or more. IV. CONCLUSION We have presented a new extension of the FDFD method that uses a comprehensive description of field singularities in the vicinity of rectangular edges and corners. The properties of the singular fields have been calculated a priori by means of a numerical algorithm, generating results for all practically important material and geometry combinations. Based on this catalog, the simulation software locates edges and corners automatically and applies the appropriate singularity correction. Since the correction factors do not depend on frequency, the method can be applied to the FDTD method as well. However, one has to take into account that the correction factors modify the elementary cell properties and, thus, they influence the timestep limit. This may require special implementations to maintain a stable behavior (see, e.g. [20]). The approach has proven to be successful in FDFD simulations for several classes of MMIC elements. The main advantage compared with the conventional FD scheme is that singularity correction allows us to obtain the same accuracy with a considerably smaller mesh size, and it does not require any additional

[1] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 3, pp. 302–307, May 1966. [2] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 3rd ed. Norwood, MA: Artech House, 2005. [3] G. Mur, “The modeling of singularities in the finite-difference approximations of the time-domain electromagnetic-field equations,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 10, pp. 1073–1077, Oct. 1981. [4] K. R. Umashankar, A. Taflove, and B. Beker, “Calculation and experimental validation of induced currents on coupled wires in an arbitrary shaped cavity,” IEEE Trans. Antennas Propag., vol. 35, no. AP-11, pp. 1248–1257, Nov. 1987. [5] J. van Hese and D. de Zutter, “Modeling of discontinuities in general coaxial waveguide structures by the FDTD method,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 3, pp. 547–556, Mar. 1992. [6] D. B. Shorthouse and C. J. Railton, “The incorporation of static field solutions into the finite difference time domain algorithm,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 5, pp. 986–994, May 1992. [7] K. Beilenhoff and W. Heinrich, “Treatment of field singularities in the finite-difference approximation,” in IEEE MTT-S Int. Microw. Symp. Dig., 1993, vol. 2, pp. 979–982. [8] M. Celuch-Marcysiak, “Local stereoscopic field singularity models for FDTD analysis of guided wave problems,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, vol. 2, pp. 1137–1140. [9] P. Przybyszewski and M. Mrozowski, “A conductive wedge in Yee’s mesh,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 66–68, Feb. 1998. [10] L. Kulas and M. Mrozowski, “Yee’s macrocells in three dimensions,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, vol. 3, pp. 1717–1720. [11] A. Taflove, Computational Electrodynamics: The Finite-Difference Time-Domain Method. Norwood, MA: Artech House, 1995, ch. 10, pp. 281–342. [12] A. Christ and H. L. Hartnagel, “Three-dimensional finite-difference method for the analysis of microwave-device embedding,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 8, pp. 688–696, Aug. 1987. [13] K. Beilenhoff, W. Heinrich, and H. L. Hartnagel, “Improved finite-difference formulation in frequency domain for three-dimensional scattering problems,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 3, pp. 540–546, Mar. 1992. [14] G. Hebermehl, F. K. Huebner, R. Schlundt, T. Tischler, H. Zscheile, and W. Heinrich, “On the computation of eigen modes for lossy microwave transmission lines including perfectly matched layer boundary conditions,” COMPEL Int. J. Comput. Math. Electric. Electron. Eng., vol. 20, no. 4, pp. 948–964, 2001. [15] A. F. Stevenson, “Solution of electromagnetic scattering problems as power series in the ratio (dimension of scatterer/wavelength),” J. Appl. Phys., vol. 24, pp. 1134–1142, 1953. [16] R. de Smedt and J. G. van Bladel, “Field singularities at the tip of a metallic cone of arbitrary cross section,” IEEE Trans. Antennas Propag., vol. AP-34, no. 7, pp. 865–870, Jul. 1986. [17] J. Meixner, “The behavior of the electromagnetic field at edges,” IEEE Trans. Antennas Propag., vol. AP-20, no. 7, pp. 442–446, Jul. 1972. [18] J. van Bladel, Singular Electromagnetic Fields and Sources. Oxford, U.K.: Oxford Univ. Press, 1991, ch. 5.9–5.11, pp. 195–203. [19] J. van Bladel, Singular Electromagnetic Fields and Sources. Oxford, U.K.: Oxford Univ. Press, 1991, p. 197, Table 5.9. [20] I. J. Craddock and C. J. Railton, “A new technique for the stable incorporation of static field solutions in the FDTD method for the analysis of thin wires and narrow strips,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 8, pp. 1091–1096, Aug. 1998.

2010

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Horst Zscheile received the Dr. rer. nat. degree in physics from the Technical University of Dresden, Dresden, Germany, in 1967. Since 1991, he has been with the Ferdinand-BraunInstitut, Berlin, Germany, where he has been involved with the numerical analysis of electromagnetic fields and, specifically, improvements of the finite-difference algorithm. His research has concerned the field of electron optics and electron energy spectroscopy.

Franz Josef Schmückle (M’92) received the Dipl.-Ing.(FH) from FH Wiesbaden, Wiesbaden, Germany, in 1980, the Dipl.-Ing.(TH) from the Technical University of Darmstadt, Darmstadt, Germany, in 1986, and the Dr.-Ing. degree from FernUniversität, Hagen, Germany, in 1992. In 1994, he joined the Ferdinand-Braun-Institut, Berlin, Germany, where he is currently a Group Leader. His current research activities focus on electromagnetic simulation tools, mainly in the field of monolithic-microwave integrated-circuit design and microwave packaging.

Wolfgang Heinrich (M’84–SM’95) received the Dipl.-Ing., Dr.-Ing., and Habilitation degrees from the Technical University of Darmstadt, Darmstadt, Germany, in 1982, 1987, and 1992, respectively. Since 1993, he has been with the Ferdinand-Braun-Institut (FBH), Berlin, Germany, where he is the Head of the Microwave Department and Deputy Director of the Institute. His current research activities focus on MMIC design with emphasis on oscillators, GaAs and GaN power transistors, electromagnetic simulation, and millmeter-wave packaging. Prof. Heinrich served as a Distinguished Microwave Lecturer for the 2003–2005 term. He was chairman of the German IEEE Microwave Theory and Techniques (MTT-S)/Antennas and Propagation (AP) Chapter for the 2002–2005 election period.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

2011

A Waveguide-Based Two-Step Approach for Measuring Complex Permittivity Tensor of Uniaxial Composite Materials M. Jaleel Akhtar, Member, IEEE, Lambert E. Feher, and Manfred Thumm, Fellow, IEEE

Abstract—A rectangular waveguide-based two-step approach for measuring the complex permittivity tensor of uniaxial highly lossy nonmagnetic composite materials in the -band is presented. In the proposed scheme, two independent sets of reflection and transmission coefficient data for each material-under-test (MUT) are measured by aligning the electric field vector of the dominant TE10 mode in the rectangular waveguide parallel and perpendicular to the fiber orientation of the uniaxial sample, respectively. The complex permittivity tensor of the MUT is determined from these measured scattering data in two successive steps. The first step uses the newly proposed analytical approach, which can resolve the ambiguity problem, commonly encountered with samples of electrical length larger than a wavelength. In the second step, nonlinear least square optimization algorithms are employed, where the material parameters using the first step are now used as the initial guess. The proposed two-step approach is valid for multilayered structures, and the local minima problem commonly encountered with optimization routines are also avoided. A number of carbon-fiber composite materials along and, transverse to the fiber orientation are measured using the proposed method. Finally, a brief uncertainty analysis, to study the effect of air-gaps on waveguide measurements, is carried out. Index Terms—Anisotropic media, carbon-fiber reinforced composites, complex permittivity tensor, dielectric measurements, electromagnetic heating, nonhomogeneous media.

I. INTRODUCTION HERE HAS been growing interest in recent years in the use of advanced composites such as carbon-fiber reinforced plastics (CFRP) as the standard materials in the airframe, space, and transport industries in lieu of conventional metals like aluminum. The main advantage of these composites as compared with their metal counterparts is their high strength-to-weight ratios [1]. The weight saving is quite important in both the aircraft and the transport industry as it leads to less fuel consumption, which is obviously a large part of the concern today. In the airframe industry, the weight saving also enables aircrafts to achieve greater speed and range. The importance of advanced composites in the space industry can be understood from the

T

Manuscript received October 24, 2005. This work was supported in part by Helmholtz-Gemeinschaft under Contract VH-FZ-02t. M. J. Akhtar and L. E. Feher are with the Forschungszentrum Karlsruhe, Institut für Hochleistungsimpuls- und Mikrowellentechnik, 76021 Karlsruhe, Germany. M. Thumm is with the Forschungszentrum Karlsruhe, Institut für Hochleistungsimpuls- und Mikrowellentechnik, 76021 Karlsruhe, Germany, and also with the Universität Karlsruhe, Institut für Höchstfrequenztechnik und Elektronik, 76128 Karlsruhe, Germany. Digital Object Identifier 10.1109/TMTT.2006.873623

fact that the whole concept of possible space elevators has been recently revived only due to the discovery of carbon-nanotubebased composite materials, whose strength can, in principle, be 100 times that of steel, at one-sixth of the density [2]. However, the main bottleneck in wider applicability of these kinds of composite materials is their higher costs, which is mainly due to the slow and extensive curing of these composites in conventional autoclaves. It has recently been demonstrated that a 2.45-GHz microwave applicator in combination with a fully automated setup for the curing of composite materials can result in lower processing costs, thereby reducing the overall price of CFRP materials [3]–[5]. In order to show the full applicability of this procedure, industrial size microwave systems are currently under development at the Forschungszentrum Karlsruhe (FZK), Karlsruhe, Germany, in collaboration with the company of Voetsch [6], which can cure even large structures with uniform heating. The microwave processing certainly has other advantages such as the uniform, rapid, and selective heating for reinforced materials, which can generally not be achieved with conventional curing. However, for the proper design of microwave applicators and to fully understand the mechanism of interaction of high-frequency electromagnetic waves with composites, the knowledge of dielectric and conductive properties of these materials is quite important [7]. But, until now, the dielectric and conductive properties of these highly lossy carbon-fiber composites have not been measured because of the complexity involved in such kinds of measurements. It may be mentioned here that the material properties of these fiber-reinforced composites are. in general, anisotropic in nature and have to be represented in terms of tensors with respect to the fiber orientation [3]. The aim of this study is to present a unified robust technique, which can be used to measure the permittivity and conductivity tensor of reinforced composites. In order to simplify the overall analysis, the material is assumed to be uniaxially anisotropic, which, in general, is valid for these kinds of composites, as the anisotropy is mainly observed in the plane containing fibers of the material-under-test (MUT) [8]. The characterization of uniaxial samples can be carried out either using two different modes or using two different samples of the MUT, in order to determine two mutually perpendicular components of the complex permittivity tensor [9]. In the literature, various kinds of approaches, both resonant and nonresonant, have been reported for measuring the electromagnetic parameters of anisotropic materials. The method used by Chen et al. [10] is, for example, a resonant one, which makes use of two degenerate modes in the

0018-9480/$20.00 © 2006 IEEE

2012

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

cylindrical cavity in order to measure two complex components in the permittivity tensor. Although it is a resonant method, this approach has its own advantages such as quite high accuracy and sensitivity, but its limitation lies in the requirement of the test specimen having a small electrical size and a specified geometrical shape [11]. The nonresonant methods used until now for the measurement of anisotropic materials include both reflection and reflection-transmission approaches. The reflection method has been used by either putting the nematic liquid crystals in a coaxial cell [12] or by placing the solid rubber sheets in free space [8]. However, as the measured data in this case are only reflection coefficients (and some times only magnitude coefficients), this approach might not give accurate reconstruction, especially for samples having quite high values of dielectric and conductive properties. Belhadj-Tahar and Fourrier-Lamer have employed the reflection-transmission approach for the measurement of uniaxial samples [13], where they have filled the gap in the coaxial line with the MUT. The material properties are reconstructed from the measured scattering data in their case by taking into account higher order modes that are excited at the discontinuity. The coaxial line obviously has an advantage in terms of bandwidth, as a single measurement can provide the data up to 18 GHz. However, the test samples required in [13] should be properly machined in the shape of circular cylinders and then have to be metallized on contact surfaces with line conductors. Apart from this, for each individual sample, a numerical mode-matching technique is to be applied by considering an appropriate number of higher order modes, in order to obtain the real solution. This whole process might be quite cumbersome, especially for those materials that are difficult to be machined and that have quite high values of dielectric and conductive properties. Another possibility of using the reflection-transmission approach is by carrying out the measurements inside a rectangular waveguide, where the machining of test specimen is not so crucial as only slab-shaped samples are required. The waveguide approach for characterizing the anisotropic material was first proposed by Damaskos et al. [14], where they have stated that, in a dispersive medium, the constituent parameters of the MUT can be obtained by carrying out two sets of measurement, which are obtained by exciting the waveguide with two different modes. However, as is well known, from the practical point of view, it is not easy to measure the reflection and transmission coefficients for the higher order mode excitation due to the problem of calibration and other requirements. Moreover, the purely analytical approach proposed in this paper along with the assumption of very thin material cannot be applied under all practical situations. As a matter of fact, the basic equation (27) derived in this paper is same as reported by other authors for the isotropic media [15], [16]. Hence, the problem of phase ambiguity commonly associated with thicker samples as mentioned in [15] and [17] still remains unresolved. In this study, the transmission-reflection waveguide method is made more generalized so that it can be used for characterizing highly lossy uniaxially anisotropic dielectric and composite materials of any arbitrary thickness. The method is based on carrying out measurement of two independent sets of reflection and transmission coefficient data for each MUT and does not require the waveguide to be illuminated with higher order modes. These

two independent sets of measured data are obtained by aligning the electric field vector of the dominant mode, once along the fiber orientation of the MUT, and next transverse to it, as explained in detail in Section II. The complex permittivity tensor of the MUT is determined from these independent sets of measured scattering data using an optimization algorithm in combination with an analytical approach. As some of the composite materials considered in this paper are very thin, a provision is made in our setup to hold the MUT between two low-loss isotropic dielectrics, which results in a multilayered transmission-line structure. In order to simplify the overall analysis for this kind of multilayered structure, a wave-amplitude transmission matrix (TM) approach, where each layer and the air–dielectric interface can be represented by a distinct two-port transmission matrix [18], is proposed. The proposed TM approach is advantageous in many respects as compared with the conventional signal flow graph theory or the small reflection theory commonly used to analyze multilayered structures [19], [20]. The first advantage is its ease of implementation, as the transmission matrix of a number of cascaded sections can simply be determined by multiplying the matrices of the individual sections altogether. The other main advantage of our TM approach is the possibility of using analytical methods [15], [17], [21] in order to find an explicit solution for the complex permittivity, which is otherwise difficult for multilayered structure, as was mentioned in [20]. It is to be noted here that, although these analytical methods alone cannot provide a very stable and accurate solution of the material properties in all cases, they definitely provide a good initial guess of these parameters for the iterative routines. A good initial guess of constituent parameters is quite important for almost all kinds of iterative routines in order to converge to the correct solution and to avoid the local minima problem [22], [23]. However, the major problem in using the analytical methods per se is various kinds of ambiguities associated with them. The ambiguity generally occurs when the electrical length of the test sample is either an integer multiple of a half-wavelength or when it exceeds a wavelength. The first kind of ambiguity generally occurs for low-loss samples, and various techniques have been proposed to resolve this problem [22], [24], [25]. Our main concern in this paper is the ambiguity of the second type, which can sometimes be avoided by making the samples of the MUT very thin. However, for materials having very high values of dielectric constants (which is the case for a typical composite material considered in this paper, as per our initial results [26]), this is a quite difficult task. The problem mainly lies in the fact that, for these kinds of materials, the electrical length of even physically thin samples might appear to be more than a wavelength, thus making the results ambiguous. One possibility to resolve this phase ambiguity is by computing the group delay, which is defined as the slope of the phase of the measured transmission coefficient versus frequency (assuming that the material parameters are constant within this frequency range) [15]. However, the main problem in using this method is the selection of appropriate frequency steps in order to compute the group delay from the measured data. On the one hand, the frequency step should be sufficiently small so that the phase of the transmission coefficient does not change more than 360 from one frequency

AKHTAR et al.: WAVEGUIDE-BASED TWO-STEP APPROACH FOR MEASURING COMPLEX PERMITTIVITY TENSOR OF UNIAXIAL COMPOSITE MATERIALS

to the next. On the other hand, if the measured data lie in a narrow frequency band, then the phase of the transmission coefficient might be almost constant from one frequency to the next, and hence the computation of group delay in this case might again produce erroneous results. Apart from the above-mentioned problems, one extra parameter, viz. the group delay, has to be computed every time in order to obtain stable results. In this study, a novel approach is proposed to avoid the type of ambiguity occurring due to sample length greater than a wavelength. The proposed method assumes the MUT to be nonmagnetic (which is generally true for class of materials considered here) and does not require the computation of any extra parameter such as the group delay. Our technique is simply based upon the reconstruction of the relative permittivity and effective conductivity of the MUT in successive steps from the measured spectral domain reflection and transmission coefficients data, respectively. In this framework, we have derived closed-form analytical relations expressing the relative permittivity and effective conductivity in terms of reflection and transmission coefficients. As a first step, the complex relative permittivity of the MUT is determined using these derived analytical formulas. The second step of our method involves the use of nonlinear least-square optimization algorithms in order to accurately determine the complex permittivity tensor of the MUT, where the reconstructed material parameters using the first approach are now used as the initial guess. In this paper, reflection and transmission coefficient data of a number of carbon-fiber composite samples are measured in the -band in order to reconstruct their electromagnetic properties using the proposed two-step approach. It is shown that the proposed analytical approach is able to provide stable reconstruction under situations, where other commonly available approaches provide ambiguous results. The reconstructed material properties are verified by comparing them with simulated data. The simulation is carried out with the help of a numerical three-dimensional (3-D) electromagnetic field simulator, CST Microwave Studio (CST MWS).1 At the end, CST MWS is also employed to carry out a brief uncertainty analysis in order to study the effects of air gaps in various directions on waveguide measurements.

2013

Fig. 1. Electric field configuration of the dominant mode in a rectangular waveguide, along with the cross section of the MUT cut along two perpendicular directions. (a) Electric field configuration of the dominant TE mode in a rectangular waveguide (cross section). (b) MUT (cross section) with fiber orientation parallel to the electric field. (c) MUT (cross section) with fiber orientation perpendicular to the electric field.

the uniaxial sample, respectively. For this purpose, two pieces of each MUT, which are cut along and perpendicular to the fiber orientation of the sample, are required. The cross section of the uniaxial sample, which is cut along these two directions, is shown in Fig. 1(b) and (c) respectively. In Fig. 1(b), fibers of the MUT are oriented along the optical axis (i.e., parallel to the electric field), while Fig. 1(c) represents a case with fibers oriented perpendicular to the electric field. After defining the above convention, the complex permittivity tensor of the MUT in the present situation may be written as [27]

(1) is the permittivity of free space, and and where represent the complex relative permittivity of the MUT corresponding to the geometry of Fig. 1(b) and (c), respectively. Each component of the complex permittivity tensor in (1) may be written as

II. THEORY (2)

A. Uniaxial Complex Permittivity Tensor In this paper, the MUT is considered to be uniaxially anisotropic. The optical axis in the present situation is chosen to be along the -axis in order to conform to the electric field orientation of the dominant mode in our measurement setup. This situation can be explained with reference to Fig. 1(a), which shows that the electric field is oriented toward the -direction for the dominant mode in a rectangular waveguide. Now, as mentioned earlier, two complex components in the permittivity tensor of the MUT are measured by aligning the electric field vector of the dominant mode in the rectangular waveguide parallel and perpendicular to the fiber orientation of 1Computer Simulation Technology (CST), Darmstadt, Germany. 1998–2003. [Online]. Available: http://www.cst.com

where is given by or representing the perpendicular and parallel components, respectively, denotes the real part of the relative permittivity assuming it to be frequency-independent in the frequency range of interest, , where is the frequency, represents the effective conductivity of the corresponding component taking into account both dielectric and conductive losses, and is the permittivity of free space. It may be noted here that any particular component of the permittivity tensor defined by (2) is a scalar quantity and can be treated in the same way as the permittivity of any isotropic medium. B. Multilayered-Waveguide Structure In this study, the spectral domain reflection and transmission coefficient data of thin uniaxial anisotropic MUT are measured

2014

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 2. MUT sandwiched between two known dielectric samples into a waveguide section of equivalent length L.

by placing it in between two isotropic reference samples. This kind of arrangement results in a multilayered structure, as shown is sandwiched in Fig. 2, where the MUT of thickness between two known low-loss dielectric materials of thicknesses and , respectively. The arrangement shown in Fig. 2 is considered in order to make the formulation quite general. In cases where additional dielectric layers are not required, the reference samples shown in this figure can always be chosen as air. The reference planes 1 and 2 in Fig. 2 represent two ports of a vector network analyzer (VNA). An extra section of empty waveguide (air-filled) of thickness is also incorporated in this figure in order to have the flexibility in choosing the length of the sample holder. It is assumed that only the dominant mode propagates over the whole length of the waveguide, which is generally valid for these types of measurements, as long as the measurement reference plane is far away from the test sample interface. Now, our job is to compute the overall reflection and transmission coefficients of the multilayered structure shown in Fig. 2 at reference positions 1 and 2 in order to compare them with the measured data. For this purpose, a wave-amplitude transmission matrix approach is used, where each layer and the air–dielectric interface can be represented by a distinct two-port transmission matrix. The two-port transmission matrix can easily be converted into the conventional two-port scattering matrix, and vice versa, using the algebraic transformation (A2). It is worth noting here that this transformation is quite important from the practical point of view as, under real situations, the direct measurable quantities using a VNA are only reflection and transmission coefficients ( matrix). The overall transmission matrix of the measurement defined at reference planes 1 and 2 in Fig. 2 can be represented ass (3) where the subscript (0) corresponds to the air medium, is the matrix of the junction between the th and th layers, and represents the matrix of the th layer. The transmission matrix of the MUT, in (3), is defined at the sample face after de-embedding the nonsample regions from the test sample in Fig. 2 and can be written in the following form: (4)

Now, to compute the individual -matrices of all of the junctions and layers defined in (3) and (4), we define the impedance and the propagation constant for each layer in Fig. 2, assuming the dominant -mode propagation and time dependence. The propagation constant for any th layer may be written as [18]

(5) where

(6) is defined as the equivalent complex relative permittivity of the corresponding component for the dispersive media, is the free-space wavenumber, where is the velocity of light in free space, is the cut-off wavenumber of the dominant mode, where is the broader dimension of the waveguide, and is defined using (2). The impedance of the th layer inside the waveguide considering the dominant mode propagation can be derived as

(7) where is the permeability of free space, is the free-space intrinsic impedance, and is defined as the normalized impedance. After defining the propagation constant and the impedance in (5)–(7), individual transmission matrices of (3) and (4) can be computed using the equivalent circuit theory approach given in the Appendix. The matrix of any junction connecting the th and th layers in Fig. 2 may be derived to have the following form:

(8)

AKHTAR et al.: WAVEGUIDE-BASED TWO-STEP APPROACH FOR MEASURING COMPLEX PERMITTIVITY TENSOR OF UNIAXIAL COMPOSITE MATERIALS

where we have made use of (A1) and (A2). On similar lines, the matrix of any th layer in Fig. 2 can be derived to have the following expression:

2015

some simplification, leads to following expressions for the reflection and transmission coefficients defined at the sample interface: (11a)

(9) It is to be noted here that, in (5)–(9), the MUT is assumed to be uniaxial anisotropic in order to make the formulation quite general. However, for isotropic samples, may simply be replaced by in all of these equations. Similarly, for an empty waveguide section in Fig. 2, is replaced by 1.0. After computing all of the individual matrices using the procedure described above, they are substituted into (3) in order to obtain the overall transmission matrix . This overall matrix is finally converted into the scattering matrix using (A2) in order to compute the overall reflection and transmission coefficients defined at reference planes 1 and 2 in Fig. 2.

(11b) is the local reflection coefficient defined at the inwhere terface between the first layer and the MUT in Fig. 2 given by

(12) and is the local transmission coefficient of the MUT in Fig. 2 defined as (13)

C. Analytical Approach The aim of an analytical approach is to obtain a closed-form expression of the complex relative permittivity tensor in terms of the measured reflection and transmission coefficient data. Because of their simplified forms, the analytical expressions require the reflection and transmission coefficients to be defined directly at the sample interface. In a typical case, the MUT is generally surrounded by air medium on both sides, and, hence, the measured data can be transformed to the sample face by means of simple exponential multiplication [22]. However, in a general case, where the MUT is surrounded by two reference samples of different permittivity, these simple de-embedding techniques cannot be employed. However, using the proposed approach, this transformation can be carried out in quite a straightforward manner by rewriting (3) into the following form:

It may be noted here that the basic form of (11) is similar to that given in [21]. However, in the present case, these equations are derived using the step-by-step transmission-line approach, which is easier to implement and can be extended to multilayered uniaxial anisotropic structures, as shown here. Equations (11a) and (11b) can be simultaneously solved in order to obtain both unknowns in these equation, namely, and . For a general nonmagnetic sample, two independent solutions for each component of the MUT, from either or , can be obtained as follows:

(14a) (10) In the above equation, matrices of all of the individual layers and junctions are determined using the procedure described in Section II-B. The overall transmission matrix can be computed from the two-port scattering matrix, measured at reference ports 1 and 2 in Fig. 2, using the transformation given by (A2). Finally, can be converted into the matrix in order to transform the measured reflection and transmission coefficient data to the sample face in Fig. 2. The transformed measured data are expressed in terms of dielectric and conductive properties of the MUT with the help of (4), which, after

(14b) and denote two independent solutions where superscripts of obtained from and , respectively, as indicated above, is an integer, and is the inverse of which is determined from (15), shown at the bottom of the page.

(15)

2016

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

The proper sign in (15) is chosen by applying the criterion that . Under practical situations, use of either (14a) or (14b) alone to reconstruct both the relative permittivity and effective conductivity of the MUT provides unstable results, as will be shown in Section II-D. For nonmagnetic thin lossy samples, one possibility is to use the geometric mean of (14a) and (14b) in order to obtain the complex permittivity. However, for samples whose electrical length is longer than a wavelength, (14b) provides an ambiguous solution. This ambiguity mainly occurs due to the presence of an infinite number of roots of (14b), since the imaginary part of a logarithm of a complex quantity is the angle of that complex value plus , as shown above. In the following paragraph, we propose a novel approach to avoid this kind of ambiguity. Before explaining the whole process in detail, let us first clarify few points regarding the range of applicability of (14a) and (14b). It can be observed from (12) that basically represents a junction between two adjoining layers, and hence its value mainly depends upon the difference in impedance between these two layers having different permittivity. Now, without any loss of generality, it can be postulated that the local reflection between two adjoining layers having different permittivities (impedance levels) is mainly caused by the real part of the permittivity of both layers. In other words, (14a) can be expected to provide the real part of permittivity with a reasonably higher amount of accuracy as compared with the imaginary part. It can be argued on similar lines that (14b), which reconstructs the complex permittivity from the local transmission coefficient data , provides quite accurate values of the imaginary part of the permittivity, i.e., or . From the above discussion, it can be inferred that the real part of the complex permittivity tensor of the MUT can be reconstructed with a reasonably high amount of accuracy with the help of (14a), i.e., . Our next task is to resolve the ambiguity problem associated with (14b) in order to reconstruct the imaginary part of . For this purpose, we substitute the real part of the permittivity reconstructed from (14a) into (14b), i.e., we make , which results into (16a) (16b) where we have separated the real and imaginary parts of (14b). The above substitution in (16a) leads to determination of the exact angle of the propagation factor without any ambiguity, i.e., we do not require the computation of any extra parameter in order to ascertain the correct value of . The value of the angle determined thusly can then be substituted into (16b) in order to reconstruct the equivalent conductivity of the MUT, i.e.,

(17) Finally, the relative permittivity and the effective conductivity of each component of the permittivity tensor of the MUT can

be reconstructed, without any ambiguity, from (14a) and (17), respectively. D. Optimization Approach The analytical approach described in Section II-C can provide a good initial guess for the optimization algorithms. The optimization approach minimizes the difference between the measured and simulated scattering data in the specified frequency band in order to determine the material parameters with reasonably good accuracy. The optimization approach can directly make use of (3) by converting it into the overall scattering matrix using (A2). After computing the overall reflection and transmission coefficients at reference planes 1 and 2 in Fig. 2, error functions representing the difference between the computed and measured scattering data are defined as follows:

(18) As the overall measurement setup is reciprocal, and each of the scattering coefficient data in (18) is complex, this will lead to six real error functions, i.e., in (18) for each individual orientation (either parallel or perpendicular) of the MUT in Fig. 2. The square sums of these error functions are minimized in the specified frequency range using MATLAB-based nonlinear least-square optimization algorithms in order to obtain both parallel and perpendicular components of the complex permittivity tensor of the MUT. It may be noted here that the dielectric properties of reference samples in Fig. 2 are known (determined) in advance, hence (18) can be minimized to determine material properties (relative permittivity and effective conductivity) corresponding to the MUT. One of the major advantages of the optimization approach is the flexibility in choosing different combinations of the error functions defined in (18) in order to determine the material properties. It has been mentioned in [22] that, for high-loss materials, reflection coefficient data are dominant and, hence, is expected to give a more accurate result. While this statement is generally true for conventional lossy dielectrics under ideal conditions, for the measured carbon-fiber composite samples, we did not observe this trend. The reason for this is that, for these types of materials, the reflection coefficient is sufficiently high (close to a short). Hence, considering the reflection coefficient alone might sometimes produce a number of local minima around the real solution, thus producing unstable results, especially for the nonideal measured data. On the other hand, if the test sample is not very thick, then the magnitude of the transmission coefficient provides a good estimate of the effective conductivity or loss factor of the MUT. Hence, the best results for these types of materials are obtained using both reflection and transmission coefficient data. The consideration of the phase of the transmission coefficient data might, of course, sometimes be tricky, especially for thicker samples, as discussed earlier. However, if the previously described analytical approach is employed first to reconstruct approximate values of material parameters, then an intelligent decision can be made regarding the selection of appropriate scattering coefficients for the optimization routines.

AKHTAR et al.: WAVEGUIDE-BASED TWO-STEP APPROACH FOR MEASURING COMPLEX PERMITTIVITY TENSOR OF UNIAXIAL COMPOSITE MATERIALS

TABLE I MEASURED COMPLEX PERMITTIVITY TENSOR OF DIFFERENT SAMPLES AT 2.45 GHz

III. RESULTS AND DISCUSSIONS A. Measured Data The reflection and transmission coefficient data of a number of test samples are measured in the -band, with the help of a VNA, by placing them into a WR-340 waveguide section (86.4 43.2 mm) [26]. The VNA is first calibrated at the coaxial reference plane using the Auto-Cal kit supplied by the manufacturer. The measured scattering data are corrected at the waveguide reference plane a posteriori using a through-reflect-line (TRL) calibration algorithm [28]. Special care is taken to fit the MUT precisely along the cross section of the sample holder, and the remaining air gaps, if any, are filled with aluminum foil. An extra piece of waveguide (of a minimum of 40-mm long) is connected at each port in order to minimize the effects of higher order modes. For measuring uniaxial probes, two samples of each MUT, cut along mutually perpendicular planes, are employed. The complex permittivity tensor of the MUT is reconstructed from the measured reflection and transmission data using using an analytical approach (first step) in combination with the optimization algorithms (second step). A number of samples, both isotropic and anisotropic, have been measured using our proposed scheme, as shown in Table I. The first five rows of this table show the permittivity and effective conductivity (loss factor) of isotropic materials, which obviously have same component in all directions. These materials are measured in order to determine the dielectric properties of reference materials shown in Fig. 2 and to show the applicability of the overall procedure. The measured dielectric properties of these common plastics are very close to as those reported in the literature [29]. The PVC material shown in the above table is used as the reference sample in the case of multilayered structures. Thin samples of glass fiber and alumina are measured by sandwiching them between two PVC samples in order to validate the multilayered reconstruction algorithm. The

2017

resin shown above is one that is commonly used for the curing of composite materials. To test our procedure for anisotropic materials, we have measured a typical piece of wood along two perpendicular directions. The difference in material properties along two directions clearly depicts the anisotropic behavior of wood, which is also known in the literature. It can be observed here that, for these standard isotropic and anisotropic dielectrics, the difference in the reconstructed material properties between the analytical and optimization approaches is not too high. After measuring a number of standard dielectrics samples in order to verify the overall procedure, we measure the complex permittivity tensor of carbon-fiber composite materials, which is of course the main goal of this paper. For this purpose, we have chosen CFRPs of three different types, viz., CFRP1, CFRP2, CFRP3, and a carbon-fiber-composite thermo-plastic CFC-TP, as shown in the last eight rows of the above table. The three CFRP samples, the thickness of which is approximately 3 mm, have been cured at different times and hence have different proportions of carbon fibers and resin. The CFC-TP is approximately 0.2-mm thick, and its material properties are measured by sandwiching it between two PVC reference samples. All of the measurements are repeated a number of times in order to have consistency in the results. It is to be noted here that, although all of the composite samples differ in their reconstructed material properties, they certainly show the same trend, i.e., a strong dependence of the dielectric and conductive properties of these types of materials on their fiber orientation. The relative permittivity and effective conductivity of all these materials are quite high when the electric field is oriented parallel to the fiber direction, while these parameters are low along the perpendicular fiber orientation. This kind of behavior for the grain-oriented material is also reported in the literature [7] and is mainly due to the fact that, when the electric field is polarized parallel to the fiber orientation, then the electric energy can be coupled more efficiently to the fiber, which ultimately leads to more energy absorption, as compared with the perpendicular case. The high dielectric constant in the parallel orientation can also be attributed to the higher value of average polarization density for this case, as the polar axis lies along this direction. It is mainly due to the extremely high values of relative permittivity and effective conductivity of these materials that the use of either analytical or optimization method alone might not produce stable results. It can easily be shown that the electrical length of all three CFRP samples (parallel case) given in Table I is more than a wavelength at 2.45 GHz, and hence a simple analytical method might produce ambiguous results. However, the modified analytical approach presented in this study is valid for samples of any arbitrary length and, hence, provides approximate values of the material parameters for all cases, as shown above. The reconstructed material parameters using the analytical approach are then used as the initial guess in the optimization algorithm in order to determine the accurate value of the complex permittivity tensor of the MUT. For the CFC-TP sample with the parallel orientation, the analytical approach did not produce a sensible result, which might be due to the presence of a small amount of error in the measured scattering data. The material parameters for this case are determined by executing the optimization routine with a number of initial conditions and choosing the

2018

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 3. Typical geometry for the waveguide measurement simulation; all dimensions are in millimeters.

solution corresponding to the minimum residual. However, to check the consistency of the results, the reconstructed material parameters are used in the simulation software in order to determine reflection and transmission coefficient data of this sample. These simulated scattering data are then employed in the analytical procedure to compute back the material parameters of this sample, which is quite accurate, as shown in the table. Hence, it can be inferred from this analysis that, for very thin samples having a high dielectric constant, the analytical approach is very sensitive to the presence of even a small amount of error in the measured scattering data. It may be mentioned here that the analytical approach in Table I employs the measured scattering data at 2.45 GHz, while the optimization approach makes use of the scattering data measured in the range of 2.4–2.5 GHz, assuming that the material parameters are independent in this frequency range. B. Simulated Data and Comparison It is observed from Table I that both the relative permittivity and effective conductivity of the carbon-fiber composite materials are exceptionally high when compared with conventional lossy dielectrics, especially for the parallel case. As the dielectric and conductive properties of these materials are not measured before, to the best of our knowledge, it is not possible to compare our results with the published data. Hence, to compare our results, we simulated our waveguide measurement setup with the help of an independent software CST MWS, which is basically a numerical 3-D electromagnetic field simulator based on the finite integration technique (FIT) . The 3-D geometry of the simulation is shown in Fig. 3, where the MUT is placed in the middle of a WR-340 waveguide sample holder, and the waveguide is excited at the input port with the dominant mode.

Dielectric and conductive properties of the MUT in the simulation geometry are substituted from Table I for each composite sample considering both parallel and perpendicular orientation. The reflection and transmission coefficient data are then computed with the help of CST in the specified frequency range for each MUT in order to compare them with the actual measured data. The comparison between the simulated and the measured scattering data for two uniaxial samples in the specified frequency range considering the parallel illumination, which represents the worst case scenario, is shown in Fig. 4. A very good agreement between the measured and the simulated data for both reflection and transmission coefficients as shown in this figure proves the validity of the proposed approach. A similar kind of agreement between the measured and simulated data is also achieved for other samples. It can be observed from Fig. 4 that the reflection coefficient data is quite high and the transmission coefficient data is sufficiently low for the parallel component of a typical carbon-fiber composite sample. This kind of behavior of the scattering data is the main reason for the difficulty and sensitivity involved in the measurement of these composite materials. After validating our measured material parameters, we present in Table II a brief comparison of the proposed analytical approach with other available analytical methods. As our aim here is mainly to show the applicability of the proposed method in those situations, where other methods provide ambiguous results because of the phase uncertainty, we have considered only those samples, which have their electrical length greater than a wavelength. In Table II, the first two columns always provide the actual parameters, while the next five columns represent the reconstructed material parameters using different approaches. The first and and second approaches in this table represent most

AKHTAR et al.: WAVEGUIDE-BASED TWO-STEP APPROACH FOR MEASURING COMPLEX PERMITTIVITY TENSOR OF UNIAXIAL COMPOSITE MATERIALS

2019

Fig. 4. Comparison of measured and simulated scattering parameters for the parallel component of two uniaxial composite samples. TABLE II COMPARISON OF RECONSTRUCTED MATERIAL PARAMETERS USING DIFFERENT ANALYTICAL APPROACHES AT 2.45 GHz

of the common analytical methods available in the literature and are obtained using (14a) and (14b) respectively. The last column in this table represents the reconstructed conductivity using the proposed approach, which is obtained using (17). It may be noted that, as per our method, the relative permittivity is reconstructed using the first approach given in Table II, i.e., , as mentioned in Section II-C. Hence, the relative permittivity and the effective conductivity using the proposed approach are basically given by the third and last columns, respectively, which are bold-faced in the table in order to provide clarity. The first three rows in this table represent the measured data, which correspond to parallel components of CFRP1, CFRP2, and CFRP3, respectively, given in Table I. It can easily be observed from these three rows that the second approach, which basically reconstructs material parameters from the transmission coefficient data, provides a totally ambiguous result. On the other hand, the proposed approach provides a stable result in all three cases, although a difference between the actual and reconstructed parameters exists. The fourth and fifth rows in this table represent material parameters reconstructed from the ideal simulated data, where it can be observed that even the first approach alone can provide a sensible result, although the proposed approach provides a better accuracy for the reconstructed

conductivity. In the last two rows of the above table, the simulated reflection and transmission coefficient data is corrupted by adding 0.5%–1% relative error to them in order to comply with the actual measuring situation. It can be observed from both of these rows that even such a small change in the scattering data has a big influence on the reconstructed material parameters, and, as a result, now the first approach alone is no longer able to provide a sensible result. At this point, it is quite interesting to analyze the first three rows of this table, where a similar kind of error in the reconstructed parameters was observed. The reason behind the difference between the actual and reconstructed parameters in those cases can now be attributed to some small error in the measured scattering data. However, it can be observed that our proposed analytical approach is able to provide stable reconstruction even under these noisy conditions. This is definitely advantageous under practical situations, as a small amount of error in the measured scattering data is sometimes unavoidable. C. Uncertainty Analysis Our aim here is not to carry out a detailed uncertainty analysis with respect to slight variation of various parameters, as they have already been done by various authors in past in both analytical and numerical form [20], [22], [24], [25]. However, we here mainly analyze the effects of air gaps between the sample and waveguide walls along both the broad and narrow dimensions of the rectangular waveguide, and the effects of a small thickness discrepancy for very thin samples. The effect of air gaps between the MUT and the reference samples in the axial direction, which might occur in case of multilayered structures, is also considered. To the best of our knowledge, this kind of detailed analysis regarding effects of air gaps in various directions, and effects of small thickness discrepancy, on the reconstructed material parameters involving various contrasts of material properties, have not been carried out in the past. It may be noted here that the effects of air gaps between the test sample and the waveguide walls cannot be, in general, carried out using analytical methods, and hence we have to resort to a numerical approach. In this study, this analysis is carried out with the help

2020

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE III EFFECTS OF AIR-GAP AND SMALL THICKNESS-DISCREPANCY ON THE RECONSTRUCTION OF MATERIAL PARAMETERS AT 2.45 GHz

big difference in reconstructed material properties for both cases show that, for a thin sample, special care should be taken in order to measure its thickness. IV. CONCLUSION

of CST MWS by deliberately reducing the cross-sectional dimensions of the MUT by 0.5 mm and introducing an air section in the corresponding gap, using the geometry shown in Fig. 3. For analyzing the effects of air gaps in the axial ( ) direction in case of multilayered structures, air sections are introduced between the MUT and the reference samples. After introducing these deliberate air gaps, simulated reflection and transmission coefficients are used to reconstruct the material properties, as shown in first six rows of Table III. The in Table III denotes the direction in which the air gap is introduced, while represents the thickness of the MUT. The first four rows of this table represent the reconstructed results corresponding to 0.5-mm air gaps in the cross-sectional direction, while the next two rows show the reconstructed parameters resulting from 0.5-mm air gaps in the axial direction, as per the convention used in Fig. 3. The material parameters and the corresponding thicknesses are chosen mostly according to our actual measured test samples given in Table I. It can be observed from the above table that the air gap in the -direction causes maximum error in dielectric and conductive properties (up to 35%). This is also to be expected, because for the dominant -mode propagation, the electric field is oriented in the -direction, but has nearly zero value on both narrow-end walls ( -direction) as shown in Fig. 1. It is also to be noted from the fifth and sixth rows of this table that in case of multilayered structures, small air gaps between the MUT and the reference sample are not much of a concern as long as the total length of the sample holder and thickness of the MUT is precisely known. The last two rows of Table III show the effect of small thickness discrepancy combined with the effect of a small air gap in the axial direction on the reconstructed data, which is quite significant for very thin samples measured in multilayered structures. For these two cases, scattering data are simulated at the specified frequency considering the MUT to be 0.2-mm thin, and 0.25-mm air gaps between the MUT and reference samples in the -direction is also introduced. However, for reconstructing the material properties, 25% error in thickness is assumed, i.e., the MUT is considered to be either 0.25- or 0.15-mm thick. A

A unified two-step approach has been presented to measure the complex permittivity tensor of uniaxial CFRPs. The material properties of thin samples have been measured in a multilayered environment by sandwiching the MUT between two standard dielectric samples. To analyze this multilayered waveguide structure, a convenient TM approach has been proposed. It has been observed that the material properties of CFRPs are strongly dependent on the carbon-fiber orientation, having exceptionally high value of relative permittivity and effective conductivity in the direction parallel to the electric field. It is mainly because of these exceptionally high values of dielectric and conductive properties that the measurement of these materials becomes quite difficult, and the conventional analytical or optimization approach alone cannot provide stable results. However, the proposed two-step approach has been proven to be a viable option for the characterization of these kinds of composite materials. In addition, the analytical approach presented in this paper has been shown to provide unambiguous results for samples of any arbitrary length and is stable under noisy conditions. A brief uncertainty analysis has been carried out for rectangular waveguide measurements assuming a dominant wave propagation, which shows that a small air gap between the MUT and the broad wall of the waveguide might produce a large error in the reconstructed material parameters. Although our main aim in this paper was to carry out measurement of composite materials at 2.45 GHz, the overall method presented here is quite general in nature and can be quite conveniently employed to characterize conventional lossy dielectric materials of any arbitrary thickness over a wide frequency band. APPENDIX Each layer of the multilayered media shown in Fig. 2 can be considered as a section of transmission line having a definite value of normalized impedance, which is related to the complex permittivity of that layer by (7). If any th layer in Fig. 2 is assumed to be perfectly matched at both the ends, then the scattering matrix of that layer will only depend upon the electrical length of that line [30]. The junction of two adjoining layers (e.g., the th and the th) having normalized impedances and in Fig. 2 can be modeled by the two-port equivalent circuit theory, representing each junction with the generalized scattering matrix [30]

(A1a)

(A1b) where we have made use of (7) to represent the normalized impedance in terms of the complex relative permittivity, and one

AKHTAR et al.: WAVEGUIDE-BASED TWO-STEP APPROACH FOR MEASURING COMPLEX PERMITTIVITY TENSOR OF UNIAXIAL COMPOSITE MATERIALS

of the layers in (A1) belongs to the uniaxial anisotropic medium. Now, to compute the scattering matrix of a number of cascaded layers shown in Fig. 2, the wave-amplitude transmission matrix is found to be more suitable [18]. The whole idea here is to first compute the transmission matrix of each layer, cascade these individual matrices, and then transform the resultant matrix into the matrix. The and matrices can be converted into one another using the following algebraic transformation:

(A2a) (A2b)

REFERENCES [1] C. Y. Niu, Composite Airframe Structures. Hong Kong: Hong Kong Conmilit, 1992. [2] B. C. Edwards, “A hoist to the heavens,” IEEE Spectr., vol. 42, no. 8, pp. 31–35, Aug. 2005. [3] L. Feher and M. Thumm, “Microwave innovation for industrial composite fabrication—The HEPHAISTOS technology,” IEEE Trans. Plasma Sci., vol. 32, no. 1, pp. 73–79, Feb. 2004. [4] L. Feher and K. Drechsler, “Development of industrial 2.45 GHz microwave processing technology for composite applications,” presented at the Composite Eur. Conf., Barcelona, Spain, Oct. 1997. [5] L. Feher, K. Drechsler, J. Filsinger, and E. Karl, “Development of the modular 2.45 GHz HEPHAISTOS-CA2 microwave processing system for automated composite fabrication,” in Proc. SAMPE Eur., Paris, France, Apr. 2005, pp. 328–338. [6] Vötsch Industrietechnik Ltd., Reiskirchen-Lindenstruth [Online]. Available: http://www.v-it.com [7] A. C. Metaxas and R. J. Meredith, Industrial Microwave Heating. London, U.K.: IEE Press, 1988. [8] O. Hashimoto and Y. Shimzu, “Reflecting characterisitcs of anisotropic rubber sheets and measurement of complex permittivity tensor,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 11, pp. 1202–1207, Nov. 1986. [9] J. Baker-Jarvis, R. G. Geyer, J. H. Grosvenor, M. D. Janezic, C. A. Jones, B. Riddle, C. M. Weil, and J. Krupka, “Dielectric characterization of low-loss materials: A comparision of techniques,” IEEE Trans. Dielect. Insulation., vol. 5, pp. 571–577, Aug. 1998. [10] L. Chen, C. K. Ong, and B. T. G. Tan, “Cavity perturbation technique for the measurement of permittivity tensor of uniaxially anisotropic dielectrics,” IEEE Trans. Instrum. Meas., vol. 48, no. 4, pp. 1023–1030, Dec. 1999. [11] A. Parkash, J. K. Vaid, and A. Mansingh, “Measurement of dielectric paramteters at microwave frequencies by cavity-perturbation technique,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 9, pp. 791–795, Sep. 1979. [12] J. R. Parneix, C. Legrand, and S. Toutain, “Automatic permittivity measurements in a wide frequency range: Application to anisotropic fluids,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 11, pp. 2015–2017, Nov. 1982. [13] N. Belhadj-Tahar and A. Fourrier-Lamer, “Broad-band simultaneous measurement of the complex permittivity tensor for uniaxial materials using a coaxial discontinuity,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 10, pp. 1718–1724, Oct. 1991. [14] N. J. Damascos, R. B. Mack, A. L. Maffett, W. Parmon, and P. L. E. Uslenghi, “The inverse problem for biaxial materials,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 4, pp. 400–405, Apr. 1984. [15] W. B. Weir, “Automatic measurement of complex dielectric constant and permeability at microwave frequencies,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974.

2021

[16] B. Kang, J. Cho, C. Cheon, and Y. Kwon, “Nondestructive measurement of complex permittivity and permeability using mutillayered coplanar waveguide structures,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 5, pp. 381–382, May. 2005. [17] S. S. Stuchly and M. Matuszewski, “A combined total reflection-transmission method in application to dielectric spectroscopy,” IEEE Trans. Instrum. Meas., vol. IM-27, no. 3, pp. 285–288, Sep. 1978. [18] R. E. Collin, Foundation for Microwave Engineering. New York: McGraw-Hill, 1966. [19] Z. Ma and S. Okamura, “Permittivity determination using amplitudes of transmission and reflection coeffiecients at microwave frequency,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 5, pp. 546–550, May 1999. [20] T. C. Williams, M. A. Stuchly, and P. Saville, “Modified transmisisonreflection method for measuring constitutive parameters of thin flexible high-loss materials,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1560–1566, May 2003. [21] A. M. Nicolson and G. F. Ross, “Measurement of the intrinsic properties of materials by time-domain techniques,” IEEE Trans. Instrum. Meas., vol. IM-19, no. 4, pp. 377–382, Nov. 1970. [22] J. Baker-Jarvis, E. Vanzura, and W. Kissick, “Improved technique for determining complex permittivity with the transmission/reflection method,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1096–1103, Aug. 1990. [23] J. Baker-Jarvis, R. G. Geyer, and P. D. Domich, “A nonlinear least-squares solution with causality constraints applied to transmission line permittivity and permeability determination,” IEEE Trans. Instrum. Meas., vol. 41, no. 2, pp. 646–652, Apr. 1992. [24] A. Boughriet, C. Legrand, and A. Chapoton, “Noniterative stable transmission/reflection method for low-loss material complex permittivity determination,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 1, pp. 52–57, Jan. 1997. [25] E. Ni, “An uncertainty analysis for the measurement of intrinscic properties of materials by the combined transmission-reflection method,” IEEE Trans. Instrum. Meas., vol. 41, no. 4, pp. 495–499, Aug. 1992. [26] M. J. Akhtar, L. Feher, and M. Thumm, “A multi-layered waveguide technique for determining permittivity and conductivity of composite materials,” in Proc. German Microw. Conf., Ulm, Germany, Apr. 2005, pp. 37–40. [27] L. Feher and M. Thumm, “Millimeter wave processing of composite materials,” in Proc. 2nd IEET Int. Vacuum Electron. Conf., Nordwijk, The Netherlands, Apr. 2001, pp. 83–84. [28] H. Eul and B. Schiek, “A generalized theory and new calibration procedures for network analyzer self-calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 4, pp. 724–731, Apr. 1991. [29] R. Meredith, Engineers’ Handbook of Industrial Microwave Heating, ser. Power 25. London, U.K.: IEE Press, 1998. [30] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998.

M. Jaleel Akhtar (M’03) received the B.Eng. degree in electronics engineering from Aligarh Muslim University, Aligarh, India, in 1990, the M.Eng. degree in electronics and communication engineering from the Birla Institute of Technology, Ranchi, India, in 1993, and the Doktor.-Ing. degree in electrical engineering from the University of Magdeburg, Magdeburg, Germany, in 2003. Since 2003, he has been with the Institut für Hochleistungsimpuls- und Mikrowellentechnik, Forschungszentrum, Karlsruhe, Germany, where he is currently a Scientist. From 1993 to 1997, he was also a Scientist with the Central Electronics Engineering Research Institute, Pilani, India. His current research interests include the development of analytical and numerical methods for the characterization of highly lossy dielectric and composite materials and the solution of electromagnetic inverse scattering problems applied to the field of microwave imaging and remote sensing. He has authored/coauthored 30 papers in various refereed journals and international conference proceedings. Dr. Akhtar is a member of the Institution of Electronics and Telecommunication Engineers (IETE), the Indian Physics Association (IPA), and the IndoFrench Technical Association (IFTA).

2022

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Lambert E. Feher was born in New York, NY, on May 6, 1966. He received the Dipl.-Phys. degree and Dr.-Ing. degree in electrical engineering from the University of Karlsruhe, Karlsruhe, Germany, in 1993 and 1997, respectively. His diploma thesis concerned theoretical investigations on current neutralization effects of light ion beams, and his doctoral thesis was devoted to computer simulations for the application of millimeter waves for industrial processing of materials. From 1989 to 1993, he was a student employee with Robert-Bosch GmbH, where he was involved in business administration. Since 1997, he has been with the Forschungszentrum Karlsruhe, Institut für Hochleistungsimpuls- und Mikrowellentechnik (IHM), Karlsruhe, Germany, where he has been involved in the field of microwave materials processing and industrial microwave system design. In 1998 and 1999, he visited the New Jersey Institute of Technology (NJIT), Newark, as a Post-Doctoral Researcher. Since 2001, he has been the Head of the Industrial Microwave Group, IHM. In 2002, he was selected for a scientific-technical excellence trainee program. He has authored/coauthored 100 conference proceedings and reviewed papers. He holds 20 patents. In 2003, he studied at the German University of Administrative Sciences in Speyer “Science Management.” Dr. Feher has been a member of the AMPERE committee since 2005. He was the recipient of the 2004 Innovation Award by the Technology Region of Karlsruhe for research on HEPHAISTOS technology.

Manfred Thumm (SM’94–F’02) was born in Magdeburg, Germany, on August 5, 1943. He received the Dipl.Phys. and Dr. rer. nat. degrees in physics from the University of Tübingen, Tübingen, Germany, in 1972 and 1976, respectively. At the University of Tübingen, he was involved in the investigation of spin-dependent nuclear forces in inelastic neutron scattering. From 1972 to 1975, he was a Doctoral Fellow with the Studienstiftung des Deutschen Volkes. In 1976, he joined the Institute for Plasma Research, Electrical Engineering Depart-

ment, University of Stuttgart, Stuttgart, Germany, where he was involved with RF production and RF heating of toroidal pinch plasmas for thermonuclear fusion research. From 1982 to 1990, his research activities were mainly devoted to electromagnetic theory in the areas of component development for the transmission of very high-power millimeter waves through overmoded waveguides and of antenna structures for RF plasma heating with microwaves. In June 1990, he became a Full Professor with the Institute for High-Frequency Techniques and Electronics, University of Karlsruhe, Karlsruhe, Germany, and Head of the Gyrotron Development and Microwave Technology Division, Institute for Technical Physics, Research Center Karlsruhe, Forschungszentrum Karlsruhe (FZK). Since April 1999, he has been the Director of the Institute for Pulsed Power and Microwave Technology, FZK, where his current research projects are the development of high-power gyrotrons, dielectric vacuum windows, transmission lines and antennas for nuclear fusion plasma heating, and industrial materials processing. He has authored/coauthored three books, nine book chapters, 180 research papers in scientific journals, and approximately 800 conference proceedings articles. He holds ten patents on active and passive microwave devices. Dr. Thumm is vice chairman of Chapter 8.6 (Vacuum Electronics and Displays) of the Information Technical Society of the German VDE. He is a member of the German Physical Society. In 2006, he was appointed a member of the IEEE Electron Device Society Vacuum Devices Technical Committee. He was the recipient of the 2000 Kenneth John Button Medal and Prize in recognition of outstanding contributions to the science of the electromagnetic spectrum. In 2002, he was awarded the title of Honorary Doctor, presented by the St. Petersburg State Technical University, for his outstanding contributions to the development and applications of vacuum electron devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

2023

Frequency-Tuning Technique for Remote Detection of Heartbeat and Respiration Using Low-Power -Band Double-Sideband Transmission in the

Ka

Yanming Xiao, Student Member, IEEE, Jenshan Lin, Senior Member, IEEE, Olga Boric-Lubecke, Senior Member, IEEE, and Victor M. Lubecke, Senior Member, IEEE

Abstract—A -band transceiver using low-power doublesideband transmission to detect human heartbeat and respiration -band electromagnetic wave signals is demonstrated. The offers higher detection sensitivity on small movement due to its shorter wavelength. Indirect-conversion receiver architecture is noise that can degrade chosen to reduce the dc offset and 1 the signal-to-noise ratio and detection accuracy. Furthermore, the double-sideband signals at the transmitter output can be in quadrature by choosing a proper frequency separation to relieve the severe null point problem that occurs at high frequency. As a result, the detection accuracy is significantly improved with low transmitted power. This radar sensor system achieves better than 80% detection accuracy at a distance of 2.0 m with a combined transmitted power of only 12.5 W in both sidebands. Index Terms—Biomedical monitoring, cardiopulmonary detection, continuous-wave (CW) radar, Doppler radar, double sideband, electromagnetic (EM) wave, heartbeat, indirect-conver-band, low power, radio frequency (RF), remote sion receiver, monitoring, respiration, sensors.

I. INTRODUCTION ICROWAVE Doppler radar has been used for wireless sensor applications for many years. Most common applications include weather sensing [1], position and distance sensing [2], and automobile speed sensing [3]. Since the 1970s, microwave Doppler radar has received more attention as a remote monitoring system on human healthcare and life-sign monitoring and detection, such as physiologic movement and volume change sensing [4], life detection for finding human subjects trapped in earthquake rubble [5], and cardiopulmonary monitoring for sleep apnea syndrome detection and human vital activities [6]–[10]. Doppler radar motion sensing system typically transmits a continuous-wave (CW) signal, which is reflected off of a target and then demodulated in the receiver. According to the Doppler theory, a target with a time-varying position but a net zero velocity will reflect the signal with its phase modulated proportionally to the time-varying target position. For example,

M

Manuscript received October 8, 2005; revised January 22, 2006. This work was supported in part by the National Science Foundation under Grant 0421218. Y. Xiao and J. Lin are with the Electrical and Computer Engineering Department, University of Florida, Gainesville, FL 32611 USA (e-mail: yanming@ufl. edu; [email protected]). O. Boric-Lubecke and V. M. Lubecke are with the Electrical Engineering Department, University of Hawaii at Manoa, Honolulu, HI 96822 USA (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.873625

CW radar with the chest-wall as the target will receive a signal similar to the transmitted signal but with its phase modulated by the time-varying chest-wall position. If the heartbeat and breathing signals are to be monitored, demodulating the phase will then give a signal that is proportional to the chest-wall position that contains information about movement due to heartbeat and respiration. Based on this principle, -band single-chip direct-conversion Doppler radar sensors implemented in 0.25- m silicon CMOS and BiCMOS processes were demonstrated [7]. Because of the range correlation effect that reduces close-in phase noise, the direct-conversion sensor chips with free-running oscillators were able to detect low-frequency heartbeat and respiration without using external crystal [8]. The null-point issue encountered in CW radar was also quadrature receiver approach [8]. avoided by using the In contrast to CW radar, ultra-wideband (UWB) radar used for detecting human vital activities transmits repetitive short pulses in time and receives the reflected version of it. The motion of the object changes the repetition frequency of the reflected wave [10]. The UWB radar approach has no null-point problem. However, a time discriminator consisting of fast-acting switches is required to select the wanted reflected pulses and eliminate interfering pulses. A software-controlled delay line is used to control the gating, and the distance between the radar and the object needs to be known for the microcontroller to program the correct delay. If the distance changes, the delay also needs to be changed. On the other hand, the CW radar system does not need to know the distance to the object and is insensitive to the change of distance. -band transceiver system that can detect Recently, a human heartbeat and respiration signal was demonstrated by -band radar sensor offers several the authors [9]. This advantages over previously reported systems operating at low microwave frequencies [4]–[8]. First, the low microwave bands are crowded and occupied by many other applications. For example, the 2.4-GHz industrial–scientific–medical (ISM) band is used for wireless local area networks, cordless phones, and -band spectrum is Bluetooth, to name a few. In contrast, the still sparsely used and has less interference. Second, the shorter wavelength is more sensitive to small displacement. The modulated phase in the baseband output is inversely proportional to the wavelength [8]. For the same displacement, the shorter wavelength will generate a larger phase modulation. Finally, -band, the antenna can be made due to short wavelength at very small and can possibly be integrated with the rest of the circuits on a semiconductor chip [11].

0018-9480/$20.00 © 2006 IEEE

2024

Fig. 1. Block diagram of the

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Ka-band remote monitoring system.

This paper demonstrates a frequency-tuning technique to improve detection accuracy for heartbeat and respiration detection by using low-power double-sideband transmission in the -band. Although the short wavelength at the -band brings the above-mentioned advantages, it also brings a disadvantage. When the radar sensor is used to detect small movement, a null point can be encountered every quarter wavelength from the radar to the subject, which significantly degrades the detection accuracy [6]–[8]. The shorter the wavelength is, the closer the distance between the adjacent null points will be. Therefore, the null-point problem is more severe for higher frequency operation. In this paper, the double-sideband waves at the transmitter output can be in quadrature to overcome this null-point problem by selecting proper frequency separation. In addition, when the two transmitted waves result in a null-point condition in the measurement, this null point can be easily removed by slightly adjusting the frequencies of transmitted waves. Measurement results successfully demonstrated that the detection accuracy was improved from 54.5% (the null point) to 94% (the optimal point) by tuning the transmitted signal frequencies while all other conditions remained the same. The -band radar sensor described in this paper shows excellent results when detecting human heartbeat and respiration signals. With a very low transmitted power of 12.5 W and a single-patch antenna, the detection accuracy is 100% at a distance of 0.5 m. The accuracy is still better than 80% even when the distance is as far as 1.5 m. When the low-gain single-patch antenna is replaced by a high-gain antenna array, the detection accuracy achieves 81.5% when the distance is 2 m. Both the quadrature receiver method and the doublesideband transmission method resolve the null-point issue. Used in direct-conversion architecture to eliminate the need for an image-reject filter, the quadrature receiver method has been demonstrated in monolithic integration. The double-sideband transmission method with indirect-conversion architecture also eliminates the need for an image-reject filter and intermediatefrequency (IF) filter and can be monolithically integrated as

TABLE I

Ka-BAND RADIO BUILDING BLOCKS AND THEIR SPECIFICATIONS

well. The double-sideband transmission method also eliminates the need of generating quadrature local-oscillator (LO) signals. In this paper, the -band radar sensor system and circuits are shown in Section II. In Section III, the detection theory is described. The measurement results are presented in Section IV, and a conclusion is given in Section V. II.

-BAND RADAR SENSOR SYSTEM AND CIRCUITS

-band transceiver remote The block diagram of the monitoring system is illustrated in Fig. 1. The receiver chain includes a receiving antenna (Rx_Antenna), a low0noise amplifier (LNA), two down-converters (Rx_Mixer1 and Rx_Mixer2), and an IF amplifier (IF_AMP). The transmitter chain contains a transmitting antenna (Tx_Antenna) and an up-converter (Tx_Mixer). Baseband circuits are composed of a preamplifier (PreAMP), a bandpass filter (BPF), and a low-frequency amplifier (LF_AMP). As shown in Fig. 1, the circuits inside the dashed box form a broadband -band radio transceiver, which uses commercial parts as individual building blocks. Their specifications and manufacturers are listed in Table I. The antennas and the baseband circuits are custom-designed for our experiment.

XIAO et al.: FREQUENCY-TUNING TECHNIQUE FOR REMOTE DETECTION OF HEARTBEAT AND RESPIRATION

Fig. 2. Output spectrum of the transmitter, measured at the antenna connector. The resolution bandwidth and the video bandwidth were both set at 3 MHz.

The receiver in the -band radio uses an indirect-conversion architecture that employs two-step conversion. Two LOs generate signals (with frequency ) and (with frequency ). Two 3-dB power splitters are used to divide the power of and , with half of the power sent to the transmitter chain and the other half sent to the receiver chain. Since there is no filter following the Tx_Mixer, the output of the Tx_Mixer has two main frequency components: lower sideband and upper sideband . Normally, there is one more frequency component in the output of the Tx_Mixer, which is the leakage from LO2. The output power spectrum of the transmitter measured at the antenna connector is shown in Fig. 2. The lower sideband and upper sideband frequencies are 26.54 and 27.66 GHz with power levels of 21.1 and 23.3 dBm, respectively. The 27.10-GHz signal in between is the LO2 leakage due to nonideal port-to-port isolation of the Tx_Mixer. Although the LO leakage is evident, it does not affect the baseband signal detection, which will be discussed later. In the receiver chain, the received signal is the reflected wave from the subject being monitored. It is correlated to the transmitted signal but with phase modulated by the timevarying chest-wall position. After the first down conversion, signal consists of two modulated signals at , which is down-converted from lower sideband and upper sideband , respectively. The chest-wall motion information is modulated on the phases of these two signals at . In addition, it also has a dc offset due to the self-mixing of LO2 leakage transmission and a baseband signal carrying chest-wall motion information, which is down-converted from the component in the received signal . If a direct down-conversion architecture is employed, the dc offset may introduce severe problems such as saturating the baseband circuits. In the meantime, reducing dc offset by lowering LO leakage through good port-to-port isolation is hard to be achieved in higher frequency mixers, resulting in a large dc offset [12]. This is the reason that an indirect-conversion architecture is used for this -band

2025

radar sensor. The large dc offset and the near-dc signals are removed by the bandpass frequency response of the IF_AMP before the second down conversion to baseband. Therefore, in the following discussions, the component in the transmitted wave will be ignored because it does not affect the baseband signal. After the second down conversion, the output consists of baseband signals carrying the subject’s chest motion information, and other unwanted high-frequency spurs which will be filtered out by the baseband circuits. Two types of a low-profile printed patch antenna were designed and fabricated for use in the measurement. One is a printed single-patch antenna fabricated on a high-frequency substrate material, GML1000, with dielectric constant of 3.2 and a substrate thickness of 0.762 mm. This antenna achieves a maximum antenna gain of 3.9 dB at 30 GHz and an estimated beamwidth of 60 80 . The other antenna is a 4 4 printed patch antenna array fabricated on the Rogers RO3003 PTFE/Ceramic laminates with of 3.0 and substrate thickness of 0.508 mm. The total size is 20.9 28.2 mm . This antenna array achieves a maximum antenna gain of 12.9 dB at 28 GHz and an estimated beamwidth of 10 10 . The same types of antennas were used in transmitting and receiving. Compared with the single-patch antenna, the antenna array has higher directivity gain and therefore increases the detection distance and reduces interference from other radio devices at other directions. The comparison of detection accuracy between these two antennas will be discussed later. The baseband circuits were designed using LM324 lowpower operational amplifiers. The bandpass filter BPF has a passband of 0.1–10 Hz. The preamplifier PreAMP and the low frequency amplifier LF_AMP use the same circuitry, both having a variable gain from 20 dB to 40 dB. During the measurement, a 22-bit USB data acquisition module (IOtech Personal DAQ/54) samples the baseband signal, and a LabVIEW program processes the sampled data and further filters out unwanted spurious responses due to the subject’s random motion. III. THEORY The detailed derivation of null points and optimum points is given in the Appendix. In (A2), the modulated phase in the baseband output is inversely proportional to the wavelength. For the same displacement, the shorter wavelength generates a larger phase modulation. -band spectrum spans from 26 to 40 GHz, which corresponds to wavelengths from 11.5 to 7.5 mm. For example, the phase generated by a 30-GHz mm wave is 12.5 times (about 22 dB) larger than that at 2.4 GHz mm . Therefore, the shorter wavelength is more sensitive to small displacement. This is clearly a benefit of using the -band wave. Furthermore, it can be seen from (A5) and (A6) that the null point occurs with a target distance every from the radar, and so does the optimum point. The null points and optimum points are distributed alternately, and the adjacent null point and optimum point are separated by . Fig. 3 shows the distribution of null points and optimum points along the path from the radar to the subject for a single-sideband transmitted wave. For a 30-GHz wave, the distance between the adjacent null point and

2026

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 3. Optimum points and null points along the path away from the radar. d , d , and d are optimum points. d and d are null points. The adjacent null point and optimum point are separated by =8.

optimum point is only 1.25 mm . This distance is so small that a reliable measurement at the optimum point is difficult to achieve. If the radar just transmits a single-tone -band wave, then the detection accuracy varies dramatically with even a very small movement of the subject, making it extremely difficult to achieve reliable detection accuracy under this condition. Therefore, this radar sensor system cannot work properly at higher frequencies if it transmits only a single-tone wave. Fortunately, this problem is solved by taking the advantage of double-sideband transmission. There are two -band frequency components and in the transmitted signal , so the received signal has these two frequency components and as well. Let and represent the baseband signals corresponding to and , respectively. In this case, we have (1) (2) (3)

(4) where and are wavelengths of the lower and upper sidebands, which equal to and , respectively. and are fixed phase shifts of the lower and upper sideband signals, respectively. From the above discussions, either or has a severe null-point problem and cannot give a reliable detection at high frequency. However, when and simultaneously exist, is the superposition of and . and are similar but with a phase difference between them. If their phase difference is arranged properly, the baseband output will not have the severe null-point problem as either or alone. Fig. 4 shows the distribution of null points and optimum points for double-sideband transmission. If the LO1 frequency is arranged properly, the null points from the lower sideband and optimum points from the upper sideband, or vice versa, can overlap each other. Good detection accuracy is therefore achieved over a wide distance range. Since the residual phase noises and in (2) and (3) are much smaller compared with and the phase modu-

Fig. 4. Optimum points and null points distribute along the path away from the radar for double sideband transmission. The subscript L represents lower sideband, and U represents the upper sideband. If the LO1 frequency f is arranged properly, the null points from lower sideband and optimum points from upper sideband, or vice versa, can overlap each other. Good detection accuracy is therefore achieved over a wide distance range.

, due to the effect of range correlation [13], [14], lation they will be ignored in the following analysis. In addition, phase and have nearly the same modulations amplitudes because is very close to . When and are separated by an even multiple of , and are in-phase and synchronized. Therefore, will give almost the same optimum points and null points at the same places as those given by either or alone and has the same problem of closely spaced null points that degrade the detection accuracy and reliability. When and are separated by an odd multiple of , then and are out of phase. Since and have almost the same amplitudes but with an opposite phase, they cancel each other. Therefore, the amplitude of is very small and hard to be detected. As a result, when the phase difference between and is the integer of , a new null-point condition occurs in the measurement. If the null point of the single-sideband transmission is defined as the local null point, then this new null point condition is defined as the global null point. At this global null point, the detection accuracy is the lowest. Let

(5) where (6) ,

Substituting

, then (7)

Substituting have

and

MHz

into (7), we then

(8)

where is the distance in meters. When and are separated by an odd multiple of , and are in quadrature. At least one of and

XIAO et al.: FREQUENCY-TUNING TECHNIQUE FOR REMOTE DETECTION OF HEARTBEAT AND RESPIRATION

Fig. 5. Global optimum and null points for double-sideband transmission along the path away from the radar. d and d are optimum points. d is null point. The adjacent global null and optimum points are separated by  =16.

is not at the null point. The one that is not at the null point will determine the final output . Therefore, in this case, the overall detection accuracy will be high. This point is defined as the global optimum point. Let

(9) Repeat the same process as in (7) and (8) yields MHz (10) where is the distance in meters. The frequency difference between and is . Therefore, the selection of will determine if and are separated by or , and thus if the subject’s position is at a null point or an optimum point. When and are separated by an arbitrary angle other than and or if the frequency is between the above two cases, the detection accuracy will be between the above two cases. The above analysis shows that, when the position of the subject is fixed, this position can be set to a global optimum point or a global null point by properly choosing the frequency. For example, if at a given frequency, the subject position at m happens to be a null point, this null point can be changed to an optimum point if is tuned to MHz according to (8) and (10). This means that an accurate detection can always be made at an optimum point by adjusting without moving the subject’s position. When the frequency is fixed, the distribution of the global null points and optimum points for double sideband transmission is different from single sideband case due to the superposition of two baseband signals. Rewriting (8) and (10), we have

(11) and (12) for the conditions of global null point and global optimum point, respectively. From (11) and (12), the global null points are encountered every , and so are the global optimum points. Furthermore, adjacent global null and optimum points are separated by . The distribution of the global null

2027

points and the global optimum points for double-sideband transmission is shown in Fig. 5. Since the LO1 frequency is much smaller than the LO2 frequency , the distance between the adjacent global null and optimum points is much larger than for the single-sideband case. For MHz, which is much smaller than any -band frequency, the null point occurs every 75 mm. This is much larger than the null point separation of 2.5 mm for a single 30-GHz wave. Therefore, by using double-sideband transmission, it is possible to obtain reliable detection accuracy and avoid the null-point problem by adjusting the position of the radar. From (11) and (12), it seems that the lower the frequency is, the further the null points are separated and, thus, the null point problem would be solved with very low . However, when the frequency is too small, the null points will be dominated by the local null points over a wide range in distance. Fig. 6 shows the distribution of the local null points and the global null points for GHz and and MHz, respectively. The -axis indicates the normalized amplitude of the signals. When the signal hits the valley, the amplitude is the smallest, and thus the detection accuracy is the lowest. The light solid and the light dotted lines show the distribution of the local null points and the local optimum points for baseband signals and , respectively. The amplitude of and may have a little difference because of frequency response flatness in the transceiver, but here the same amplitude is assumed for the convenience of analysis. As shown in Fig. 6, the separation of the nearest local null points (valley) is about 2.5 mm. The thick solid lines show the distribution of the global null points and the global optimum points for . When MHz, the separation of the global null points is 75 mm, which is shown in Fig. 6(a). However, for MHz, the separation of the global null points is 7.5 m. As shown in Fig. 6(c), within a 0.1-m range, has the same null points and optimum points as those of or , which was qualitatively defined as a global null point in a previous analysis. Quantitatively, if the signal valley amplitude for falls under 20% of peak amplitude of either or , then we define this condition as the global null-point region. By this definition, will stay in a global null-point region for about 1 m for MHz, m for 50 MHz, and m for 500 MHz, respectively. To overcome the null-point problem in the measurement and to obtain high detection accuracy, it is better to make the measurement at or near the optimum point by either moving the radar position or changing the frequency. For as low as 5 MHz, sometimes it is hard to move the system as much as 3 m in distance for it to reach a nearest optimum point. Therefore, the best way is to adjust the LO1 frequency . For a -band wave, the signal loss over distance is much higher than for a low-frequency wave. For the same power level at receiver input port, the propagating distance for the -band wave will be much shorter. In this measurement, using low power transmission of 12.5 W, the detection accuracy starts to drop quickly when the distance is increased to 2.5 m. If a null point occurs at m, in order to switch this null point to an optimum point, the frequency will need to be changed at least 7.5 MHz according to (11). However, if a null point occurs

2028

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 6. Distribution of null points (global and local) with different LO1 frequency f . (a) f = 500 MHz. (b) f = 50 MHz. (c) f = 5 MHz. The dashed line indicates 20% amplitude.

at m, the smallest tuning step will be Run which is quite a large tuning range for LO1. Therefore, the selection of frequency and the VCO tuning range need to be considered together when the null point appears at a distance close to the radar. Therefore, in this system, a voltage-controlled oscillator (VCO) with tuning range from 450 to 800 MHz was selected as the source. At the same time, this VCO frequency provides about 75-mm null-point separation, so it also provides a possibility to avoid the null point by adjusting the radar position. IV. MEASUREMENT RESULTS The -band radar sensing system was tested in the laboratory environment. A photograph of the setup is shown in Fig. 7. The subject, facing the antenna, was seated at a distance from the camera and breathed normally. A wired fingertip pulse sensor (UFI_1010 pulse transducer) was attached to the index finger during the measurement to provide the reference heartbeat signal.

Fig. 7. Measurement setup for heartbeat and respiration detection.

When performing signal processing, the heartbeat and breath signals were first separated by a fourth-order Butterworth bandpass filter with passband from 0.1 to 0.7 Hz (for a breathing

XIAO et al.: FREQUENCY-TUNING TECHNIQUE FOR REMOTE DETECTION OF HEARTBEAT AND RESPIRATION

Fig. 8. Detected (solid line) and reference (dashed line, not in the same scale) signals in: (a) the time domain and (b) the frequency domain. The respiration signal peak shows a rate of 21 breaths/min, and the heartbeat signal peak shows a rate of 75 beats/min, which matches with the reference heartbeat signal.

rate of 6–42 breaths/min) and a fourth-order Butterworth bandpass filter with passband from 0.9 to 3 Hz (for a heartbeat rate of 54–180 beats/min). The frequency ranges should be able to cover the scenarios of normal persons. For people with bradycardia, the filter frequency range can be adjusted. These two filtered signals were then windowed and autocorrelated to find the periodic respiration and heartbeat signals. After that, fast Fourier transform (FFT) was applied to the autocorrelated signals to obtain the respiration and heartbeat rate. Finally, the detected heartbeat signal was evaluated by “heart-rate accuracy.” Heart-rate accuracy is calculated as the percentage of time that the calculated rate is within 2% of the reference rate. Because there was no reference available for a breathing signal, its detection accuracy was not calculated [16], [17]. A. Heartbeat and Respiration Measured by a Single-Patch Antenna at 0.5-m Distance The heartbeat and breathing signals were measured with LO frequencies GHz and MHz. The subject was seated approximately 0.5 m from the antenna. The frequencies were determined experimentally by tuning the LO frequencies of the -band radio, which was the result of the antenna bandwidth in combination with transceiver-gain frequency response. The total output power of the two sidebands from the transmitter, which was measured at an antenna connector, was only 12.5 W (7.8 W for the lower sideband (LSB) and 4.7 W for the upper sideband (USB). LO leakage was excluded since there was no contribution to baseband). The baseband signal detected by the remote monitoring system (solid line) and the reference heartbeat signal (dashed line) are shown in Fig. 8(a). Their frequency spectrums obtained by using FFT are shown in Fig. 8(b). The horizontal axis represents breathing and heartbeat rates per minute. As shown in the figure, the respiration rate is about 21 breaths/min. The heartbeat rate is about 75 beats/min, which matches the reference heartbeat rate. To assess the detection accuracy, the detected signal was further processed by uti-

2029

Fig. 9. Baseband signal B (t) is shown at the top, followed by the signal-processed respiration and heartbeat signals. The reference heartbeat signal is shown at the bottom. The comparison of heartbeat signal with the reference shows a 100% match. TABLE II HEART-RATE ACCURACY COMPARISON BETWEEN A SINGLE-PATCH ANTENNA AND A 4 4 ANTENNA ARRAY OVER DIFFERENT DISTANCES FROM 0.5 TO 2.5 M

2

lizing an autocorrelation function to extract the periodic heartbeat and breathing signals. The results are shown in Fig. 9. The heartbeat signal measured from a distance of 0.5 m matches the reference heartbeat with an accuracy of 100%. B. Heartbeat and Respiration Measured by a Single-Patch Antenna and Antenna Array Over Variable Distances With the same setup as in the previous 0.5-m measurement, heart-rate accuracy at different distances with different antennas was measured and compared. The result of heart-rate accuracy versus distance is shown in Table II. As the distance is increased, the amplitude of the detected signal becomes smaller and harder to be detected due to the increased signal loss when propagating over a longer distance, thus reducing heart-rate accuracy. The longest detection distance that can achieve better than 80% accuracy is 1.5 m for a single-patch antenna and 2.0 m for the antenna array. Compared with previously reported data, this is a promising result, because higher frequency electromagnetic waves usually suffer higher signal loss traveling in air than low-frequency waves do. C. Null-Point Elimination With Frequency Sweeping As discussed above, the detection accuracy depends on the subject’s position that might be in the null point, the optimum

2030

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 10. Heartbeat detection at: (a) null point and (b) optimum point. The heart-rate accuracy is 54.5% at the null point and 94% at the optimum point. The frequency difference between them is only 56 MHz.

point, or somewhere in between. However, the optimal point can always be achieved by tuning the frequency, thereby high detection accuracy can always be achieved no matter where the subject is. An experiment was set up at a distance of about 1 m. Theoretically, a null point can be switched to an optimum point when tuning the frequency by an odd multiple of 18.75 MHz. One null point was determined experimentally, at MHz, where a low detection accuracy of 54.5% was observed. Based on the theory, a frequency step MHz was subtracted from 616-MHz to give MHz. The measurement made at MHz shows that a high accuracy of 94% was achieved. This experiment verified the theory that a null point can be changed to an optimum point by tuning by an odd multiple of 18.75 MHz. The measurement results are shown in Fig. 10(a) and (b), respectively. V. CONCLUSION A -band radio system that detects human heartbeat and breathing signals using low-power double-sideband transmission was successfully demonstrated. The paper described the theory and implementation of the frequency-tuning technique and double-sideband transmission to avoid null points and improve system performance. The short wavelength at the -band increases the sensitivity of phase shift due to small displacement and therefore improves the signal-to-noise ratio and detection distance. The use of double-sideband transmission helps resolve the null-point problem and improves the detection reliability. A frequency-tuning technique is applied to switch a null point to an optimum point, resulting in almost doubling the detection accuracy.

is the total phase noise from the signal sources and where mixer in the transmitter. When the signal is reflected back by a target (chest-wall) at a distance with a time-varying chest-wall motion given by , the total distance traveled between the transmitter and the receiver is . According to [8], the received signal can be approximated as

(A2) where is the signal’s propagation velocity (the speed of light) and is the signal’s wavelength in air, which equals . The received signal is similar to the transmitted signal, but has a time delay determined by the distance of the target and a phase modulation due to the periodic motion of the target. The information of the periodic chest-wall motion can be demodulated and retrieved if this signal is multiplied by an LO signal that is derived from the same sources as the transmitted signal. This radar topology takes advantage of using the same oscillator for the transmitter and the receiver, which keeps the phase noise of the two signals correlated [8], [13], [14] and eliminates the need for using a phase-locked loop (PLL) and a reference crystal oscillator. According to [8], the resulting baseband signal after two-step down-conversion is approximated as

(A3) (A4)

APPENDIX Assume the transmitted signal , and then

has frequency component

(A1)

where is the constant phase shift due to the distance to the target , and is the fixed phase shift due to the reflection at surface and the delays between radio blocks. is the total residual phase noise, which is quite small in the baseband due to range correlation effect [8], [13], [14]. The periodic heartbeat

XIAO et al.: FREQUENCY-TUNING TECHNIQUE FOR REMOTE DETECTION OF HEARTBEAT AND RESPIRATION

and breathing signals can be extracted from because is a function of . When in (A4) is an odd multiple of , the small-angle approximation is valid if is much less than wavelength [15], and the baseband output is approximately

(A5) In this case, the baseband output is linearly proportional to the periodic chest-wall movement summed with the phase noise , and the optimum point is achieved. When in (A4) is an integer multiple of , the baseband output is approximately

(A6) In this case, the baseband output is no longer linearly proportional to , and the null point occurs. ACKNOWLEDGMENT The authors would like to thank B. Chou, C. Li, and X. Yang for their help with the measurements, D. Xu, X. Li, T. Zhang, and Y. Jiang for their help with the signal processing work, Ansoft for providing software for antenna design, Agilent Technologies, Palo Alto, CA, for support on test equipment, National Instruments, Austin, TX, for providing LabVIEW, and the Rogers Corporation, Rogers, CT, for providing microwave substrates. REFERENCES [1] W. F. Feltz, H. B. Howell, R. O. Knuteson, H. M. Woolf, and H. E. Revercomb, “Near continuous profiling of temperature, moisture, and atmospheric stability using the Atmospheric Emitted Radiance Interferometer (AERI),” J. Appl. Meteor., vol. 42, pp. 584–597, 2003. [2] A. Stezer, C. G. Diskus, K. Lubke, and H. W. Thim, “Microwave position sensor with sub millimeter accuracy,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2621–2624, Dec. 1999. [3] H. H. Meinel, “Commercial applications of millimeter waves history, present status, and future trends,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1639–1653, Jul. 1995. [4] J. C. Lin, “Microwave sensing of physiological movement and volume change: A review,” Bioelectromagnetics, vol. 13, pp. 557–565, 1992. [5] K. M. Chen, Y. Huang, J. Zhang, and A. Norman, “Microwave life-detection systems for searching human subjects under earthquake rubble and behind barrier,” IEEE Trans. Biomed. Eng., vol. 47, no. 1, pp. 105–114, Jan. 2000. [6] A. D. Droitcour, V. M. Lubecke, J. Lin, and O. Boric-Lubecke, “A microwave radio for Doppler radar sensing of vital signs,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, pp. 175–178. [7] A. D. Droitcour, O. Boric-Lubecke, V. M. Lubecke, and J. Lin, “0.25 m CMOS and BiCMOS single chip direct conversion Doppler radars for remote sensing of vital signs,” in IEEE Int. Solid State Circuits Conf. Dig. Tech. Papers, Feb. 2002, pp. 348–349. [8] A. D. Droitcour, O. Boric-Lubecke, V. M. Lubecke, J. Lin, and G. T. A. Kovac, “Range correlation and I=Q performance benefits in single-chip silicon Doppler radars for noncontact cardiopulmonary monitoring,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 838–848, Mar. 2004. [9] Y. Xiao, J. Lin, O. Boric-Lubecke, and V. M. Lubecke, “A Ka-band low power Doppler radar system for remote detection of cardiopulmonary motion,” in Proc. 27th IEEE Annu. Eng. Med. Biol. Soc. Conf., Sep. 1–4, 2005, pp. 7151–7154.

2031

[10] I. Y. Immoreev and S. V. Samkov, “Ultra-wideband (UWB) radar for remote measuring of main parameters of patient’s vital activity,” in IEEE Int. UWBUSIS’02 Workshop, Kharkov, Ukraine, Oct. 2002, p. 4. [11] J. Lin and T. Itoh, “Active integrated antennas,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2186–2194, Dec. 1994. [12] B. Razavi, “Design considerations for direct-conversion receivers,” IEEE Trans. Circuits Syst. II: Analog Digit. Signal Process., vol. 44, no. 6, pp. 428–435, Jun. 1997. [13] R. S. Raven, “Requirements on master oscillators for coherent radar,” Proc. IEEE, vol. 54, no. 2, pp. 237–243, Feb. 1966. [14] M. C. Budge, Jr. and M. P. Burt, “Range correlation effects on phase and amplitude noise,” in Proc. IEEE Southeastcon, Charlotte, NC, 1993, p. 5. [15] M. Singh and G. Ramachandran, “Reconstruction of sequential cardiac in-plane displacement patterns on the chest wall by laser speckle interferometry,” IEEE Trans. Biomed. Eng., vol. 38, no. 5, pp. 483–489, May 1991. [16] B. Lohman, O. Boric-Lubecke, V. M. Lubecke, P. W. Ong, and M. M. Sondhi, “A digital signal processor for Doppler radar sensing of vital signs,” in Proc. 23rd IEEE Annu. Eng. Med. Biol. Soc. Conf., 2001, vol. 4, pp. 3359–3362. [17] B. H. Yang and S. Rhee, “Development of the ring sensor for healthcare automation,” Robot. Autonom. Syst., vol. 30, pp. 273–281, 2000.

Yanming Xiao (S’01) received the B.S. degree in electronic engineering from the Nanjing University of Science and Technology, Nanjing, China, in 1994, the M.S. degree in electrical and computer engineering from the University of Florida, Gainesville, in 2002, and is currently working toward the Ph.D. degree in electrical and computer engineering at the University of Florida. From 1994 to 2000, she was with the Nanjing Electronic Devices Institute, Nanjing, China, where her work involved RF/microwave circuit design. Her current research interests include wireless sensors, biomedical applications, sensor networks, and microwave system-on-chips.

Jenshan Lin (S’91–M’94–SM’00) received the B.S. degree from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1987, and the M.S. and Ph.D. degrees in electrical engineering from the University of California at Los Angeles, in 1991 and 1994, respectively. He joined AT&T Bell Laboratories (later Lucent Bell Laboratories), Murray Hill, NJ, as a Member of Technical Staff in 1994 and became the Technical Manager of the RF and High Speed Circuit Design Research in 2000. Since joining Bell Laboratories, he has been working on RF integrated circuits using various technologies for wireless communications. In September 2001, he joined Agere Systems, a spin-off from Lucent, and worked on high-speed CMOS circuit design for optical and backplane communications. In July 2003, he joined the University of Florida, Gainesville, as an Associate Professor. His current research interests include RF system-on-chip integration, high-speed broadband circuits, high-efficiency transmitters, wireless sensors, biomedical applications of microwave and millimeter-wave technologies, and software-configurable radios. He has authored or coauthored over 100 technical publications in referred journals and conferences proceedings and holds five patents. Dr. Lin has been active in the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He is an elected Administrative Committee (AdCom) member serving the term of 2006–2008, and a member of the Wireless Technology Technical Committee. He has been serving on several conference steering committees and technical program committees, including International Microwave Symposium (IMS), Radio Frequency Integrated Circuits Symposium (RFIC), Radio and Wireless Symposium (RWS), and Wireless and Microwave Technology Conference (WAMICON). He is currently the Technical Program Co-Chair of 2006 and 2007 RFIC Symposium, and the Finance Chair of 2007 RWS. He was the recipient of the 1994 UCLA Outstanding Ph.D. Award and the 1997 ETA KAPPA NU Outstanding Young Electrical Engineer Honorable Mention Award. He is the coauthor/advisor of several IMS Best Student Paper Awards and advisor of an IEEE MTT-S Undergraduate/Pre-Graduate Scholarship Award.

2032

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Olga Boric-Lubecke (S’90–M’90–SM’01) received the B.Sc. degree from the University of Belgrade, Belgrade, Yugoslavia, in 1989, the M.S. degree from the California Institute of Technology, Pasadena, in 1990, and the Ph.D. degree from the University of California at Los Angeles, in 1995, all in electrical engineering. Prior to joining the Department of Electrical Engineering, University of Hawaii at Manoa, Honolulu, as an Associate Professor, she was a Member of the Technical Staff with Bell Laboratories, Lucent Technologies, Murray Hill, NJ, where she conducted research in RF integrated circuit technology and biomedical applications of wireless systems. From 1996 to 1998, she was a Visiting Research Scientist with the Institute of Physical and Chemical Research (RIKEN), Sendai, Japan, and from 1995 to 1996, she was a Resident Research Associate with the NASA Jet Propulsion Laboratory, Pasadena, CA. Her current research interests include silicon RF integrated circuits, high-frequency integrated circuits, and biomedical applications. She has authored or coauthored over 70 journal and conference papers, and her research has been featured in various newspapers, magazines, and radio programs. Prof. Boric-Lubecke serves on Technical Program and Steering Committees for various IEEE and SPIE symposia. She was the corecipient of the 2001 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Best Student Paper Honorable Mention Award, the 2001 IEEE Engineering in Medicine and Biology Society Best Student Paper Third Place Award, and the 2003 IEEE MTT-S Best Student Paper First Place Award.

Victor M. Lubecke (S’86–M’86–SM’98) received the B.S.E.E. degree from the California Polytechnic Institute, Pomona, in 1986, and the M.S. and Ph.D. degrees in electrical engineering from the California Institute of Technology, Pasadena, in 1990 and 1995, respectively. Prior to joining the Department of Electrical Engineering, University of Hawaii at Manoa, Honolulu, as an Associate Professor in 2003, he was with Bell Laboratories, Lucent Technologies, where his research focused on sensing and monitoring technologies for biomedical and industrial applications and on microelectromechanical systems (MEMS) and three-dimensional wafer-scale integration technologies for wireless and optical communications. He was previously with the NASA Jet Propulsion Laboratory from 1987 through 1996 and the Institute for Physical and Chemical Research (RIKEN), Sendai, Japan, from 1996 through 1998, where his research involved remote sensing and space communications applications and related MEMS and terahertz technologies. His current research interests include sense-through-the-wall and other remote sensing and imaging technologies, biomedical applications, sensor networks, MEMS, heterogeneous integration, and microwave/terahertz radio. Dr. Lubecke is a Distinguished Microwave Lecturer (2006–2008) and a senior member of the IEEE Microwave Theory and Techniques Society. He is also a member of the IEEE Engineering in Medicine and Biology, Electron Devices, Antennas and Propagation, and Education Societies and serves on Technical and Steering Committees for various IEEE and SPIE symposia.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

2033

A Novel Microstrip Square-Loop Dual-Mode Bandpass Filter With Simultaneous Size Reduction and Spurious Response Suppression Si-Weng Fok, Student Member, IEEE, Pedro Cheong, Member, IEEE, Kam-Weng Tam, Senior Member, IEEE, and Rui P. Martins, Senior Member, IEEE

Abstract—In this paper, a new capacitively stepped-impedance resonator (CSIR) is proposed to develop the microstrip squareloop dual-mode bandpass filter. Using this new design, simultaneous size reduction and spurious response suppression for the dual-mode bandpass filter can be achieved. An analytical formulation of this novel resonator is given in order to elucidate the spurious frequencies relocation design. Together with this formulation, a generalized dual-mode bandpass filter model is developed so as to ease the analysis of transmission zero and insertion loss. A prototype filter is designed at 900 MHz with 1.5% fractional bandwidth. Significant spurious suppressions up to 33 and 35 dB are measured at 1.8 and at 2.7 GHz, respectively. A circuitry size reduction of 54% is achieved when compared with that of the conventional structure. Moreover, the CSIR allows frequency tuning and, thus, a varactor-tuned filter is designed and a measured tunable center frequency between 1.5–1.62 GHz is demonstrated. Utilizing the proposed structure, not only size reduction, as well as spurious response suppression, but also center frequency tuning can be achieved. Index Terms—Dual-mode resonator, insertion loss, size reduction, spurious response suppression.

I. INTRODUCTION VARIETY of microstrip dual-mode bandpass filters have been proposed over the past decade [1]–[5]. The filter compactness and selectivity improvement are the recent research focuses. The dual-mode bandpass filter using a square-loop resonator has achieved circuitry size reduction through the capacitive loaded arms and transmission zeros can be also easily introduced in the passband proximity to improve the filter selectivity [5]–[9]. However, this filter may suffer from the problem of spurious responses and these responses are located at the harmonic frequencies of the fundamental frequency. This indeed degrades the stopband rejection and becomes an intrinsic performance limitation associated with wide stopband applications. Thus, the systematic dual-mode bandpass filter design that is able to simultaneously realize both spurious responses suppression, as well as size reduction, is required. Moreover, the passband insertion loss is normally in excess and its relationship with the input/output port coupling is concerned.

A

Manuscript received October 7, 2005; revised February 20, 2006. This work was supported by the Research Committee of the University of Macau under Research Project RG009/04-05S/C62/MR/FST. The authors are with the Wireless Communication Laboratory, University of Macau, Taipa, Macao SAR, China (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.873626

Fig. 1. Microstrip dual-mode bandpass filter using CSIR.

A simple and compact dual-mode square-loop resonator bandpass filter using capacitively stepped-impedance resonator (CSIR), as depicted in Fig. 1, has been proposed [10]. This CSIR is used to develop the square-loop resonator. It is modeled as a SIR transmission line together with a loading capacitor midway along the transmission line. Significant improvement in first and second spurious responses suppression, as well as filter size miniaturization, is realized under this new topology. In this paper, an analytical discussion of the spurious responses suppression and size minimization capabilities of the proposed dual-mode filter topology will be outlined. Moreover, the formulation of the two transmission zeros lying on either side of the passband is presented and an estimation of the loss induced by the input/output coupling ports is also derived. Besides this introduction, there are four additional sections. The novel dual-mode bandpass filter structure takes full advantage of the proposed CSIR to relocate the unwanted spurious to higher frequency range and to reduce circuitry size. These intrinsic characteristics are discussed in Section II. In Section III, a transmission model of the dual-mode filter is derived and this model is adopted in the passband modeling and transmission zeros calculation. A derivation on the passband insertion loss influenced by the input/output coupling ports is proposed. To demonstrate the filter’s performance, design examples are given in Section IV and, finally, a conclusion is drawn in Section V.

0018-9480/$20.00 © 2006 IEEE

2034

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

where

Fig. 2. One-quarter of the square-loop resonator of Fig. 1.

From (1), the resonance conditions occur when and . By solving these conditions, the different resonance modes can be described by mode mode mode mode

Fig. 3. Composite transmission-line model of Fig. 2.

(2a) (2b) (2c) (2d)

where

II. SPURIOUS RESPONSE SUPPRESSION AND SIZE REDUCTION OF CSIR The use of a capacitive arm to reduce the size of the microstrip square-loop dual-mode bandpass filter has been demonstrated and different capacitive arms have also been proposed over the years [8], [9]. This arm is usually attached to the square-loop resonator inner corner. Even the analysis is not trivial, a complex pattern is introduced to increase the loading capacitance for size reduction. In order to analytically formulate the capacitive arm effect on the dual-mode filter, a simple CSIR structure is proposed and its application for the filter’s spurious response suppression discussion is also studied [10]. One-quarter of the square-loop resonator based on the CSIR is considered as shown in Fig. 2. The introduction of the capacitive loading attached to a square-loop resonator is simply a rectangle patch with lengths and . A stepped-impedance configuration is applied on the conventional square-loop resonator and its low-impedance portions are with different lengths and . When this CSIR is used for the dual-mode bandpass filter, it is observed that proper control of these parameters can distance a filter’s spurious frequencies and reduce its circuitry size simultaneously [10]. A. Spurious-Response Suppression By modeling the proposed CSIR as a composite transmission line with loading capacitance inserted midway on the two line segments and open-end termination, as shown in Fig. 3, distinct characteristic impedances and electrical lengths are assumed. In fact, ignoring the influences of a step discontinuity in Fig. 3 and its admittance can be derived as follows:

By solving (2), the fundamental and spurious frequencies (first, second, third, and fourth spurious resonances, e.g., , , , and ) can then be determined using a simple root-searching program. The resonator becomes a uniform impedance transmission when either or is zero. For a CSIR designed at MHz on a substrate RO4003 with and mm, Figs. 4 and 5 show the characteristics of the first, second, third, and fourth spurious frequencies normalized to their fundamental frequency against the normalized electrical length . Fig. 4 is plotted for different loading capacitances ( and pF) subject to as the impedance ratio; an increase in the loading capacitance distances the spurious frequencies to higher frequency band, grouping , , and more closely to one another. If equal electrical lengths are assumed to ease the filter design, the first to fourth spurious frequencies relocate to 3.0 , 3.5 , 3.9 , and 6.0 , respectively, when 4-pF loading capacitance is considered. On the other hand, the impedance ratio is varied from 0.68 to 1.20, and its effect on the CSIR is studied in Fig. 5. For a loading capacitance of 2 pF, a smaller could be beneficial for all spurious frequency relocation within a specific range of electrical lengths. B. Size Reduction

(1)

As illustrated in Fig. 6, the CSIR discussed in Section II-A is further investigated when the loading capacitance is varied from 0 to 10 pF and the impedance ratio is fixed at 0.86. It is obvious that increasing the loading capacitance will distance

FOK et al.: NOVEL MICROSTRIP SQUARE-LOOP DUAL-MODE BANDPASS FILTER

2035

Fig. 6. Normalized fundamental frequency and length reduction against .

C

1L

Fig. 4. Ratio of first, second, third, and fourth spurious frequencies to the fun= 0 86( : = 2 pF; : = damental frequency of CSIR for

3 pF;

C

:

= 4 pF).

K

:

C

C

approximately 40% electrical length reduction is yielded when a 4.8-pF capacitance is used.

III. ANALYSIS OF MICROSTRIP SQUARE-LOOP DUAL-MODE BANDPASS FILTER USING CSIR A. Transmission Zeros

Fig. 5. Ratio of first, second, third, and fourth spurious frequencies to the fun= 2 pF ( : = 0 68; : = damental frequency of CSIR for

:

0 86;

:

K = 1:20).

C

K

:

K

the fundamental frequency to a lower frequency band; thus implying that a bandpass response at a lower frequency could be designed by higher frequency parameters with the introduction of loading capacitance. This is indeed the main property accounting for size reduction. In fact, the normalized resonator length of the CSIR is expressed as

(3) For some values of and , a larger reduces . The normalized length reduction in the arm, denoted as , is plotted against different loading capacitances, as shown in Fig. 6, and

A simple transmission-line model is elaborated to explore the transmission zero of the microstrip square-loop dual-mode bandpass filter using the proposed CSIR. Its overall equivalent circuit is shown in Fig. 7. Acting as a shunt circuit, this loop resonator can be divided into upper and lower parts between the input and output ports. The upper one is made up of the three CSIRs , , and , whereas the lower part has only a single element . To account for the right-angle effect, the CSIR in Fig. 3 is now added with the equivalent circuit of 90 right-angle bend that is modeled by a -network with inductor and capacitor and their expressions are given in [11]. In , there are two extra capacitances and in parallel with , as highlighted in Fig. 7. The capacitance models the stub perturbing outwards, as shown in Fig. 1, and the capacitance accounts for the open-end effect introduced by the open stub. The -capacitance network with coupling gap capacitance and parallel-plate capacitance models input and output ports, respectively. For spurious response suppression and size reduction, the impedance ratio of these CSIRs is designed around unity, as addressed in Section II. Similar to [5], the filter’s total transfer admittance can thus be determined by calculating - and -parameters of the above equivalent circuit. For lower microwave frequencies, the above -network effect is insignificant. Assume and , the filter transmission zero is similar to the formulation in [5]

(4)

2036

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 7. Equivalent circuit of the dual-mode bandpass filter using CSIR.

TABLE I ELEMENT VALUES USED IN THE EQUIVALENT CIRCUIT OF AN EXAMPLE 900-MHZ BANDPASS FILTER

where

Fig. 8. Transfer characteristics of proposed dual-mode bandpass filter ( simulated;

Based on the above transmission-line model, an example dual-mode bandpass filter is designed at 900 MHz on a substrate RO4003 with and mm and the corresponding element values are summarized in Table I. The electrical length is equivalent to , where are the physical lengths employed in the example filter. Its calculated transfer characteristic is plotted against the simulated ones [12], as depicted in Fig. 8. Good agreement between these two responses is observed to validate the proposed filter model correctness. Two calculated transmission zeros (lower transmission zero and upper transmission zero ) of the above bandpass filter example are recorded at 0.885 and 0.938 GHz, respectively. Only 15- and 6-MHz frequency derivations are observed between the calculated and simulated results. To explore the capacitive load effect on these zeros, Fig. 9 records the values of these two transmission zeros against some loading capacitances based on (4). The calculated zeros are also plotted against the simulation results [12]. Their maximum deviation is only 25 MHz and it is found that the separation of these two zeros remains almost constant as changes. B. Passband Insertion Loss For the above example filter, the calculated passband insertion loss is 2.4 dB, whereas the simulated value is 2.7 dB, as

:

: calculated).

Fig. 9. Transmission zeros location of the proposed dual-mode bandpas filter : simulated f ; against C (“”: calculated f ; “ ”: calculated f ; : simulated f ).

shown in Fig. 10. On the other hand, the calculated center frequency is at 902 MHz with a 3-dB bandwidth of 4.3 MHz, while the simulated value has a 3-dB bandwidth of 7 MHz and its

FOK et al.: NOVEL MICROSTRIP SQUARE-LOOP DUAL-MODE BANDPASS FILTER

2037

Fig. 11. Doubly loaded single resonator.

Fig. 10. Passband insertion loss of proposed dual-mode bandpass filter ( simulated;

:

: calculated).

center frequency is at 900 MHz. This difference is due to our simplified modeling of line-to-ring coupling. As the dual-mode filter’s passband insertion loss severely depends on the gap distance between the port and the ring resonator [13], an inverter model is employed to replace the capacitive -network so as to ease the analysis. For dual-mode structure, the ring resonator exhibits shunt-type resonance, thus, the -inverter will be used instead of the -inverter with the expressions for the -inverter given by [14]

Fig. 12. Equivalent capacitance values of C against gapwidth s.

Utilizing the -inverter value found in (5), the external -factor can be expressed as [14] (5) (7)

where

where is the susceptance slope parameter Utilizing (6) and (7), the attenuation through the resonator at resonance can be obtained by and is the characteristic impedance of the port. The proposed dual-mode filter structure can thus be described in a generalized circuit of a doubly loaded single resonator [14], as depicted in Fig. 11. is the -inverter representing the capacitive -network between the port and the ring resonator where . The external quality factor is defined as the quality factor when the resonator is loaded only by on the port. In our case, the equal -inverter is assumed implying . The unloaded quality factor of the resonator is its quality factor when both terminations are removed and the loss is only contributed by the internal loss of the resonator. For the resonator shown in Fig. 11, the loaded factor can be given as (6)

(8)

is calculated for different port coupling To validate (8), capacitance and is compared with the simulated values. The variation of coupling capacitance is realized by a gapwidth change. The effect of different gapwidth on the capacitance is illustrated in Fig. 12. It is obvious that an increase in leads to a weaker coupling and a decrease in . It is also found that the change in has only a very slight effect on parallel-plate capacitance . By interpolation, the susceptance slope parameter is found to be 3.1368 10 and is 250. The calculated result of the insertion loss against some gap capacitances shows good agreement with the simulation, as shown in Fig. 13. The above result has shown that decreasing the gap distance will increase the coupling capacitance and, thus, reduce the insertion loss.

2038

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 13. Insertion loss variation against the gap capacitance C ( lated; “”: simulated).

: calcu-

Fig. 14. Comparison of simulated jS j and jS j responses of conven: conventional; : tional and proposed dual-mode bandpass filters ( proposed).

TABLE II DIMENSIONS OF THE EXAMPLE DUAL-MODE FILTER (IN MILLIMETERS)

IV. DESIGN EXAMPLES A. Microstrip Dual-Mode Bandpass Filter With Simultaneous Size Reduction and Spurious-Responses Suppression According to the analysis in Section II, it is observed that ratio will be more beneficial in spurious-response a smaller suppression and size reduction. An example filter at 900 MHz is designed on the substrate RO4003 with a relative dielectric constant of and thickness mm. Its physical dimensions are summarized in Table II. An identical CSIR is applied onto each side of the square-loop resonator. The width and of the CSIR arm is 2 and 3 mm, respectively; thus leading to the impedance ratio of 0.67. The capacitive loading dimension is 15.06 15.06 mm , equivalent to a capacitance of 4.5 pF. The circuitry size is only 37.12 37.12 mm and around 54% size reduction is achieved by this prototype when compared with the conventional dual-mode filter without an inner CSIR arm. Against the example in [10], an additional 14% size reduction is yielded. Fig. 14 compares the simulated results of the proposed filter with the conventional structure. Obviously, a wide stopband performance for the proposed dual-mode bandpass filter is reported. Approximately 37-dB suppressions are recorded at the first and second spurious frequencies (1.8 and 2.7 GHz), respectively, leading to the stopband performance with more than 37-dB suppression until three times its fundamental frequency. The passband characteristics of these two filters are also compared in Fig. 15 and it is obvious that the proposed filter offers much selective performance than that of the conventional filter. The simulated 3-dB bandwidth of the new filter is reduced to

Fig. 15. Comparison of simulated passband jS j and jS j responses of con: conventional; : ventional and proposed dual-mode bandpass filters ( proposed).

around 9 MHz; yielding a 1% fractional bandwidth. Two transmission zeros at 0.870 and 0.928 GHz are relocated closer to the passband edges. The insertion loss is 4.3 dB and the matching is kept as good as 19 dB. This novel dual-mode bandpass filter is also fabricated on the same substrate. The experimental results agree well with the simulation, as shown in Fig. 16. The measured spurious response suppression at 1.8 GHz is around 33 dB, while 35-dB suppression at 2.7 GHz is observed. From Fig. 17, a slight frequency shift of 2 MHz is reported between the simulation and measurement. The measured center frequency is recorded at 902 MHz and the fractional bandwidth is recorded as 1.5%. The upper and lower transmission zeros deviate to 0.876 and 0.944 GHz, respectively. The matching is kept as good as 18 dB and the minimum insertion loss is measured as 4.46 dB. This may be due to the fabrication tolerance in the gapwidth. The photograph of the fabricated filter is presented in Fig. 18(a).

FOK et al.: NOVEL MICROSTRIP SQUARE-LOOP DUAL-MODE BANDPASS FILTER

Fig. 16. Comparison of simulated and measured jS j and jS j responses of the proposed dual-mode filter ( : simulated; : measured).

2039

Fig. 18. Photographs of the: (a) compact dual-mode filter prototype and (b) varactor-tuned dual-mode filter prototype.

TABLE III DIMENSIONS OF THE TUNABLE DUAL-MODE FILTER (IN MILLIMETERS)

Fig. 17. Comparison of simulated and measured passband responses of the proposed dual-mode filter ( : simulated;

S

j

j and jS j : measured).

B. Varactor-Tuned Microstrip Dual-Mode Bandpass Filter To demonstrate the frequency-tuning advantage due to the loading capacitance, as shown in Fig. 6, a tunable bandpass filter is also designed. The prototype tunable filter is shown in Fig. 18(b). Its physical dimensions are recorded in Table III. The and of the CSIR arm is 4 and 6 mm, respectively, width and the loading capacitance of each CSIR arm is replaced by varactor capacitance . The varactor diode used in the center frequency tuning design is an Infineon BB888 RF variable capacitance diode with a junction capacitance from 0.6 to 10 pF over a 30-V bias voltage. In addition, a series resistance of approximately 1.8 is associated with this diode at a low bias voltage level. As shown in Fig. 18(b), four varactors are used and equally biased. The measured center frequency tunability of the constructed filter prototype is plotted against the simulations, as shown in Figs. 19 and 20. Good agreement is observed between the experimental and simulated results. Obviously, an overall

Fig. 19. Comparison of simulated and measured passband jS : simulated; : measured). varactor-tuned filter (

j

responses of

good dual-mode bandpass response is kept during the frequency tuning. When the applied tuning voltage is ranged from 12 to of the varactor varies 30 V, the corresponding capacitance from 1.36 to 0.79 pF. The measured lower transmission zero relocates from 1.43 to 1.53 GHz, while the upper transmission zero changes from 1.57 to 1.71 GHz. As depicted in Fig. 19, the measured center frequency is tuned from 1.5 to 1.62 GHz and its 3-dB bandwidth in the tuning range is between 24–28 MHz. At a low bias voltage level, the contribution of the varactors to the

2040

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 20. Comparison of simulated and measured passband jS : simulated; : measured). varactor-tuned filter (

j

responses of

whole capacitance is greater and, therefore, the effects of losses (manifested through the diode and source series resistance) degrade the filter insertion loss performance. The return loss of this tunable filter is also recorded in Fig. 20, an overall good measured matching level of 20 to 30 dB is achieved in the above frequency tuning range. V. CONCLUSION In this paper, a novel microstrip square-loop dual-mode bandpass filter based on the CSIR has been presented. Its capabilities of spurious response suppression and size reduction have been thoroughly analyzed and discussed. A model has also been developed for accurate estimation of the passband response and the transmission zero locations. In addition, the insertion loss characterization has been derived. A compact prototype filter has been designed and experimentally characterized to demonstrate its usefulness. Besides, a varactor-tuned filter has been designed with a tuning range of 1.5–1.62 GHz. The measured results agree with the simulation, proving the tunable capability of this structure. ACKNOWLEDGMENT The authors would like to acknowledge the anonymous reviewers for their valuable comments and are also grateful to K.-F. Chang and W.-W. Choi, both with the University of Macau, Macao SAR, China, for technical discussions and support during the preparation of this paper. REFERENCES [1] J. S. Hong and M. J. Lancaster, “Microstrip bandpass filter using degenerate modes of a novel meander loop resonator,” IEEE Microw. Guided Wave Lett., vol. 5, no. 11, pp. 371–372, Nov. 1995. [2] P. Gardner and D. K. Paul, “Transmission line analysis of symmetrical ring resonators,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 143, no. 2, pp. 184–188, Apr. 1996.

[3] L. Zhu and K. Wu, “A joint field/circuit model of line-to-ring coupling structures and its application to the design of microstrip dual-mode filters and ring resonators circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 1938–1948, Oct. 1999. [4] L.-H. Hsieh and K. Chang, “Compact, low-insertion loss, sharp-rejection, and wideband microstrip bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1241–1246, Apr. 2003. [5] A. Görür, “Description of coupling between degenerate modes of a dual-mode microstrip loop resonator using a novel perturbation arrangement and its dual-mode bandpass filter application,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 671–677, Feb. 2004. [6] J. Hong and M. J. Lancaster, “Theory and experiment of novel microstrip slow-wave open-loop resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2358–2365, Dec. 1997. [7] L. Zhu, P.-M. Wecowski, and K. Wu, “New planar dual-mode filter using cross-slotted patch resonator for simultaneous size and loss reduction,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 5, pp. 650–654, May. 1999. [8] A. Görür, C. Karpuz, and M. Akpinar, “A reduced-size dual-mode bandpass filter with capacitively loaded open-loop arms,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 385–387, Sep. 2003. [9] K.-K. Sun and K.-W. Tam, “A novel compact dual-mode bandpass filter with meander open-loop arms,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 1479–1482. [10] S. W. Fok, P. Cheong, K. W. Tam, and R. P. Martins, “Microstrip dual-mode bandpass filter design with simultaneous size reduction and spurious response suppression,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 2175–2178. [11] M. Kirschning, R. H. Jansen, and N. H. L. Koster, “Measurement and computer-aided modeling of microstrip discontinuities by an improved resonator method,” in IEEE MTT-S Int. Microw. Symp. Dig., 1983, pp. 495–497. [12] “IE3D Manual,” Zeland Software Inc., Fremont, CA, 2001. [13] K. Chang, Microwave Ring Circuits and Antennas. New York: Wiley, 1996. [14] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980.

Si-Weng Fok (S’99) received the B.Sc. and M.Sc. degrees in electrical and electronics engineering from the University of Macau, Macao SAR, China, in 2003 and 2005, respectively. Her research interests are RF/microwave passive structures and their application in active circuitry linearization. Ms. Fok was the IEEE Student Branch Macau section secretary from 1999 to 2001 and treasurer from 2001 to 2002. She was the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Undergraduate Scholarship Recipient in 2002.

Pedro Cheong (S’98–M’03) received the B.Sc. and M.Sc. degrees in electrical and electronics engineering from the University of Macau, Macao SAR, China, in 2000 and 2005, respectively. His research interests are mainly RF/microwave passive filter designs, modeling, and applications.

FOK et al.: NOVEL MICROSTRIP SQUARE-LOOP DUAL-MODE BANDPASS FILTER

Kam-Weng Tam (S’91–M’01–SM’05) was born in Macau, China, in 1969. He received the joint Ph.D. degree in electrical and electronics engineering from the University of Macau, Macao SAR, China, and the Instituto Superior Técnico (IST), Technical University of Lisbon, Lisbon, Portugal, in 2000. From 1993 to 1996, he was with the Instituto de Engenharia de Sistemas e Computadores (INESC), Lisbon, Portugal, where he participated in research and development on a broad range of applied microwave technologies for satellite communication system. Since 1996, he has been with the Electrical and Electronics Engineering, University of Macau, where he is currently an Associate Professor. From 1997 to 1999, he was on leave with the Instituto de Telecomunicações, Lisbon, Portugal, where he was involved in research and development on microwave filters, synthesizers, and phase-locked loops (PLLs). From July 2000 to December 2001, he was with the Instituto de Engenharia de Sistemas e Computadores (INESC), Macau, China, where he was Director involved with the development of communication and computer systems. From January 2002 to July 2003, he co-founded an analog and mixed-signal IP company in Macau, China, where he was the General Manager. His research interests are in the areas of microwave passive and active circuits and design of microwave microscopy for biomedical applications. Prof. Tam helped in the formation of the IEEE Macau Section in 2003. He was the Technical Program co-chair of 5th IEEE Antennas and Propagation (AP)/Microwave Theory and Techniques (MTT) (HK-Macau) Postgraduate Conference. He supervised two IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Undergraduate Scholarship Recipients in 2002 and 2003.

2041

Rui P. Martins (M’88–SM’99) received the Licenciatura (Bachelor’s), Master’s, and Ph.D. degrees and the Agregação degree (Habilitation for full professor) in electrical engineering and computers from the Instituto Superior Técnico (IST), Technical University of Lisbon (UTL), Lisbon, Portugal, in 1980, 1985, 1992, and 2001, respectively. Since October 1980, he has been an Academic Staff Member with the Electrical Engineering and Computers Department, IST/UTL. Since 1992, he has also been an Academic Staff Member with the Faculty of Science and Technology (FST), Electrical and Electronics Engineering Department, University of Macau, Macao SAR, China, on leave from IST, where he is a Visiting Full Professor since 1998. With the FST, he was the Dean of the Faculty from 1994 to 1997. He has been the Vice-Rector of the University of Macau since 1997. He has authored or coauthored over 100 scientific and academic works in the areas of microelectronics, electrical and electronics engineering, science, and education. His research interests include multirate signal processing and mixed analog/digital integrated-circuit design. Dr. Martins was chairman of the IEEE Macau Section from 2004 to 2005. He is currently the chairman of the Joint Chapter of Circuits and Systems (CAS)/ Communications (COMM). He was the recipient of the 1999 Medal of Professional Merit presented by the Macao Government (Portuguese Administration) and the 2001 Honorary Title of Value from the Macao SAR Government (Chinese Administration).

2042

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Orthomode Transducer for Millimeter-Wave Correlation Receivers Oscar Antonio Peverini, Riccardo Tascone, Member, IEEE, Giuseppe Virone, Augusto Olivieri, and Renato Orta, Senior Member, IEEE

Abstract—This paper presents a novel orthomode-transducer (OMT) architecture, which is particularly suitable for correlation receivers at millimeter waves. By exploiting an on-axis reverse-coupling structure, a compact OMT configuration is obtained, which provides high levels of channel equalization. The -band prototypes exhibit very good electric performances in terms of isolation, cross-polarization, return loss, and channel equalization. Index Terms—Millimeter waves, orthomode (OMTs), radiometers, waveguide components.

transducers

I. INTRODUCTION RTHOMODE transducers (OMTs) are key components in dual-polarization receivers employed in high-capacity communication systems [1], remote-sensing applications [2], radio astronomy [3], [4], astrophysical observations of the cosmic microwave background characteristics, e.g., anisotropy [5], [6], and polarization [7]–[11]. OMTs are four electrical-port devices used to separate/combine the two orthogonal vertical ( ) and horizontal ( ) polarizations that are present at a common port, which usually consists of a square or a circular waveguide. Fig. 1 shows the scattering matrix representation of an OMT and the relevant port signals when the OMT is operating in receive mode. Ideally, the vertical and horizontal polarizations at the common port should only couple to the single-polarization ports and , respectively. The single-polarization ports can be either rectangular waveguide ports [12], microstrip waveguide ports [13], or coaxial cable ports [14]. The electric requirements considered in the OMT design depend on the specific application, but the general figures-of-merit are: 1) high return loss at all four electrical ports ( , ); 2) low transmission cross-couplings ( and ); and 3) high level of isolation between the single-polarization ports . Several OMT configurations have been designed to meet these requirements, as described in [15]. Asymmetric structures, such as the ones reported in [12] and [16], allow one to trade off electric performances, in terms of broadband operation and channel isolation, with manufacturing complexity. As highlighted in [17], the impairment of the electric performances of these configurations results from higher order mode excitation in the common waveguide caused by the branching structures, which are used for the extraction of the orthogonal polarizations. Adopting symmetrical structures,

O

Manuscript received October 3, 2005; revised January 13, 2006. This work was supported in part by the Italian Space Agency. The authors are with the Istituto di Elettronica e di Ingegneria dell’Informazione e delle Telecomunicazioni, National Research Council of Italy, c/o Politecnico di Torino, I-10129 Turin, Italy (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872794

Fig. 1. Scattering matrix representation of an OMT with relevant port-signals when the OMT is operating in receive mode.

such as the Bøifot junction [17] or the turnstile junction [18], improves the channel isolation and widens the operational bandwidth of the OMT, although at the cost of a greater mechanical complexity. As a consequence of their symmetry, the isolation and cross-couplings in these configurations are, in principle, infinite and zero, respectively. They, in fact, depend on the manufacturing and assembly accuracy, which can be enhanced by adopting mechanical split-block designs [19], [20] and high-precision manufacturing techniques. Alternative OMT configurations make use of fin-lines [21], ridge-waveguides [14], and quad-ridged waveguides [22]. Finally, in [23] and [24], the electric performances of mechanically asymmetric OMT configurations are improved by inserting an image load opposite the coupling junction in the common region. This paper describes an OMT architecture, which was specifically designed for correlation radiometers. The presented configuration has been developed in the framework of the following projects: Balloon-borne Radiometers for Sky Polarization Observations (BaR-SPOrt) [9] and Sky Polarization Observatory (SPOrt) [10], which are aimed at measuring the cosmic microwave background polarization in the 20–90-GHz range. Due to the faint level of the polarized radiation, which has to be detected [10], a high sensitivity of the correlation radiometers is mandatory. To this end, the OMT should induce very low spurious correlation of the unpolarized radiation. In this respect, the OMT is characterized by the spurious correlation factor , defined as the ratio between the correlation coefficient of the two single-polarization outputs and and the intensity of the unpolarized signal entering the OMT. It is straightforward to derive the following expression:

0018-9480/$20.00 © 2006 IEEE

(1)

PEVERINI et al.: OMT FOR MILLIMETER-WAVE CORRELATION RECEIVERS

where is the radiometer bandwidth and are the OMT scattering parameters. Hence, minimization of the spurious correlation factor requires OMTs with very low levels of and cross-couplings. In most receivers for radio-astronomy and astrophysical observations, the OMT is housed in a cryogenically cooled cell in order to reduce the system noise temperature. The cryogenic cell also accommodates the cold low-noise amplifiers, which are connected to the single-polarization ports of the OMT. A compact OMT, which also provides a close assembling to the amplifiers, is highly desirable in order to minimize the required crio-cooler power supply. A further requirement of correlation receivers is that the OMT should not degrade the correlation between the two input signals and . For this reason, the group delays of the two channels should be equalized as much as possible, which is not straightforward, since the two polarized signals run through different paths inside the OMT. A novel OMT architecture has been conceived in order to meet all the aforementioned specifications. The reported results concern -band OMTs operating at 32 GHz with 10% bandwidth. The following measured electric performances were obtained: 1) return loss at each of the four ports of 30 dB; 2) isolation of 70 dB; 3) cross-couplings of 65 dB; 4) group-delay equalization within 5 ps. Finally, the presented OMT geometry provides parallel singlepolarized outputs lying on the same reference plane, which results in an intrinsically symmetric waveguide circuitry for the overall radiometer; this being an important aspect as far as the correlation process is concerned. II. OMT ARCHITECTURE A three-dimensional view of the OMT architecture presented in this paper is shown in Fig. 2. The architecture is described by assuming the OMT is in receive mode, i.e., when an incident field is entering the circular waveguide common port. The labeling of the OMT blocks displayed in Fig. 2 is the same as that used in all the reported OMT images and extensive use of it is made throughout this paper. A. Architecture Overview A common square waveguide was adopted in the current OMT design because it was more convenient than the circular one as far as higher order mode interactions and manufacturing techniques are concerned. Hence, the first building block of the OMT is a circular-to-square waveguide transition [block (a)], which may be used to interface the OMT to a circular waveguide antenna-feed system. The -polarized signal is selected by a first polarization-coupling structure (b), which is loaded in the common waveguide by the polarization discriminator (c). The latter is designed to stop the -polarized signal and to supply the -polarized signal to the second polarization-coupling structure (d). In fact, a particular specification in the current OMT design is the phase equalization between the two channels. For this reason, the -polarized signal is not extracted directly after the discriminator, but the second coupling structure (d) is instead used. This coupling

2043

Fig. 2. Three-dimensional view of the OMT architecture described in the text. (a) Circular-to-square waveguide transition. (b) -coupling structure. (c) Polarization discriminator. (d) -coupling structure. (e) and (f) C-shaped junctions. (g) and (h) Rectangular waveguide transformers. (i) and (l) 45 rectangular waveguide twists.

H

V

structure is similar to the first one and was designed to exhibit the same transfer function. The same dispersion characteristics of the two electrical paths are achieved by adopting the same broad wall for all the rectangular and square waveguides. In this way, the transmission coefficients relative to the two channels tend to be phase equalized. Any residual group-delay difference between the two channels is compensated for by adopting the architecture hereinafter described. As can be noted in Fig. 2, both incident polarizations are coupled to the fundamental mode of a rectangular waveguide that propagates in the backward direction with respect to the incident signal. The propagation direction is then reversed by inserting the two C-shaped junctions (e) and (f) into the rectangular waveguides after each of the two coupling sections. Thanks to the introduction of the C-shaped junctions, it is possible to compensate for the residual difference of the group delays between the two channels by shifting the position of the junctions with respect to the coupling structures without modifying the relative position of the and output sections. The rectangular waveguide transformers (g) and (h) are inserted after the two C-shaped junctions in order to reach the standard waveguide dimensions. Finally, since the two rectangular outputs lie on the same plane, but are orthogonal, a 45 -rectangular waveguide twist [blocks (i) and (l)] is used in each channel to obtain two parallel rectangular outputs. B. Polarization-Coupling Structures The network representation of the polarization-coupling structures [(b) and (d)] used to select the - and -polarized signals, is shown in Fig. 3. The scheme refers to the coupled polarization. The coupling structure consists of an aperture-coupled waveguide directional coupler with ports 3 and 4 being loaded by the reactive loads and , respectively. The directional coupler is made up of two parallel square and rectangular waveguides, which are coupled together by means of -plane apertures. Since the incident signal or is coupled to rectangular waveguide port 2, the current structure can be referred to as an on-axis reverse-coupling section. The operation of this structure relies on the in-phase combination, at port 2, of the signals reflected at ports 3 and 4, and on their destructive

2044

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 3. Network representation of the polarization-coupling structures (b) and (d) of Fig. 2 with reference to the coupled polarization.

interference at port 1. Hence, the aperture-coupled waveguide directional coupler has approximately a 3-dB coupling level. By comparing Figs. 2 with 3, it can be inferred that the reactive load of the coupling block (b) is formed by the pois larization discriminator (c), whereas the reactive load a short-circuited -plane step discontinuity. The latter is designed to balance the effects on the reflection of the -polarization caused by the polarization discriminator in the common square waveguide. The presence of the uncoupled -polarization has to be properly taken into account during the overall design of the coupling block (b) and of the polarization discriminator (c) since this signal should be directed to the second on-axis reverse-coupling section (d) undisturbed. In order to avoid spurious resonance after the polarization discriminator, the principal waveguide of the second couplingstructure (d) has a rectangular cross section with a side ratio, which guarantees the evanescence of the -polarization. The polarization discriminator at port 3 is replaced by another shortcircuited -plane step discontinuity. Since only the -polarization is present at the coupling section (d), the design of the second coupling section is more flexible. Hence, in view of the phase equalization of the two OMT channels, it is possible to match the transfer functions of the two coupling sections by also considering the effects induced by the polarization discriminator. C. Polarization Discriminator In order to prevent the propagation of the -polarized signal beyond the -coupling structure, a septum, which acts as a polarization discriminator, is usually placed in proximity of the first coupling junction. The geometry of the septum is determined on the basis of the required attenuation of the -polarized signal and of the -polarized signal return loss [8]. It is well known that the isolation between the two single-polarization ports is adversely affected by higher order modes excited by the coupling junctions [17]. High isolation levels are, in fact, achieved by exploiting symmetries in the OMT design [17]. The previously described on-axis reverse-coupling sections are asymmetric structures and they excite the and modes. Hence, in order to achieve high isolation, the - and -mode interaction between the two coupling junctions has to be strongly attenuated. The attenuation of the and modes is provided by the polarization discriminator (c) and by the rectangular waveguide that connects the polarization discriminator (c) to the second coupling block (d).

In view of a compact OMT design, the polarization discriminator has to attenuate the and modes as much as possible. If a septum were used, this attenuation would be limited. In fact, the and modes of the square waveguide would couple with the fundamental difference mode of the septum region. Since this mode is propagating, the septum length does not significantly affect the and modes. For this reason, instead of a septum, the discriminator (c) consists of a waveguide choke realized by a cascade of symmetrical steps (Fig. 2). The attenuation of the -polarized signal and of the and modes is controlled by varying the geometry of the choke. Obviously, the final geometry of the choke is also determined on the basis of the required return loss of the -polarized signal. D. C-Shaped Junctions, Waveguide Transformers, and Rectangular Waveguide Twists Both C-shaped junctions (e) and (f) in Fig. 2 have two L-shaped -plane junctions mounted in a back-to-back configuration. The height of the C-shaped junctions is defined in order to guarantee clearance between the two external rectangular outputs with standard flanges. The waveguide transformers (g) and (h) consist of a cascade of -plane symmetrical steps. The two twist transitions (i) and (l) provide opposite on-axis rotations of the transverse waveguide sections of 45 each. In order to realize a compact OMT configuration, the waveguide step twists described in [25] were adopted. They consist of the direct connection of different rotated rectangular waveguide lengths. III. FABRICATION AND MECHANICAL ASSEMBLY On the basis of the architecture discussed in Section II, a -band OMT was built using split-block techniques. Fig. 4 shows the de-assembled OMT prototype. It consists of five main blocks, which were machined out of the aluminum 2024 alloy. The - and -arm blocks were manufactured in a clam-shell configuration, each one made of two halves fabricated by a highprecision milling technique. Figs. 5 and 6 show close-up views of one of the two - and -arm block halves, respectively. As can be noted, in the -band realization, the - and -polarization couplers (b) and (d) contain four -plane apertures, the waveguide choke (c) consists of five steps, and the -plane waveguide transformers (g) and (h) are three-step transitions. Some of the sharp edges parallel to the tool axis cannot be manufactured if milling techniques are adopted. Instead of using tools with small diameters, which reduce the accuracy because of the tool flexion, we decided to design and make the reactive loads, the C-shaped junctions, and the choke by adopting rounded edges, as can be seen in Figs. 5 and 6. The two waveguide twists were made up of two piled blocks, which were manufactured by electrical-discharge milling [25]. The OMT prototype was subsequently silver-plated. IV. ANALYSIS AND DESIGN TECHNIQUES The simulations of each discontinuity were carried out by the method of moments applied in the spectral domain with

PEVERINI et al.: OMT FOR MILLIMETER-WAVE CORRELATION RECEIVERS

Fig. 4. Exploded view of the

H

Ka-band OMT.

V

2045

H

Fig. 6. Close-up view of one of the two -arm block halves. (d) -coupling structure. (f) C-shaped junction. (h) Rectangular waveguide transformer.

V

Fig. 5. Close-up view of one of the two -arm block halves. (b) -coupling structure. (c) Polarization discriminator. (e) C-shaped junction. (g) Rectangular waveguide transformer.

weighted Gegenbauer polynomials as basis functions to represent the aperture field with its right edge behavior [26]. The metal losses inside the OMT were directly taken into account in the scattering problem formulation; in this way, the extra losses caused by the cutoff modes are correctly described [27]. Finally, the full-wave analysis of the OMT was obtained by cascading the generalized scattering matrix of each component. The classification of the waveguide modes as accessible and localized ones gives rise to a very efficient code [26]. The components that include rounded edges yield continuously varying cross sections. These geometries were approximated by staircase profiles, which were analyzed by the coupled integral-equation technique [28].

The design of the -polarization coupler (b) and the polarization discriminator (c) was carried out in two stages. First, the geometry of the aperture-coupled waveguide directional coupler was optimized with respect to the reflection coefficient of the and -polarized signals at the common port. In this procedure, ports 3 and 4 in the network model relative to the -polarization (Fig. 3) are loaded with two virtual reactive loads and , which exhibit an arbitrary linear phase variation in the operating band. Since the -polarized signal is not coupled to the rectangular waveguide, the corresponding network model contains only ports 1 and 3, with port 3 terminating with a matched load. Next, the geometry of the choke that makes up the polarization discriminator was determined. As far as the -polarization is concerned, this structure should exhibit a reactive reflection coefficient with the same linear phase variation as the virtual reactive load . Furthermore, its geometry also has to be optimized in terms of the reflection coefficient of the -polarized signal by considering the cascade of the polarization coupler (b) and of the choke (c). The virtual reactive load is replaced by a short-circuited -plane step discontinuity with the same phase variation. Finally, the overall geometry of the -polarization coupler (b) and of the polarization discriminator (c) is again optimized in order to compensate for the residual phase variation of the choke and of the short-circuited -plane step discontinuity, which are not exactly linear. The architecture of the -polarization coupler (d) was determined by exploiting the same procedure adopted in the design of the coupler (b). In this case, only the coupled signal is present and the geometry is also optimized in terms of the equalization between the two channels. Both the virtual reactive loads and are realized by short-circuited -plane step discontinuities. As can be noted in Figs. 5 and 6, the C-shaped junctions consist of two identical L-shaped bends mounted in a back-to-back configuration. Although different configurations of L-shaped bends may be used [15], this geometry includes two steps, which act as two additional scattering sources. The geometry of the steps was, in fact, selected to compensate the scattering caused by the opposite 90 corner. Since a good matching of the L-shaped bends is achieved in the whole operating band, the electric performances of the overall C-shaped junction are almost insensitive to its height, i.e., to the distance between

2046

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE I MEASURED AND THEORETICAL IN-BAND MEAN VALUE OF THE - AND -ARM INSERTION LOSSES. THE DATA REFER TO THE OMT DESCRIBED IN THE TEXT, BEFORE AND AFTER SILVER-PLATING

H

S

Fig. 7. Measured and simulated direct transmission coefficients: (a) (b) of the OMT described in the text before and after silver-plating.

S

and

the two L-shaped bends, which is determined on the basis of mechanical assembly constraints. V. EXPERIMENTAL AND THEORETICAL RESULTS The procedure reported in [29] for the complete characterization of dual-polarization devices was adopted in order to measure the electric performances of the -band OMT. This technique provides the full 4 4 scattering matrix of the OMT by processing the measurements performed only at the single-polarization ports, while the common port is terminated with five different loads [29]. The measured reflection coefficients , of the four electrical ports, which are reported in [29], are in the order of 30 dB in the OMT (30.4, 33.6) gigahertz operating band. The direct transmission coefficients and of the OMT were measured before and after silver-plating. The comparison between the measured and simulated data is reported in Fig. 7. Values of the equivalent surface resistance equal to 11 and to 3 cm were used in the simulations of the sample before and after silver-plating, respectively. These values also take into account the surface roughness and were estimated by measurements carried out on components that were previously manufactured with the same technology. The in-band mean value of the insertion losses are reported in Table I. As stated in [19], the comparison between the theoretical and measured insertion losses for both channels reveals the quality of the split-block mating. In the current assembly, the -polarized signal is particularly sensitive to the surface finish and to the clamp pressure achieved at the mating interface between the two halves of the

Fig. 8. Measured and simulated group delays of the OMT described in the text after silver-plating.

V

V - and H -channels of the

-arm block. The good agreement between the measured and simulated insertion losses for both the arms indicate that no significant extra losses are introduced by the OMT mechanical assembly. As already mentioned, an important feature that permits OMTs to be used in correlation radiometers is the equalization of the group delays corresponding to the - and - channels. Fig. 8 shows the comparison between the measured and simulated group delays of the two channels of the silver-plated OMT. The measured group delay of both channels exhibits a variation of 0.15 ns in the operating band, as accurately predicted by the simulations. Nevertheless, their equalization is achieved within 5 ps, therefore, no significant degradation of the correlation level between the input signals is introduced by the OMT. The isolation between the two rectangular ports and the transmission cross-couplings and are of the order of 70 and 65 dB, respectively. Their frequency responses are reported in [29]. On the basis of these electric performances, the spurious correlation factor [see (1)] introduced by the OMT between the two uncorrelated input signals at ports 1 and 2 was evaluated to be approximately 41.6 dB. The relative spectral distribution of the measured spurious correlation, i.e., the quantity , is reported in Fig. 9. As explained in detail in [29], the measured data above 35 GHz are affected by significant measurement uncertainties due to the high level of the reflection coefficients and, hence, do not represent reliable information on the actual behavior of the OMT at these frequencies. Another parameter of interest in correlation radiometers is the instrumental correlation of the noise introduced by the ampli-

PEVERINI et al.: OMT FOR MILLIMETER-WAVE CORRELATION RECEIVERS

2047

Fig. 9. Relative spectral distribution of the spurious correlation factor of the OMT described in the text, as defined in (1).

Fig. 10. Scheme of the instrumental correlation process concerning the noise signals A and B introduced into the radiometer channels after the OMT.

fiers in the radiometer channels after the OMT, as depicted in Fig. 10, which is defined as

Fig. 11. Noise instrumental correlation of the OMT described in the text, as defined in (3). L is the distance between the OMT single-polarization ports and the noise source, as illustrated in Fig. 10.

block is an on-axis reverse-coupling structure, which is used to extract the vertical and horizontal polarizations. This structure leads to an overall compact OMT design, which, in conjunction with a specific mechanical assembly, provides very good electric performances. The measurements on the -band prototypes have demonstrated the validity of the presented configuration in a 10% band. The same architecture can be adopted for OMTs with bandwidths of up to 20%, whereas larger bandwidths can be achieved by exploiting a symmetrical configuration of the on-axis reverse-coupling structure. ACKNOWLEDGMENT The authors would like to thank A. Caratide, Caratide Srl, Turin, Italy, for the prototype manufacturing. REFERENCES

(2) and Under the assumption of equal white-noise signals (worst case), the instrumental correlation of the noise caused by the OMT is

(3) where is the distance between the OMT single-polarization ports and the noise source, and is the propagation constant in the rectangular waveguides of the OMT channels. Fig. 11 shows the instrumental correlation as a function of the distance . The maximum of the correlation is achieved at approximately mm, which compensates for the electrical path inside the OMT. The instrumental correlation for practical distances between the OMT and the amplifiers mm is smaller than 45 dB. VI. CONCLUSIONS A novel OMT architecture, specifically designed for correlation radiometers, has been reported. The principal building

[1] H. Schlegel and W. D. Fowler, “The ortho-mode transducer offers a key to polarization diversity in EW systems,” Microw. Syst. News, pp. 65–70, Sep. 1984. [2] J. Lahtinen, J. Pihlflyckt, I. Mononen, S. J. Tauriainen, M. Kemppinen, and M. T. Hallikainen, “Fully polarimetric microwave radiometer for remote sensing,” IEEE Trans. Geosci. Remote Sens., vol. 41, no. 8, pp. 1869–1878, Aug. 2003. [3] G. L. James, “Wideband feed systems for radio telescopes,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Albuquerque, NM, Jun. 1992, pp. 1361–1363. [4] G. Moorey, R. Gough, G. Graves, R. Bolton, M. Bowen, A. Dunning, H. Kanoniuk, and L. Reilly, “Millimeter-wave receiver system and component design for the Australia Telescope,” in Proc. Int. Electromagn. Adv. Applicat. Conf., Turin, Italy, Sep. 8–12, 2003, pp. 347–350. [5] G. Smoot et al., “COBE differential microwave radiometers: Instrument, design and implementation,” Astrophys. J., vol. 360, pp. 685–695, Sep. 1990. [6] N. Jarosik, C. L. Bennett, M. Halpern, G. Hinshaw, A. Kogut, M. Limon, S. S. Meyer, L. Page, D. N. Spergel, G. S. Tucker, D. T. Wilkinson, E. Wollack, E. L. Wright, and Z. Zhang, “Design, implementation and testing of the MAP radiometers,” Astrophys. J. Suppl. Series, vol. 145, no. 2, pp. 413–436, Apr. 2003. [7] B. G. Keating, C. W. O’Dell, J. O. Gundersen, L. Piccirillo, N. C. Stebor, and P. T. Timbie, “An instrument for investigating the large angular scale polarization of the cosmic microwave background,” Astrophys. J. Suppl. Series, vol. 144, no. 1, pp. 1–20, Jan. 2003. [8] G. Chattopadhyay, B. Philhour, J. E. Carlstrom, S. Church, A. Lange, and J. Zmuidzinas, “A 96-GHz ortho-mode transducer for the polatron,” IEEE Microw. Guided Wave Lett., vol. 8, no. 12, pp. 421–423, Dec. 1998.

2048

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

[9] S. Cortiglioni et al., “BaR-SPOrt: An experiment to measure the linearly polarized sky emission form both the cosmic microwave background and foregrounds,” in Proc. 16th ESA Eur. Rocket and Baloon Programmes and Rel. Res. Symp., St. Gallen, Switzerland, Aug. 2003, pp. 271–277. [10] S. Cortiglioni et al., “The sky polarization observatory,” New Astronomy, no. 9, pp. 297–327, May 2004. [11] G. Sironi, G. Boella, G. Monelli, L. Brunetti, F. Cavaliere, M. Gervasi, G. Giardino, and A. Passerini, “A 33 GHz polarimeter for observations of the cosmic microwave background,” New Astronomy, vol. 3, pp. 1–13, 1998. [12] J. M. Rebollar, J. Esteban, and J. De Frutos, “A dual frequency OMT in the band for TT&C applications,” in Proc. IEEE Int. AP-S Symp. Dig., Atlanta, GA, Jun. 1998, pp. 2258–2261. [13] R. W. Jackson, “A planar orthomode transducer,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 12, pp. 483–485, Dec. 2001. [14] S. J. Skinner and G. L. James, “Wide-band orthomode transducers,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 294–300, Feb. 1991. [15] J. Uher, J. Bornemann, and U. Rosenberg, Waveguide Components for Antenna Feed Systems: Theory and CAD. Norwood, MA: Artech House, 1993, ch. 3. [16] N. Yoneda, M. Miyazaki, and T. Noguchi, “A 90 GHz-band monoblock type waveguide orthomode transducer,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, Jun. 1999, pp. 1781–1784. [17] A. M. Bøifot, E. Lier, and T. Schaug-Pettersen, “Simple and broadband orthomode transducer,” Proc. Inst. Elect. Eng., vol. 137, no. 6, pt. H, pp. 396–400, Dec. 1990. [18] Y. Aramaki, N. Yoneda, M. Miyazaki, and T. Horie, “Ultra-thin broadband OMT with turnstile junction,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 47–50. [19] E. J. Wollack, W. Grammer, and J. Kingsley, “The Bøifot orthomode junction,” NRAO, Tucson, AZ, ALMA memo ser. 425, May 2002. [20] A. Navarrini and M. Carter, “Design of a dual polarization SIS sideband separating receiver based on waveguide OMT for the 275–370 GHz frequency band,” in Proc. 14th Int. Space Terahertz Technol. Symp., Tucson, AZ, Apr. 2003, pp. 159–168. [21] G. Chattopadhyay and J. Carlstrom, “Finline ortho-mode transducer for millimeter waves,” IEEE Microw. Guided Wave Lett., vol. 9, no. 9, pp. 339–341, Sep. 1999. [22] A. Dunning, “Double ridged orthogonal mode transducer for the 16–26 GHz microwave band,” in Proc. 4th Applicat. Radio Sci. Workshop, Leura, Australia, Feb. 2002 [Online]. Available: http://www.ips.gov.au/IPSHosted/NCRS/wars/wars2002/proceedings/index.htm [23] W. Steffe, “A novel compact OMJ for band Intelsat applications,” in Proc. IEEE Int. AP-S Symp. Dig., Newport Beach, CA, Jun. 1995, pp. 152–155. [24] M. L. Piovano, G. Bertin, G. Zarba, L. Accatino, and M. Mongiardo, “CAD and optimization of compact ortho-mode transducers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2479–2486, Dec. 1999. [25] M. Baralis, R. Tascone, A. Olivieri, O. A. Peverini, G. Virone, and R. Orta, “Full-wave design of broadband compact waveguide step-twists,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 134–136, Feb. 2005. [26] T. Itoh, Numerical Techniques for Microwave and Millimeter-Wave Passive Structures. New York: Wiley, 1989. [27] O. A. Peverini, R. Tascone, M. Baralis, G. Virone, D. Trinchero, and R. Orta, “Reduced-order optimized mode-matching CAD of microwave waveguide components,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 311–318, Jan. 2004. [28] M. Baralis, O. A. Peverini, G. Virone, R. Tascone, and R. Orta, “Coupled-integral-equations and de-embedding techniques for the analysis of variable cross section waveguide components,” in Proc. Int. Electromagn. Adv. Applicat. Conf., Turin, Italy, Sep. 2003, pp. 159–162. [29] O. A. Peverini, R. Tascone, A. Olivieri, M. Baralis, R. Orta, and G. Virone, “A microwave measurement procedure for a full characterization of ortho-mode transducers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1207–1213, Apr. 2003.

Ku

Ku

Oscar Antonio Peverini was born in Lisbon, Portugal, in 1972. He received the Laurea degree (summa cum laude) in telecommunications engineering and Ph.D. degree in electronics engineering from the Politecnico di Torino, Turin, Italy, in 1997 and 2001, respectively. From August 1999 to March 2000, he was a Visiting Member with the Applied Physics/Integrated Optics Department, University of Paderborn, Paderborn, Germany. In February 2001, he joined the Istituto di Ricerca sull’Ingegneria delle Telecomunicazioni e dell’Informazione (IRITI), an institute of the Italian National Council (CNR). Since December 2001, he has been a Researcher with the Istituto di Elettronica e di Ingegneria dell’Informazione e delle Telecomunicazioni (IEIIT), a newly established institute of the CNR. He teaches courses on electromagnetic field theory and applied mathematics at the Politecnico di Torino. His research interests include numerical simulation and design of surface acoustic wave (SAW) waveguides and interdigital transducers (IDTs) for integrated acoustooptical devices, of microwave passive components and radiometers for astrophysical observations, and microwave measurements techniques.

Riccardo Tascone (M’02) was born in Genoa, Italy, in 1955. He received the Laurea degree (summa cum laude) in electronic engineering from the Politecnico di Torino, Turin, Italy, in 1980. From 1980 to 1982, he was with the Centro Studi e Laboratori Telecomunicazioni (CSELT), Turin, Italy, where his research mainly dealt with frequency-selective surfaces, waveguide discontinuities, and microwave antennas. In 1982, he joined the Centro Studi Propagatione e Antenne (CESPA), Turin, Italy, of the Italian National Research Council (CNR), where he was initially a Researcher and, since 1991, has been a Senior Scientist (Dirigente di Ricerca). He has been Head of the Applied Electromagnetics Section, Istituto di Ricerca sull’Ingeneria delle Telecomunicazioni e dell’Informazione (IRITI), an institute of the CNR. Since September 2002, he has been with the Istituto di Elettronica e di Ingegneria dell’Informazione e delle Telecomunicazioni (IEIIT), a newly established institute of the CNR. He has held various teaching positions in the area of electromagnetics with the Politecnico di Torino. His current research activities are in the areas of microwave antennas, dielectric radomes, frequency-selective surfaces, radar cross section, waveguide discontinuities, microwave filters, multiplexers, optical passive devices, and radiometers for astrophysical observations.

Giuseppe Virone was born in Turin, Italy, in 1977. He received the Electronic Engineering degree (summa cum laude) from the Politecnico di Torino, Turin, Italy, in 2001. Since 2002, he has been with the Istituto di Elettronica e di Ingegneria Informatica e delle Telecomunicazioni (IEIIT), Italian National Research Council (CNR), Politecnico di Torino, initially as a Research Assistant and, since 2006, as a Researcher. His activities concern the design and numerical analysis of microwave and millimeter-wave passive components for feed systems, antennas, frequency-selective surfaces, compensated dielectric radomes, and industrial applications.

PEVERINI et al.: OMT FOR MILLIMETER-WAVE CORRELATION RECEIVERS

Augusto Olivieri was born in Courmayeur (AO), Italy, in 1942. He received the Diploma degree in telecommunication from the Istituto A. Avogadro di Torino, Turin, Italy, in 1963. From 1964 to 1967, he was with Poste Telecomunicazioni e Telegrafi (PTT). From 1967 to 1971, he was a Laboratory Technician with the Department of Electronics, Politecnico di Torino. In 1971, he joined the Centro Studi Propagatione e Antenne, Turin (CESPA), Italian National Research Council (CNR). He is currently with the Istituto di Elettronica e di Ingegneria dell’Informazione e delle Telecomunicazioni (IEIIT), Turin, Italy. His primary interests cover a range of areas of telecommunication, radio propagation, antennas, measurement of microwave components, and instrumentation for advanced astrophysical observations.

2049

Renato Orta (M’92–SM’99) received the Laurea degree in electronics engineering from the Politecnico di Torino, Turin, Italy, in 1974. Since 1974, he has been a member of the Department of Electronics, Politecnico di Torino, initially as an Assistant Professor, then as an Associate Professor and, since 1999, as a Full Professor. In 1985, he was Research Fellow with the European Space Research and Technology Center (ESTEC-ESA), Noordwijk, The Netherlands. In 1998, he was Visiting Professor (CLUSTER chair) with the Technical University of Eindhoven, Eindhoven, The Netherlands. He currently teaches courses on electromagnetic-field theory and optical components. His research interests include microwave and optical components, radiation and scattering of electromagnetic and elastic waves, and numerical techniques.

2050

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Broadband Integrated Millimeter-Wave Up- and Down-Converter GaAs MMICs Simon J. Mahon, Senior Member, IEEE, Emmanuelle Convert, Paul T. Beasly, Member, IEEE, Alexandre Bessemoulin, Senior Member, IEEE, Anna Dadello, Alberto Costantini, Member, IEEE, Anthony Fattorini, Member, IEEE, MacCrae G. McCulloch, Member, IEEE, Bernard G. Lawrence, and James T. Harvey, Member, IEEE

Abstract—The architecture and design of broadband, highly integrated up- and down-converters in GaAs pHEMT technology is described. Two up-converters and two down-converters have been designed to reduce the complexity and cost of broadband millimeter-wave systems by integrating a number of functions into compact MMICs. Broadband performance was achieved for approximately 17–35 GHz (low band) and 30–45 GHz (high band) with up-conversion input-referred, third-order intercept point exceeding 12 and 10 dBm, respectively, with good 2 local oscillator leakage and excellent gain control. To the best of the authors’ knowledge, this is the highest level of integration achieved for up- and down-converters at these frequencies. Index Terms—High electron-mobility transistors (HEMTs), monolithic microwave integrated circuits (MMICs), receivers, transmitters.

I. INTRODUCTION ROADBAND wireless systems at millimeter-wave frequencies find application in point-to-point, point-tomultipoint, and very small aperture terminal (VSAT) systems. These systems support the growing need for higher data transmission rates in consumer and professional interactive media. The monolithic microwave integrated circuit (MMIC) chipsets for these systems form a large part of the cost. Transmit or receive multifunction chipset are usually made of up to five MMICs to realize mixers, attenuators, variable-gain amplifiers (VGAs), low-noise amplifiers (LNAs), and power amplifiers [1], [2]; this allows optimal technology choices for each function. Conversely, significant drawbacks exist in dealing with a number of MMICs: associated manufacturing cost, die handling, number of components in the assembly process of the radio, and interconnect mismatches and losses. This paper addresses highly integrated up- and downconverters for point-to-point microwave radios required to meet ETSI specifications and those generally used for cellular back-haul applications at 18–40 GHz. These up- and down-converters effectively combine up to five function chips into a single MMIC, thus considerably lowering the module complexity, cost, and manufacturing variability. One

B

Manuscript received October 11, 2005; revised December 22, 2005. S. J. Mahon, E. Convert, A. Bessemoulin, A. Dadello, A. Constantini, A. Fattorini, M. G. McCulloch, B. G. Lawrence, and J. T. Harvey are with Mimix Broadband, North Sydney, NSW 2060, Australia (e-mail: smahon@ mimixbroadband.com). P. T. Beasly is with Mimix Broadband Inc., Houston, TX 77099 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872793

objective is to reduce the high-frequency front-end of these radios to three circuits—up-converter, power amplifier, and downconverter—thus minimizing the number of lossy interconnections at millimeter-wave frequencies and external components such as hybrids and decoupling capacitors with associated complex track routing issues. Local oscillator (LO) doublers are incorporated inside the MMICs to reduce the oscillator requirements to the microwave band and to provide higher LO isolation compared to direct modulation. The linearity of up-converters, at significant output power levels, needs to be sufficient so that a single-power-amplifier MMIC can bring the signal level to the required output level, generally in the range 17–27 dBm, depending on frequency and application. As the adjacent channel interference limits and background bit error rates (BERs) determine the transmitter linearity, the allowable power amplifier gain (which is limited by stability in a package or assembly to 20–30 dB) in turn determines the linearity of the up-converter. The power level of the radio may be required to vary over 30–50 dB; consequently, the input-referred, third-order intercept point ( ) of the upconverter is the critical parameter. A high level of spurious suppression (i.e., unwanted sideband and LO products) combined with high gain and good in the up-converter eases the design of the roofing filter, which is needed to avoid power stealing in the power amplifier and to allow power amplifier detectors to operate accurately. Third-order distortion in receivers needs to be low enough to ensure that self-induced distortion does not degrade background BERs at a maximum received signal level, generally set at 20 dBm. An above 5 dBm ensures two-tone third-order intermodulation level (IM ) less than 56 dBc (assuming that the input comprises two tones each at 23 dBm), and this distortion level is generally acceptable for quaternary amplitude modulation (QAM) up to the 256th level. This may be relaxed in systems with automatic transmit power control or with forward error-correction coding. Second-order distortion can also be a limit when the local transmit leakage signal mixes with high-level interferers spaced at the received IF from the transmit signal. The receiver intermodulation is generally set by the mixer, so LNA gain has to be optimized to provide a compromise between the input-referred intercept point and the overall receiver noise figure [3]. A pair of low-band up- and down-converters, covering approximately 15–35 GHz (approximately the -band), and a pair of high-band up- and down-converters, covering approximately 30–45 GHz (approximately the -band), are presented.

0018-9480/$20.00 © 2006 IEEE

MAHON et al.: BROADBAND INTEGRATED MILLIMETER-WAVE UP- AND DOWN-CONVERTER GAAS MMICS

The MMICs integrate an LO frequency doubler, amplifier, and a balanced resistive field-effect transistor (FET) mixer. For the up-converter MMIC, there is also a two-stage RF amplifier with distributed attenuation, which eliminates the degradation in during dynamic power control seen in earlier work [4]. The 35–45-GHz up-converter also provides image rejection. For the down-converters, the MMIC includes a multistage LNA, and the mixers are implemented in an image-reject configuration to eliminate external signals and LNA noise at the image frequency. The high level of integration requires a comprehensive system design to ensure that each subcircuit provides an adequate performance. These up-converters, when used in conjunction with companion power amplifiers [5], form a cost-effective three-chip front-end for millimeter-wave radios. II. SYSTEM AND CIRCUIT DESIGN A. Overview The MMIC technology is based upon a standard 0.15- m GaAs pseudomorphic high electron-mobility transistor process from WIN Semiconductor Corporation. Simulations were made with AWR’s Microwave Office harmonic-balance and electromagnetic (EM) simulators. The design specifications for each subcircuit were determined by the overall system requirement and the analysis of each subcircuit’s contribution to linear and nonlinear performance. The third-order-intercept point is an important system parameter for up- and down-converters. Expressed in dBm, the input-referred third-order intercept point for stage of an -stage system with RF input power level RFin , RF output power level RFout , and output intermodulation tone level IM is given by

RFin

RFout

IM3

(1)

Integrating a number of subcircuits requires a careful analysis of the nonlinear distortion contribution of each separate stage. Third-order distortion contributions from each RF active element add in a voltage sense using

(2)

is the stage-j gain in decibels to give a total third-order where intermodulation power of IM3. This intermodulation sum is referred back to the transmitter’s input to obtain the overall system distortion, , using (1).

2051

Fig. 1. Block diagram of the low-band up-converter MMIC.

integrates five functions into a single chip with area of 6.8 and is shown in Figs. 1 and 2. The mixer is the critical element in integrated downconverters. It determines the level of LO leakage and is a major contributor to the system distortion level. The mixer designs are based upon balanced, fundamental FET resistive mixer topology [6], [7] using Lange couplers to provide good LO-to-RF rejection. The twin Lange couplers were designed to have equal amplitude and summed phase shift close to 180 to provide optimum LO rejection. The low-band up-converter mixer is a simple realization of this balanced topology. The LO input (on the right) feeds a broadband frequency doubler which has been designed using an active differential balun that drives a pair of common-drain pHEMTs which act as a full-wave rectifier with good fundamental suppression [8]. This on-chip doubler reduces the frequency of the required external LO source to microwave frequencies, which can be supplied by a single-chip oscillator with prescaler. A distributed amplifier buffers the doubled LO signal and provides approximately 15-dBm drive to the balanced resistive mixer. The IF signal is fed to either port ( or ) of the balanced mixer with the other terminated in 50 . An off-chip 180 IF hybrid can be used to present IF signal to both ports, but this is not necessary and it has not been done in the measurements presented here. IIP3 and conversion gain should both improve by 3 dB when both IFs are used. The up-converter topology uses a single-stage, two-device distributed amplifier which precedes a distributed attenuator to reduce transmitted noise. This is a significant improvement on previous work [4], where that circuit’s dynamic range was set by the RF amplifier’s gate control. This degraded the overall MMIC as the gate bias passed from open-channel conduction toward the subthreshold region, where the curvature of the transconductance is high [10]. In this new study, the use of a separate, distributed attenuator after the gain block facilitates improved through the dynamic range without degrading transmitter noise performance, which is an often overlooked parameter when addressing regulatory mask requirements. A two-stage in-line amplifier with shunt-feedback after the attenuator provides the broadband output signal with 12-dB output match. The up-converter consumes approximately 2.5 W of dc power. C. Design of High-Band Up-Converter MMIC

B. Design of Low-Band Up-Converter MMIC The low-band up-converter was designed to provide a broadband integrated solution for transmitter applications. It

At higher frequencies, the high-band up-converter was designed to provide a broadband integrated solution for transmitter applications. This converter offers the same functionality as its

2052

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 2. Photograph of the low-band up-converter MMIC (chip size is 3:4 mm

2 2 0 mm = 6 8 mm ). :

:

power divider and a pair of balanced, resistive pHEMT mixers with a Lange coupler, thus completing the image-reject structure. This high-band up-converter improves on that presented in [4] by using attenuators in between and after the two broadband amplifying stages to flatten the performance as a function of conversion gain according to the model described by (1) and (2). The up-converter consumes less than 1 W of dc power. D. Design of Low-Band Down-Converter MMIC

Fig. 3. Schematic of the balanced image-reject mixer.

Fig. 4. Block diagram of the high-band up-converter MMIC.

lower frequency counterpart, but with the addition of image rejection. This is achieved by combining two balanced mixers with a third Lange coupler at the RF input and a Wilkinson divider in the LO path. Fig. 3 shows the schematic of the balanced image-reject mixer, which then becomes the mixer cell shown in the circuit schematic (see Fig. 4). Fig. 5 shows a photograph of the complete circuit. The extended 50- line on the LO path is to accommodate the size of other circuits placed on the same engineering mask set. It can be easily reduced, leading to a total chip size of 7.0 mm . The LO input (on the right) drives a compact frequency doubler [9] and an LO buffer amplifier, which feeds a Wilkinson

The 15–35-GHz down-converter was designed to provide a broadband integrated solution for receiver applications. The two down-converters presented here use a simpler nonbalanced image-reject topology, as the reverse isolation of the multistage LNAs reduces LO leakage from the RF port to acceptable levels. The low-band down-converter combines four functions— LNA, mixer, LO buffer, and LO doubler—into a single chip with an area of 6.8 mm , shown in Figs. 6 and 7. The RF input (left-hand side of the MMIC) feeds a two-stage, distributed, LNA followed by the image-reject mixer. Quadrature IF signals are obtained from both the IF1 and IF2 ports of the MMIC. An off-chip 90 IF hybrid is required to combine these to achieve image rejection. The LO input (right-hand side of the MMIC) feeds a broadband frequency doubler and LO buffer amplifier that are similar to those used in the low-band up-converter. The total dc power consumption is approximately 2 W. E. Design of High-Band Down-Converter MMIC The high-band down-converter was designed to provide a broadband integrated solution for receiver applications at higher frequencies. The LNA, mixer, LO buffer, and LO doubler functions are combined into a single chip with an area of 5.9 mm —see Figs. 8 and 9. The RF input (left-hand side of the MMIC) feeds a threestage, in-line LNA with inductive-source feedback on the first stage to maximize the tradeoff between noise figure, stability,

MAHON et al.: BROADBAND INTEGRATED MILLIMETER-WAVE UP- AND DOWN-CONVERTER GAAS MMICS

2053

Fig. 5. Photograph of the high-band up-converter MMIC.

Fig. 6. Block diagram of the low-band down-converter MMIC.

and input match. Careful transistor modeling and via -parameter negation is required to produce the four-port equivalent circuit model for this first-stage device. The LNA output feeds the Lange coupler port of an image-reject, resistive pHEMT mixer of a similar topology to the one used for the low-band down-converter. Quadrature IF signals are obtained from both the north and south ports of the MMIC. An off-chip 90 IF hybrid is required to combine these to achieve image rejection. The LO input is on the right-hand side of the chip and feeds a compact broadband frequency doubler similar to that used in the high-band up-converter. A three-stage LO buffer amplifier is used in this design to provide sufficient drive level to the mixer. The total dc power consumption is approximately 800 mW. F. MMIC Reliability/Lifetime A core part of the design process for all parts of the MMIC up- and down-converters presented here is the tradeoff in device size to meet the sometimes conflicting requirements of noise, intermodulation, power consumption, and lifetime. The predicted reliabilities of the MMICs were calculated using data obtained from accelerated life testing of individual HEMT devices. These device measurements yield a meantime-to-failure (MTTF) and activation energy (Ea) for a single HEMT device which are used to predict the MTTF at operating channel temperature. The accelerating factor in lifetime tests is the device channel temperature, so it is important that the MTTF calculations predict the correct channel temperature for

a given backplate temperature and device power dissipation. In this case, the device power dissipation is the dc power consumed by the device minus the net RF power added/subtracted, since the latter does not contribute to channel heating. The device thermal resistance (from channel to backplate) is calculated using thermal analysis software at a reference temperature of 22 C. A successive approximation algorithm models the variation of GaAs thermal conductivity with temperature. Measurements with a thermal imaging camera show that it is accurate to within a few degrees. In this way, the channel temperature of each HEMT is calculated, and the total MMIC MTTF is readily found by combining the predicted failure rates of individual HEMT devices. It is a common practice for MMIC reliability to be calculated using a constant HEMT thermal resistance and other simplifying assumptions. Our more thorough technique provides useful insights into the relative failure rates of individual devices and, hence, circuit locations, where improvements may be made by modifications to bias conditions or choice of transistor width. Fig. 10 shows the resulting MTTF for the up- and down-converters with backplate temperatures of 55 C, 75 C, and 95 C. The LO doubler in the low-band up- and down-converters is an interesting case in point. The LO can be on either side of the RF, and the lower -band center frequency requires larger bandwidth than for the -band designs; thus, an active doubler was used for the low-band designs in place of the passive doubler used for the high-band circuits. It was necessary to carefully design the active doubler to prevent significant degradation over the lifetime. This was achieved by optimal choice device periphery, layout, and bias. Fig. 10 shows that this was successfully achieved. In all cases, the up- and down-converter MMICs presented in this paper exhibit excellent reliability due to appropriate choice of device size and bias current, with MTTFs in excess of 10 million hours at a backplate temperature of 75 C for all circuits.

2054

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 7. Photograph of the low-band down-converter MMIC.

Fig. 8. Block diagram of the high-band down-converter.

III. MEASURED PERFORMANCE AND DISCUSSION A. Measurement Technique Separate test setups were used for measuring conversion gain and image rejection (and LO break-through for the up-converters), intermodulation, and noise. The laboratory software allowed bias values; LO power and RF input power levels could be varied within multiple loops, enabling a range of operating conditions to be readily investigated. Image-rejection results presented here are those measured using a good, external commercial IF hybrid coupler. For conversion gain values, though, the convention adopted is to assume that the IF hybrid is perfect and is located on-chip. The power loss from the input of the IF transfer switch (which was also controlled by the laboratory software) to each of the IF probe connectors was measured for both transfer switch positions. This loss value is measured in decibels, converted to a ratio, averaged, reconverted into decibels, and then reduced by 3.00 dB—which is the splitting factor of a perfect hybrid. With the addition of the probe loss, this total loss value was used for the IF loss for all image-reject measurements. Calibration files were created before measurements began. These contained specific losses for all frequencies involved in the measurements. Separate files were made for back-to-back probe RF losses and for LO input cable losses. A third file combined the output attenuator loss, output cable loss, and spectrum analyzer amplitude inaccuracy into a single value. All intermodulation measurements were made using two independent signal sources and a spectrum analyzer. Great care was taken to ensure that the input test signal was clean of instru-

mental intermodulation generated in the signal generators and that inspection of the resulting signal did not, of itself, cause intermodulation products to be generated within the spectrum analyzer. A combiner having good isolation between its input ports was used. Additional coaxial isolators and attenuators were placed at the output of the RF amplifiers used between the signal generators and the combiner. For down-conversion tests, this arrangement necessitated measurements over two frequency ranges. The typical specification for the spectrum analyzer for internally generated intermodulation is 80 dBc for an input level at its mixer of 35-dBm/tone. Each input frequency pair was checked for instrumental IP products at a power at least 5 dBm above the test value, with the spectrum analyzer span reduced to lower the noise floor so that the products could be seen. A minimum value of 70 dBc was used. When checking the input signal to determine if an intermodulation product was being generated in the input equipment or the spectrum analyzer, a 3-dB attenuator was temporarily added at the spectrum analyzer input. A 3-dB reduction in the IP level indicated the product was generated externally. A directional coupler was used between the combiner output and RF input probe to sample the input power level. This enabled the power at each frequency to be individually measured and adjusted during measurement to compensate for changes in the amplifiers between the signal generators and the RF input probe, due to gain expansion and compression, at different tone input power levels over frequency. For these intermodulation measurements, an additional loss file of input RF sample to RF input probe loss was also created. Due to the large dynamic range of the gain-controlled circuits, intermodulation measurements were made over two overlapping ranges of MMIC gain. For the lower gain measurements, the attenuation in the output was reduced, and the spectrum analyzer resolution bandwidth reduced to lower the noise floor of the measurement, enabling the low-amplitude intermodulation products still to be measured. The reported average IP values are derived from the two desired tones and the two intermodulation tones. Each pair is mea-

MAHON et al.: BROADBAND INTEGRATED MILLIMETER-WAVE UP- AND DOWN-CONVERTER GAAS MMICS

2055

Fig. 9. Photograph of the high-band down-converter MMIC.

Fig. 10. MTTF for the low- and high-band up- and down-converters with backplate temperatures of 55 C, 75 C, and 95 C.

sured in decibels, converted into watts, averaged, reconverted into decibels, and then used to calculate . On-wafer noise measurements are particularly difficult to make due to the presence of multiple mismatches between the circuit, the probes, and the external components. For these measurements, a 3-dB attenuator was placed directly onto the RF input probe and included as part of the input loss value to reduce these reflections. Double-shielded or solid-copper jacked RF cables were used, where necessary, to minimize the intrusion of external signals.

0

Fig. 11. Low-band up-converter: USB conversion gain with 0- and 10-dBm/ tone fed into port IF , 50 on IF2, LO = 0 dBm, and either minimum or strong attenuation. Four devices are shown.

B. Performance of the Low-Band Up-Converter MMIC Fig. 11 shows the upper sideband (USB) conversion gain with attenuation turned off or applied strongly and the two-tone IF signal ( 2 GHz) applied to port at 10- and 0-dBm/tone (with 50 on . Conversion gain varies from 6 to 13 dB over the 17–36-GHz range. A dynamic range of 20 dB is achieved as shown in the figure. Similar values are observed for the lower sideband (LSB). With 10 dBm per tone applied to (and 50 on ), the up-converter’s input-referred is a minimum of 12 dBm over 17–36 GHz, as shown in Fig. 12. The is approximately 2 dB lower when the level of the tones is increased to 0 dBm. With the higher IF tone power, it is possible to measure the at high attenuation levels where the output distortion tones become very small and close to the noise floor of the spectrum

Fig. 12. Low-band up-converter: USB average IIP as a function of RF with 0 and 10 dBm per tone fed into port IF , 50 on IF , and minimum attenuation. For the strong attenuation case, only IF = 0 dBm per tone is shown. LO = 0 dBm. Four devices are shown.

0

analyzer. Fig. 12 also shows the at high attenuation with 0 dBm per tone. Previous integrated up-converters [4] relied on controlling the bias of the RF buffer amplifier to control gain. The disadvantage

2056

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 13. Low-band up-converter: USB average IIP as a function of conversion gain with 50 on IF2 and 0 dBm/tone fed into port IF . RF = 18 to 36 GHz and LO = 0 dBm.

Fig. 14. Low-band up-converter: measured over a narrower RF range: LSB conversion gain with 10 dBm fed into port IF (50 on IF port), LO = 0, 2 and 4 dBm, and either maximum or minimum attenuation.

0

of this approach can be a significant reduction in the at certain gain values. The distributed attenuator used here permits more than 20 dB of dynamic range control without a reduction in —see Figs. 13 and 16. Similar results are obtained with the IF tones applied to port and 50 on . In Fig. 14, the LSB conversion gain over the narrower band of 20–30 GHz is shown to be between 11–15 dB, and conversion gain with maximum attenuation is between 12- 15 dB. Fig. 14 also shows that the conversion gain and are reasonably insensitive to the LO level. Leakage of the fundamental and doubled LO signal is shown in Fig. 15, measured with a 0-dBm LO and an IF power level of 10 dBm. Higher IF powers improve the value linearly but, due to the design of the LO doubler and buffer, increasing the LO does not degrade it linearly. C. Performance of the High-Band Up-Converter MMIC Fig. 17 shows the USB conversion gain, , OIP , and leakage with attenuation turned off and 10-dBm/tone IF (2 GHz) applied to ports and through a 90 off-chip hybrid. The conversion gain is above 0 dB from 32 to 45 GHz and flat around 3 4 dB from 34 to 45 GHz. The is 14–16 dBm over the same range. The leakage improves for higher

2

Fig. 15. Low-band up-converter: LO and 2 LO leakage at the RF port compared to the RF output signal with IF = 10 dBm and LO = 0 dBm.

0

Fig. 16. Low-band up-converter: LSB input-referred, third-order intercept point (IIP ) versus conversion gain (controlled by the attenuator) at 23, 24, 25, and 26 GHz with 0 dBm per tone fed into ports IF (50 on IF ) and LO = 0 dBm.

Fig. 17. High-band up-converter: USB conversion gain, IIP , OIP , and 2 2 LO leakage from RF over LO input power level. Measurement taken with IF = 2 GHz and 010 dBm per tone, LO = 2 dBm and minimal attenuation.

frequencies, suggesting that longer Lange couplers in the balanced mixer cells would be beneficial.

MAHON et al.: BROADBAND INTEGRATED MILLIMETER-WAVE UP- AND DOWN-CONVERTER GAAS MMICS

2

Fig. 18. High-band up-converter: LSB conversion gain, IIP , OIP , and 2 LO leakage from RF over LO input power level. Measurement taken with IF = 2 GHz and 10 dBm per tone, LO = 2 dBm, and minimal attenuation.

2057

Fig. 20. High-band up-converter: IM contribution from the mixer, RF amplifiers and attenuators.

0

Fig. 19. High-band up-converter: LSB (solid lines) and USB (dashed lines) input-referred, third-order intercept point (IIP ) versus conversion gain (controlled by the attenuators) at 37, 38, 39, and 40 GHz with 0 dBm per tone and LO = 2 dBm.

The LSB measurements in Fig. 18 also show positive conversion gain from 32 to 45 GHz and, although drops at higher frequencies, it still exceeds 14 dBm up to 40 GHz. Again, the IF tone level is increased to facilitate the measurement of as a function of conversion gain as the attenuators reduce the conversion gain and, hence, the output signal levels. Fig. 19 shows that, for both USB and LSB, the equals or exceeds 12 dBm over a 25-dB dynamic range for frequencies in the 37–40 GHz band. Equations (1) and (2) allow the relative contributions of the mixer, first RF amplifier, first attenuation, second RF amplifier, and second attenuator to be studied during the design process. Fig. 20 shows the calculated contribution of these stages to the overall third-order intermodulation of the high-band up-converter, for the case of minimum attenuation, based on test cells included on the same engineering wafer. The equivalent to the cumulative total shown in Fig. 20 is within 1 dBm of that measured in Fig. 19 for the entire up-converter. Fig. 20 suggests that increasing the periphery of the second RF amplifier and, especially, the attenuator would improve the ; however, the former comes at the cost of increased current

Fig. 21. Low-band down-converter: USB and LSB conversion gain and image rejection as a function of RF with LO = 2 dBm.

and the latter at the cost of increased insertion loss and, hence, reduced up-converter gain. D. Performance of the Low-Band Down-Converter MMIC The USB and LSB conversion gain and image rejection are shown in Fig. 21 for dBm (other LO power levels are very similar). For both sidebands, the conversion gain is flat at 8 dB from 14 to 36 GHz. The image rejection is better than 15 dB over 10 to 32 GHz and better than 10 dB to 36 GHz. Two RF tones with 100-MHz spacing are used to measure the down-converter . Fig. 22 shows the USB for 0, 2, and 4 dBm. At the latter LO level, the average exceeds 3 dBm, which is sufficient for point-to-point systems using highlevel QAM from nearly 15 to 35 GHz. Similarly, Fig. 23 shows the corresponding LSB data for . In this case, with 4-dBm LO, the 3-dBm threshold is exceeded from nearly 15 to nearly 38 GHz. The noise figure of the MMIC is less than 4.2 dB from 12 to 38 GHz; see Fig. 24, which is good result for a receiver with a distributed LNA over such a wide band. E. Performance of the High-Band Down-Converter MMIC The USB and LSB conversion gain and image rejection are shown in Fig. 25. For both sidebands, the conversion gain is

2058

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 22. Low-band down-converter: USB average IIP as a function of RF with LO = 0, 2, and 4 dBm. Three devices are shown.

Fig. 25. High-band down-converter: USB and LSB conversion gain and image rejection as a function of RF with LO = 2 dBm. Four devices are shown.

Fig. 23. Low-band down-converter: LSB average IIP as a function of RF with LO = 0, 2, and 4 dBm. Three devices are shown.

Fig. 26. High-band down-converter: LSB average IIP as a function of RF from 32 to 40 GHz with LO = 2 dBm. Five devices are shown.

Fig. 24. Low-band down-converter: USB noise figure as a function of RF from 12 to 38 GHz.

Fig. 27. High-band down-converter: noise figure of MMICs measured on wafer as a function of RF from 34 to 40 GHz. Four devices are shown.

between 10–12 dB from 35 to 45 GHz. The image rejection is better than 20 dB over the measured frequencies. Fig. 26 shows the LSB for dBm. The average exceeds 3 dBm across the measured RF range from 32 to 40 GHz. The USB exceeds 5 dBm from 36 to 40 GHz.

The noise figure of the packaged MMIC is less than 3.5 dB from 35 to 40 GHz, as shown in Fig. 27. This value is consistent with the expected value of 3.3 dB obtained by application of Friis’ formula [11] to the simulated 2.8 dB for the amplifier and the resistive pHEMT mixer with an excess noise figure of 3 dB.

MAHON et al.: BROADBAND INTEGRATED MILLIMETER-WAVE UP- AND DOWN-CONVERTER GAAS MMICS

2059

TABLE I TYPICAL PERFORMANCE OF THE LOW-BAND (Ka) AND HIGH-BAND (Q) UP- AND DOWN-CONVERTER MMICS

IV. CONCLUSION The design and performance of highly integrated up- and - and -bands has been presented. The down-converters for MMICs combine five and four functions into a single chip, respectively. The up-converters have broadband performance with better than 12 dBm for the 17–36-GHz band and 10 dBm for 32–45 GHz over attenuation, excellent gain control, and leakage. The down-converters show excellent good over 15–35- and 35–45-GHz band and good image rejection, respectively, better than 15 and 20 dB. The results presented have been standardized on an IF of 2 GHz, although similar results are obtained for IFs between dc and 4 GHz. The high level of integration results in simple, cost-effective solutions for broadband wireless systems as summarized in Table I. These devices are used in point-to-point radios in the commercial 18-, 23-, 24-, 26-, 32-, and 38/40-GHz bands and have application in multipoint bands at 27–31 GHz and military application at 35 and 44 GHz. ACKNOWLEDGMENT The authors would like to thank R. Mould for MMIC photography, A. Young for useful discussions, and R. Montgomery, Mimix Broadband CEO, for his continuous encouragement of this work. REFERENCES [1] O. Vaudescal, D. Kabat, A. M. Couturier, R. Sevin, C. Dourlens, and P. Quentin, “A highly integrated MMIC Chipset for 40 GHz MVDS applications,” in Proc. Gallium Arsenide Appl. Symp., Paris, France, Oct. 2000, pp. 1–4. [2] B. Lefebvre, A. Bessemoulin, C. Schwoerer, V. Lehouel, and O. Vaudescal, “An highly integrated double conversion mixer MMIC for Ka-band VSAT communication systems,” in Proc. Gallium Arsenide Appl. Symp., Amsterdam, The Netherlands, Oct. 2004, pp. 91–94. [3] J. Harvey, 2001, Linearity Requirements for MMIC’s for 16 Through 128 ETSI Compliant Radios [Online]. Available: http://www.mimixbroadband.com/PDFfiles/linearity_req.pdf [4] E. Convert, P. Beasly, S. Mahon, A. Dadello, and J. Harvey, “Design of broadband, highly integrated, 20–30 GHz and 35–45 GHz MMIC up-converters,” in Proc. Gallium Arsenide Appl. Symp., Paris, France, Oct. 2005, pp. 573–576. [5] A. Bessemoulin, S. Mahon, A. Dadello, G. McCulloch, and J. Harvey, “Compact and broadband microstrip power amplifier MMIC with 400-mW output power using 0.15-m GaAs PHEMTs,” in Proc. Gallium Arsenide Appl. Symp., Paris, France, Oct. 2005, pp. 41–44.

[6] K. Yhland, “Resistive FET Mixers,” Ph.D. dissertation, Dept. Microelectron. ED, Chalmers Univ. Technol., Gothenborg, Sweden, 1999. [7] S. Maas, Microwave Mixers, 2nd ed. Norwood, MA: Artech House, 1993. [8] F. van Raay and G. Kompa, “Design and stability test of a 2–40 GHz frequency doubler with active balun,” in 2000 IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2000, pp. 1573–1576. [9] M. Jonsson, H. Zirath, and K. Yhland, “A new FET frequency multiplier,” in IEEE MTT-S Int. Microwave Symp. Dig., Baltimore, MD, Jun. 1998, pp. 1427–1430. [10] A. E. Parker and D. J. Skellern, “A realistic large-signal MESFET model for SPICE,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 9, pp. 1563–1571, Sep. 1997. [11] H. T. Friis, “Noise figure of radio receivers,” Proc. IRE, vol. 32, pp. 419–422, Jul. 1944.

Simon J. Mahon (S’90–M’92–SM’00) was born in Salford, U.K., on October 6, 1963. He received the B.Sc. degree in physics and pure mathematics, the B.E. degree in electrical engineering (with first-class honors), and Ph.D. degree in electrical engineering from the University of Sydney, Sydney, Australia, in 1985, 1987, and 1993, respectively. His doctoral thesis investigated modeling of pHEMT devices and circuits. From 1993 to 1999, he was with the Commonwealth Scientific and Industrial Research Organization (CSISO) Radiophyiscs Laboratory, Sydney, Australia, where he designed a number of amplifier, mixer, and other monolithic microwave integrated circuits (MMICs) in GaAs pHEMT, InP HEMT, and InP HBT technologies for a variety of applications at frequencies up to 100 GHz. From 1999 to 2001, he was with Optus Mobile, Sydney, Australia, where he worked on frequency-hopping algorithms for GSM and RF modeling for 3G RF mobile telephony networks. In 2001, he joined Mimix Broadband, Sydney, Australia, as a Director of MMIC Design, where he is responsible for management and design of millimeter-wave integrated circuit products.

Emmanuelle Convert was born in Saint Cloud, France, on 11 September, 1977. She received the B.Sc. and M.E. degrees (both with distinction) in electrical engineering from Limoges University, Limoges, France, in 1998 and 1999, respectively, and the Diplôme d’Ingénieur from the ENST Bretagne and Diplôme d’Etudes Approfondies from the Faculté des Sciences, Brest, France, in 2001. In 2001, she held a short-term post with the CSIRO Radiophyiscs Laboratory, Sydney, Australia, where she worked on InP high-electron mobility transistor (HEMT) serial-to-parallel converter before joining Mimix Broadband’s Australian Design Centre later that year. Her interests include HEMT amplifiers, resistive-HEMT mixers, and heterojunction bipolar transistor divider circuits.

2060

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Paul T. Beasly (M’03) was born in Sydney, Australia, on October 17, 1978. He received the B.Sc degree in engineering physics (with first-class honors) and B.A. degree in English from the University of NSW, Sydney, Australia, in 2001. His studies focused on optoelectronic technology and developed a grating technology and thin-film deposition for optical fiber modulators. From 2001 to 2003, he was with Mimix Broadband, Sydney, Australia, where he designed a number of nonlinear devices including integrated receivers, transmitters, mixers, and doublers. In 2005, he transferred to Mimix Broadband, Houston, TX, where he is a Product Manager responsible for the nonlinear product line. Alexandre Bessemoulin (S’96–A’98–M’00–SM’03) was born in Toulouse, France, on April 23, 1971. He received the B.Sc., M.Sc., and Ph.D. degrees from the University Pierre and Marie Curie, Paris, France, in 1993, 1994, and 1998, respectively, all in electrical engineering. His doctoral research treated modeling and design of III-V based coplanar structures, devices and integrated circuits at millimeter waves. Upon the completion of his Ph.D., he joined the Fraunhofer Institute for Applied Solid-state Physics (IAF), Freiburg, Germany, as a Guest Scientist, designing a variety of monolithic microwave integrated circuits (MMICs) up to the -band; later, he became Leader of the High-Frequency IC team. In 2001, he joined United Monolithic Semiconductors, Orsay, France, where he has been successively Senior Microwave Design Engineer, VSAT Product-line Manager, and Advanced Development Manager. He technically led different national and European projects, contributing to the developments of process, MMIC design, and packaging techniques up to 110 GHz. In 2004, he joined Mimix Broadband, Sydney, Australia, where he is now Director of Technology Development; he is currently involved in the development of power amplifiers, highly integrated multifunction MMICs, and millimeter-wave packaging techniques. He has authored and coauthored more than 50 publications in international conferences and journals and has three patents pending in the field of high-frequency chip-scale packaging. Dr. Bessemoulin serves as a Technical Reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, and the European GAAS Symposium (now EuMIC). He was a corecipient of the Best Paper Award at the GAAS Symposium in 2002, Milan, Italy, and the recipient of the Best Paper Award at the GAAS 2004 Symposium in Amsterdam, The Netherlands.

W

Anna Dadello was born in Moscow, Russia, on September 27, 1970. She received the M.S. degree in electronic engineering from Moscow Power Engineering Institute, Moscow, Russia, in 1993. From 1994 to 2000, she was with the CSIRO Radiophysics Laboratory, Sydney, Australia, where she designed a variety of GaAs p-high-electron mobility transistors (pHEMTs), InP HEMTs, and InP heterojunction bipolar transistor (HBT) monolithic microwave integrated circuits (MMICs) for defense, commercial microwave, and satellite applications up to 100 GHz. In 2000, she joined Mimix Broadband, Sydney, Australia, as a Principal MMIC designer where she is responsible for the design of many specialist and multifunction commercial and defense MMICs. Alberto Costantini (M’98) was born in Agordo, Italy, in 1972. He graduated from the University of Ferrara, Ferrara Italy, in 1998, and received the Ph.D. degree from the University of Modena, Modena, Italy, in 2001. His doctoral work was in the field of III-V electron device modeling and monolithic microwave integrated circuit (MMIC) power amplifier design. From 2001 to 2003, he was a Research Engineer with the Department of Electronics, University of Bologna, Bologna, Italy. In 2003, he was the co-founder and Director of Microwave Circuit Concepts Ltd., and a consultant

with M/A-COM, Cork, Ireland, where he was involved with the development of Polar architectures. In 2004, he joined Philips Semicondutctors, Nijmegen, The Netherlands, as Senior RF PA Design Engineer. In 2005, he joined Mimix Broadband, Sydney, Australia, as a Senior MMIC Design Engineer. Anthony Fattorini (M’01) was born in Bradford, U.K., on December 19, 1974. He received the B.Sc. degree in physics with electronics and M.Sc. degree in communication engineering from the University of Manchester Institute of Science and Technology, Manchester, U.K., in 1996 and 1997, respectively. From 1997 to 2002, he was with Roke Manor Research Ltd., Romsey, U.K., where he was a Design Engineer with the Mobile Radio Terminals Group and later in the Microwave Circuits Group. In 2002, he joined Mimix Broadband, Sydney, Australia, as a Senior MMIC Designer. Mr. Fattorini is a Chartered Engineer and member of the Institution of Electrical Engineers (IEE), U.K. MacCrae G. (Gerry) McCulloch (M’95) was born in Brisbane, Australia. He received the Diploma of Electronic Engineering and the B.S. degree in electrical engineering from the New South Wales Institute of Technology (now the New South Wales University of Technology), Sydney, Australia, in 1974 and 1975, respectively. In 1970, he joined the CSISO, working in the field of radio astronomy, where he was involved primarily with the design and construction on a range of mostly cryogenically cooled microwave receivers covering the range 6–120 GHz. He then joined the group developing the local oscillator and fiber optical sections of the six-antenna Australia Telescope. In 1992, he was with the Nobeyama Radio Observatory in Japan, where he developed a 43-GHz SIS mixer. In 1993, he rejoined CSIRO Radiophysics, where he helped develop several millimeter-wave and microwave radios. He is now a Laboratory Manager with Mimix Broadband, Sydney, Australia, where he is in charge of the Measurement and Evaluation Laboratory. Bernard (Ben) G. Lawrence (M’03) was born in Australia on July 15, 1966. He received the B.E. degree in electrical engineering (with honors) from James Cook University, Townsville, Australia, in 1987, and the Ph.D. degree in computational electromagnetics from Monash University, Melbourne, Australia, in 1993. He gained a post-doctoral fellowship with CRL Japan, working on rain-detection radar before returning to Australia to develop RF head coils for magnetic resonance imaging machines with the University of Queensland. In 2001, he commenced work on 10-Gb/s electrooptical modulators for Redfern Integrated Optics, Sydney, Australia. Since 2003, he has been with Mimix Broadband, Sydney, developing laboratory instrumentation control, p-high-electron mobility transistor (pHEMT) modeling and various monolithic microwave integrated circuit designs. James T. Harvey (M’86) received the B.Sc. degree in physics and pure mathematics, B.E. degree in electrical engineering (with first class honors), and Ph.D. degree in electrical engineering from the University of New South Wales, Sydney, Australia, in 1968, 1970, and 1973, respectively. He has held a variety of research and development, network planning, and engineering management positions in Australian industry and in private, government, and defense sectors and was appointed the Chief Technology Officer of Mimix Broadband, Sydney, on its formation in 2000. Dr. Harvey is a Fellow of the Australian Academy of Technological Sciences and Engineering.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

2061

Nonlinear Source Resistance in High-Voltage Microwave AlGaN/GaN HFETs Robert J. Trew, Fellow, IEEE, Yueying Liu, Griff L. Bilbro, Weiwei Kuang, Ramakrishna Vetury, and Jeffrey B. Shealy, Member, IEEE

Abstract—Wide bandgap semiconductors are used to fabricate field-effect transistors with significantly improved RF output power compared to GaAs- and InP-based devices. Nitride-based heterostructure field-effect transistors can be biased at high drain voltages, up to and exceeding 100 V, which results in high RF output power. However, the operation of these devices at high drain bias introduces physical phenomena within the device that affect both dc and RF performance. In this study, the existence of a nonlinear source resistance due to space–charge limited current conditions is demonstrated and verified. Inclusion of the nonlinear source resistance in a physics-based device simulator produces excellent agreement between simulated and measured data. The nonlinear source resistance degrades RF performance and limits amplifier linearity. Index Terms—AlGaN/GaN heterostructure field-effect transistors (HFETs), GaN, large-signal operation, nonlinear source resistance.

I. INTRODUCTION HE generation of high RF output power, on the order of hundreds to thousands of watts necessary for - and -band transmitters for radars and - and -band wireless communications systems, remains a difficult challenge for semiconductor devices. RF power devices fabricated from standard semiconductors such as Si and GaAs are limited in the RF output capability by low drain bias voltage, which is limited by the inherent breakdown voltage of the semiconductor material. AlGaN and GaN have breakdown fields greater than V/cm [1], which is significantly higher than comparable fields of slightly over V/cm in standard semiconductors such as Si and GaAs. The high critical field permits the device to sustain increased dc bias and RF terminal voltages, which is a factor in producing high RF output power. Another factor in obtaining high RF output power is the ability of the transistor to sustain high dc and RF currents. The AlGaN/GaN heterojunction yields a two-dimensional electron gas (2DEG) with a sheet charge density on the order of cm . This is a factor of five times larger than

T

Manuscript received September 27, 2005; revised February 9, 2006. This work was supported in part by the Army Research Office under Grant DAAD19-03-1-0148 and by the Office of Naval Research under Multiuniversity Research Initiative Grant N00014-05-1-0419. R. J. Trew, Y. Liu, G. L. Bilbro, and W. Kuang are with the Electrical and Computer Engineering Department, North Carolina State University, Raleigh, NC 27695-7243 USA (e-mail: [email protected]). R. Vetury and J. B. Shealy are with the Infrastructure Product Group, RF Micro Devices, Charlotte NC, 28269 USA. Digital Object Identifier 10.1109/TMTT.2006.873627

is obtained with the traditional AlGaAs/GaAs heterojunction, and over twice the sheet charge density obtained from the GaInAs/InP heterojunction. The high sheet charge density results in high dc and RF currents, and the product of high device current and high bias voltage results in the development of high RF output power. Heterostructure field-effect transistors (HFETs) fabricated from the AlGaN/GaN heterostructure demonstrate the ability to produce RF output power on the order of hundreds of watts, and these devices can be easily combined to fabricate kilowatt level and higher power transmitters. The drain bias that can be applied to the HFET is limited in magnitude by electronic breakdown of the gate electrode. Standard power GaAs field-effect transistors (FETs) are generally limited to drain bias voltages in the range of 8–12 V, which limits the RF voltage and RF output power that can be developed [2]. It has been shown that the use of field-plate technology suppresses gate breakdown and permits significantly higher drain bias voltages to be applied [3]. Field-plate power GaAs FETs biased with a drain voltage of 35 V have produced RF power density of 1.7 W/mm of gate periphery, and a 230-W amplifier when the FET was biased at V [4]. Wide bandgap semiconductors such as those based upon the III– materials system have much improved critical electric fields for breakdown compared to GaAs, and HFETs fabricated from these materials can sustain significantly improved bias voltages, with V before breakdown is observed. Field-plate technology is also being widely used with nitride-based HFETs [5] to permit even greater drain voltage to be applied, and a field-plate HFET when biased at a V has produced over 30-W/mm RF power density at -band [6], and over 5 W/mm at 30 GHz with a drain bias of V [7]. Operation of the FETs at high drain bias and at high current density produces physical effects that influence the performance of the device. In particular, when the drain is biased at high voltage, there is a corresponding increase in the RF voltage that can be applied to the input of the device. Channel current is driven into a large-signal mode and the input impedance becomes a function of RF drive. The gate–source region resistance becomes a nonlinear function of RF input drive, with corresponding effects upon device performance. In particular, the nonlinear resistance limits the RF power, gain, and efficiency of the device, and degrades the linearity of the device. Most large-signal models for FETs assume that the source resistance is a constant and not a function of dc or RF current. However, it has previously been reported that the source resistance for the AlGaN/GaN HFETs becomes a nonlinear function of channel current when the threshold for space–charge limited (SCL) current is exceeded [8], [9]. Other reports have

0018-9480/$20.00 © 2006 IEEE

2062

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

noted the nonlinear behavior of the extrinsic parasitic source and drain resistances for AlGaAs/GaAs FETs, but attribute the nonlinear behavior to electron velocity saturation occurring in the gate–source and gate–drain regions [10]. However, this argument cannot explain the nonlinear source resistance that occurs in the AlGaN/GaN HFETs since detailed simulations of the physical operation of the AlGaN/GaN HFETs show that electron velocity saturation in the gate–source and gate–drain regions does not occur in these devices for any practical operating conditions. In this study, the existence of a nonlinear source resistance is verified and shown to be caused by the onset of SCL current phenomenon. A communications band AlGaN/GaN HFET is simulated using a new model that includes, for the first time, a current-dependent nonlinear source resistance The simulation results are compared to measured dc and RF large-signal data and excellent agreement between the simulated and measured data have been obtained. It is shown that the nonlinear source resistance produces gain degradation and other performance limitations observed in experimental devices. This study makes use of an advanced physical device model embedded in a harmonic-balance simulator [11].

the resistivity and resistance of the semiconductor material become a function of current injection. This effect can become significant for semiconductor devices operated under high current injection conditions. In order to determine the conditions under which space–charge effects become significant, it is illustrative to solve (1) analytically. The current density is (3) where A/cm is the magnitude of the current density and (cm/s) is the nonlinear velocity-field curve, which is modeled as

(4) V/cm where cm V s is the low field mobility and is the magnitude of the electrical field that produces velocity saturation, which is expressed as kV/cm

(5)

Equation (1) can be written in the form

II. SCL CURRENT TRANSPORT Under high current conditions, the injected charge in a semiconductor can become comparable in magnitude to the background impurity density. This condition can be achieved in practical devices under large-signal RF operation when high magnitude input RF power is applied. The voltage that can be supported by a semiconductor device is limited by the internal resistance, and when high input power is applied, the injected current will increase to satisfy the boundary conditions imposed by the applied source. Generally, under these conditions, the input impedance to the device is driven to a reduced magnitude, and although some of the input power is reflected by the reduced impedance of the device, current injection increases. The injected charge and the internal electric field are related, as expressed by Poisson’s equation

(1) where is the one-dimensional electric field in the direction of current flow, is the effective donor density that represents the positive polarization/piezoelectric charge in HFETs, is the free electron density where is the thermal equilibrium density of charge, and is the density of injected charge. The thermal equilibrium density of electrons is essentially equal to the donor density (i.e., ) and when the injected charge becomes comparable in magnitude to the thermal equilibrium density of electrons Poisson’s equation is written as

(2) Under high injection conditions, the electric field is reduced in magnitude as a function of increasing charge injection, and

(6) where the

parameter is

(7) and has the dimensions of length. The parameter is typically very small for an AlGaN/GaN heterojunction 2DEG since the effective doping is very high. The solution of (6) depends on its magnitude at , which should reflect a combination of low and high . For the limiting case , (6) has a solution (8) that can be simply written in terms of the principle real branch of the Lambert W function [11] for and the other real branch of W for . In (8), the length scale (9) is larger than the length scale of (7), but is still less than an angstrom for . diverges near . The resistivity as a function of distance from the source for semiconductor fabricated using an AlGaN/GaN heterojunction 2DEG is shown in Fig. 1. The resistivity is essentially independent of until a critical threshold current is achieved. In this example, MA/cm . For current density in excess of , the resistivity increases rapidly with current, and with distance from the source injection point. Therefore, once SCL transport conditions are established, the

TREW et al.: NONLINEAR SOURCE RESISTANCE IN HIGH-VOLTAGE MICROWAVE AlGaN/GaN HFETs

Fig. 1. Resistivity for an AlGaN/GaN heterojunction 2DEG as a function of current density and distance from the source.

resistivity of a semiconductor can rapidly increase, and the effect is more significant with the length of the semiconductor region. The analytic solution of (6) is continuous at , but its character changes. For , is almost independent of , except in a region , where . For , increases almost linearly with . Furthermore,

(10) , in addition to is a second exact solution of (6) for being an approximation of (8) in the region near the gate edge. In contrast to (8), however, (10) is not continuous at and is not physical at . This peculiar situation raises the possibility of mode-switching between the continuous solution and constant solution. The mode-switching transition may occur for , when the length scale of (9) exceeds the length of the access region. This transition would be abrupt in practical devices where is on the order of a micrometer and is much larger than , the length parameter of (7), because only for as approaches . The magnitude of the threshold current is approximately given by the expression

(11) , and, for a heterojunction 2DEG, it is assumed that where is the width of the 2DEG quantum well. For a typical AlGaN/GaN 2DEG, space–charge effects are expected to set in for a threshold current in the range of MA/cm . This is lower than the current density measured in experimental devices. Practical devices generally have a maximum drain current of A/mm, and for a quantum well width of approximately A, the current density is in the range of MA/cm . This indicates that these devices operate

2063

Fig. 2. Measured and simulated dc I–V characteristics for the AlGaN/GaN HFET (points: measured data, solid lines: simulation).

under SCL conditions, particularly during the high current portion of the RF cycle. In addition, the magnitude of is expected to vary with and , and these parameters vary in magnitude with dc and large-signal RF operating conditions. The magnitude of , therefore, can vary with HFET bias and RF drive, and this increases the nonlinearity of the gate–source resistance for an HFET under large-signal drive. III. NONLINEAR RESISTANCE AND DEVICE PERFORMANCE The effect of a nonlinear source resistance on the HFET was investigated by modification of a physics-based FET model embedded in a harmonic-balance simulator [11]. The device model has been modified for use with HFETs and, in this study, was modified by inclusion of a source resistance in the form (12)

is the source resistance, is the low current magniwhere tude of the resistance in the gate–source region, is the increase in resistance after the onset of space–charge effects, and is the space–charge threshold current previously discussed. The nonlinear source resistance is an instantaneous function of the magnitude of the total channel current (dc and RF), and in the simulator is included on the time domain, nonlinear side of the harmonic-balance interface. In this manner, the source resistance is a function of the conduction current in the transistor. The modified simulator was used to investigate the dc and RF operation of a communications band AlGaN/GaN HFET amplifier. The HFET device had a gate length and gate width of m and mm, respectively. The device was biased with a drain voltage of V and was operated class A–B at a frequency of GHz. The measured and simulated dc I–V characteristics for the HFET are shown in Fig. 2. As indicated in Fig. 2, excellent agreement between the measured and simulated data is obtained. In the simulation, a low-field mobility of cm V s and an electron saturation velocity of cm/s were used. The mobility was measured

2064

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 3. Measured and simulated RF performance versus input power for the AlGaN/GaN HFET amplifier (points: measured data, solid lines: simulation, V = 28 V, Freq = 2:14 GHz).

Fig. 5. (a) Simulated time-domain RF voltage at the gate terminal for linear (P in = 0 dBm) and large-signal (P @PAEmax) conditions (V = 28 V, Freq: = 2:14 GHz). (b) Simulated time-domain RF current at the gate terminal for linear (P = 0 dBm) and large-signal (P @PAEmax) conditions (V = 28 V, Freq: = 2:14 GHz). Fig. 4. Measured and simulated dc drain current versus input power for the AlGaN/GaN HFET amplifier (points: measured data, solid lines: simulation, = 28 V, Freq: = 2:14 GHz). V

and the saturation velocity was adjusted to get agreement with the measured I–V characteristics. The saturation velocity used is below the peak value determined from theoretical Monte Carlo simulations of transport in an AlGaN/GaN 2DEG, which show a peak electron velocity of approximately cm/s [12], but is consistent with the value for electron saturation velocity extracted from measured data [13], [14]. The same device model is used for both the dc and large-signal RF simulations, and the current dependent nonlinear source resistance is included in both simulations. Without inclusion of the current-dependent nonlinear source resistance in the dc model, the simulated current increasingly deviated from the measured data as the gate bias voltage was increased from pinchoff and adjusted for increasing channel current. The measured and simulated RF performance and the dc drain current as a function of input power to the amplifier are shown in Figs. 3 and 4, respectively. In the simulation, seven harmonics were used in the harmonic-balance routine. The amplifier was tuned for maximum power-added efficiency (PAE). Excellent

agreement between the measured and simulated device performance and the dc drain current are obtained. The amplifier produced a peak PAE of , with RF output power of dBm and a gain of dB. The linear gain for the amplifier was dB. The experimental amplifier demonstrated premature gain compression and a degradation of gain beginning at an input power of slightly below approximately dBm. Significantly, the simulated results accurately predict the change in slope of the gain response. The simulator predicts both the change in gain slope at dBm, and the gain saturation that occurs after maximum PAE is achieved. The time-domain voltage and current waveforms at the gate and drain terminals are shown in Figs. 5 and 6. Fig. 5(a) and (b) shows the voltage and current waveforms at the gate terminal under low drive dBm and large-signal operating conditions where the input power is sufficient to produce maximum PAE. The same waveforms at the drain terminal are shown in Fig. 6(a) and (b). For the low RF drive dBm condition, the gate voltage and current are essentially low amplitude sinusoids, as expected. Since the dB drive condition is sufficient to

TREW et al.: NONLINEAR SOURCE RESISTANCE IN HIGH-VOLTAGE MICROWAVE AlGaN/GaN HFETs

2065

Fig. 7. Simulated nonlinear source resistance versus time for linear (P = = 28 V, Freq: =

0 dBm) and large-signal (P @PAEmax) conditions (V 2:14 GHz).

Fig. 6. (a) Simulated time-domain RF voltage at the drain terminal for linear (P = 0 dBm) and large-signal (P @PAEmax) conditions (V = 28 V, Freq: = 2:14 GHz). (b) Simulated time-domain RF current at the drain terminal for linear (P = 0 dBm) and large-signal (P @PAEmax) conditions (V = 28 V, Freq: = 2:14 GHz).

produce some saturation, a slight deviation from pure sinusoidal behavior is noted, particularly in the RF voltage. The shift in phase in the gate RF voltage waveform at the higher drive condition shown in Fig. 5(a) is due to a change in the magnitude of the gate–source capacitance at the higher drive levels. As the device is driven into saturation, the gate voltage grows in amplitude and shows the effects of harmonic generation. The gate RF current waveform shown in Fig. 5(b) becomes nonlinear as the device is driven into saturation. The mechanism that causes the nonlinearity is not evident in the gate terminal waveforms. The gate voltage does not obtain magnitude sufficient to cause either forward or significant reverse conduction of the gate electrode. Some reverse conduction does occur and this generates a small, but finite, dc reverse conduction in the gate electrode. The small negative reverse gate conduction was observed in both the experimental data and simulation. However, the small amount of reverse conduction is not sufficient to clip the gate RF current waveform and generate the nonlinear

behavior observed in the waveform in Fig. 5(b). The nonlinear current behavior shown in Fig. 5(b) is affected by the current-dependent nonlinear source resistance. The RF voltage and current waveforms at the drain terminal are shown in Fig. 6(a) and (b), respectively. Again, the waveforms for the low drive and maximum PAE conditions are shown. The low-drive RF drain voltage waveform shown in Fig. 6(a) indicates the onset of saturation, and deviation from sinusoidal behavior is observed. The large-signal RF waveform demonstrates significant clipping, both at low and high RF voltages, and the waveform becomes more “squared” in shape. The clipping at the low drain voltages is caused by the total RF terminal voltage dropping below the RF knee of the I–V characteristic, and the clipping at high drain voltages is caused by the onset of RF breakdown in the conducting channel. The RF drain current waveforms are shown in Fig. 6(b). The large-signal RF current demonstrates the “squaring” behavior caused by the drain voltage waveform clipping mechanisms that occur at low- and high-voltage magnitudes. The RF voltage and current are essentially out-of-phase with the current magnitude high when the voltage magnitude is low, and vice versa. The waveform clipping generates harmonics, which are clearly observed in the large-signal RF voltage and current. The RF drain current shown in Fig. 6(b) essentially consists of conduction current, which flows through the conducting channel from the source to the drain. When the threshold for SCL flow is reached, the resistance of the material will become a function of the magnitude of the current and the gate–source resistance will become nonlinear. The magnitudes of the source resistance under the low-drive and large-signal conditions are shown in Fig. 7. The onset of space–charge-dependent resistance is observed for the dBm input drive condition during the high current portion of the RF cycle. The source resistance increases from a dc magnitude of to a peak magnitude of approximately . The nonlinear source resistance has a significant effect upon the operation of the device. The source resistance essentially

2066

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

IV. CONCLUSION

Fig. 8. HFET equivalent circuit with nonlinear source resistance.

couples the input gate circuit to the output drain circuit for the device, as shown in the equivalent circuit in Fig. 8. Since the drain current generator is driven by the voltage generated across the gate–source capacitance, according to the expression (13) where is the HFET RF output current, is the intrinsic , (in seconds) is a delay time, and transconductance is the RF voltage across the gate–source capacitance: the transconductance that is developed at the device output is reduced by the source resistance, according to the relationship

(14)

will produce a reduction in the gain that and an increase in is generated at the output. The reduced transconductance produces degradation in the RF output power, PAE, and gain. The dynamic range and linearity of the device are also negatively affected. This is, of course, the fundamental reason that high-performance devices are designed with very low source resistance. The SCL current also affects the drain resistance. However, the drain resistance is in series with the output impedance, and does not have a major effect upon the dc or the increase in large-signal operation of the device. The nonlinear behavior of the source resistance helps explain the behavior of the RF gate current shown in Fig. 5(b). Although the RF gate current is essentially composed of displacement current, the gate circuit requires conduction through the gate–source region, which is normally a low value resistance, and the magnitude of the RF gate current is dependent upon the magnitude of the source resistance. The harmonic generation due to the clipping of the RF drain current is transferred to the gate circuit and the magnitude of the gate current is, therefore, affected by the magnitude of the source resistance. The input impedance to the transistor is essentially a series combination of the gate–source capacitance and the nonlinear source resistance.

The dc and RF operation of high-voltage AlGaN/GaN HFETs has been investigated. It is found that under large-signal operation, a nonlinear source resistance is developed. Inclusion of the nonlinear source resistance in a physics-based device model embedded in a harmonic-balance simulator produces excellent agreement between measured and simulated dc and RF data. The nonlinear source resistance is generated when SCL current transport conditions occur. The effect is primarily observed during the high current portion of the RF cycle. The current threshold for the onset of the nonlinear resistance is a function of the channel charge and the electron velocity, and these parameters vary with device design, bias, and RF operating conditions. The nonlinear source resistance accurately predicts premature gain saturation and other operational effects observed in AlGaN/GaN HFETs.

REFERENCES [1] K. Kunihiro, K. Kasahara, Y. Takahashi, and Y. Ohno, “Experimental evaluation of impact ionization coefficients in GaN,” IEEE Electron Device Lett., vol. 20, no. 12, pp. 606–610, Dec. 1999. [2] T. A. Winslow and R. J. Trew, “Principles of large-signal MESFET operation,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 6, pp. 935–942, Jun. 1994. [3] A. Asano, Y. Miyoshi, K. Ishikura, Y. Nashimoto, M. Kuzuhara, and M. Mizuta, “Novel high power AlGaAs/GaAs HFET with a field-modulating plate operated at 35 v drain voltage,” in IEDM Dig., 1998, pp. 59–62. [4] A. Wakejima, K. Ota, K. Matsunaga, and M. Kuzuhara, “A GaAs-based field-modulating plate HFET with improved WCDMA peak-outputpower characteristics,” IEEE Trans. Electron Devices, vol. 50, no. 9, pp. 1983–1987, Sep. 2003. [5] S. Karmalkar and U. K. Mishra, “Enhancement of breakdown voltage in AlGaN/GaN high electron mobility transistors using a field plate,” IEEE Trans. Electron Devices, vol. 48, no. 8, pp. 1515–1521, Aug. 2001. [6] Y.-F. Wu, A. Saxler, M. Moore, R. P. Smith, S. Sheppard, P. M. Chavarkar, T. Wisleder, U. K. Mishra, and P. Parikh, “30-W/mm GaN HEMTs by field plate optimization,” IEEE Electron Device Lett., vol. 25, no. 11, pp. 117–119, Nov. 2004. [7] C. Lee, P. Saunier, J. Yang, and M. A. Khan, “AlGaN–GaN HFEMTs or SiC with CW power performance 4 W/mm and 23% PAE at 35 GHz,” IEEE Electron Device Lett., vol. 24, no. 10, pp. 616–618, Oct. 2003. [8] R. J. Trew, “Modeling and limitations of AlGaN/GaN HFETs,” in Int. Semicond. Device Res. Symp., Dec. 5–7, 2001, pp. 432–435. [9] ——, “SiC and GaN transistors: Is there one winner for microwave power applications?,” Proc. IEEE, vol. 90, no. 6, pp. 1032–1047, Jun. 2002. [10] D. R. Greenberg and J. A. del Alamo, “Velocity saturation in the extrinsic device: A fundamental limit in HFET’s,” IEEE Trans. Electron Devices, vol. 41, no. 8, pp. 1334–1339, Aug. 1994. [11] M. A. Khatibzadeh and R. J. Trew, “A large-signal, analytic model for the GaAs MESFET,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 231–238, Feb. 1988. [12] R. M. Corless, G. H. Gonnet, D. E. G. Hare, D. J. Jeffrey, and D. E. function,” Adv. Comput. Math., vol. 5, pp. Knuth, “On the Lambert 329–359, 1996. [13] U. V. Bhapkar and M. S. Shur, “Monte Carlo calculation of velocity-field characteristics of Wurtzite GaN,” J. Appl. Phys., vol. 82, pp. 1649–1655, Aug. 1997. [14] M. Akita, S. Kishimoto, K. Maezawa, and T. Mazutani, “Evaluation of effective electron velocity in AlGaN/GaN HFEMT’s,” Electron. Lett., vol. 36, pp. 1736–1737, Sep. 2000. [15] C. H. Oxley and M. J. Uren, “Measurements of unity gain cutoff frequency and saturation velocity of a GaN HEMT transistor,” IEEE Trans. Electron Devices, vol. 52, no. 2, pp. 165–169, Feb. 2005.

>

W

TREW et al.: NONLINEAR SOURCE RESISTANCE IN HIGH-VOLTAGE MICROWAVE AlGaN/GaN HFETs

Robert J. Trew (S’71–M’74–SM’87–F’91) received the Ph.D. degree from The University of Michigan at Ann Arbor, in 1975. He is currently the Alton and Mildred Lancaster Professor of Electrical and Computer Engineering and Head of the Electrical and Computer Engineering Department, North Carolina State University, Raleigh. From 1997 to 2001, he was Director of Research for the U.S. Department of Defense (DoD), with management oversight responsibility for the $1.3 billion yearly basic research programs of the DoD. He has authored or coauthored 153 publications and has given over 350 presentations. He holds eight U.S. patents. Dr. Trew served as vice-chair of the U.S. Government Interagency Committee that planned the U.S. National Nanotechnology Initiative (NNI). He was the 2004 president of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He was editor-in-chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (1995–1997). He was founding co-editor-in-chief of the IEEE Microwave Magazine (1999–2002). He was twice named an IEEE MTT-S distinguished microwave lecturer. He has been the recipient of numerous honors, including the 2001 IEEE-USA Harry Diamond Memorial Award, the 1998 IEEE MTT-S Distinguished Educator Award, and an IEEE Third Millennium Medal.

Yueying Liu is currently working toward the Ph.D. degree in electrical engineering at North Carolina State University, Raleigh. Her research concerns nonlinear and large-signal modeling of AlGaN/GaN HFET devices. Her research mainly focuses on space charge limited current effects and source resistance modulation and RF breakdown mechanism.

Griff L. Bilbro received the B.S. degree in physics from Case Western Reserve University, Cleveland, Ohio, in 1973, and the Ph.D. degree in physics from the University of Illinois at Urbana-Champaign, in 1977. Prior to joining North Carolina State University (NCSU), Raleigh, he designed computer models of complex systems with a contract research laboratory, and was a Systems Programmer with a software startup company. He is currently a Professor with the Department of Electrical and Computer Engineering, NCSU. He has authored or coauthored papers concerning device physics, image analysis, global optimization, neural networks, and circuits. His current interests include vacuum electron devices and AlGaN/GaN HFETs.

2067

Weiwei Kuang received the B.Eng. degree in materials science and engineering from Beijing University of Aeronautics and Astronautics, Beijing, China, in 2001, the M.S. degree in advanced materials for microsystems and nanosystems from the National University of Singapore/Massachusetts Institute of Technology (MIT), Cambridge (under the Singapore–MIT Alliance), in 2002, and is currently working toward the Ph.D. degree in electrical engineering at North Carolina State University, Raleigh. His research interests are physics-based microwave solid-state device modeling/simulation and III–V materials and devices.

Ramakrishna Vetury received the B.Tech. degree in electronics and communication engineering from the Indian Institute of Technology (IIT), Madras, India, in 1995, and the M.S. and Ph.D. degrees in electrical engineering from the University of California at Santa Barbara, in 1997 and 2000, respectively. From 2000 to 2002, he was with GTRAN Inc., Newbury Park, CA, where he was involved with high-speed opto-electronic circuits and devices. In 2002, he joined RF Micro Devices, Charlotte, NC, where he has been engaged in the development of GaN high electron-mobility transistor (HEMT)-based technology for high-power RF applications.

Jeffrey B. Shealy (S’92–M’95) received the Ph.D. degree in electrical engineering from the University of California at Santa Barbara, in 1995, and the MBA degree from the Babcock School of Business, Wake Forest University, Winston–Salem, NC, in 2004. He is currently Vice-President of the Infrastructure Product Group, RF Micro Devices, Charlotte, NC, where he is involved in strategic planning and execution of the corporate infrastructure strategy. He co-founded RF Nitro Communications Inc., where he served as President and CEO until RF Micro Devices acquired them in October 2001. He is a Howard Hughes Doctoral Fellow and held positions with Hughes Research Laboratories and Hughes Network Systems. Dr. Shealy is a member of the IEEE Electron Device Society.

2068

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Modeling of Realistic Rectangular -Coaxial Lines Milan Lukic´, Student Member, IEEE, Sébastien Rondineau, Member, IEEE, Zoya Popovic´, Fellow, IEEE, and Dejan S. Filipovic´, Member, IEEE

Abstract—A comprehensive study of small inhomogeneous multilayered rectangular coaxial lines (RCLs) with irregular cross sections is presented in this paper. An accurate and efficient quasi-analytical technique based on numerical implementation of simply and doubly connected Schwarz–Christoffel conformal mapping is utilized for modeling. Misaligned and offset layers, under–over cutting due to etching, nonuniform dielectric support, and other nonidealities due to fabrication of RCLs are studied and their effects on characteristic impedance, attenuation, bandwidth, and powerhandling capacity are discussed. The validity of obtained results is verified with suitable published data, analytical models, and/or finite-element simulations. Index Terms—Attenuation, characteristic impedance, cutoff frequency, power-handling capacity, rectangular coaxial line (RCL), Schwartz–Christoffel mapping.

I. INTRODUCTION N RECENT years, there has been an increased interest in manufacturable low-loss high-density TEM lines for millimeter-wave passive circuits [1]–[4]. A number of applications across the microwave spectrum can benefit from such lines. For example, Alessandri et al. [5] demonstrated a high-power low-loss low-weight compact high-performance rectangular coaxial line (RCL) (or recta-coax) beam-forming networks in the lower microwave range for communication satellites. Latest advances in microfabrication techniques make feasible realization of highly integrated assemblies of miniature TEM structures. Several low-loss recta-coax lines with heights from 50 to 400 m have been built using different types of surface micromachining. A -band hybrid [6] and a -band filter [7] are representative examples of components manufactured using the process described in [2]. A copper/polymer/air RCL that can be fabricated with a different multilayer photolithographic process [1] is shown in Fig. 1. Early interest in RCLs was centered around the theoretical approaches for determining their characteristic impedance . The most common technique is conformal mapping (CM) [8]–[11]. Bowman [8] computed the capacitance per unit length of the square coaxial line (SCL) and his findings have been widely used by others for validating different, mainly numerical techniques. Another CM solution for a more general class of concentric rectangular conductors is derived in [9].

I

Manuscript received October 27, 2005; revised December 31, 2005. This work was supported by the U.S. Army Research Laboratory under Contract W911QX-04-C-0097, by the Defense Advanced Research Projects Agency–Microsystems Technology Office under the 3-D Micro Electromagnetic Radio Frequency Systems Program, and by BAE Systems under Subcontract 1165974. The authors are with the Department of Electrical and Computer Engineering, University of Colorado at Boulder, Boulder CO 80309-0425 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872792

Fig. 1. Sketch of a copper/polymer/air RCL composed of five planar layers. The horizontal walls of the outer conductor are assembled from layers 1 and 5, while the vertical walls are put together from layers 2–4. The inner conductor is a part of the third layer and it is supported by dielectric slabs firmly placed h 250 m and w h between layers 2 and 3. For a 50- SCL, w 100 m. Dielectric support is 15-m thick, and 100-m long, and periodicity is varied from 300 to 700 m.

'

'

' '

Chen [10] applied the same method to various L- and U-shaped bends and developed approximate formulas for both symmetrical and eccentric RCLs, valid only for low-impedance lines (small gap between conductors). Numerical inversion of the Schwarz-Christoffel conformal mapping (SCCM) is proposed in [11] where the accuracy of several approximate formulas for of both symmetrical and eccentric RCLs are assessed. In [12], approximate expressions for the conductor loss of an RCL are derived using Wheeler’s incremental inductance rule [13]. Other methods utilized for analysis of RCL include the orthonormal block analysis [14], finite differences [15], [16], finite-element method (FEM) [17], and various other numerical techniques [18]–[22]. In this paper, we demonstrate a quasi-analytical modeling approach based on two numerical implementations of the SCCM technique for analysis of miniature recta-coax lines. A three-dimensional (3-D) view of such a structure is shown in Fig. 1. The line is fabricated with five layers with a 2 : 1 maximum height/ width aspect ratio. The inner conductor is supported by integrated dielectric straps. The processing details are beyond the scope of this paper and can be found in [1]. The conformal-mapping analysis for realistic -band micro-RCLs is performed for frequencies up to -band, however, the presented results are obtained at 26 GHz. Ansoft HFSS was used for two-dimensional (2-D) and 3-D mode analysis, and for the validation of the SCCM results. This paper is organized as follows. • Section II briefly describes the simply and doubly connected SCCM techniques.

0018-9480/$20.00 © 2006 IEEE

´ et al.: MODELING OF REALISTIC RECTANGULAR -COAXIAL LINES LUKIC

• Section III gives analysis results for an SCL (characteristic impedance, attenuation, TEM mode bandwidth) in terms of various fabrication parameters. • Section IV analyzes the effects of the inhomogeneous dielectric support on the line performance. • Section V discusses the power-handling capacity, the performance of a 250- m-high RCL and several issues associated with numerical implementation. II. MODELING Riemann’s mapping theorem from 1851 [23] provides the foundation for CM, a technique which transforms geometries by preserving local angles. Since 1923 when it was first applied to electrostatics, [24], CM has been employed for solving various boundary value problems in electromagnetics [25], [26]. The most commonly used transformations belong to the Schwarz–Christoffel family [27]–[31]. These transformations remove the discontinuities in boundary conditions at sharp conductor corners. Section II-A briefly presents this formulation applied to realistic micro RCLs. A. Schwartz–Christoffel Mapping to Simply and Doubly Connected Polygonal Domain A simply connected planar domain can be defined as the interior of a planar closed line that does not contain any holes. When this enclosed domain contains exactly one hole, the domain is said to be doubly connected. 1) Notations and Basic Equations: 2-D real vectors can be represented as complex numbers . The gradient vector operator in the complex plane becomes a complex scalar operator

(1) where

and

are the partial derivatives w.r.t.

and . The

, where denotes Laplacian operator becomes the complex conjugate. As a consequence, and by considering properties described in [23], under a CM of the plane , the gradient and the Laplacian are given by and

tions [26]. In the case of symmetrical structures, it is sufficient and simpler to map a half, a quarter, or even an eighth of the geometry. This allows the use of a simply connected polygonal domain mapping function given by

(4) represents the Jacobi elliptic function of arguwhere ment [32], is a complex constant, and are the vertices and associated counter-clockwise interior -normalized angles of the RCL part to be mapped, as shown in Fig. 2(a). When there is no symmetry, the problem becomes more complicated and a doubly connected polygonal mapping function is needed as follows:

(5) , and , where is the internal to external radii ratio defined in Fig. 2(b) and is a complex constant. and are the vertices and interior -normalized angles of the outer and inner conductors of the RCL, respectively [see Fig. 2(b)]. Equation (4) maps the field distribution of a simply connected domain into the field between two parallel plates without fringing at the edges: , where is the potential difference between the two parallel plates separated by a distance [see Fig. 2(a)]. Equation (5) maps the field distribution of a doubly connected domain into that of a concentric circular coaxial line (CCL): , where is the potential difference between the conductors [see Fig. 2(b)]. Note that contains all information about the field vector at the complex position . with the auxiliary functions

,

B. RCL Parameters 1) Capacitance: Since the potential distribution is Laplacian conservative through CM, the capacitance is conserved as well. In the simply connected and doubly connected cases, i.e., (4) and (5), the capacitance per unit length is given by

(2)

where is the derivative of . Applying this to quasi-static electromagnetic fields, the electric potentials and satisfy the Laplace equation. The electric field distributions, in both the original plane and its image one , are then related using the gradient transformation through the mapping function and

2069

(3)

This formulation is more general than those previously reported (limited to capacitance calculation) and is well suited for the quasi-static case because the derivatives are readily available as analytic expressions. 2) Application to RCLs: As shown in Figs. 1 and 2, an RCL is composed of two conductors, both with polygonal cross sec-

for the simply connected case for the doubly connected case

(6)

is the parallel plate’s width, and is the uniform where dielectric permittivity inside the coaxial line. 2) Characteristic Impedance: The TEM transmission-line characteristic impedance is found from the capacitance per unit length , where . 3) Attenuation: Line attenuation is commonly computed using the Wheeler incremental inductance (WII) rule [13]

(7)

2070

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 2. Mapping of a simply connected polygonal domain onto: (a) a rectangle and a doubly connected polygonal domain onto: (b) an annulus. When a symmetry line is present in a doubly connected polygonal domain, such as the dashed horizontal line in (a), the domain can be split by symmetry into two simply connected domains. Either of these can then be mapped onto a rectangle. However, when no axial symmetry is present, as in (b), the mapping onto an annulus is used.

where represents the change in the characteristic impedance when conductor walls are receded by half of the skin depth. This rule is derived for a thick metal with small curvature. Note that the RCL in Fig. 1 meets the first assumption, but the second one is not valid at sharp corners. However, the WII rule has been widely used for many transmission lines with similar features, e.g., [33], and excellent agreement with measurements has been reported. III. ANALYSIS OF FABRICATION-INDUCED IMPERFECTIONS The formulation from Section II is utilized for the characterization of miniature recta-coax lines. In the case of a simply connected domain, the mapping function given by (4) is solved with the Schwarz–Christoffel toolbox integrated in MATLAB [27], [28]. For doubly connected domains, the evaluation of the mapping function is implemented in a FORTRAN 90 code based on the doubly connected Schwarz–Christoffel library [34]. The computed mapping parameters typically converge with accuracy better than 10 . A baseline geometry for this study is that of an SCL with a characteristic impedance of 50 . However, a lower loss 65line is also considered since it can be fabricated within the 2 : 1 height/width aspect ratio dictated by the fabrication process [1]. Table I summarizes the main properties of 50- and 65- SCL, specifically their characteristic impedance , attenuation ,

TABLE I CHARACTERISTICS OF SCL WITH IDEAL CROSS SECTION (w = 250 m)

and first higher order mode cutoff frequency . Note that while is determined only by the ratio , the attenuation is dependent on the outer conductor width , operating frequency , and conductivity : . The subscript “0” denotes parameters of an SCL with an ideal cross section. When the line has imperfections, such as in Fig. 3, the characteristic impedance , attenuation , and first higher order mode cutoff frequency have the same dependence on , , and . Due to this, normalized parameters , , and , which do not depend on , , and , are used throughout this paper. The nonidealities caused by fabrication are discussed as follows: • vertically offset inner conductor due to tolerances in layer thickness [see Fig. 3(a)]; • horizontally offset layer due to mask misalignment [see Fig. 3(b)]; • trapezoidal cross section due to under/over etching [see Fig. 3(c) and (d)].

´ et al.: MODELING OF REALISTIC RECTANGULAR -COAXIAL LINES LUKIC

2071

Fig. 3. Cross section of a line with: (a) vertically offset inner conductor, (b) misaligned layers, (c) conductors of trapezoidal shape where the bottom sides of the conductors are kept constant, and (d) conductors of trapezoidal shape where the areas of the conductors are kept constant.

A. Inner Conductor Offset The relative vertical offset of the center conductor is defined as with reference to Fig. 3(a). Fig. 4 shows CM results compared to the 3-D FEM for normalized attenuation, characteristic impedance, and first higher order mode cutoff frequency as a function of relative offset. The cutoff frequency is calculated using 3-D FEM eigenanalysis of a cavity with length and the same cross section as the studied line. is extracted from the lowest resonant frequency of the cavity as . A summary of the results for 50and 65- SCL for 10% relative offset is given in Table II. Note that a 2-D FEM is also used for the mode analysis of various line irregularities. The two techniques are validated against Gruner’s results for SCL [35], as shown in Table III. The agreement is better than 0.05% with 2-D and 0.2% with 3-D FEM. From obtained results, we can conclude that this fabrication imperfection will produce minimal variations in the line performance. B. Layer Misalignment The relative horizontal misalignment of the center layer is defined as with reference to Fig. 3(b). Fig. 5 shows CM results compared to the 3-D FEM for normalized attenuation,

Fig. 4. Computed results for normalized , Z , and f for 50- and 65- lines with cross section shown in Fig. 3(a) versus relative offset of the inner conductor. Results for and Z are validated with 3-D FEM and 2-D FEM simulations, respectively, where is extracted from the simulated S -parameter using the equation jS j = e , where l is the length of the simulated line section. Small deviations (less than 0.2%) of 3-D FEM results for from the SCCM results are within the numerical accuracy of 3-D FEM.

characteristic impedance, and first higher order mode cutoff frequency as a function of relative offset. In this case, the results

2072

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE II RELATIVE CHANGES OF Z , , AND f FOR LINE WITH VERTICALLY h =w = 10%) OFFSET INNER CONDUCTOR (jh

0 j

TABLE III NORMALIZED CUTOFF WAVELENGTH FOR THE TE

MODE OF AN SCL

Fig. 6. Simulation results for normalized , Z , and f for symmetrical ( =  = ) trapezoidal 50- and 65- lines where bottom conductor widths are kept constant [see Fig. 3(c)]. FEM simulation results obtained with HFSS are given for validation. Shown variations of are significantly larger than for other three geometries of Fig. 3, thus small discrepancies between FEM and SCCM results are not as apparent as in Figs. 4, 5, and 7.

Fig. 5. Computed results for normalized , Z , and f for 50- and 65- lines with cross section shown in Fig. 3(b) versus relative misalignment among the layers. FEM simulations obtained with HFSS are given for validation. Deviations of FEM results for from the SCCM results are smaller than 0.4%, while the corresponding characteristic impedances are virtually indistinguishable. TABLE IV RELATIVE CHANGES OF Z , , AND MISALIGNED LAYERS (2d=w

f

FOR

LINE WITH

= 10%)

for the 50- and 65- lines are nearly identical, thus, the latter are omitted for clarity. Note that the effects on and of the misalignment of layers 2 and 4 are independent. A summary of the results for 10% misalignment is given in Table IV. As seen, the horizontally misaligned layers will introduce small changes in the line performance and, for most practical cases (1%–5%), these can be ignored. C. Under/Over Etching The two lines with trapezoidal conductor cross sections (model of under/over cutting due to etching) and relevant parameters are shown in Fig. 3(c) and (d). In the first case, widths of the bottom sides of the conductors ( , ) are kept constant, while in the second case, their surface areas remained unchanged. Normalized , , and for symmetrical trapezoidal 50- and 65- lines are shown in Figs. 6 and 7. The variations of and for a trapezoidal 65- line for both geometries are noticeably smaller than for a

Fig. 7. Simulation results for normalized , Z , and f for symmetrical ( =  = ) trapezoidal 50- and 65- lines where conductor areas are kept constant [see Fig. 3(d)]. FEM simulations obtained with HFSS are shown for validation. Variations of , for the studied range of angle  values, are much smaller than for the previous case in which bottom conductor widths were kept constant. Consequently, small discrepancies between the FEM and SCCM results for (less than 0.4%) are clearly observable while the results for Z remain virtually indistinguishable.

corresponding 50- line. The summarized results for from Table V show that the case where the conductor areas are kept constant is much more tolerant to under/over etching. The practical importance of these results is that known statistics of the cross-sectional dimensions can be used in design so that the nominal values for and are maintained throughout the structure. As before, the FEM mesh-dependent differences for may be noticed, while the corresponding characteristic impedances are virtually indistinguishable. Contour plots of normalized and for trapezoidal line [see Fig. 3(c)] are shown in Figs. 8 and 9. For small values of angle , the variations of both and are twice larger for the symmetrical case than for the asymmetrical case ( , ), indicating that the effects of left- and right-hand-side slants are independent.

´ et al.: MODELING OF REALISTIC RECTANGULAR -COAXIAL LINES LUKIC

2073

TABLE V RELATIVE CHANGES OF Z , , AND f FOR LINE WITH TRAPEZOIDAL CONDUCTOR CROSS SECTIONS ( =  =  = 10 )

Fig. 9. Contour plot of normalized for trapezoidal 50- line of Fig. 3(c) as function of angles  and  .

Fig. 8. Contour plot of normalized Z for trapezoidal 50- line of Fig. 3(c) as function of angles  and  .

IV. INNER CONDUCTOR SUPPORT In Section III, homogeneous air-filled irregular cross-sectional SCLs were discussed. However, in practice, the inner conductor must be supported somehow. Here, a thin dielectric layer suspended between the vertical walls (Fig. 1) is used for the support [1]. Note that a dielectric with a dielectric constant greater than unity increases the line attenuation even when there is no energy loss in the dielectric itself. This is due to the fact that the dielectric decreases , and from [33], the attenuation increases. For a given transmitted power, a lower requires a higher current, hence the loss in the conductors increases. The attenuation constant of the line with both conductor and dielectric losses is obtained as (e.g., [33]) , where is the attenuation constant due to dielectric losses. Below we discuss both continuous and periodic dielectric supports.

line, and m for a 65- line, penetration depth of the supports into the sidewalls m, and dielectric constant . It can be seen that the contribution of to is very significant even for moderate values of . For this reason, the line of Fig. 1 is built using the periodic support studied in Section IV-B.

A. Continuous Support

B. Periodic Support

Results for normalized and versus normalized support height for a 50- SCL with continuous dielectric supports of the inner conductor for different values of loss tangent are shown in Fig. 10. Also shown in this figure are results for normalized for a 65- SCL. However, the results for normalized for a 65- line are omitted for clarity since they are almost identical as those for a 50- line. An excellent agreement with 3-D FEM results can be observed. The geometrical dimensions and physical parameters of the lines are: outer conductor width m, inner conductor width m for a 50-

The variation of for a 50- SCL with periodic dielectric supports of the inner conductor (see Fig. 1) for different values of loss tangent is shown in Fig. 11. The height and length of the supports are m and m, respectively, and the other geometrical and physical parameters are the same as for the case of continuous support. Here, is plotted as a function of the separation between consecutive supports normalized with respect to their length . It can be seen that the losses decrease monotonically with the increase of . The results are obtained by cascading the matrices

Fig. 10. Comparison of the results for normalized and Z versus normalized support height for an SCL with continuous dielectric supports of the inner conductor obtained by SCCM (solid lines for 50- cable and a dashed line for 65- cable) and 3-D FEM (dots).

2074

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 11. Comparison of the results for normalized for an SCL with periodic dielectric supports of the inner conductor obtained by SCCM (solid lines) and 3-D FEM (dots). The SCCM results are obtained by cascading the ABCD matrices of line sections with and without dielectric supports. = is plotted as a function of the supports periodicity L normalized with respect to their length L .

of line sections with and without dielectric supports and are validated with 3-D FEM simulations. The effective loss tangent of the line section with dielectric support is calculated by the formula [36], where is the effective dielectric constant of the line section calculated using 2-D FEM. The small discrepancies between the two techniques are likely due to the numerical issues associated with the FEM and the inability of the SCCM to accurately account for the field effects at the transitions between homogeneous and inhomogeneous RCL sections. V. DISCUSSION A. Power-Handling Capacity From (4) and (5), it is clear that the electric-field distribution, given by (3), has a singularity at each exterior vertex of the ideal inner conductor. The electric field cannot be obtained at these perfectly sharp corners, however, perfect edges do not exist in practice. These edges are slightly chamfered and the field is computed at the middle of the chamfer. It is found that the ratio of chamfer to inner conductor dimensions of 10 is the smallest that gives converging results. This technique of chamfering the inner conductor to deal with finite field strength leads to the computation of the maximum transmitted power before air breakdown. The algorithm for computing the power handling capacity of a CCL can be found in [37]. A comparison of the normalized power and attenuation as a function of for circular (CCLs), square (SCLs), and RCLs, for given outer conductor size, is shown in Fig. 12. The RCL studied here has square outer conductor of width equal to that for the studied SCL, and the inner conductor of height and width between and . As seen, the optimal characteristic impedance for maximum power handling for the three coaxial lines is approximately 30, 22, and 44 , respectively. The optimal characteristic impedance for minimum attenuation of an SCL is approximately 74.9 , just slightly

Fig. 12. Normalized power and attenuation as a function of Z for circular (CCL), square (SCL), and RCL for given outer conductor size. As seen, the optimal Z for maximum power handling for CCL and SCL is approximately 30 and 22 , respectively, while the corresponding values of Z for minimum attenuation are approximately 76.6 and 74.9 . The results are obtained using the SCCM. Analytical data for CCL are not shown for clarity (there is no visible difference with the computed ones).

lower than that for a CCL, which is approximately 76.6 . Notice that the power levels for an RCL are normalized with respect to the maximum power of an SCL, while for the other two geometries (circular and square), power levels are normalized with their own maximum values. Likewise, the attenuation for an RCL is normalized with respect to the minimum attenuation of an SCL, while for the other two geometries, the attenuation is normalized with their own minimum values. As clearly depicted in the same figure, the normalized power of an RCL is lower than that of an SCL with the same characteristic impedance. Observe also that the normalized attenuation of an RCL is higher than for an SCL with the same characteristic impedance. Normalized powers of 50- and 65- CCLs are 85.5% and 67.4%, respectively, while the corresponding values for SCLs are 89.7% and 80.4%. Thus, the ratio of normalized powers of 65- and 50- CCLs is 78.8%, while this power ratio for SCLs has a significantly larger value of 89.6%. B. Performance of a 250- m-High RCL Specific applications may require either the height or the width of the line to be restricted to a certain value. In such cases, the desired line dimensions that amount to the best performance are needed. Assuming that the heights of outer and inner conductors ( and ) are fixed to the values they have in the 50SCL from Section III, i.e., m and m, we will compute the line characteristics for widths ( and ) as the design parameters. The results of this study for , , and normalized with respect to the corresponding values for the baseline 50- SCL are plotted in Fig. 13. First higher order mode for the studied lines is , except for the line with and for which that mode is . As expected, the lines with wider outer conductor have significantly reduced bandwidth for the TEM mode operation. For and , the range of characteristic impedances is . The four dashed vertical lines in Fig. 13, connecting 50- points on curves with corresponding points on curves, have

´ et al.: MODELING OF REALISTIC RECTANGULAR -COAXIAL LINES LUKIC

2075

is less affected by the fabrication imperfections. Geometrical irregularities caused by a photolithographic fabrication process are thoroughly studied. The effects of the fabrication incurred deformations of the line cross section, such as misaligned and offset layers, under–over cutting due to the etching and nonuniform dielectric support, on characteristic impedance , attenuation , and TEM mode bandwidth have been presented. Ansoft HFSS has been used for the higher order mode analysis, and for the validation of the SCCM results. A discussion on power-handling capacity and the RCL characterization for the fixed height has also been presented. ACKNOWLEDGMENT Fig. 13. Normalized , Z , and f for RCL versus inner conductor width w for different outer conductor widths w . The heights of outer and inner conductors (h and h ) are kept constant. The four dashed vertical lines connect 50-

points on Z curves with corresponding points on curves. For the line with w = 1:2h and w > 1:6h , the first higher order mode is TE , while for all other lines studied here, this mode is TE .

abscissas and , respectively. With the exception of the line with and , the studied lines have attenuation lower than (attenuation of the 50- SCL). For example, the four 50- RCLs discussed above have and , respectively. C. Some Numerical Issues Numerical implementation of a simply and doubly connected SCCM is discussed in details in [27]–[29]. One of the numerical issues encountered in this study is ill conditioning due to crowding. This occurs whenever the target mapping region has areas that are relatively long and thin. We have addressed this phenomenon by utilizing mapping based on a cross-ratios of the Delaunay triangulation (CRDT) algorithm [29]. For homogeneous air-filled RCLs, the SCCM (to eight accurate digits) is typically more than ten times faster than 2-D FEM and approximately 50 times faster than (the less accurate) 3-D FEM. For the RCLs with dielectric support, effective dielectric constant and its derivative with respect to the support dielectric constant need to be known for the SCCM computation of line parameters. These can be obtained using, for example, 2-D FEM and the data can be fitted to maintain the time and memory savings of SCCM. The efficiency of SCCM compared to the 3-D FEM is even more evident in the case of the line with periodic dielectric support where the line sections with and without supports are cascaded using matrices. Additional computational time for cascading line sections is negligible while a 3-D FEM simulation of the entire structure takes much longer than 3-D or 2-D FEM simulations of individual homogeneous sections. VI. SUMMARY An efficient quasi-analytical technique based on numerical implementation of simply and doubly connected SCCM is used for modeling of small inhomogeneous multilayered RCLs. A lower loss alternative to the 50- recta-coax, specifically a 65line, was also investigated, and it is shown that its performance

The authors would like to thank G. Potvin and D. Fontaine, both with BAE Systems, Nashua, NH, Dr. C. Nichols and the Rohm and Haas Company 3-D Micro Electromagnetic Radio Frequency Systems (MERFS) team, Blacksburg, VA, Dr. J. Evans, Defense Advanced Research Projects Agency (DARPA)–Microsystems Technology Office (MTO), Arlington, VA, E. Adler, Army Research Laboratory (ARL), Adelphi, MD, and K. Vanhille, University of Colorado at Boulder, for useful discussions and support. REFERENCES [1] Rohm & Haas Electronic Materials LLC, “Coaxial waveguide microstructures and methods of formation thereof,” U.S. Patent 7012489 B2, Mar. 2006. [2] E. R. Brown, A. L. Cohen, C. A. Bang, M. S. Lockard, G. W. Byrne, N. M. Vendelli, D. S. McPherson, and G. Zhang, “Characteristics of microfabricated rectangular coax in the Ka band,” Microw. Opt. Technol. Lett., vol. 40, p. 365, Mar. 2004. [3] I. H. Jeong, S. H. Shin, J. H. Go, J. S. Lee, C. M. Nam, D. W. Kim, and Y. S. Kwon, “High performance air-gap transmission lines and inductors for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2850–2855, Dec. 2002. [4] J.-B. Yoon, B.-I. Kim, Y.-S. Choi, and E. Yoon, “3-D construction of monolithic passive components for RF and microwave IC’s using thick-metal surface micromachining technology,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 279–288, Jan. 2003. [5] F. Alessandri, M. Mongiardo, and R. Sorrentino, “Computer-aided design of beam forming networks for modern satellite antennas,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 6, pp. 1117–1127, Jun. 1992. [6] J. R. Reid and R. T. Webster, “A 60 GHz branch line coupler fabricated using integrated rectangular coaxial lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 441–444. [7] R. T. Chen, E. R. Brown, and C. A. Bang, “A compact low-loss Ka-band filter using 3-dimensional micromachined integrated coax,” in 17th IEEE Int. Microelectromech. Syst. Conf., Jan. 2004, pp. 801–804. [8] F. Bowman, Introduction to Elliptic Functions. New York: Dover, 1961. [9] H. J. Riblet, “The exact dimensions of a family of rectangular coaxial lines with given impedance,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 8, pp. 538–541, Aug. 1972. [10] T. S. Chen, “Determination of the capacitance, inductance and characteristic impedance of rectangular lines,” IEEE Trans. Microw. Theory Tech, vol. MTT-8, no. 9, pp. 510–519, Sep. 1960. [11] E. Costamagna and A. Fanni, “Analysis of rectangular coaxial structures by numerical inversion of the Schwarz–Christoffel transformation,” IEEE Trans. Magn., vol. 28, no. 3, pp. 1454–1457, Mar. 1992. [12] K. H. Lau, “Technical memorandum: Loss calculations for rectangular coaxial lines,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 135, no. 3, pp. 207–209, Jun. 1988. [13] H. A. Wheeler, “Formulas for the skin effect,” Proc. IRE, vol. 30, no. 9, pp. 412–424, Sep. 1942. [14] O. R. Cruzan and R. V. Garver, “Characteristic impedance of rectangular coaxial transmission lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 9, pp. 488–495, Sep. 1964.

2076

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

[15] M. V. Schneider, “Computation of impedance and attenuation of TEM lines by finite-difference methods,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 6, pp. 793–800, Nov. 1965. [16] W. S. Metcalf, “Characteristic impedance of rectangular transmission lines,” Proc. Inst. Elect. Eng., vol. 112, no. 11, pp. 2033–2039, Nov. 1965. [17] Z. Pantic and R. Mittra, “Quasi-TEM analysis of microwave transmission lines by the finite-element method,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 11, pp. 1096–1103, Nov. 1986. [18] S. A. Ivanov and G. L. Djankov, “Determination of the characteristic impedance by a step current density approximation,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 4, pp. 450–452, Apr. 1984. [19] D. L. Waidelich, “Impedance of rectangular eccentric transmission lines,” IEEE Trans. Ind. Applicat., vol. 31, no. 6, pp. 1469–1474, Nov./Dec. 1995. [20] K. Garb and R. Kastner, “Characteristic impedance of a rectangular double-ridged TEM line,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 4, pp. 554–557, Apr. 1997. [21] Q. Zheng, W. Lin, F. Xie, and M. Li, “Multipole theory analysis of a rectangular transmission line family,” Microw. Opt. Technol. Lett., vol. 18, pp. 382–384, Aug. 1998. [22] M. Lucido, G. Panariello, and F. Schettino, “Accurate and efficient analysis of stripline structures,” Microw. Opt. Technol. Lett., vol. 43, pp. 14–21, Oct. 2004. [23] T. Needham, Visual Complex Analysis. Oxford, U.K.: Clarendon, 1997. [24] A. E. H. Love, “Some electrostatic distributions in two-dimensions,” in Proc. Lond. Math. Soc., 1923, vol. 22, pp. 337–369, serie 2. [25] J. R. Mosig, “Static Green’s functions with conformal mapping and MATLAB,” IEEE Antennas Propag. Mag., vol. 45, no. 5, pp. 123–135, Oct. 2003. [26] R. E. Collin, Field Theory of Guided Waves. New York: IEEE Press, 1991, (reprinted from McGraw-Hill, New York, 1960). [27] L. N. Trefethen, “SCPACK user’s guide. Numerical analysis,” Dept. Math., MIT, Cambridge, MA, Rep. 89-2, 1989. [28] T. A. Driscoll, “Algorithm 756: A MATLAB Tool Box for Schwarz–Christoffel mapping,” AMC Trans. Math. Softw., vol. 22, no. 2, pp. 168–186, 1996. [29] T. A. Driscoll and L. N. Trefethen, “Schwarz–Christoffel mapping,” in Cambridge Monographs on Applied and Computational Mathematics. Cambridge, U.K.: Cambridge Univ. Press, 2002. [30] M. Bazant, “Conformal mapping of some nonharmonic functions in transport theory,” Proc. Roy. Soc. Lond., vol. A 460, pp. 1433–1452, 2004. [31] L. Lewin, “Note on the inversion of the Schwarz–Christoffel conformal transformation,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 6, pp. 542–546, Jun. 1971. [32] M. Abramowitz and I. A. Stegun, Handbook of Mathematical Functions, 9th ed. New York: Dover, 1972. [33] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, pp. 96–98. [34] C. Hu, “Algorithm 785: A software package for computing Schwarz–Christoffel conformal transformation for doubly connected polygonal regions,” AMC Trans. Math. Softw., vol. 24, no. 3, pp. 317–333, Sep. 1998. [35] L. Gruner, “Higher order modes in square coaxial lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 9, pp. 770–772, Sep. 1983. [36] M. V. Schneider, “Dielectric loss in hybrid integrated circuits,” Proc. IEEE, vol. 57, no. 3, pp. 1206–1207, Mar. 1969. [37] G. L. Ragan, Ed., Microwave Transmission Circuits, ser. Radiat. Lab.. Cambridge, MA: MIT Press, 1964, pp. 144–147.

Milan Lukic´ (S’02) received the Dipl. Eng. degree in electrical engineering from the University of Banjaluka, Banjaluka, Bosnia and Herzegovina, in 1998, the M.S.E.E. degree from the University of Mississippi, University, in 2002, and is currently working toward the Ph.D. degree at the University of Colorado at Boulder. His research interests include multilayered rectangular waveguide dyadic Green’s functions, mode matching, conformal mapping (CM), transmission lines, and antennas. Mr. Lukic´ was the recipient of the 2002 Graduate Achievement Award presented by the University of Mississippi and the 1998 Gold Medal presented by the University of Banjaluka.

Sébastien Rondineau (M’04) received the Diplôme d’ Ingénieur en Informatique et Télécommunications degree in signal processing and telecommunications and the Ph.D. degree from the University of Rennes 1, Rennes, France, in 1999 and 2002, respectively. He is currently a Research Assistant Professor with the Microwave and Active Antenna Laboratory, Electrical and Computer Engineering Department, University of Colorado at Boulder. His research interests include the method of analytical regularization in computational electromagnetics, mode matching, conformal mapping (CM), propagation and scattering of waves, dielectric lenses, discrete lens arrays, and antennas.

Zoya Popovic´ (S’86–M’90–SM’99–F’02) received the Dipl. Ing. degree from the University of Belgrade, Serbia, Yugoslavia, in 1985, and the Ph.D. degree from the California Institute of Technology, Pasadena, in 1990. Since 1990, she has been with the University of Colorado at Boulder, where she is currently a Full Professor. She has developed five undergraduate and graduate electromagnetics and microwave laboratory courses and coauthored the textbook Introductory Electromagnetics (Prentice-Hall, 2000) for a junior-level core course for electrical and computer engineering students. Her research interests include microwave and millimeter-wave quasi-optical techniques, high-efficiency microwave circuits, smart and multibeam antenna arrays, intelligent RF front ends, RF optical techniques, batteryless sensors, and broadband antenna arrays for radio astronomy. Dr. Popovic´ was the recipient of the 1993 Microwave Prize presented by the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) for the best journal paper. She was the recipient of the 1996 URSI Isaac Koga Gold Medal. In 1997, Eta Kappa Nu students chose her as a Professor of the Year. She was the recipient of a 2000 Humboldt Research Award for Senior U.S. Scientists from the German Alexander von Humboldt Stiftung. She was also the recipient of the 2001 Hewlett-Packard (HP)/American Society for Engineering Education (ASEE) Terman Award for combined teaching and research excellence.

Dejan S. Filipovic´ (S’97–M’02) received the Dipl. Eng. degree in electrical engineering from the University of Nis, Nis, Serbia and Montenegro, in 1994, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1999 and 2002 respectively. From 1994 to 1997, he was a Research Assistant with the School of Electrical Engineering, University of Nis. From 1997 to 2002, he was a Graduate Student Research Assistant with The University of Michigan at Ann Arbor. He is currently an Assistant Professor with the University of Colorado at Boulder. His research interests are antenna theory and design, modeling and design of passive millimeter-wave components for future microelectromagnetic RF systems, as well as computational and applied electromagnetics. Mr. Filipovic´ was the recipient of the prestigious Nikola Tesla Award for his outstanding graduation thesis. He and his students were corecipients of the Best Paper Award presented at the IEEE Antennas and Propagation Society (AP-S)/ URSI and Antenna Application Symposium conferences.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

2077

Quantum-Noise Theory for Terahertz Hot Electron Bolometer Mixers Erik L. Kollberg, Fellow, IEEE, and K. Sigfrid Yngvesson, Life Fellow, IEEE

Abstract—In this paper, we first review general quantum mechanical limits on the sensitivity of heterodyne receivers. The main aim of the paper is to explore the quantum-noise (QN) properties of hot electron bolometric (HEB) mixers. HEB mixers have a characteristic feature not found in other mixers: based on the “hot-spot” model, the conversion loss varies along the length dimension of the bolometer, and some sections of the bolometer are essentially passive, in which little frequency conversion occurs. We analyze a quantitative distributed quantum-noise model of the HEB mixer, making use of simulated hot-spot model data, that takes into account the continuous variation of the sensitivity along the bolometer bridge. An expression for the HEB receiver noise temperature, including optical input loss, is derived. We find that the predicted double-sideband receiver noise temperature agrees well with the available measured data (up to 5.3 THz). The results of our analysis suggest that QN and classical HEB noise contribute about equally at 3 THz, while at higher terahertz frequencies QN dominates. QN thus appears to show measurable effects in existing HEB mixers and will be even more important to take into account as HEB mixers continue to be developed for higher terahertz frequencies. Index Terms—Heterodyne detector, hot electron bolometer (HEB), mixer, quantum-noise (QN) limit, terahertz.

I. INTRODUCTION OT ELECTRON bolometer (HEB) heterodyne detectors for the terahertz frequency range use devices fabricated from thin films of low-temperature superconductors, such as NbN or Nb. They have recently given rise to a radical reevaluation of our ideas of this frequency range, which has traditionally been regarded as one in which no very sensitive heterodyne detectors exist. The sensitivity of HEB heterodyne detectors (“mixers”) has become so good, i.e., the receiver noise temperature has become so low, in fact, that it is worthwhile to discuss if quantum noise (QN) influences the receiver noise temperature of terahertz HEB receivers. QN has been used so far to derive the sensitivity limit for receivers at both lower frequencies (e.g., SQUID amplifiers from 1 kHz to a few gigahertz, maser amplifiers from 1 to 100 GHz,

H

Manuscript received September 22, 2005; revised February 14, 2006. This work was supported in part by the National Aeronautics and Space Administration (NASA) under Contract NAS1-01058 with the NASA Langley Research Center, by the Swedish Board for Space Science, and by the Royal Society of Arts and Sciences in Göteborg. E. L. Kollberg is with the Department of Microelectronics and Nano Science, Chalmers University of Technology, SE-41296 Göteborg, Sweden (e-mail: erik. [email protected]). K. S. Yngvesson is with the Department of Electrical and Computer Engineering, University of Massachusetts, Amherst MA 01003 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.873628

and SIS heterodyne receivers up to about 1 THz), and in the optical/near-infrared frequency range (where QN dominates). Our theoretical analysis in this paper indicates that, at frequencies of about 3 THz, QN is equal to the “classical” HEB noise, while at higher terahertz frequencies QN will represent a dominant fraction of the total noise of HEB mixer receivers. We first review previous work regarding the quantum-noise limit of heterodyne receivers. The ideal broadband mixer (IBBM) is known to have a double-sideband (DSB) receiver noise temperature of 0 K, while the DSB system noise temperature . We next present a detailed analysis of the IBBM is of QN in HEB receivers. The analysis represents a substantial extension and revision of our earlier papers on this subject [1], [2]. Our basic assumption in the analysis is that the IBBM model applies to an ideal HEB heterodyne detector. In one of the earlier papers [2], we introduced a simplified model for the HEB, in which the bolometer is divided into just two parts, one passive (i.e., still superconducting) and one active (or frequency converting). In this paper, we analyze a model for the HEB, in accordance with the hot-spot model, in which the frequency conversion and resistance of the bolometer are assumed to be distributed. The results for these two models are found to be entirely consistent, and we predict an increase in the level of the quantum-noise contribution. We also derive an expression for the expected receiver noise temperature of HEB mixer receivers as a function of frequency. Finally, we show that available experimental data of receiver noise temperature at frequencies up to 5.3 THz can be fitted to this expression with good agreement. II. QN AND THE IDEAL BROADBAND MIXER It is important to emphasize that “quantum noise” is a concept, which fundamentally expresses the limit in our ability to perform a measurement of an electromagnetic field, imposed by the quantum mechanical nature of this field. Callen and Welton [3] showed in their generalization of the Nyquist theorem that fluctuations (noise) are intimately connected to the process of power dissipation. They calculated the average energy density of an electromagnetic field, in equilibrium with an environment at a temperature, . They obtained two terms, one of which yields the Planck blackbody radiation formula. This term, when applied to a single-mode transmission-line case, produces the familiar Nyquist noise expression. The second term yields an energy of , which represents the vacuum (zero-point) fluctuations of the field. Formally, we might find the power emitted due to the second term into a single-mode transmission line in the same way as done by

0018-9480/$20.00 © 2006 IEEE

2078

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Nyquist. The total power radiated into a single mode in a band at frequency then becomes

(1) The thermal “Planck” noise power term rapidly goes to zero for frequencies higher than , and, when this happens, the second term in (1) begins to dominate. How are we to interpret the second term in (1)? It cannot represent exchangeable power, since it is impossible to extract power from the vacuum fluctuations. However, if we imagine an electromagnetic field with a power given by (1) at the input of a heterodyne detector with large photon number gain, it can be shown that the minimum noise fluctuations at the output of such an ideal detector can be regarded as having been produced by the second term in (1), which we will call the “quantum noise” term [4]–[14]. This point will be explored further in the next two subsections. The physical effects which may be invoked in quantifying the QN at the output of such a detector may differ depending on the frequency range and the particular detector considered, but the analysis generally relies on the commutation properties of the operators which, in a quantum mechanical picture, represent the electric and magnetic fields of the electromagnetic wave, ultimately enforcing Heisenberg’s uncertainty principle.

devices are equivalent. The analysis of the single-ended mixer is more involved, however. Merkel has shown that the final result is the same [15]. In Haus’ analysis [6, pp. 292–293], the calculation proceeds to find the charge excited in the photodetectors, which is produced by the incident electromagnetic fields. The charge is assumed to be proportional to the photon flux of the incident fields (“one electron per photon”) and is represented by a quantummechanical operator1 . The mean square fluctuations in this charge are obtained by taking expectation values as follows: (2) and are the average photon numbers per obHere, servation time for the signal and the LO, is the charge of the electron, and we have assumed the small-signal approximation for which the signal power is much smaller than the LO power. Note that the signal power and the LO power are given by (3) The charge fluctuations given by (2) are thus proportional to the LO power. These fluctuations create a (classical) noise current in the IF circuit

A. Quantum-Mechanical Analysis of an Ideal Broadband Mixer Equation (1) is often quoted in connection with discussions on noise in low-noise millimeter and terahertz receivers. This paper is aimed at a discussion of QN in HEB heterodyne receivers. A general heterodyne detector generates an output at a very low frequency (the “IF”) by down-converting radiation of frequencies near the local oscillator frequency. Using quantum mechanics, Marcuse [4], and later Haus [6], rigorously analyzed such a detector (an ideal photodetector mixer), with no frequency dependence of its properties close to the local oscillator (LO) frequency. In microwave terminology, we would call this a broadband mixer (BBM), i.e., a mixer with equal response in both sidebands. We will initially use this photodetector model to review the minimum noise limit for heterodyne detectors and later apply the model to HEB mixers. To show how QN appears in a heterodyne detector, we will briefly summarize the results obtained by Haus [6], while referring the reader to that reference for the mathematical details. Haus analyzed a photodetector mixer, in which the input signal (in both sidebands) and the LO are in “coherent states.” It is important to note that coherent states are not classical states. Specifically, the mean square fluctuations of the field described by a coherent state are precisely equal to the zero point vacuum fluctuations (ZPF). Haus chose a balanced mixer, which has the advantage that the fluctuations in LO power cancel to first order at the IF output. The noise temperature of a balanced mixer should be the same as that of a single-ended mixer if the mixer

(4) where and are the group velocity and length of a wave packet. The average of the mean square IF current related to the input signal becomes

(5) i.e., the mean square current fluctuations according to (4) are proportional to , while the square of the IF signal current at the output of the photodetector mixer from (5) is proportional to . The corresponding average IF powers are obtained by multiplying (4) and (5) with the load resistance . We can now find the signal-to-noise-power ratio at the output of the detector by dividing (5) and (4) to yield

(6) The final result of Haus’ analysis is that the noise output is equivalent to a signal power corresponding to an expectation value for the photon number. The minimum detectable signal corresponds to , yielding . As Haus notes, 1Quantum-mechanical

operators are written in bold font.

KOLLBERG AND YNGVESSON: QUANTUM-NOISE THEORY FOR TERAHERTZ HEB MIXERS

Fig. 1. Ideal IBBM mixer receiver, with the source temperature at 0 K. T

2079

= 0.

“one may interpret this result as fluctuations induced by the signal (and image) zero-point fluctuations in the charge (or current) generated by the local oscillator photons.” The same result is also obtained in a less rigorous analysis, such as, for example, that of Kingston [9]. Kingston analyzed both a photodetector mixer and a bolometer mixer. We assume that Haus’ photodetector mixer produces the minimum amount of noise power produced by any heterodyne detector and define this ideal heterodyne detector as an ideal broadband mixer (IBBM). In summary, the minimum output noise of an IBBM system corresponds to an equivalent QN input noise power of . In an HEB mixer, the output current is produced in a more indirect manner than in the photodetector: photons are locally absorbed in the HEB by an electron (e.g., quasi-particle or Cooper pair), and then a thermal equilibrium at a higher electron temperature is brought about through electron–electron interactions. The change in electron temperature causes a change in the bolometer resistance, and finally a change in current results if the bolometer is voltage biased. Classically, the following equation can be derived for the IF output current of an ideal HEB mixer [16], [17]: (7)

The parameters in (7) are defined in [17] and are constants for a given operating point. It is plausible that a quantum mechanical equivalent of (7) can be obtained from the correspondence principle. Comparing (5) and (7), we find that the two types of mixers produce output currents which are equivalent functions of the input photon fluxes, and since the minimum QN is induced by zero-point fluctuation of the input fields, we can argue that the IBBM and the ideal HEB mixer should show the same amount of minimum QN. A rigorous proof of this statement would require a detailed quantum mechanical analysis of the detection process in an HEB mixer that has not yet been performed, as far as we are aware. We discuss other work on QN in different types of phase-sensitive receivers in Section II-B. The conclusion is that the limiting total equivalent QN power is the same for any coherent, phase-insensitive receiver. This is a second argument that makes it plausible that an ideal HEB mixer model may be defined as we did above. The real HEB mixer clearly also has unavoidable internal “classical” noise sources, which will be discussed in Section IV. We will regard the noise output for the ideal HEB

mixer, and the QN output we later derive for the “real” HEB as the absolute minimum values for these allowed by quantum mechanics. For our later analysis (in Section VI) of the distributed HEB mixer, we will make use of the results in this section by defining the square of “the equivalent QN input fluctuation power,” based on the discussion after (5), as (8) For the HEB mixer, we do not have a direct relationship between generated charge and the LO power as we have for the photodiode mixer. However, we may define as a constant related to the conversion loss and substitute the following two equations for (4) and (5): (9) (10) Equations (9) and (10) yield the correct maximum output signal-to-noise ratio (SNR) as in (6). B. Discussion of Earlier Results on QN in Phase-Sensitive Receivers The same minimum noise output power as found by Haus was also derived for a general linear amplifier by Caves [5] and many others. Caves also discusses a heterodyne detector briefly and obtains the same result as quoted above. A number of papers have analyzed the QN limit in the context of SIS mixers that require additional quantum considerations of the quantized charge in the device [7], [8], [10], [11]. This work was summarized in [8], which stated the conclusion that the minimum output noise corresponds to an input noise power of . Thus, the above references are unanimous in reaching the same conclusion as we have assumed for our IBBM model. Finally, Senitzky [12] analyzes optical parametric amplifiers and shows how the zero-point fluctuations produce output fluctuations by modulating the pump power without “doing work.” This interpretation is consistent with that of Haus and (4). Senitzky also discusses a harmonic oscillator coupled to a loss mechanism with similar conclusions [13]. C. Block Diagram of the IBBM and Noise Temperature Definitions An ideal broadband heterodyne receiver will consist of an IBBM with large photon-number conversion gain, an IF amplifier, and a power detector, as shown in Fig. 1.

2080

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Due to the relatively low IF frequency (a few gigahertz), a terahertz mixer will always have a large photon-number gain. Also, noise directly emitted at the IF should show negligible quantum effects due to the low IF frequency. Apart from QN, a real mixer may show other (classical) noise sources, . Also, the IF amplifier has a noise temperature . We will disregard these classical noise sources for the moment and discuss them later (see Section IV). This is done since we are first trying to identify the absolute minimum value which is allowed by quantum mechanics for the noise temperature. We can now interpret the block diagram of the IBBM receiver in the following way. There are input sources, both with a characteristic impedance , for the sidebands (“signal” and “image”) which yield a minimum available noise power based on (1), at a temperature K, of for each sideband (which is the ZPF present at the receiver input). The mixer is assumed to be matched to the input source. We emphasize that, although we draw this diagram as if the two input sources yield a , this does not mean that we real available noise power of can now actually extract vacuum fluctuation power from these sources. The meaning is instead that, when we measure the fluctuations at the output of the IBBM receiver, then we can interpret these as being due to an actual QN power input of into each sideband at the input. We further want to define the system noise temperature and the receiver noise temperature of the circuit in Fig. 1. In doing so, we use the convention that noise temperature is proportional to noise power [8] through

The results (12)–(14) agree with those given in a recent paper by Kerr et al. [8]. These authors discussed a similar diagram as Fig. 1 and several others like it. III. MEASUREMENTS OF THE NOISE TEMPERATURE OF HETERODYNE DETECTORS, INCLUDING QN A standard -factor measurement involves measuring the ratio of the output powers obtained from the receiver when the temperature of the input load is changed from to . We will need to note that the amount of thermal (Planck) noise power [which is the first term in (1)] emitted by a black body decreases rapidly in the higher terahertz frequency range, however. The standard -factor measurement will thus produce smaller and smaller -factors (for constant receiver noise temperature) as the frequency increases. Clearly, as HEB mixers are developed for higher terahertz frequencies, we need to introduce new methods for measuring their noise properties. There are two frequency bands we may distinguish in this regard. A. 1–10 THz In this frequency range, we can still get measurable output from the Planck term of the sources (at least, for the 300 K one). As proposed by Kerr et al. [8], we use the CW expression (1) in the -factor expression to find the noise temperature.

(15) (11) The system noise temperature is derived from (11) based on the noise power output of the entire system, including both the receiver and the input sources. If we can neglect all other noise sources except for the QN, we find from Fig. 1 that

If we were to instead use the Planck expression, this would mean that we refer the QN temperature (per sideband) to the receiver instead of the source. Using (15) as written gives the total noise power added by the receiver, including QN, correctly. To find the system noise temperature for DSB measurements, we add plus any thermal input noise temperature in the particular system configuration

(12) This is the quantum limit for the system noise temperature of a broadband mixer receiver when performing narrowband measurements (within a single sideband) [8]. If we instead perform broadband (continuum) measurements, the desired signal will be twice as large, and the ideal system noise temperature will be

(13) When calculating the receiver noise temperature, we will follow the usual convention [8] and subtract the noise power of the input source(s) from the total system noise output. For the ideal receiver in Fig. 1, we have (for both types of measurements)

(14)

(16) For SSB measurements, the system noise temperature is

(17)

B. Above Approximately 10 THz When the Planck term diminishes, we need to find other sources with which to compare the receiver noise power, for example, coherent laser sources. It is also possible to use hot loads at higher temperatures, such as 1000 K. Note that incoherent sources are easier to calibrate and match into the beam of the HEB mixer. By using the definition of noise temperature as scaled noise power [see (11)], we have a consistent scheme for characterizing receivers up to optical frequencies, similar to the revised definitions of noise quantities of Kerr [14].

KOLLBERG AND YNGVESSON: QUANTUM-NOISE THEORY FOR TERAHERTZ HEB MIXERS

2081

Fig. 2. Noise power flow in the HEB mixer receiver. Noise powers can be transformed into noise temperatures by using (11).

IV. CLASSICAL NOISE IN HEB MIXERS In what follows in this paper, we will make use of both the Callen–Welton expression and the concept of an IBBM in order to estimate the minimum noise temperature of a broadband HEB receiver. The HEB device basically acts as an absorber of the radiation (LO plus signal plus image) and has no shot noise. Any HEB mixer also necessarily produces noise output due to the fact that the HEB is a resistive device with finite heat capacity and finite temperature. There are two “classical” noise sources to take into account because of this [16], [17]: 1) thermal fluctuation noise and 2) Johnson noise . The total is (18) The above mixer output noise is created directly at the IF frequency and therefore has negligible QN contributions due to the IF being so low, e.g., at the most, 6 GHz in practical NbN terahertz HEB mixers today. We therefore use the subscript “CL” (“classical”) for this noise contribution. The typical magnitudes are 40–50 K, and , which is about 10 K for NbN. is proportional to the square of the critical temperature of the superconducting device. Assuming now that the circuit properties of the upper and lower sidebands are identical (which they are if ) and that we add signals at the upper and lower sideband, respectively, we have a situation as indicated in Fig. 2, which results in

series resistance. Even more important are the consequences of the hot-spot model [21], [22], which suggests that the device at dc and IF frequencies is essentially a normal conductor in a central “hot-spot” region and superconducting near the contacts. It turns out that the sensitivity to radiation absorption along the bolometer bridge is strongest near the boundary between the hot-spot and the superconducting regions, to be discussed in detail in the following section. In a previous paper [2], we analyzed a simplified model which takes into account the contact resistances and the division of the bolometer into active and passive regions. The bolometer was divided into just two series-connected elements, a “passive” resistance, , and an “active” (i.e. frequency-converting) one, . The total bolometer resistance is . The active part of the bolometer was modeled as an IBBM. We refer to our previous paper for details and quote the main result below. The input noise power attributable to QN, referred to the input source terminals of a matched mixer , was found to be (for one sideband)

(20) The important conclusion from this simplified model is that any passive resistance in series with the active part of the bolometer inevitably increases the QN above the minimum noise power of per sideband. VI. QN AND THE HOT-SPOT MODEL A. Discussion

(19) where and . is the IF amplifier noise temperature. and is input thermal noise plus any other signal power entering the upper and lower sidebands, respectively. V. NONIDEAL HEB DEVICE: INFLUENCE OF “SERIES RESISTANCE” It has been shown [16] that the traditional model for the HEB mixer (7), where the device is assumed to be a dimensionless temperature-dependent resistance, is a simplification of the reality that does not agree well with measured data. It has also recently been emphasized that it is important to take the contact resistance into account [18]–[20], and this will add a passive

Here, we will in more detail analyze the consequences of the hot-spot model on QN. We will refer to the most advanced model of the HEB mixer [21], [22] as a basis for prediction of the mixer’s QN properties. One feature, which we believe is characteristic of all terahertz HEB mixers, is that the frequency of the terahertz radiation is above the bandgap of the superconducting film in the bolometer (compare Fig. 3). One should note that the bandgap is a function of position in the device, since it is temperature-dependent and since the bias current also suppresses the bandgap. Taking this into account, we find that all parts of the bolometer absorb the terahertz radiation and that the resistivity of the film sensed by the terahertz radiation is independent of position. Hence, the RF impedance of the bolometer is equal to the normal resistance (notice that does not include any contact resistance and hence ). The electron

2082

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 3. Illustration of the variation of dr=dP and dr=dP along the bolometer bridge according to the most recent hot-spot theory [21], [22]. The hot spot is defined as the part of the bridge where the electron temperature T (x) exceeds the effective critical temperature, T (x). The LO frequency was 1.6 THz, which is much larger than the superconducting bandgap frequency.

temperature of the bolometer is above in a central section, which is the “hot spot,” where we have low-frequency resistivity, whereas outside the hot spot the low-frequency resistivity approaches zero. The hot-spot theory [21], [22] shows (see Fig. 3) that the change in the low-frequency (dc and IF) resistance per unit length upon a change in the absorbed RF power is different in different cross sections along the bridge. We will assume that the locally (say, at position ) absorbed RF power (i.e., a “photon absorption event”) decides the amount of electron heating and, consequently, the IF resistance at . Initially, the photon will either break a Cooper pair and give rise to quasiparticles (“electrons”) with higher energy or else increase the energy of existing quasi-particles, depending on the position within the bolometer. The quasi-particle created by the photon absorption is initially localized to within the mean free path of the quasi-particle of the order of 1 nm or less. Electron–electron relaxation will give rise to a local energy distribution which can be characterized by an electron temperature. The relaxation of the excess temperature will then occur, as electrons diffuse outward, while emitting phonons into the underlying substrate. Any temperature increase will be limited to within about a “thermal healing length” . We can estimate that nm, where is the diffusivity (0.5 cm s) and (40 ps)

is the effective thermal relaxation time (see, for example, [23]). Near the domain boundaries of the hot spot, things are more complicated due, for example, to Andreev reflection, which decreases the effective diffusion rate. Guided by recent simulations of HEBs [21], [22], which include such effects, we can roughly estimate that the effective is about 20 nm. This reasoning allows us to divide the bolometer bridge into a number of essentially independent series-connected bolometer elements. This approximation is in agreement with the well-known fact that the NbN HEB is phonon-cooled rather than diffusioncooled. The microscopic analysis in this section may not apply to the diffusion-cooled HEB without further modifications. The above assumptions now allow us to also construct a microscopic model of how QN is produced in the HEB. We can picture the absorption of the incoming terahertz field, still modeled as a quantum-mechanical coherent state, as occurring in localized photon absorption events, which can be ascribed to a specific one of the elements into which the bolometer has been divided, and then apply the same analysis as in Section II. We reiterate that all regions of the bolometer absorb terahertz radiation equally well. As shown in Fig. 3, however, the change in the IF (and dc) resistance per unit length due to the RF power absorbed is predicted to vary locally within the bolometer. The hot spot is defined as the part of the bridge where the electron temperature exceeds the effective critical temperature, . Note that is lower than at zero current due to the current density in the bridge and that it is lower near the contact pads due to proximity effect. There are two peaks in and corresponding roughly to the positions of the edges of the hot spot. B. Detailed Model for a Distributed HEB We now divide the bolometer (length ) into sections, each has length and an RF resistance of . Notice that there is a maximum (optimum) value of related to the “thermal healing length” (see above), i.e., we should use roughly 20 to 40 nm. Since the LO and signal frequencies are above the bandgap frequency everywhere, the LO and signal power absorbed in at are and , respectively. The dc (and low-IF frequency) resistance depends on (e.g., for ). Obviously, we have

(21) is the dc bias voltage and is the bias current. We where need to know and , so we may calculate the change in resistance for a small change in RF and dc power, respectively, which is given as

(22) where the index .

indicates we are considering parameters for

KOLLBERG AND YNGVESSON: QUANTUM-NOISE THEORY FOR TERAHERTZ HEB MIXERS

2083

One may check a special case, which is equivalent to a uniform bolometer [17] (also, see (7) in Section II), for which the solution is well known. Set all , and . Now it can easily be shown that (26) is equivalent to the corresponding one in [17]. D. Calculation of IF Output Noise due to QN at the RF

Fig. 4. Circuit model of the HEB described in Fig. 3.

C. Calculation of IF Output Power Caused by an Input Signal is the net change of [which is the Referring to Fig. 4, dc voltage; see (21)] upon a small change in input RF power, is the corresponding net change in the dc/IF current while . It is well known that the RF power resulting from adding the LO and signal power together is modulated by [17]. The modulation of the resistance of the th element (we suppress the term which appears together with all terms of type ) becomes

We now perform the microscopic QN calculation, following the general method in Section II. As noted above, of the signal power can be assumed to be traceable to a specific element of the bolometer. Likewise, fluctuations in the IF current can be ascribed to a specific element as being caused by equal amounts of “QN power” for each element. As discussed in Section II [see (8)], we symbolically represent the equivalent input fluctuation power which would produce an IF current fluctuation due to QN modulation of the LO power as . This is the factor that plays a role similar to the power modulation caused when calculating the IF current. We by the signal ascribe to each element. While the signal IF currents add in phase, for the noise currents, we assume random phases, since the individual quantum-mechanical absorption events are independent. Note that the IF noise currents we add incoherently are all “classical,” since the IF frequency is sufficiently low. The quantum-mechanical part of the analysis is thus completely equivalent to that in Section II, except for the fact that it is performed for an individual section of the bolometer. We obtain the resistance change on the IF side as

(23) Over the load resistance

, we have (24)

where work, we obtain

. Letting

and after some

(27) multiplying Notice that we have introduced a factor . The reason is that is not an amplitude but an rms value. We then obtain

(25) The IF output power becomes

(28) and taking the time average, we obtain Calculating (29), shown at the bottom of the following page. Here, we have assumed if . Hence, the equivalent noise power is

(26)

(30)

2084

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

If we again check the special case of and and calculate , we obtain a result for that is identical to the well-known results from classical HEB theory [17].

CALCULATIONS OF

TABLE I VARIOUS CHOICES OF N AND I

FOR

E. Calculation of the Equivalent Signal Power Corresponding to the QN We now want to know the equivalent signal input power corresponding to the IF noise output power (30) caused by the quantum nature of the fields at the RF input. Setting (26) equal to (30), we obtain for this equivalent input power

fluctuations arise at the IF output of a terahertz HEB mixer. The most important general conclusion is the following. (31) Referring back to (3) and (8), we have and

(32)

Using this equation in (31) yields the equivalent QN power as

(33) . Let us apply (33) to a case which Equation (33) defines is similar to that in Section V, in which we divide the bolometer into just two elements of equal length, one of which is passive ( ) and the other one active ( ). We then obtain , which is the same result as in (20) if we identify as and set (note that (20) applies to one sideband and (33) describes the input power needed in one sideband to equal the QN from two sidebands). For a hypothetical idealized device with all equal, we get , as required. Generally, and as expected, the factor [which is defined in (33)], multiplying in (33), is greater than 1. The agreement of the above microscopic analysis with the special simplified case we discussed in Section V is gratifying and supports the conclusion that our analysis is substantially correct. It also takes into account the main features of how QN

The fact that the HEB bolometer absorbs terahertz power uniformly, but frequency converts this power to the IF with an efficiency which is nonuniform inside the bolometer, fundamentally increases the contribution of the QN to the system noise temperature, compared with that of an ideal (uniform) HEB mixer. Merkel has kindly supplied us with theoretical curves (Fig. 3) showing and versus position along a 0.4- m-long NbN bridge, which is 4- m wide [21], [22]. The thickness of the NbN is 3.5 nm. Based on this theoretical curve, we calculated using (33). The value we obtain for depends on both the choice of thermal healing length and the maximum value for the term (obtained at the peaks of the curves), related to the electro-thermal feedback. Stable operation is ensured if the maximum value of (obtained at the maxima of the in Fig. 3) is less than 1 (compare (7) and [17]). Typically, for the classical HEB mixer, we have for optimum bias (an average over ), suggesting that obtained at the sensitivity peak of the curve shown in Fig. 3 is fairly close to 1. In Table I, we present for various choices of , and . From the result shown in Table I, we estimate a of between 3 and 4 for the HEB simulation illustrated in Fig. 3. Note that the QN becomes larger for larger electro-thermal feedback. The result depends slightly on whether we choose a of nm or nm , while yields unphysical results due to too much electro-thermal feedback. However, corresponds to nm, which is an interval that is too small related to

(29)

KOLLBERG AND YNGVESSON: QUANTUM-NOISE THEORY FOR TERAHERTZ HEB MIXERS

2085

. Hence, we may consider as an attenuation mixer just before the signal enters the “ideal broad band mixer.” We therefore identify through the relation

(36)

Fig. 5. Planck noise at the RF side.

the thermal healing length, . The unphysical results thus are expected. and , on the other hand, agree well with our estimate of , which is approximately 20–40 nm. It is not difficult to show that, if a passive resistance , e.g., a contact resistance , is added to the HEB device, the value for increases with a factor . We therefore define the effective as

as well as and depend on details Here, of the bolometer hot-spot physics. This approach is convenient since, in the comparison with measurements below (see Section VIII), we know approximately, while has to be estimated. Hence, we now obtain the SSB system noise temperature by dividing (35), including the new term, by to obtain

(34)

(37)

VII. RECEIVER AND SYSTEM NOISE TEMPERATURE FORMULAS FOR HEB MIXERS, INCLUDING OPTICAL LOSS

We obtain the double-sideband system noise temperature by dividing (37) by 2. The receiver noise temperature (single sideband) is found by following the convention discussed in Section II [in relation to (14)], i.e. by subtracting from (37) (a noise power is then assumed to originate from the source, not being part of the receiver noise), yielding

A. Effect of Optical Input Loss We now have an expression for the QN referred to the mixer device input terminal of . Since this expression includes all QN, we in this case do not have to add any QN originating from the source. From the optics and the source we only have to consider Planck noise (see Fig. 5). We may not necessarily have a match between the source and the optics with the characteristic impedance and the device with impedance ( includes not only the NbN bolometer but also possible contact resistances). We obtain the quantum and optics noise originating at the RF side and referred to the source as

(35) In this equation, the Planck noise from possible passive components in the mixer unit itself, such as the device contact resistance, the ohmic resistance of the antenna, or the losses of a silicon lens, do not appear. However, at low temperatures (approximately tens of Kelvin), the Planck noise is really negligible. To get the receiver noise, we have to add the classical HEB noise and the IF amplifier noise to (35). This term becomes , where is defined in (26). Note that, as appears in (35), it has the same influence as the passive losses between source and

(38)

The last term of (38) shows the QN contribution originating in the HEB device and enhanced by the optics. If we first assume , then we can see that the factor increases the QN. Moreover, when and , the quantum contribution to the receiver noise temperature is zero, as it should be for an IBBM. Here, plays a role formally similar to that of the quantum efficiency defined for photodetector mixers. As discussed above, however, the manner in which causes an increase in the QN is based on the peaked distribution of the conversion gain along the HEB, which is different from that of . Appropriately, the optical input loss also plays a role in increasing the QN contribution to the receiver noise temperature. B. Noise Expressions for Comparison With Experiments In order to facilitate comparison with experimental results, we assume matched conditions . Furthermore, it is convenient to break up into contributions from components at room temperature ( ), at 50 K ( ), and at liquid helium temperature ( ), respectively. As already mentioned,

2086

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

we can neglect Planck noise at 4 K. In the -factor measurements, the signal power is introduced in both sidebands, and the DSB receiver noise temperature for this case is half of (38) as follows:

(39) The QN term is (henceforth, we will simplify our notation by ) writing instead of (40) To find the system noise temperature, we use the general prescription from (16) to obtain (41) VIII. COMPARISON WITH EXPERIMENTAL RECEIVER NOISE TEMPERATURE DATA In comparing experimental receiver noise temperature data with our predictions, we will use (39), which assumes that the bolometer is matched to the source and that the sidebands have equal conversion loss. We ignore the fact that some bolometers, which have been measured, may not have been completely matched to the source. The equal conversion loss assumption is likely to be well satisfied due to the very large ratio of . There is only one set of data which extends far into the terahertz frequency range (up to 5.3 THz), that of the DLR/MSPU collaboration [23], [24]. A recent paper [25] gives two points, at 1.6 and at 3.8 THz, respectively. All other data sets have their highest frequency as 2.5 THz and are difficult to fit, since we estimate that the QN term is smaller than the classical noise term at these frequencies. We have chosen the most recent data from DLR/MSPU for comparison with our theory [24]. In order to compare these data with (39), we also need to know the optical losses, and these are available in [23]. We do not know anything about the optical losses in the case of [25] and thus are not able to fit this data. In [24], a parylene matching layer was added to the silicon lens, while all other optical losses remain as in [23]. We used an estimate of the loss of the silicon lens including the matching layer based on measured data for parylene2 [26]. We found simple polynomial fits to the optical loss as a function of frequency and used these in the calculations to be described below. Equation (39) has three types of terms, which are as follows. 2The authors are indebted to Dr. Heinz-Wilhelm Huebers who calculated the losses of the silicon lens including the parylene matching layer, and also provided clarifications regarding the conversion loss measurements.

• TERM 1: The optical input loss (Planck) terms. These losses are assumed to be at 300 K and 50 K, respectively. The Planck term at 4 K has already been neglected. • TERM 2: The QN term. • TERM 3: The Classical HEB mixer and IF amplifier noise terms. TERM 1 is in the range of 100 K at the lowest frequencies and increases at the higher frequencies due to increased optical losses. It is by far the smallest of the three terms. TERM 2 depends on frequency due to the optical loss factor (as does TERM 3). TERM 2 then has an extra factor of “ ,” which accelerates the frequency dependence compared with that of TERM 3. Apart from this factor, TERMS 2 and 3 both depend on the product of and the total optical loss (in TERM 2, the factor is subtracted by 1, but since , this makes little difference). The extra factor of “ ” in TERM 2 is the main characteristic derived from our theory that we may use in order to test whether QN effects are important or not. We will use the factor as an adjustable parameter when fitting (39) to the measured receiver noise temperatures. In accordance with (36), we also change as is iterated in order to hold constant. can be estimated independently from the measured data, as discussed below. Note that, as is iterated, the relative sizes of TERM 2 and TERM 3 will vary, which will change the frequency dependence of the predicted total noise temperature. Also note that, in a “real” mixer, should also account for, besides the above mentioned loss in the bolometer and contact resistance (34), other 4 K losses such as beam efficiency and RF mismatch [i.e., in (38)]. Our success in obtaining an accurate value for will be directly dependent on the width of the frequency range (starting at about 3 THz), for which measured noise temperature data are available. TERM 3 can be estimated from measurements at the lowest terahertz frequencies (1–1.6 THz), for which TERM 2 can initially be neglected as a first step in an iterative process. For the classical output noise temperature, we used the value at the optimum noise temperature bias point, as plotted in [23, Fig. 8]. The SSB intrinsic conversion loss at 2.5 THz (not including the optical loss of 4.0 dB) can be estimated from [23] and [24] to be 14.0 dB.2 In our notation, this corresponds to . The total conversion loss, including optical losses, thus is 18.0 dB. Note that the classical HEB parameters (such as and ) are assumed to NOT depend on the frequency in the present paper. The factor is also assumed to not depend on frequency. The latter assumption means that we assume that the structure of the hot spot is frequency-independent. We can now determine a value of that provides a best fit of calculated from (39) to the measured data points, over the entire measured frequency range, by iteration. Fig. 6 shows fits obtained this way. We varied up and down by 1 dB from the best fit value, in order to show the effect this parameter has on the noise temperature. Also shown in Fig. 6 is a plot of (39) with the QN term (TERM 2) completely neglected (the lowest curve). A reasonable fit is found. In particular, the steep frequency dependence of the measured data at the highest frequencies is modeled by our expression, and when the QN term is excluded, a

KOLLBERG AND YNGVESSON: QUANTUM-NOISE THEORY FOR TERAHERTZ HEB MIXERS

Fig. 6. Fits of (39) to measured DSB receiver noise temperature data (DLR/ MSPU [24]) versus LO frequency. Three values of were assumed (in 1-dB steps): = 7:55, 9.50 and 11.96. These result in the top three curves. The top curve of these is for the largest value of . The best fit is obtained for = 9:50. The curve below these three curves assumes that the QN term can be completely neglected. Measured data are marked with an (X).

TABLE II VALUES OF PARAMETERS OBTAINED FOR THE BEST FIT TO (39) SHOWN IN FIG. 6. CONVERSION GAINS ARE SSB. THE TOTAL CONVERSION LOSS IN (dB) + (dB) + L (dB). T = 5 K dB = G

0

markedly different frequency dependence is obtained. Note that the frequency dependence of the optical losses by themselves can not provide a fit to the measured noise temperature data. All optical losses are included in the lowest curve in Fig. 6, that omits the QN term. The effect of the anti reflection matching layer (tuned to 2.5 THz) can be clearly seen in that curve (note the minimum at 2.5 THz and the maximum at 5 THz). The QN term is about half of the total noise temperature at 3 THz and an increasingly larger fraction above that frequency. It is clear from Fig. 6 that any conclusions regarding the QN term must rely on measured data at frequencies at least as high as 3–5 THz. The parameter values obtained are given in Table II. The total conversion loss from the input source to the IF amplifier, as calculated in Table II, agrees with the value estimated from the measurements (about 18 dB). One should note that the receiver noise temperature obtained in [24] at 1.6 THz is considerably higher than the best recent results obtained by Chalmers University of Technology, Göteborg, Sweden [27] and Delft University, Delft, The Netherlands [28]. Reference [27] found a total conversion loss of 14.3 dB at 1.6 THz and a DSB receiver noise temperature of 800 K. We interpret the lower noise temperatures and total conversion loss obtained in [27] and [28] at the lower frequencies as being due to more efficient HEB operation because of such effects as antenna matching, contact resistance, and a more favorable distribution

2087

of the conversion gain within the bolometer, as expressed by the parameter (compare the discussion in Section VI above). Fig. 6 shows that a consistent fit can be obtained between our theoretical expression and the only data at sufficiently high frequencies presently available. One may next ask how unique this correspondence is. Reference [23] actually proposes a different explanation than QN for the steep frequency dependence of the receiver noise temperature: skin effect in the bolometer. It is claimed that this effect gives rise to a nonuniform lateral current distribution in the bolometer and that the current distribution depends on the frequency (it becomes more nonuniform at higher frequencies). The conversion loss would then also depend on the frequency. We have investigated the current distribution in our device geometry using ADS and Sonnet (the Sonnet result can be found in [29]). We found that the current distribution is essentially constant and the same at 0.7 and 3 THz. We therefore conclude that our previous assumption of frequency-independent conversion loss is well justified. It would still be possible to fit the measured data by using TERM 3 only (omitting the QN Term 2) by inserting a frequency-dependent value for ( and are, as before, independent of the LO frequency). In order to match the fact that we found that the data are fit by employing a linear frequency dependence (the QN term), the frequency dependence of must also have a linear term. This procedure would produce almost indistinguishable results compared with including the QN term in (39). Physical effects that decrease the antenna coupling or increase the antenna ohmic losses as the frequency is increased may conceivably be the cause of a linear frequency dependence of , starting at, say, about 2.5 THz. However, a strong frequency dependence of the behavior of terahertz antennas cannot be inferred from available antenna measurements. We believe that the evidence available so far favors our interpretation that the noise temperature increases with frequency is due to QN. A more definite conclusion must await further experiments (see the discussion below). IX. CONCLUSION AND DISCUSSION In this paper, we first reviewed the quantum mechanical limitations on the sensitivity of heterodyne receivers. Heterodyne receivers are coherent detectors, which necessarily have a limiting minimum noise output due to QN. The ideal broadband mixer (IBBM) has a minimum receiver noise temperature of . 0 K and a minimum DSB system noise temperature of We then used an equivalent circuit for the hot-spot model of an HEB to derive an expression for the noise temperature of a “real” HEB receiver. This expression has two main terms, the QN term (TERM 2) and the “classical” noise terms (TERM 1 and TERM 3). The ratio of QN to classical noise goes from one at about 3 THz to two at about 5.5 THz, as the QN “takes over.” This is the most important result in this paper. Expressed in a different way, we claim that measurements above 3 THz that already have been performed include mostly QN effects in the measured noise temperature, notwithstanding the fact that the ideal minimum quantum receiver noise temperature is 0 K. The fundamental reason for the enhancement of the QN term is that the HEB only frequency converts efficiently in part of its

2088

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

length, while other parts are essentially lossy. The effect of the distribution of the conversion gain can be expressed in term of a single parameter , which can be obtained as we fit measured receiver noise temperatures as a function of frequency (whichis thus far limited to a highest frequency of 5.3 THz) to the expression derived in this paper. The value we obtain for (9.5) from our fitting procedure is larger than what we estimated based on (see Table I and the distributed bolometer model ( the related discussion). However, the latter values were derived based on models for the lower noise temperature (i.e., lower ) devices from Chalmers University, and also accounts for other losses than resistive ones at the bolometer input terminals, such as RF mismatch between the antenna and the bolometer and the beam efficiency of the lens antenna. We find that is determined from the measured data in [24] within about 1 dB, indicating that our model captures the basic features of the noise temperature variation with device quality and frequency. Given this, one can be hopeful that HEB receivers in the reasonably near future can be developed up to 10 THz that will have receiver noise temperor better. An indication of what is possible atures of in the future is given by a very recent measurement that yielded a receiver noise temperature of 2300 K at the much higher frequency of 30 THz, using an NbN HEB device with a different optical coupling method [30]. Such receivers, particularly in the form of focal plane arrays, are required for planned NASA and ESA projects in this frequency range. Clearly, a much larger set of measured data is required to more definitely confirm or disprove the validity of the fundamental picture of HEB operation and its dependence on QN over a wide frequency range that we present. ACKNOWLEDGMENT The authors would like to acknowledge H. Merkel for fruitful discussions and kindly supplying us with theoretical results and A. Deleniv for helping to analyze the current density in the bolometer bridge. They would also like to acknowledge A. Kerr and J. Zmuidzinas for recurring and illuminating discussions concerning the understanding of quantum noise. The authors are indebted to Dr. H.-W. Huebers for calculating the losses of the silicon lens, including the parylene matching layer, and for providing clarifications regarding the conversion loss measurements. REFERENCES [1] E. Kollberg and S. Yngvesson, “Quantum noise contribution to the receiver noise temperature of HEB THz heterodyne receivers,” in Proc. 13th Int. Space Terahertz Technol. Symp., Cambridge, MA, Mar. 2002, pp. 73–84. [2] S. Yngvesson and E. Kollberg, “The role of quantum noise in terahertz receivers,” in Proc. 15th Int. Space Terahertz Technol. Symp., Northampton, MA, Apr. 2004, pp. 47–54. [3] H. B. Callen and T. A. Welton, “Irreversibility and generalized noise,” Phys. Rev., vol. 83, no. 1, pp. 34–40, Jul. 1951. [4] D. Marcuse, Engineering Quantum Dynamics, 2nd ed. New York: Harcourt Brace, 1970, 1980. [5] C. M. Caves, “Quantum limits on noise in linear amplifiers,” Phys. Rev. D, Part. Fields, vol. 26, no. 8, pp. 1817–1839, Oct. 1982. [6] H. A. Haus, Electromagnetic Noise and Quantum Optical Measurements. Berlin, Germany: Springer-Verlag, 2000. [7] M. J. Wengler and D. P. Woody, “Quantum noise in heterodyne detection,” IEEE J. Quantum Electron., vol. QE-23, no. 5, pp. 613–622, May 1987.

[8] A. R. Kerr, M. J. Feldman, and S.-K. Pan, “Receiver noise temperature, the quantum noise limit, and the role of the zero-point fluctuations,” in Proc. 8th Int. Nat. Space Terahertz Technol. Symp., Mar. 25–27, 1997, pp. 101–111 [Online]. Available: URL: http://colobus.aoc.nrao. edu/memos , as MMA Memo 161 [9] R. H. Kingston, Detection of Optical and Infrared Radiation. Berlin, Germany: Springer-Verlag, 1978. [10] I. A. Devyatov, L. S. Kuzmin, K. K. Likarev, V. V. Migulin, and A. B. Zorin, “Quantum-statistical theory of microwave detection using superconducting tunnel junctions,” J. Appl. Phys., vol. 60, no. 5, pp. 1808–1828, Sep. 1986. [11] J. R. Tucker and M. J. Feldman, “Quantum detection at millimeter wavelengths,” Rev. Modern Phys., vol. 57, no. 4, pp. 1055–1153, Oct. 1985. [12] I. R. Senitzky, “Detection of quantum noise,” Phys. Rev. A, Gen. Phys., vol. 48, pp. 4629–4638, 1993. [13] ——, “Comment on ‘Energy balance for a dissipative system’,” Phys. Rev. B, Condens. Matter, vol. 51, pp. 5166–5167, 1995. [14] A. R. Kerr, “Suggestions for revised definitions of noise quantities, including quantum effects,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 3, pp. 325–329, Mar. 1999. [15] H. Merkel, private communication, Apr. 2004. [16] S. Yngvesson and E. Kollberg, “Optimum receiver noise temperature for NbN HEB mixers according to the standard model,” in Proc. 10th Int. Space Terahertz Technol. Symp., Charlottesville, VA, Mar. 1999, pp. 566–582. [17] H. Ekström, B. Karasik, E. Kollberg, and S. Yngvesson, “Conversion gain and noise of niobium superconducting hot-electron mixers,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 938–947, Apr. 1995. [18] M. Kroug, S. Cherednichenko, M. Choumas, H. Merkel, E. Kollberg, D. Loudkov, B. Voronov, and G. Gol’tsman, “HEB quasioptical heterodyne receiver for THz frequencies,” in Proc. 12th Int. Space Terahertz Technol. Symp., San Diego, CA, Feb. 2001, pp. 244–252. [19] P. Khosropanah, T. Berg, S. Cherednichenko, H. Merkel, S. Svechnikov, V. Drakinskiy, E. Kollberg, and G. Golt’sman, “Geometry dependence of the performance of NbN heterodyne hot electron bolometer superconducting mixers,” in Proceedings 6th European Applied Supreconductivity Conf., ser. 181. Bristol, U.K.: Inst. Phys., 2004, pp. 2986–2993. [20] J. Baselmans, M. Hajenius, J. Gao, P. de Korte, T. Klapwijk, B. Voronov, and G. Gol’tsman, “NbN phonon hot electron bolometer mixers with improved interfaces: noise temperature and LO power requirement,” in Proc. 15th Int. Space Terahertz Technol. Symp., Northampton, MA, Apr. 2004. [Online]. Available: http://www.stt2004.org/manuscripts.pl. [21] H. F. Merkel, P. Khosropanah, S. Cherednichenko, and E. Kollberg, “Comparison of the noise performance of NbTiN and NbN hot electron bolometer heterodyne mixers at THz frequencies,” in Proc. 14th Int. Space Terahertz Technol. Symp., Tucson, AZ, Apr. 2003, pp. 31–32. [22] H. F. Merkel, S. Cherednichenko, P. Khosropanah, T. Ottoson, J. Baubert, and E. Kollberg, “Hot electron bolometer development at chalmers university of technology,” Proc. SPIE, vol. 5498, pp. 149–157, Jun. 2004. [23] A. D. Semenov, H.-W. Hübers, J. Schubert, G. N. Gol’tsman, A. I. Elantiev, B. M. Voronov, and E. M. Gershenzon, “Design and performance of the lattice cooled hot-electron terahertz mixer,” J. Appl. Phys., vol. 88, pp. 6758–6767, Dec. 2000. [24] H.-W. Hübers, A. D. Semenov, H. Richter, M. Schwartz, B. Günther, K. Smirnov, G. N. Gol’tsman, and B. M. Voronov, “Heterodyne receiver for 3–5 THz with hot electron bolometer mixer,” Proc. SPIE, vol. 5498, pp. 579–586, Oct. 2004. [25] Y. B. Vachtomin, S. V. Antipov, S. N. Maslennikov, K. V. Smirnov, S. L. Polyakov, N. S. Kaurova, E. V. Grishina, B. M. Voronov, and G. N. Goltsman, “Noise temperature measurements of NbN phononcooled hot electron bolometer mixer at 2.5 and 3.8 THz,” in Proc. 15th Int. Space Terahertz Technol. Symp., Northampton, MA, Apr. 2004. [Online]. Available: http://www.stt2004.org/manuscripts.pl [26] A. J. Gatesman, J. Waldman, M. Ji, C. Musante, and S. Yngvesson, “An anti-reflection coating for silicon optics at terahertz frequencies,” IEEE Microw. Guided Wave Lett., vol. 10, no. 7, pp. 264–266, Jul. 2000. [27] S. Cherednichenko, M. Kroug, H. Merkel, P. Khosropanah, A. Adam, E. Kollberg, D. Loudkov, G. Gol’tsman, B. Voronov, H. Richter, and H.-W. Huebers, “1.6 THz heterodyne receiver for the far infrared space telescope,” Physica C, Superconduct. Applicat., vol. 372–376, pp. 427–431, Aug. 2002.

KOLLBERG AND YNGVESSON: QUANTUM-NOISE THEORY FOR TERAHERTZ HEB MIXERS

[28] J. J. A. Baselmans, M. Hajenius, J. R. Gao, T. M. Klapwijk, P. A. J. de Korte, B. Voronov, and G. Gol’tsman, “Doubling of sensitivity and bandwidth in phonon cooled hot electron bolometer mixers,” Appl. Phys. Lett., vol. 84, pp. 1958–1960, Mar. 2004. [29] P. Khosropanah, A. Adam, H. Merkel, S. Cherednichenko, S. Yngvesson, and E. Kollberg, “RF current distribution in HEB and a 2-dimensional device model,” in Proc. 12th Int. Space Terahertz Technol. Symp., San Diego, CA, Feb. 2001, pp. 149–158. [30] M. I. Finkel, S. N. Maslennikov, Y. B. Vachtomin, S. I. Svechnikov, K. V. Smirnov, V. A. Seleznev, Y. B. Korotetskaya, N. S. Kaurova, B. M. Voronov, and G. N. Gol’tsman, “Hot electron bolometer mixer for 20–40 THz frequency range,” in Proc. 16th Int.. Space Terahertz Technol. Symp, Göteborg, Sweden, May 2005, pp. 393–397.

Erik L. Kollberg (M’82–SM’83–F’91) was born in Stockholm, Sweden, in 1937. He received the Ph.D. degree from the Chalmers University of Technology, Göteborg, Sweden, in 1970. In 1979, he became a Full Professor with the Chalmers University of Technology. From 1967 to 1987, he was the Head of the group that developed low-noise receivers for the Onsala Space Observatory telescopes. He was acting Dean of Electrical and Computer Engineering from 1987 to 1990. In 1995, he founded the Chalmers Center for High Speed Electronics (CHACH). He has been a Guest Professor with Ecole Normal Superieure, Paris, France, and with California Institute of Technology, Pasadena. He has been performing research on millimeter-wave and submillimeter-wave devices and low-noise receivers, including maser amplifiers, Schottky diodes, and superconductor–insulator–superconductor (SIS) mixer receivers. He has also been involved with harmonic multipliers and is the inventor of the heterostructure barrier varactor diode. His current main

2089

research interests are in the areas of millimeter-wave and terahertz devices and applications, in particular, HEB mixers. Dr. Kollberg is a member of the Royal Swedish Academy of Science and the Royal Swedish Academy of Engineering Sciences. He was the recipient of the Microwave Prize presented at the 12th European Microwave Conference, Helsinki, Finland. He was also the recipient of an Honorary Ph.D. degree presented by the Technical University of Helsinki, Helsinki, Finland, in 2000. In 1983–1984, he chaired the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Chapter in Sweden.

K. Sigfrid Yngvesson (M’62–SM’92–F’98–LF’02) was born in Lidkoping, Sweden, in 1936. He received the Civilingenjor, Tekn.lic., and Tekn. Dr. degrees in electrical engineeering from the Chalmers University of Technology, Göteborg, Sweden, in 1958, 1965, and 1968, respectively. During two two-year periods in the 1960s, he was a Post-Doctoral Fellow with the Department of Physics, University of California, Berkeley. Since 1970, he has been teaching with the Department of Electrical and Computer Engineering, University of Massachusetts at Amherst, where he became a Full Professor in 1978. He has been involved with quantum electronic low-noise receivers for radio astronomy and integrated arrays of antenna elements and active devices with applications to imaging and power combining. His current main research interests are in the areas of quasi-optical millimeter-wave and terahertz low-noise devices and applications, in particular, HEB mixers and focal plane arrays. He is also involved with microwave applications to chemical problems related to catalytic materials. He authored the text and reference book Microwave Semiconductor Devices (Kluwer, 1991). Dr. Yngvesson is a foreign member of the Royal Swedish Society of Sciences and Letters in Göteborg.

2090

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

FET-integrated CPW and the Application in Filter Synthesis Design Method on Traveling-Wave Switch Above 100 GHz Zuo-Min Tsai, Student Member, IEEE, Mei-Chao Yeh, Student Member, IEEE, Hong-Yeh Chang, Member, IEEE, Ming-Fong Lei, Student Member, IEEE, Kun-You Lin, Member, IEEE, Chin-Shen Lin, Student Member, IEEE, and Huei Wang, Fellow, IEEE

Abstract—A new transmission-line concept, called the fieldeffect transistor (FET)-integrated coplanar waveguide (CPW), is proposed. This concept treats the passive two-finger FET as CPW and, thus, the scaling rule is more accurate than the previous model, especially in high frequency. The extraction approach of the parameters of the FET-integrated CPW is also included. With this concept, the design procedure of traveling-wave switches can be equivalent to a filter synthesis problem. Based on this design procedure, a single-pole single-throw and a single-pole double-throw traveling-wave switch have been realized and measured using 0.15- m high-linearity AlGaAs/InGaAs/GaAs pseudomorphic high electron-mobility transistors. Finally, the frequency limitation of the traveling-wave switches is also discussed. The results show the FET-integrated CPW is the most efficient way to overcome the frequency limitations of traveling-wave switches, achieving operation frequency to 135 GHz, the highest frequency reported to date. Index Terms—Coplanar waveguide (CPW), field-effect transistor (FET), traveling-wave switch.

I. INTRODUCTION OR HIGHER frequency and broadband operations, the design concept of a traveling-wave switch using a shunt fieldeffect transistor (FET) has been reported [1]–[6]. With proper selection of the shunt capacitance in the shunt FET and the series inductance of the signal line, the switch can be modeled as a low-pass filter, and broadband frequency response was achieved. Since the shunt capacitance in the shunt FET is dependent on the device size, the scaling rule accuracy is very important for the selection of the device. However, when the gatewidth

F

is wider or at high frequency, there is no simple and accurate scaling rule. Thus, a quantitative description about the proposed novel FET-integrated coplanar waveguide (CPW) structure for high-frequency traveling-wave switch design [7] was derived. The two-finger transistor can be modeled as a CPW with the parameters to be transmission-line characteristic impedance, relative effective dielectric constant, loss tangent, and substrate conductivity. By the parameters for a CPW, the size of the two-finger FET simply referenced to the length of the transmission line. For the extraction of the parameters of an FET-integrated CPW, full-wave electromagnetic (EM) [Ansoft’s High Frequency Structure Simulator (HFSS)] solvers was applied and verified by the device measured data. Since the two-finger FET can be equivalent to a CPW section, the single-pole single-throw (SPST) traveling wave can also be equivalent to a step-impedance low-pass filter. To realize the traveling-wave switch, a filter synthesis design method for traveling-wave switches was used. Using the design method, the design parameters of the traveling-wave switch can be systematically derived. The frequency limitation of the traveling-wave switches is also discussed. The result shows that the parasitic inductance between the FET to ground and signal line poses a limitation to the maximum operating frequency. Although the previous literature reported the solution to reduce the parasitic inductance in the standard process [6], the operation frequency was still below 100 GHz. By using an FET-integrated CPW, the parasitic inductance are reduced significantly and 135-GHz operation frequency is achieved. II. FET-INTEGRATED CPW CONCEPT

Manuscript received January 24, 2006. This work was supported in part by the National Science Council of Taiwan, R.O.C. under Project NSC 93-2752-E002-002-PAE, Project NSC 93-2219-E-002-016, Project NSC 93-2219-E-002024, and Project NSC 93-2213-E-002-033. Z.-M. Tsai, H.-Y. Chang, M.-F. Lei, C.-S. Lin, and H. Wang are with the Graduate Institute of Communication Engineering, Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan, R.O.C. (e-mail: [email protected]). M.-C. Yeh was with the Graduate Institute of Communication Engineering, Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan, R.O.C. She is now with the Realtek Semiconductor Corporation, Hsin-Chu, Taiwan, R.O.C. K.-Y. Lin was with the Graduate Institute of Communication Engineering, Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan, R.O.C. He is now with the Sunplus Technology Company Ltd., Hsin-Chu, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2006.873629

Fig. 1 illustrates the three-dimensional (3-D) structure of a two-finger FET. One drain metal is in the middle, the two source metals are grounds and separated on the two sides, and two gates are between the source and drain metals. Based on the transistor scaling rule, the shunt capacitance and resistance can be evaluated as a function of gatewidth. However, for large gatewidth or at high frequency, the inductance of the drain line will be significant and affect the behavior of the transistor. From the observation in Fig. 1, the periodic low-pass RLC structure is equivalent to a lossy transmission line with the loss dependent upon the shunt resistance. When the transistors are turned off, a low transmission loss is obtained. Otherwise, a high transmission loss appears.

0018-9480/$20.00 © 2006 IEEE

TSAI et al.: FET-INTEGRATED CPW AND APPLICATION IN FILTER SYNTHESIS DESIGN METHOD ON TRAVELING-WAVE SWITCH

2091

Fig. 1. 3-D structure showing the parasitic elements of a two-finger FET.

Fig. 3. Cross section and simulated E -field (arrow) of a two-finger HEMT device by the port analysis function of Ansoft’s HFSS.

Fig. 2. Simplified passive HEMT models for the on and off states.

The major advantage to treat the FET as a transmission line is the scaling of the device. The scaling rules of a lumped-element device model only apply on the shunt capacitance and the resistance. As for the parasitic inductance, there is no simple way for scaling. By using transmission-line property, the different device size can easily be scaled with different transmission-line length. The selection of the device becomes more flexible and precise, especially in the high-frequency range. Since the physical structure and the operation principle of a two-finger FET are similar to those of a CPW, it is called an FET-integrated CPW. III. DEVICE MODELING FOR FET-INTEGRATED CPW The process used in this design is provided by WIN Semiconductors’ 0.15- m high-linearity AlGaAs/InGaAs/GaAs pseudomorphic high electron-mobility transistor (pHEMT) monolithic microwave integrated circuit (MMIC) process [10]. In the passive HEMT switch design, the equivalent-circuit passive HEMT models including all the parasitic effects [1], [11] were usually used for the simulations. However, the simplified model, as shown in Fig. 2, has been verified to be as accurate as the more complete equivalent circuit model to 80 GHz [1], [11]. Thus, we can use the simplified model to replace the complete equivalent-circuit model for the initial circuit analysis throughout this paper. The on-state resistance , off-state resistance , and off-state capacitance related to the device gatewidth m are shown as follows:

(1)

For the FET-integrated CPW model, the parameters of the model are the transmission-line impedance , relative effective dielectric constant , loss tangent , and substrate conductivity . It has been reported that the small-signal behavior of the FET can be simulated by using the full-wave EM simulation tool [12]. In this case, the port analysis function in Ansoft HFSS was used for full-wave analysis. The substrate is a 4-mil GaAs substrate . The metal thickness, sheet mesa resistor, and T-shaped gate feature are taken into consideration. Fig. 3 presents the cross section and simulated -field (arrow) of the two-finger FET device obtained from the EM simulator. The -field of the two-finger FET is similar to the -field of the even-mode CPW and, thus, the two-finger FET can be considered as a CPW. From the full-wave simulation, the parameters , , and are found to be 20.3 , 10.8, and 0.186, respectively. The simulated results are verified by comparing the phase of with the simplified passive high electron-mobility transistor (HEMT) model in (1) in a shunt FET configuration and the open-end FET-integrated CPW model, as shown in Fig. 4(a). Fig. 4(b) illustrates the phase of for different FET sizes. It can be observed that the FET-integrated CPW model can characterize the shunt FET in the off state accurately up to 50 GHz. When the FET is turned on, the channels can be modeled as a sheet resistor. Thus, the device can be considered as a transmission line with a high substrate conductivity , which can be extracted by the scalable in (1) at the on state. Fig. 4(c) plots the magnitude of of the shunt FET and the FET-integrated CPW model. The substrate conductivity is calculated to be 175 S/m for the FET at the on state. Table I lists the parameters of the FET-integrated CPW model, representing the scalable shunt FET model including the distributed effect. IV. FILTER SYNTHESIS DESIGN APPROACH FOR TRAVELING-WAVE SWITCH Based on the FET-integrated CPW model in Section III, an SPST traveling-wave switch can be designed as a steppedimpedance low-pass filter. Fig. 5(a) is the sketch of the unit cell composed of an FET (transistor part) as a low-impedance CPW

2092

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

mated via the EM simulation to be 20.3 and 10.8, respectively. In order to reduce the discontinuity between the high- and low-impedance transmission lines, the characteristic impedance of the transmission line was chosen to be 65 with effective dielectric constant of 7.4. Fig. 5(b) illustrates the equivalent circuit of this unit cell, where the FET is represented with a lumped T model with the parameters evaluated as

(2) The high-impedance transmission lines are represented with lumped models with parameters evaluated as

(3) where is the angular frequency for the approximation of the lumped T model and selected as the cutoff frequency of this filter circuit, while is the speed of light in vacuum. It is noticed that and are functions of the FET size. In Fig. 5(b), is the dominating element in the FET lumped T model because of the low characteristic impedance, while is the dominating element in the transmission-line lumped model for the high characteristic impedance. The inductive reactance of the FET can be included as a small correction to the length of the transmission line, and the capacitive reactance of the transmission line can also be included for correction to the finger length of the FET [13]. The unit cell can finally be simplified to the prototype of a low-pass filter in Fig. 5(c) with the parameters as

Fig. 4. (a) Schematic of the shunt FET and the open-end FET-integrated CPW. The comparison of the shunt FET and transmission-line model of: (b) the phase of the S when the transistor is turned off. (c) Magnitude of S when the transistor is turned on.

(4) The characteristic impedance of the FET traveling-wave switch is

TABLE I MODEL PARAMETERS

(5) with the cutoff frequency

determined as

(6)

and two high-impedance CPWs (line part) with the lengths of and , respectively. The characteristic impedance of the transistor part and effective dielectric constant were esti-

Using (5) and (6), and can be determined by and . Since the relation of the design parameter , and , is expressed in (4), the length of the transmission line and the device size can be synthesized from the cutoff frequency and the characteristic impedance. In this SPST switch, 20- m

TSAI et al.: FET-INTEGRATED CPW AND APPLICATION IN FILTER SYNTHESIS DESIGN METHOD ON TRAVELING-WAVE SWITCH

2093

Fig. 5. (a) Geometric structure of a unit cell of a stepped-impedance filter. (b) Equivalence circuit of the geometric structure. (c) Prototype of a low-pass filter.

and 160- m are selected for a characteristic impedance of 55 . The cutoff frequency based on (6) can be evaluated to be 214 GHz. After adding the discontinuous junction effect, the cutoff frequency becomes 190 GHz. For the consideration of the isolation, the isolation can be enhanced with increasing the number of stages in the travelingwave FET switch. However, the insertion loss also becomes slightly higher as the stage number increases. Fig. 6 shows the simulated insertion loss and the isolations with different number of stages at 100 GHz. From Fig. 6, a seven-stage switch provides good isolation with acceptable insertion loss. V. IMPLEMENTATION AND EVALUATION OF THE SPST AND SINGLE-POLE DOUBLE-THROW (SPDT) SWITCHES Fig. 7(a) illustrates the schematic of the SPDT travelingwave switch consisting of two SPST switches with two quarterwavelength impedance transformers. The low-end operation frequency of the SPDT switch is limited due to the quarterwavelength transmission lines. The second output port is terminated by a 50- resistor for the testing consideration. Fig. 7(b) shows a die photograph of the SPST and SPDT switches with

Fig. 6. Simulated isolation and insertion loss versus stage numbers at 100 GHz.

the total chip size of 2 1 mm . The top is the SPST switch with a chip size of 1.64 0.42 mm . The SPDT switch occupies the bottom of the chip with a chip size of 1.35 0.5 mm . The switches are measured via on-wafer probing from 1 to

2094

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 7. (a) Circuit schematic of the SPDT switch. (b) Die photograph of the SPST and SPDT switches.

150 GHz. The step discontinuities are all characterized by the SONNET EM simulation tool [14]. The measured and simulated results of the on-state SPST switch are presented in Fig. 8(a) and (b). The SPST switch achieves an insertion loss of 2.5 dB at 75 GHz, 4.1 dB at 110 GHz, and 5.0 dB at 135 GHz. More than 10-dB return loss is achieved from dc to 150 GHz. From Fig. 8(c), it also achieves an isolation of more than 30 dB from 40 to 135 GHz. From Section IV, the cutoff frequency of 190 GHz are estimated, but when the operation frequency is above 100 GHz, the insertion loss of the SPST is dominated by the transmission-line loss. Therefore, the operation frequency of this SPST is lower than expected. Fig. 9(a) presents the measured results for the on-state SPDT switch and Fig. 9(b) is the measured isolation for the off-state SPDT switch from dc to 150 GHz. The SPDT switch achieves an insertion loss of 4.1 dB at 75 GHz, 5 dB at 110 GHz, and 6 dB at 135 GHz. The input and output return losses are higher than 10 dB when the frequency is higher than 65 GHz. The isolation of the SPDT switch is also higher than 30 dB from 40 to 135 GHz. The simulated and measured insertion- and return-loss performances indeed agree very well for both of the SPST and SPDT switches from 1 to 150 GHz, as depicted in Figs. 8 and 9. The dips in return loss have been accurately predicted, validating the filter analysis method. Since the dynamic range of -band on-wafer measurement is limited, the measured isolation of the switch can be only evaluated up 35–40 dB. However, the simulated result is close to the measurement result when the frequency is lower than 100 GHz. Thus, the device models are

Fig. 8. Simulated and measured results of: (a) insertion loss and input return loss when the SPST switch is turned on. (b) Output return loss when the SPST switch is turned on. (c) Isolation when the SPST switch is turned off.

verified. Fig. 10 illustrates the simulated insertion loss of the SPST switch using a simplified passive HEMT model and the new FET-integrated CPW model proposed in this paper, both compared with measurement data. It is observed that the accuracy of the simulation results has been improved with our new FET-integrated CPW model.

TSAI et al.: FET-INTEGRATED CPW AND APPLICATION IN FILTER SYNTHESIS DESIGN METHOD ON TRAVELING-WAVE SWITCH

2095

Fig. 10. Simulated insertion loss of the SPST switch using simplified passive HEMT model and the new CPW line FET model proposed in this paper, both compared with measurement data.

Fig. 11. Equivalent circuit of the SPST switch at on state with parasitic effect.

structure also demonstrate the miniature sizes compared with those traveling-wave switches using standard HEMT processes. VI. BANDWIDTH ENHANCEMENT BY FET-INTEGRATED CPW

Fig. 9. Simulated and measured results of: (a) insertion loss and input return loss when the SPDT switch is turned on. (b) Output return loss when the SPDT switch is turned on. (c) Isolation when the SPDT switch is turned off.

From the previously reported data [1]–[6], it can be observed that the operation frequency is limited below 100 GHz, except [4], in which the parasitic inductance was eliminated by the special process of the heterojunction field-effect transistor (HJFET). Our designs using the new integrated FET CPW line

Following the filter synthesis design approach in Section IV, the capacitance of the turned-off transistor and the inductive high-impedance transmission lines construct a prototype of a low-pass filter, as shown in Fig. 5(c). However, there exist some parasitic inductances, which are not negligible. Fig. 11 illustrates the circuit schematic considering the parasitic inductance and , where represents the inductance from the source of the FET to ground (usually the via hole inductance) and is the inductance of the short transmission line between the drain of the FET and the signal line. By using the method of image filter synthesis [5], and can be evaluated in terms of the desired image impedance and the cutoff frequency of the -derived filter section [9]. and can be expressed as

(7) (8)

2096

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

can be used in the design. SPST and SPDT switches based on the new concept and design procedure have been implemented and achieved broadband operation to 135 GHz. This new model and design procedure has been verified predicting the circuit performance much more accurately than the passive HEMT equivalent-circuit model from dc up to 150 GHz with measurement results. Finally, the frequency limitation of the traveling-wave switches has been discussed. The results show the parasitic inductance of the transistor is the bottle neck to improve the bandwidth of the traveling-wave switches, and the FET-integrated CPW is indeed a practical way to reduce the parasitic inductance. ACKNOWLEDGMENT Fig. 12.

C

,L

, and m versus L

+L

at f of 175 GHz.

where is a coefficient between 0–1, and can be determined in terms of as

The authors would like to thank to Prof. G. D. Vendelin, National Taiwan University, Taipei, Taiwan, R.O.C., for his advice and review. The foundry service of the 0.15- m GaAs pHEMT was provided by WIN Semiconductors, Taipei, Taiwan, R.O.C., through the Chip Implementation Center (CIC), Taiwan, R.O.C. REFERENCES

(9)

From (9), it can be found that will decrease as and increase. From the observation of (7) and (8), smaller and are required to achieve the same and with larger and . Fig. 12 illustrates , , and versus with 175-GHz . From Fig. 12, decrease with . For a microstrip-line design in a 4-mil GaAs substrate, there is an unavoidable about order of 30 pH for the via-hole inductance. It can also be observed that less than 20-fF and 50-pH must be chosen to achieve an of 175 GHz. However, 20-fF and 50-pH are too small to be realized because of the limitation of the size of the FET and the length of the signal line, respectively. Thus, the reduction of and is critical to increase the operation frequency of the travelingwave FET switch. From [7], it can be observed that the parasitic inductance ( or ) of the reported FET traveling-wave switch is often higher then 30 pH (on a 4-mil GaAs substrate). Thus, the operation frequencies of the reported traveling-wave switches using commercial pHEMT processes were all below 100 GHz. The proposed switch using an FET-integrated CPW gives an efficient way to significantly reduce and at the same time. Therefore, the operation frequency of the traveling-wave switch can be improved by using the integrated CPW structure. VII. CONCLUSION In this paper, a new concept of the FET-integrated CPW for traveling-wave switches has been demonstrated. A scalable FET CPW model for high-frequency operation has also been developed and verified. With this new model, the traveling-wave switch can be considered as a stepped-impedance filter. Therefore, an analytical and systematic design procedure

[1] K.-Y. Lin, W.-H. Tu, P.-Y. Chen, H.-Y. Chang, H. Wang, and R.-B. Wu, “Millimeter-wave MMIC passive HEMT switches using travelingwave concept,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1798–1808, Aug. 2004. [2] M. J. Schindler and A. Morris, “DC–40 GHz and 20–40 GHz MMIC SPDT switches,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 12, pp. 1486–1493, Dec. 1987. [3] T. Shimura, Y. Mimino, and K. Nakamura, “High isolation V -band SPDT switch MMIC for high power use,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, May 2001, pp. 245–248. [4] H. Mizutani and Y. Takayama, “DC–110-GHz MMIC traveling-wave switch,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 5, pp. 840–845, May 2000. [5] S. F. Chang, W.-L. Chen, J.-L. Chen, H.-W. Kung, and H.-Z. Hsu, “New millimeter-wave MMIC switch design using the image-filter synthesis method,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 103–105, Mar. 2004. [6] J. Kim, W. Ko, S.-H. Kim, J. Jeong, and Y. Kwon, “A high-performance 40–85 GHz MMIC SPDT switch using FET-integrated transmission line structure,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 505–507, Dec. 2003. [7] Z.-M. Tsai, M.-C. Yeh, M.-F. Lei, H.-Y. Chang, C.-S. Lin, and H. Wang, “DC-to-135 GHz SPST and 15-to-135 GHz SPDT traveling wave switches using FET-integrated CPW line structure,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, 2005 [CD ROM]. [8] “HFSS 9.0 User’s Manual,” Ansoft Corporation, Pittsburgh, PA, 2004. [9] D. M. Pozar, “Microwave filters,” in Microwave Engineering, 2nd ed. New York: Wiley, 1998, ch. 8, sec. 8.3, p. 442. [10] “WIN Semiconductors GaAs 0.15 m pHEMT Model Handbook,” WIN Semiconductors, Taipei, Taiwan, R.O.C., 2003. [11] K. Y. Lin, Y. J. Wang, D. C. Niu, and H. Wang, “Millimeter-wave MMIC single-pole-double-throw passive HEMT switches using impedance transformation networks,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1076–1085, Apr. 2003. [12] M. Farina, L. Pierantoni, and T. Rozzi, “Electromagnetic 3-D model for active linear devices: Application to pHEMTs in the linear regime,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 469–474, Feb. 2004. [13] G. L. Matthael, L. Young, and E. M. T. Jones, “Low-pass and highpass filters using semi-lumped elements or wave guide corrugations,” in Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Boston, MA: Artech House, 1980, ch. 7, sec. 7.03, pp. 365–373. [14] “Sonnet User’s Manual,” Sonnet Software Inc., Liverpool, NY, 1998.

TSAI et al.: FET-INTEGRATED CPW AND APPLICATION IN FILTER SYNTHESIS DESIGN METHOD ON TRAVELING-WAVE SWITCH

Zuo-Min Tsai (S’01) was born in MaioLi, Taiwan, R.O.C., in 1979. He received the B.S. degree in electronic engineering from National Taiwan University, Taipei, Taiwan, R.O.C., 2001, and is currently working toward the Ph.D. degree at National Taiwan University. His research interest is the theory of microwave circuits.

Mei-Chao Yeh (S’03) was born in Kaohsiung, Taiwan, R.O.C., on January 10, 1981. She received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2003, and the M.S. degree from the Graduate Institute of Communication Engineering, National Taiwan University Taipei, Taiwan, R.O.C., in 2005. She is currently an Engineer with the Realtek Semiconductor Corporation, Hsin-Chu, Taiwan, R.O.C. Her research interests are in the areas of RF and millimeter-wave integrated circuits in CMOS technologies.

Hong-Yeh Chang (S’02–M’05) was born in Kinmen, R.O.C., in 1973. He received the B.S. and M.S. degrees in electric engineering from National Central University, Chung-Li, Taiwan, R.O.C., in 1996 and 1998 respectively, and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2004. In 1998, he joined Chunghwa Telecom Laboratories, Taoyuan, Taiwan, R.O.C., where he was involved in the research and development of code division multiple access (CDMA) cellular phone system. From 1999 to 2000, he was with Syncomm Inc., Taoyuan, Taiwan, R.O.C., where he was involved with personal access communications system (PACS) radio port and handset design. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include monolithic microwave and millimeter-wave integrated-circuit designs, transceiver and power-amplifier linearization, and RF signal measurement.

Ming-Fong Lei (S’00) was born in Taipei, Taiwan, R.O.C., on December 20, 1980. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2002, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include the design and analysis of microwave and millimeter-wave circuits, microwave device modeling, and filters.

2097

Kun-You Lin (S’00–M’04) was born in Taipei, Taiwan, R.O.C., in 1975. He received the B.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1998, and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2003. From August 2003 to March 2005, he was a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. He is currently an Advanced Engineer with the Sunplus Technology Company Ltd., Hsin-Chu, Taiwan, R.O.C. His research interests include the design and analysis of microwave/RF circuits. Dr. Lin is a member of Phi Tau Phi.

Chin-Shen Lin (S’01) was born in Hsinchu, Taiwan, R.O.C., on February 7, 1979. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C. in 2001, and is currently working toward the Ph.D. degree at the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, R.O.C. His main research is monolithic microwave/millimeter-wave circuit design.

Huei Wang (S’83–M’87–SM’95–F’06) was born in Tainan, Taiwan, R.O.C., on March 9, 1958. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1980, and the M.S. and Ph.D. degrees in electrical engineering from Michigan State University, East Lansing, in 1984 and 1987, respectively. During his graduate study, he was engaged in research on theoretical and numerical analysis of EM radiation and scattering problems. He was also involved in the development of microwave remote detecting/sensing systems. In 1987, he joined the Electronic Systems and Technology Division, TRW Inc. He has been an MTS and Staff Engineer responsible for MMIC modeling of computer-aided design (CAD) tools, and MMIC testing evaluation and design, and became the Senior Section Manager of the Millimeter-Wave Sensor Product Section, RF Product Center. In 1993, he visited the Institute of Electronics, National Chiao-Tung University, Hsinchu City, Taiwan, R.O.C., where he taught MMIC-related topics. In 1994, he returned to TRW Inc. In February 1998, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan, R.O.C., where he is currently a Professor. Dr. Wang is a member of Phi Kappa Phi and Tau Beta Pi. He was the recipient of the Distinguished Research Award of the National Science Council, R.O.C. (2003–2006). He was also elected as the first Richard M. Hong Endowed Chair Professor of National Taiwan University in 2005.

2098

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

A Dual-Band RF Front-End of Direct Conversion Receiver for Wireless CDMA Cellular Phones With GPS Capability Woonyun Kim, Member, IEEE, Jinhyuck Yu, Heeseon Shin, Sung-Gi Yang, Member, IEEE, Wooseung Choo, and Byeong-Ha Park, Member, IEEE

Abstract—A highly integrated direct conversion receiver for cellular code division multiple access (CDMA) and GPS applications is successfully developed using a 0.5- m SiGe BiCMOS technology. The receiver consists of two low-noise amplifiers (LNAs), a dual-band mixer, two voltage-controlled oscillators (VCOs), a local-oscillator signal generation block, and channel filters. The CDMA LNA achieves a noise figure of 1.3 dB, an input-referred third-order intercept point (IIP3) of 10.9 dBm, and a gain of 15.3 dB with a current consumption of 9.8 mA in the high-gain mode. The mixer for the CDMA mode achieves an uncalibrated input-referred second-order intercept point of 53.7 dBm, an IIP3 of 6.4 dBm, a noise figure of 7.2 dB and a voltage gain of 37.2 dB. The phase noise of the CDMA VCO is approximately 133 dBc/Hz at a 900-kHz offset from a 1.762-GHz operating frequency. It exceeds all the CDMA requirements when tested on a handset. Index Terms—Low-noise amplifier (LNA), mixer, oscillators, phase noise, receivers.

since the 900-kHz offset interferer mixing with the transmitter’s leakage power generates an in-band interferer, i.e., so-called cross-modulation [7]–[10]. Thus, the CDMA receiver integrated circuit (IC) presented here still needs an RF SAW to suppress the cross-modulation in the down-conversion mixer. Furthermore, the single-tone interferer reciprocally mixes with the phase noise of the voltage-controlled oscillator (VCO) and produces in-band noise. Therefore, VCO phase noise needs to be better than 139 dBc/Hz at 900-kHz offset [10]. Lastly, the interferer amplified through the LNA and the mixer can burden the following baseband block with a high dynamic range requirement. This paper reports on a low-noise and high linearity DCR that supports cellular CDMA and GPS using a 0.5- m SiGe BiCMOS technology. We will describe the system configuration, block design, and measurement results, including the set performance, with the main focus on the CDMA mode.

I. INTRODUCTION

II. SYSTEM CONFIGURATION

HE WIRELESS communication market continues to grow, and the reduction in cost and size, and time to market are increasingly becoming important to the cellular phone production [1]. The receiver in mobile handsets is constantly calling for further miniaturization while its manufacturers require a reduced bill of materials. Direct conversion and low IF receivers offer the advantage of higher integration, and lower cost, reducing radio complexity by removing the need for external IF surface acoustic wave (SAW) filters and multiple local-oscillator (LO) signals [2]. These radio architectures are rapidly replacing the conventional super heterodyne, and they are extensively used in mobile communication standards such as global system for mobile communications (GSM), Bluetooth, and wide-band code division multiple access (WCDMA) [2]–[5]. A fully integrated direct conversion receiver (DCR) for cellular code division multiple access (CDMA), however, entails a number of issues because of the stringent requirements of the CDMA radio standard [6]. It especially demands a very tough performance for single-tone desensitization (STD) that brings about some key issues. It requires the LNA to be more linear than the intermodulation distortion (IMD) test requirement

T

Manuscript received September 26, 2005; revised January 26, 2006. The authors are with the RF Development Department, Samsung Electronics, Giheung, Gyeonggi 449-711, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872807

Fig. 1 shows the block diagram of the receiver. It supports the dual-band: a cellular CDMA band (869–894 MHz) and a GPS band (1575.42 MHz). As can be seen in Fig. 1, two low-noise amplifiers (LNAs) are allocated for cellular and GPS frequency bands, respectively. The GPS LNA outputs are capacitively coupled to the mixer inputs and the LNA output for the cellular band is fed to the external RF SAW filter. The filter converts an unbalanced input signal to a balanced signal and transforms its impedance from 50 to 100 , and the filter output signals are applied to the mixer inputs. Both RF paths share a downconverting Gilbert cell mixer and an LO driver, which is implemented by a frequency divider. Two VCOs are integrated for GPS and CDMA. The down-converted CDMA signals are applied to the low-pass filter (LPF) of the receiver. A seventh-order elliptic LPF is used for cellular CDMA. The channel filter comprises a first-order RC LPF connected to the mixer output, three bi-quad stages, and an output buffer. The first and second bi-quads include active capacitance feed-forward to implement zero. The pole/zero frequency, value, , and the gain of each bi-quad were carefully designed to optimize the overall noise, linearity, ripple, and group-delay characteristics, considering the current consumption and die area. The first bi-quad is most critical for the overall noise and linearity performance since its gain and jammer attenuation reduce the contribution of the noise and linearity of the following stages. At any nodes of the

0018-9480/$20.00 © 2006 IEEE

KIM et al.: DUAL-BAND RF FRONT-END OF DCR FOR WCDMA CELLULAR PHONES WITH GPS CAPABILITY

2099

Fig. 1. Block diagram of the designed direct-conversion receiver IC for CDMA/GPS applications.

LPF, the jammer levels are designed to be smaller than that at the LPF inputs. The LPF pole is set by a temperature-compensated -tuning loop that is calibrated by a 4-bit fuse. The simulated input referred noise and input-referred third-order intercept point (IIP3) of the LPF are 35 nV/ Hz and 20 V , respectively. For the GPS mode, the LPF is bypassed, and the down-converted signals are applied to the output buffer. The analog baseband outputs are applied to an external baseband processor. Static dc offset is compensated at the mixer output and the second-order intercept point (IP2) calibration can be performed at the same location if needed. The IC is controlled through a three-line serial interface. III. LNAs Fig. 2 shows the simplified schematic of the cellular LNA. Based on the conventional inductively emitter-degenerated cascode stage, the LNA has four gain modes, which are: 1) high gain; 2) mid1 gain; 3) mid2 gain; and 4) low gain. The high degeneration inductor is required for high linearity, however, it makes the optimum noise matching impedance deviate from the gain matching, occupying too much area. Therefore, a moderate is used to lower the input impedance, which further helps to improve the linearity and the simultaneous noise gain matching. In order to improve IIP3 further, an off-chip bias inductor , which reduces the source impedance at the beat frequency of the two-tone [11], [12], is used. An off-chip inductor is used as a load in series with small resistance that tunes its quality factor and helps to get the LNA’s stability. For mid1 gain, the signal from is dumped to the power supply through in order that the gain step can be controlled

Fig. 2. Circuit schematic for cellular LNA.

by the ratio of to . is used to increase the parallel feedback in the midhigh gain state. The mid2-gain mode is obtained by turning on the nMOS bypass switch ( ) between input and output, while turning off the cascade core. with nMOS switching ( ) is added to improve the input matching in the mid2-gain mode. The low-gain mode is designed in a similar way. For each mode, the reference bias current is controlled for an optimum LNA current. A GPS LNA circuit is shown in Fig. 3. The differential LNA is configured to be applicable both to single-ended and balanced inputs with an off-chip access to the base inputs of the bipolar differential pair. The advantage of the balanced topology is the

2100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 3. Circuit schematic for GPS LNA.

TABLE I SUMMARY OF MEASURED PERFORMANCE OF CELLULAR LNA

cancellation of LO leakage at the LNA differential input. Moreover, when a single-ended input is applied, it can be easily transformed into differential output signals, which are needed as inputs of the dual-band mixer. Cascode architecture is chosen for this design to improve input-to-output isolation. The outputs are fed to the dual-band mixer through series capacitors. The CDMA LNA achieves a noise figure of 1.3 dB, an IIP3 of 10.9 dBm, and a gain of 15.3 dB, while consuming 9.8 mA in the high-gain mode. The measured nominal performance of the LNA is summarized in Table I. IV. MIXER A mixer is one of the most critical blocks in the DCR because of stringent requirements of the CDMA radio standard [6]. The mixer is required to have a low noise figure and a high gain to curtail the noise contributed by the following analog baseband blocks. For the STD and IMD specifications, a high linearity is also required. Moreover, closely spaced interferers place a tough requirement on the mixer in terms of second-order intercept point (IIP2). A dual-band mixer is basically a pair of Gilbert cells whose transconductance transistors are connected at the collector terminal, as shown in Fig. 4. We can minimize mismatch between the in-phase (I) and quadrature (Q) channels by sharing the transconductance block [13]. The switching core, load network, and LO driver are commonly used for both the CDMA and GPS mode. As a matter of course, the input transconductance block of the GPS path is powered down when the CDMA mode is enabled, and vice versa. To reduce nonlinearity, the RF input signal is applied across a common emitter amplifier with the

degeneration inductors that are shared by both of the RF paths. The inductance is, however, optimized for cellular band. Thus, the inductance is a little bit larger than the optimum value for the GPS mode. The conversion gain including the LNA for the GPS mode, however, is not excessively decreased because the large inductance causes an increase in the effective load impedance of the LNA. While there is only a high-gain mode for the GPS, the mixer for the CDMA mode has two gain steps. To have a two-step control without degrading the RF input matching, a certain portion ( and ) of the RF signal is dumped through PMOSs ( and ) into the power supply in a low-gain mode. The bias circuits for the transconductor and the switching stages are carefully designed to tolerate the process and temperature variations with a supply voltage of 2.7 V m [11], [12], [14]. The dc bias for the base voltage of ) is especially critical the switching-quad transistors ( – for good IIP3, IIP2, and dc-offset performances. To curtail the noise contribution of the following stages, the voltage gain of the mixer is set to be as high as 37 dB. The load resistors are connected across the outputs of the mixer with the pMOS current sources. To reduce the flicker noise of the current sources and minimize the effect of their output resistance on the load, the pMOS current sources ( – ) are implemented with long-channel large-area devices. The common mode voltage level of the output nodes is set to be approximately 1.5 V ( ) using the common mode feedback (CMFB) circuitry. The common mode voltage level is propagated through the subsequent LPF stages. Therefore, the level at the output node of the mixer is critical for proper operation of the baseband block. To attenuate the far-out interferers early in the receiver chain and ease the headroom requirements for later stages, the load of the mixer is designed to implement a first-order LPF set by the resistor and capacitor combination, as shown in Fig. 4. The attenuation at a 900-kHz offset is designed to be over 15 dB to attenuate the single- or two-tone interferer that is amplified by both the LNA and mixer core. The following LPF block compensates the in-band loss induced by the first-order LPF on the mixer output nodes. As discussed in detail in [15], the IP2 calibration technique using the CMFB circuitry is also included in the receiver. The IP2 calibrator is capable of providing a different CMFB gain to tune its common mode output impedance for each of the positive and negative mixer outputs. Therefore, the CM output impedances on the output nodes can be trimmed. The mixer by itself for the CDMA mode is measured with the analog baseband block bypassed. Using an RF SAW, the single-ended RF signal is converted to differential RF signals at the mixer input pins. The differential output signals of the mixer are also converted to an single-ended signal using an external op-amp configuration, which enables a sufficiently high impedance to be presented at the output of the mixer. The op-amp is carefully chosen to prevent its noise or nonlinear characteristic from affecting the performance of the mixer. The measured conversion gain curves for the both bands are shown in Fig. 5. For the CDMA mode, the gain at a 900-kHz offset is no more than approximately 22 dB, as expected, while the gain at a 10-kHz offset is approximately 37 dB. The GPS gain curve

KIM et al.: DUAL-BAND RF FRONT-END OF DCR FOR WCDMA CELLULAR PHONES WITH GPS CAPABILITY

2101

Fig. 4. Simplified schematic of dual-band mixer for cellular CDMA and GPS band.

TABLE II SUMMARY OF MEASURED PERFORMANCE OF DUAL-BAND MIXER

Fig. 5. Measured conversion gain of the dual-band mixer.

are the performance measured from the LNA input to the mixer output.

in Fig. 5 is measured from the LNA input to mixer output. The pole frequency for the GPS mode is approximately 900 kHz. The noise figure is calculated using the -factor method [16]. To reduce the effect of the instrument’s own noise, the op-amp mentioned above is made to have approximately a 34-dB voltage gain. The factor is computed using the integrated noise power in dBm from 1 to 615 kHz with a 1-Hz resolution bandwidth because the output noise power density in dBm/Hz is not flat for frequency. For IIP3 measurements, two-tone signals were applied at appropriate frequencies to result in down-converted baseband tones at 900 and 1700 kHz. For IIP2 tests, the downconverted in-band second-order intermodulation signal is set at a 100 kHz, and two-tone signals are used at a 5-MHz offset from the LO signal. Over 30 samples are tested for IIP2 measurements, and the measured nominal performance of the mixer is summarized in Table II. The IIP2 levels shown in Table II are average values. The data for the GPS mode described in Table II

Another challenging block is the VCO for the CDMA mode. Among the various configurations of the oscillator, a differential LC CMOS oscillator is chosen for low phase-noise performance with high power efficiency [17], [18]. The simplified schematic of the CDMA VCO is shown in Fig. 6. The VCO oscillation frequency is set to be twice the LO signal frequency applied at the mixer ports. It helps to reduce LO leakage to the LNA and mixer input and easily generates accurate quadrature-phased LO signals using a frequency divider. A 4- m-thick aluminum top metal layer is used to implement the tank inductor ( ) to reduce the cost of the extra layers added. The balanced spiral inductor of the tank is a 4-nH octagonal-type inductor. The tank inductor has a simulated of 13 at 1.7 GHz. The and are added to raise the common-source node impedance and, thus, suppress the noisy second harmonic [19]. The current source uses large size transistor to reduce noise and thermal noise contribution to VCO phase noise.

V. VCOs

2102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 7. Coarse and fine tune branches for CDMA VCO. Fig. 6. Simplified circuit of CDMA VCO.

In order to cover the specific LO frequency range and compensate for the process and temperature variations, a capacitor bank for coarse tune is employed. Moreover, the 5-bit controlled coarse tune branch had to be considered to obtain a better VCO phase-noise characteristic by reducing the VCO gain [20]. The coarse tune structure shown in Fig. 7(a) is chosen to obtain the desired using an accumulation-mode nMOS (AMOS) varactor [21]. The fine tuning branch is shown in Fig. 7(b). Series metal–insulator–metal (MIM) capacitors ( and ) are employed to attenuate the AM noise from the oscillating node, which can modulate the capacitance of AMOS varactors. Thus, phase-noise degradation due to AM–FM noise can be reduced. A large capacitor ( ) is used to reduce the noise from stacked diodes ( and ). The simple biasing circuit is chosen to eliminate the noise contribution from the transistors of a separate biasing circuit. To find the optimum bias current, the reference current is controlled by the serial I/O interface. The tune line is ground shielded to reduce the impact on the low-frequency noise. The external LPF consisting of and large is employed to filter out the noise from the power supply. The VCO is surrounded by deep trench isolation to block the noise from other blocks and decrease the substrate loss. The phase noise is measured in the locking state with an external phase-locked loop (PLL), as shown in Fig. 8. The measured phase noise is approximately 133 dBc/Hz at a 900-kHz offset frequency from a 1.762-GHz operating frequency. It draws 4 mA from a 2.85-V supply, and its supply pushing is approximately 3 MHz/V. The GPS VCO is based on an SiGe bipolar VCO with an on-chip LC tank [22]. The LC tank consists of a 4-bit digitally controlled switched capacitor bank, two P-N varactor diodes for

Fig. 8. Measured phase noise of CDMA LC-tank oscillator for a carrier frequency of 1.762 GHz.

fine tuning, and a differential spiral inductor. The VCO oscillates at double frequency (2 1575.42 MHz MHz). VI. LO DISTRIBUTION BLOCKS As shown in Fig. 1, LO distribution circuitry consists of two VCO buffers for the CDMA and GPS mode, the divide-by-two circuit shared with the both bands, output buffer to feed the external PLL prescaler, and input buffer just for the test. Both of the VCO buffers are based on a differential amplifier with degeneration resistors. The simplified schematic of the divide-by-two circuit is shown in Fig. 9. The divide-by-two circuit is realized as two latches in a negative feedback loop. To reduce mismatches between the phases of the I and Q LO signals due to the input transistors for clock, the bipolar

KIM et al.: DUAL-BAND RF FRONT-END OF DCR FOR WCDMA CELLULAR PHONES WITH GPS CAPABILITY

2103

TABLE III SUMMARY OF SET PERFORMANCE FOR CDMA CELLULAR BAND

Fig. 9. Simplified circuit of frequency divider.

The receiver IC is mounted on a CDMA handset to evaluate the performance. Table III shows the measurement results. The receiver for the CDMA mode achieves a minimum sensitivity of approximately 109 dBm, an STD of 27 dBm. The measured results have an approximately 3-dB margin compared with the standard. VIII. CONCLUSION

Fig. 10. Die microphotograph of DCR.

A dual-band RF front-end of a DCR has been successfully developed for the cellular-CDMA and GPS band. The receiver consists of the cellular and GPS LNAs, a dual-band mixer, an LO distribution block including two integrated VCOs, and baseband analog. The CDMA LNA performs a noise figure of 1.3 dB, an IIP3 of 10.9 dBm, and a gain of 15.3 dB in the high-gain mode. For the CDMA mode, the dual-band mixer achieves an IIP3 of 6.4 dBm, an uncalibrated IIP2 of 53.7 dBm, and a noise figure of 7.2 dB, although the voltage gain of the mixer is as high as 37.2 dB. The RF front-end for the GPS mode has a 67.7-dB gain, and a 2.2-dB noise figure. The measured phase noise of the integrated CDMA VCO is approximately 133 dBc/Hz at a 900-kHz offset frequency from a 1.76-GHz operating frequency. The functionality and performance of the designed IC are verified on handsets. The receiver not only yields enough performance margins on the minimum system requirements, but also meets the demands of the handset makers. ACKNOWLEDGMENT

transistors ( and ) are shared by the latches. To guarantee a large locking range for the input signal of the divider, its self-oscillation frequency is designed to be between the cellular and GPS band [23]. The frequency divider also functions as an LO driver for the mixer. It helps to reduce the current consumption for LO distribution circuitry. The short LO signal path reduces the possibility of the I/Q imbalance as well. VII. EXPERIMENTAL RESULTS The receiver uses a 0.5- m SiGe BiCMOS technology with 50-GHz for the NPN transistor. The IC is packaged in a 40-pin ELP2 with an exposed ground slug. Fig. 10 depicts the die whose area measures 3.2 mm 2.9 mm. The receiver operates from a 2.7- to 3.1-V single power supply.

The authors wish to acknowledge the layout assistance of J. Yoo, Samsung Electronics, Giheung, Korea. The authors also thank W. Kang, Samsung Electronics, and S. Lee, Samsung Electronics, for test support. REFERENCES [1] Y. Neuvo, “Cellular phones as embedded systems,” in IEEE Int. SolidState Circuits Conf. Tech. Dig., Feb. 2004, pp. 32–37. [2] R. Magoon, A. Molnar, J. Zachen, G. Hatcher, and W. Rhee, “A singlechip quad-band (850/900/1800/1900 MHz) direct conversion GSM/ GPRS RF transceiver with integrated VCO’s and fractional- synthesizer,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1710–1720, Dec. 2002. [3] J. Ryynanen, K. Kivekas, J. Jussila, L. Sumanen, A. Parssinen, and K. A. I. Halonen, “A single-chip multimode receiver for GSM900, DCS1800, PCS1900, and WCDMA,” IEEE J. Solid-State Circuits, vol. 38, no. 4, pp. 594–602, Apr. 2003.

N

2104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

[4] T. Manku, M. Kahrizi, C. Snyder, Y. Ling, J. Khajehpour, J. Wei, K. Lee, V. Yavorskyy, Y. Lai, W. Kung, S. Devison, L. Wong, S. Dosanjh, K. Trainor, M. Tran, D. Marchesan, M. Schumacher, G. Weale, and S. Holditch, “A single chip direct conversion CMOS transceiver for quad-band GSM/GPRS/EDGE and WLAN with integrated VCO’s and fractional- synthesizer,” in IEEE Radio Freq. Integr. Circuits Symp. Dig. Papers, Fort Worth, TX, Jun. 2004, pp. 423–426. [5] Y. Jung, H. Jeong, E. Song, J. Lee, S. Lee, D. Seo, I. Song, S. Jung, J. Park, D. Jeong, S. Chae, and W. Kim, “A 2.4-GHz 0.25 m CMOS dual-mode direct-conversion transceiver for Bluetooth and 802.11b,” IEEE J. Solid-State Circuits, vol. 39, no. 7, pp. 1185–1190, Jul. 2004. [6] Recommended Minimum Performance Standards for CDMA2000 Spread Spectrum Mobile Station, ANSI/TIA/EIA-98-D, Nov. 27, 2000. [7] V. Aparin, B. Butler, and P. Draxler, “Cross modulation distortion in CDMA receivers,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, pp. 1953–1956. [8] B. Ko, D. Cheon, S. Kim, J. Ko, J. Kim, and B. Park, “A nightmare for CDMA RF receiver: The cross modulation,” in 1st IEEE Asia–Pacific Conf. on ASICS, Seoul, Korea, Aug. 1999, pp. 400–402. [9] M. Hafizi, S. Feng, T. Fu, K. Schulze, R. Ruth, R. Schwab, P. Karlsen, D. Simmonds, and Q. Gu, “RF front-end of direct conversion receiver RFIC for CDMA-2000,” IEEE J. Solid-State Circuits, vol. 39, no. 10, pp. 1622–1632, Oct. 2004. [10] V. Aparin, N. Kim, G. Brown, Y. Wu, A. Cicalini, S. Kwok, and C. Percico, “A fully-integrated highly linear zero-IF CMOS cellular CDMA receiver,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2005, pp. 324–326. [11] V. Aparin and C. Persico, “Effect of out-of-band terminations on intermodulation distortion in common-emitter circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, Jun. 1999, pp. 977–980. [12] K. Fong, “High-frequency analysis of linearity improvement technique of common-emitter transconductance stage using a low-frequency-trap network,” IEEE J. Solid-State Circuits, vol. 35, no. 8, pp. 1249–1252, Aug. 2000. [13] J. Harvey and R. Harjani, “Analysis and design of an integrated quadrature mixer with improved noise, gain, and image rejection,” in IEEE Int. Circuits Syst. Symp., Sydney, N.S.W., Australia, May 2001, pp. 786–789. [14] K. L. Fong and R. G. Meyer, “Monolithic RF active mixer design,” IEEE Trans. Circuits Syst.—Part II: Analog Digit. Signal Process., vol. 46, no. 3, pp. 231–239, Mar. 1999. [15] W. Kim, S. Yang, Y. Moon, J. Yu, H. Shin, W. Choo, and B. Park, “IP2 calibrator using common mode feedback circuitry,” in Proc. 31st Eur. Solid-State Circuits Symp., Grenoble, France, Sep. 2005, pp. 231–234. [16] “Fundamentals of RF and microwave noise figure measurements,” Hewlett-Packard, Palo Alto, CA, Applicat. Note 57-1, Jul. 1983. [17] A. Hajimiri and T. Lee, “Design issues in CMOS differential LC oscillators,” IEEE J. Solid-State Circuits, vol. 34, no. 5, pp. 717–724, May 1999. [18] J. Yu, S.-G. Yang, S. Ko, W. Kim, W. Choo, and B.-H. Park, “A CMOS VCO with optimized tune branches for zero-IF CDMA cellular application in a 0.5 m BiCMOS process,” in IEEE Asian Solid-State Circuits Conf., Taipei, Taiwan, R.O.C., 2005, pp. 277–280. [19] E. Hegazi, H. Sjoland, and A. Abidi, “A filtering technique to lower oscillator phase noise,” in IEEE Int. Solid-State Circuit Conf. Tech. Dig., Feb. 2001, pp. 364–365. [20] A. Kral, F. Behbahani, and A. Abidi, “RF-CMOS oscillator with switched tuning,” in Custom Integr. Circuits Conf. Dig., Santa Clara, CA, May 1998, pp. 555–558. [21] T. Soorapanth, C. P. Yue, D. K. Shaeffer, T. H. Lee, and S. S. Wong, “Analysis and optimization of accumulation-mode varactor for RF ICs,” in VLSI Circuits Tech. Symp. Dig., Honolulu, HI, Jun. 1998, pp. 32–33. [22] J. Cho, H. Lee, K. Nah, and B. Park, “A 2-GHz wide band low phase noise voltage-controlled oscillator with on-chip LC tank,” in Custom Integr. Circuits Conf. Dig., San Jose, CA, Sep. 2003, pp. 559–562. [23] Y. Park, S. Chakraborty, C. Lee, S. Nuttinck, and J. Laskar, “Wideband CMOS VCO and frequency divider design for quadrature signal generation,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 1493–1496.

Woonyun Kim (S’94–M’01) received the B.S., M.S., and Ph.D. degrees in electrical and electronics engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 1994, 1996, and 2001, respectively. His doctoral dissertation focused on the design, modeling, and fabrication of GaAs- and InP-based HBTs, especially the linearity of HBTs. In 2001, he joined Samsung Electronics, Gyeonggi-Do, Korea, where he has been involved in the design and development of RF ICs for

N

wireless communications.

Jinhyuck Yu was born in Jeonju, Korea, in 1972. He received the B.S. and M.S. degrees in electronics engineering from Kwangwoon University, Seoul, Korea, in 1999 and 2001, respectively. In 2001, he joined Samsung Electronics, GyeonggiDo, Korea, where he is currently an Engineer involved in the design of CDMA and universal mobile telecommunications system (UMTS) application. From 2001 to 2002, he designed LNAs for CDMA and GPS applications. From 2003 to 2004, he designed LNAs, downconversion mixers, and VCOs for GPS applications. Since then, he has been involved in the design of on-chip VCOs and regulators for receivers. His current research interests include CMOS/BiCMOS RF circuits design for wireless communications systems.

Heeseon Shin was born in Seoul, Korea, in 1979. She received the B.S. and M.S. degree in electronics engineering from Korea University, Seoul, Korea, in 2001 and 2003, respectively. In 2003, she joined Samsung Electronics, Gyeonggi-Do, Korea, where she is currently an Analog/RF Circuit Design Engineer. She has participated in the design of analog ICs for RF receiver for CDMA/WCDMA wireless mobile phones. Her research interests are integrated BiCMOS/CMOS analog and RF circuits, particularly for wireless communication systems.

Sung-Gi Yang (M’01) received the B.S., M.S., and Ph.D. degrees in electronics engineering from Seoul National University, Seoul, Korea, in 1992, 1994, and 1999, respectively. His doctoral research spanned the design and fabrication of GaAs-based field-effect transistors (FETs) to the design and analysis of high-speed and ultra-broadband circuits. Upon graduation, he joined Samsung Electronics, Gyeonggi-Do, Korea, where he is a Senior Engineer involved in RF transceiver design. Since 2001, he has been a Project Leader for a series of CDMA receiver products. Dr. Yang was the recipient of the 1999 Silver Prize in the 6th Samsung Human-Tech Paper Contest.

Wooseung Choo received the B.S. degree in electrical engineering from Yonsei University, Seoul, Korea, in 1988. In 1988, he joined Semiconductor Business, Samsung Electronics, Gyeonggi-Do, Korea, where he was involved in the design and development of analog circuits and audio ICs for consumer electronics such as cassette recorders, laser disk players, and FM radio tuners. In 1997, he was transferred to the RF/Analog ICs Team, System Large Scale Integration (LSI) Division, Samsung Electronic Company Ltd., Gyeonggi-Do, Korea. He is currently a General Manager of the RF/Analog IC Team, where is responsible for the development of RF and analog ICs such as RF transceivers for CDMA and WCDMA applications, power management ICs, and class-D audio amplifiers.

KIM et al.: DUAL-BAND RF FRONT-END OF DCR FOR WCDMA CELLULAR PHONES WITH GPS CAPABILITY

Byeong-Ha Park (M’98) received the B.S. degree in electronics from Hanyang University, Seoul, Korea, in 1984, and the M.S. and Ph.D. degrees in electrical engineering from the Georgia Institute of Technology, Atlanta, in 1995 and 1997, respectively. His doctoral dissertation focused on the design of CMOS fractional- frequency synthesis techniques. From 1983 to 1992, he was with Samsung Electronics, Gyeonggi-Do, Korea, where he designed analog circuits and RF tuner ICs for video equipments. From 1992 to 1997, he was with the Georgia Institute of Technology, where he was involved with an analog working group.

N

2105

From 1996 to 1997, he was with Rockwell Semiconductor Systems (currently Skyworks Systems), where he designed PLL-based frequency synthesizers and RF ICs for wireless mobile phone such as CDMA and GSM. In 1997, he rejoined Samsung Electronics, where he is currently the head of the RF/Analog ICs Team as a Vice President. Since 1998, he has given several graduate lectures on RF/analog IC design at Korea University, Seoul, Korea, Hanyang University, Seoul, Korea, and Ajou University, Suwon, Korea. His research interests include analog circuitry of all types ranging from low-frequency analog to high-speed RF communication systems. His current research is focused on RF/analog ICs, which include RF transceivers for CDMA, GSM/EDGE, and WCDMA, power management ICs, and class-D audio amplifiers.

2106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

RF Operation of MOSFETs Under Integrated Inductors Nikolaos Nastos, Student Member, IEEE, and Yannis Papananos, Senior Member, IEEE

Abstract—This paper presents an in-depth analysis of the operation of a CMOS single-chip three-dimensional inductor over a MOSFET structure at RF frequencies. Active circuitry is placed underneath the integrated inductors in order to take advantage of the vacant space. Measurements indicate that the operation of the MOSFET and of the inductor is affected in a predictable manner. The paper theoretically investigates the interaction between the two elements, analyzes the origin of all appearing effects and compares the theory with the experimental data from a typical CMOS process. Moreover, this study proposes possible applications and design guides and confirms the attractiveness of the inductor over MOSFET placement. Index Terms—Electromagnetic (EM) interference, integrated inductors, MOSFET–inductor interference, three-dimensional (3-D) analog integrated circuits (ICs).

I. INTRODUCTION NTEGRATED inductors are a critical and challenging component for the design of several RF subsystems, such as low-noise amplifiers (LNAs) [1], voltage-controlled oscillators (VCOs) [2], matching networks, and transformers [3]. , the substantial silicon Despite their low quality factor area consumption, and the lack of a generic circuit model [4]–[6], they are widely applied in RF integrated circuit (IC) designs since they increase the level of integration, lead to more compact designs and reduce the overall noise level and power consumption. Commonly, CMOS integrated inductors are planar with their spirals placed on the same metal layer, leaving the area underneath vacant. In order to use the vacant space, it has been proposed to implement three-dimensional (3-D) inductors that consist of planar inductors laid out on different metal layers, one overlapping the other [3], [4], [7]. This topology saves valuable silicon area and achieves higher inductance values. However, the resonance frequency and the already low quality factor are further reduced. This paper presents another topology to benefit from the unused area. It examines the placement of active circuitry underneath integrated inductors, leading to a 3-D single-chip structure without demanding technology modification [8]–[10]. The benefits are obvious: the design procedure gains flexibility, while the final circuit becomes more compact and renders the usage of integrated inductors more cost effective.

I

Manuscript received September 12, 2005; revised December 2, 2005. The authors are with the Microelectronic Circuit Design Group, National Technical University of Athens, Athens, Greece (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872791

To the best of the author’s knowledge, there is no published work that examines the operation of this topology. Viewing the topic from a broader aspect, [11] demonstrates experimental data of the interaction between an n-MOS and an inductor, when both are placed apart but into the same silicon die. It concludes that a portion of the inductor signal is coupled to the transistor output (drain) due to: 1) the magnetic coupling among the spirals and the transistor gate bias line and 2) the substrate effect, especially at higher frequencies. Furthermore, [12] demonstrates that inductor operation induces noise into the substrate that can affect MOSFET output and indicates techniques to minimize its impact. This paper presents measurements and theoretically investigates the interference between integrated inductors and MOSFETs. The aim is to provide a simple and consistent analysis of all of the phenomena that appear, quantify them, and demonstrate design methodologies regarding the application of this 3-D topology in practice. The paper is structured as follows. Section II presents the fabricated devices and the parameters that determine a possible effect, while in Section III the interference mechanisms are theoretically investigated. Section IV displays experimental results of the interaction and compares the accuracy of the theoretical approach with measurements. Possible applications are examined in Section V and Section VI draws the conclusions. II. DESCRIPTION OF THE IMPLEMENTED STRUCTURES The inductor over MOSFET interference was experimentally studied using test structures fabricated on a typical 0.35- m CMOS (3M2P) technology without any additional process steps. Each structure consists of an integrated inductor over an n-MOS, as is graphically shown in Fig. 1. According to electromagnetic (EM) theory, the physical parameters that determine a possible effect are the vertical distance between the two elements and the MOSFET channel orientation toward the inductor spirals. Fig. 2 demonstrates the three possible relative positions of the channel: parallel (P), vertical (V), and at the center (C) of the inductor. Fig. 3 presents the cross sections of these three cases and clarifies their differences. Every structure consists of a four-turn 160 300 m inductor, implemented at either metal layers 2 or 3, with a spiral width of 10 m and spacing of 5 m. The n-MOS has dimensions (18 fingers) when the inductor is placed at (11 fingers) for a metalmetal layer 3 and layer–2 inductor. The difference is due to layout constraints, since in the last case the remaining metal layer 1 was used to implement the drain and source parts of the MOSFET. Therefore, a

0018-9480/$20.00 © 2006 IEEE

NASTOS AND PAPANANOS: RF OPERATION OF MOSFETs UNDER INTEGRATED INDUCTORS

2107

Fig. 1. Proposed CMOS 3-D topology of the integrated inductor over MOSFET.

Fig. 2. Relative positions of the MOSFET channel with respect to the inductor spirals (C: center; V: vertical; P: parallel; see Fig. 3).

portion of the gate bias line was implemented with long polysilicon lines and the MOS dimensions were modified in order to partially maintain its performance over frequency. Last, most structures have two 50- poly resistors attached to the transistor drain and gate, forming a simple amplifier structure with voltage gain close to one. The measurements were performed on-wafer, at room temperature, using a PM-5 Karl–Suss probe station system and Picoprobe GSG probetips. Unless otherwise noted, the MOSFETs in all cases were biased in saturation and strong inversion. III. THEORETICAL INVESTIGATION OF THE INTERFERENCE OF THE INDUCTOR ON THE MOSFET OPERATION Inductor operation generates EM phenomena that possibly affect the transistor operation or output. The probable sources of interference are: 1) the magnetic fields generated by the inductor; 2) the electric fields generated by the inductor; and 3) the coupling that arises among the spirals and the transistor supply lines. The next paragraphs investigate these effects and extract all necessary formulas. Prior to any analysis, the following variables and constants are set: is the magnetic flux density, is the electron velocity, is the electron charge, is the permittivity of silicon, and is the permeability of free space. Moreover, is the speed of light, the distance between the source and the point of observation, is the current amplitude that flows through the inductor spirals, and its radial frequency. Additionally, regarding the MOSFET operation, is the flat-band voltage, is the surface potential of a two-dimensional (2-D) MOS structure in strong inversion (historically, the most typical value is

Fig. 3. Intersection of the fabricated structures for all possible positions of the MOSFET channel with respect to the inductor: (a) at the center, (b) vertically, and (c) parallel (see Fig. 2). The graphs demonstrate the current of the drain (I ) and of the inductor (I ). Additionally, the orientation of the magnetic (B) and electric (E and E ) fields and the force of the magnetic field (F ) are shown.

, where is the Fermi potential) and is the body-effect coefficient. Last, is the channel voltage with respect to the body at the point where the electric field is evaluated. A. Effect of the Magnetic Field on MOSFET Operation 1) Constant Magnetic Field: When an inductor is biased with a dc current, then a constant magnetic field (B-Field) is generated with direction given by the rule of right hand [13]. According to the Lorentz force law, the B-Field applies a force only on the moving charges, which, in this case, are the electrons of the transistor channel. The cross sections in Fig. 3 display the orientation of both the magnetic field and the corresponding for all possible positions of the transistor channel with respect to the inductor. It is noted that no Lorentz force would appear, if the transistor channel were placed vertically toward the spirals. Once the is applied on the moving electrons, it is expected to affect the transistor operation provided that its magnitude is comparable to the forces that the transistor applies on these moving carriers. is given by (1)

2108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

The B-Field of the inductor is calculated by splitting the spirals into their straight segments and regarding them as infinitely straight circular wires. This assumption is valid, provided that the distance between the spiral and the point of observation is much smaller than the length of the straight segments. Ampere’s Law [14] defines that a constant current flow in such wire generates a B-Field of (2) Equation (2) is applied on every spiral segment and the partial values are added to extract the total B-Field. Hence, when the mA, then dc input for the implemented inductors is the total B-Field equals mT at the center and mT at the frontier of SiO and Si underneath the spirals of the metal-layer-3 inductor. Similarly, for the metal layer-2 case mT and mT, respectively. the values are The electrons’ velocity inside the channel is difficult to be theoretically extracted [15]. Instead, their maximum experimental value (90 000 m/s) will be used [16]. Thus, (1) estimates that the maximum applied on the moving charges by the above B-Fields is equal to

. The vertical field, which is extracted using (4) and (7) of the Section III-B, is found to be 42 MV/m and its subsequent force: . Obviously, the impact of the Lorentz force is trivial compared to the ones due to the transistor electric field and, subsequently, the does not affect significantly the carrier motion inside the channel nor the drain current value. Additionally, the CMOS magnetic field-effect transistor (MAGFET) theory of operation verifies this conclusion [18]. MAGFETs are specially laid-out MOSFETs with one source and two drain terminals that sense the B-Field. When the B-Field is absent, a constant portion of the current that departs from the source arrives at each drain. The presence of the magnetic flux does not disturb the amplitude of the drain current, but the slightly modifies the track of the channel electrons. Thus, the percentage of the electrons that arrives at each drain changes determining the existence and the magnitude of the B-Field. 2) High-Frequency Magnetic Field: The application of a high-frequency sinusoidal signal at the inductor terminals creates a B-Field distribution that is difficult to evaluate [19]. In this study, each spiral segment was approximated as a stand-alone straight circular wire and the B-Field was determined by the exact solution of the Maxwell equations, i.e.,

(3) Transistor operation is based on the electric fields generated by the gate (transverse component) and drain–source (horizontal component) voltages, as will be explained in Section III-B and in the Appendix. Each one applies an electric force on the channel electrons that equals (4) The calculation of the longitudinal electric field is the theme of numerous publications. It requires the usage of specialized device simulators or the solution of complicated equations under several approximations ([16], [17], and elsewhere), which exceeds the scope of this paper. In order to keep the study simple, the following equation will be applied: (5a) where stands for the voltage drop across a piece of the inversion layer of length . Neglecting all the phenomena that determine except , the longitudinal field is approximated by (5b) where is the channel length (0.35 m). Despite the rough estimation, the value obtained by (5b) is close to the ones that are theoretically extracted in relevant works, for similar transistor and technology profiles. Therefore, for biasing condition of V and V, (4) and (5b) yield that the parallel electric field force is

(6)

and are the first-order Neumann and Bessel where equations. The total B-Field was estimated by the aggregate of the contribution of each spiral segment that was calculated using (6). Indicatively, for an input of 20 mA at 1 GHz, the implemented metal-layer-3 inductor generates a B-Field of approximately mT underneath the spirals and mT at its center. Similarly, the values for the metal-layer-2 inductor are mT and mT, respectively. The above quantities are close to the simulation results acquired using a special EM computer-aided design (CAD) tool, HFSS from Ansoft [20]. Following the same procedure as in the dc case, the corresponding are minor with respect to forces of the transistor’s electric fields. Concluding, the preceding analysis indicates that the B-Field and the subsequent have minor magnitudes, thus they do not affect the MOSFET operation, but slightly deflect the moving carriers inside the channel. This is further verified by combining the Fig. 3(b) with the measurements that are demonstrated in Section IV. The dashed lines of Fig. 6 represent the inductor influence on the output of the MOSFET. If the B-Field were the main source of interference, no effect would appear when the transistor channel is placed vertically toward the spirals, since no appears, while, simultaneously, it would be large at the inductor center. Both of these remarks are coming in contradiction with the experimental data, hence another source of interference dominates.

NASTOS AND PAPANANOS: RF OPERATION OF MOSFETs UNDER INTEGRATED INDUCTORS

B. Effect of the Electric Field of the Inductor MOSFET operation is based, among other things, on electric fields. As soon as the inductor operation is also generating electric fields, it is likely that these two fields will interact, depending on their direction and magnitude. The next paragraphs examine and quantify possible ways of such interference. Each straight inductor segment can be regarded as a transmission line over the SiO –Si substrate that forms a parallel plate waveguide. Its operation is studied in [21]–[23] (and elsewhere), where it is concluded that, in most common CMOS technologies, this kind of waveguide operates in a mode similar to TEM (quasi-TEM). Assuming that the inductor segment is parallel to the -axis, a TEM mode generates , , and fields. The interference was studied in the previous subsection. The influence of the and components is explained in conjunction with the analysis of the Appendix. The Appendix quantifies the vertical electric field that is generated by the gate voltage and creates the channel. It is proven that the variation of the vertical electric field due to a fluctuation of the gate voltage is given by (A5), which is repeated here for convenience

(7) Equation (7) is significantly simplified assuming that slightly affected by small variations, thus (7) is transformed to either of the following equations:

is . So,

(8a) (8b)

Equation (8b) provides the amplitude of the gate voltage fluctuation for a given change of the vertical electric field of the transistor. Thus, knowing the vertical electric field variation, (8b) calculates the amplitude of the voltage source that should be connected at the gate in order to create the same electric field. is more accurately extracted by (7). Since the vertical electric field of the inductor has the same direction as the one of the transistor, it behaves similarly. Thus, depending on its magnitude, it might affect the charge concentrations and operation of the MOSFET. Once the inductor vertical field is known, (8a) approximates the equivalent gate voltage variation. For instance, in the examined technology, if the magnitude of the vertical electric field of the inductor is 55 kV/m, the equivalent gate voltage variation is mV. Relation (7) estimates that mV under the same conditions. Thus, the effect of the vertical field of the inductor can be represented as a sinusoidal source

2109

connected at the gate with a frequency equal to the inductor input. Thus, the induced signal at the output of the MOSFET equals (9) is the voltage gain of the MOSFET stage. Evidently, where the MOSFET output can drastically be affected by a relatively small variation of the electric field of the transistor due to inductor operation. Unfortunately, the electric fields of the inductor cannot be directly extracted applying Maxwell equations. The different permeability values of the materials and the complicated boundary conditions raise severe obstacles. In this study, the HFSS 3-D EM simulator was used to extract the electric field magnitude for a variety of inductor inputs in terms of power and frequency. However, reasonable results can also be acquired if the whole structure is regarded as one-dimensional (1-D) and we neglect the fringing effects and solve the Maxwell equations [22]. Concerning the component, the EM simulations estimate its magnitude to be slightly higher than . Due to its direction, it does not affect the charge concentrations under the gate but applies a horizontal force on them acting like the . The comparison of the magnitude with the transistor’s longitudinal one (calculated in Section III-A) leads to the conclusion that the effect of is negligible. In conclusion, the theoretical approach reveals that the vertical component of the inductor electric field affects the transistor operation and output. The magnitude of determines the quantity of the effect, it is estimated by suitable EM tools, and its interference is resembled as a voltage source attached to the MOSFET gate. The amplitude of the voltage source is extracted by (8b) and (9) and its frequency is equal to the inductor input. C. Effect of Coupling Among the Inductor and the MOSFET Supply Lines Transistor supply lines pass underneath and close to the inductor spirals, thus raising EM coupling phenomena. Considering that the induced signal on each MOSFET supply line is treated as a separate voltage (or current) source, the final transistor output due to crosstalk is evaluated by (10) , and are the equivalent voltage sources that where represent the coupling at the gate, drain, and source terminals, respectively. During layout design, special care was taken to minimize the crosstalk impact. Wherever it was possible, the supply lines were placed vertically toward inductor spirals (no inductive coupling) [24] and the overlays with the spirals were kept to a minimum (reduced capacitive coupling). The EM coupling contribution can either be evaluated by CAD tools, theoretically or by measurements. In this work, a 2.5-D EM simulator, the ADS Momentum [25], was selected. Capacitive coupling was negligible in the experiments

2110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

2

Fig. 4. Measurements of the dc characteristics of a MOSFET (410 0:35 m, 18 fingers at 27 C, 50 poly resistors are attached to the drain and gate) when the inductor is not supplied ( ) and when it is supplied with a signal of 25 dBm ( ), 15 dBm ( ), 5 dBm ( ), and 3 dBm ( ) at 1 GHz. The inductor is implemented on metal layer 3. The same conclusion is extracted when the R and R are not present.

2

0

conducted. However, denser layout structures in modern nanometer-scale CMOS processes might demand electrostatic analysis as well, but this goes beyond the scope of this paper. Regarding the other two methods, the theoretical approach applies mathematical expressions which, in most cases, are semi-empirically extracted [26]. They are useful since they provide quick estimations for simple geometrical structures, but their accuracy deteriorates as the frequency or the complexity of the substrate and layout increases. Thus, they will not be applied in this study. Finally, the most direct way to extract the crosstalk effect on the transistor output is to measure the induced signal on the drain and gate terminals when the transistor is off and the inductor operates. Once the MOSFET is without bias, it behaves like an open circuit, therefore the signal that is induced on each line is purely due to the EM coupling effect. However, the lines are not ideally open-circuited because of the low resistivity of the silicon substrate [27]. Calculations indicate that, for the implemented structures, the absolute value of the substrate impedance is close to 400 . Moreover, the load attached to the source and drain lines determines the quantity and kind of coupling effect [24], [28]. When the transistor operates, the load is almost equal to the source–drain conductance , which in these structures occurs to be close to 400 as well. Thus, in the implemented structures, measurements estimate the crosstalk contri-

 0

0

0

5

bution quite adequately. Despite this fact, the ADS Momentum simulator was preferred and the experimental results were used for verification purposes. IV. EXPERIMENTAL RESULTS OF THE INTERFERENCE A. Interference on the MOSFET Operation 1) Effect of the Inductor on MOSFET DC Characteristics: Experimentally, the impact of the inductor operation on the transistor dc characteristics was directly observed by comparing the – and – curves when the inductor was operating or not [10]. Fig. 4 demonstrates indicative – curves in linear and logarithmic scale and their derivatives. The measurement setup includes the biasing of the transistor by an Agilent 4155B semiconductor tester with values of 0.4, 0.6, , 1.2 V. The inductor, which is placed on metal layer 3, either remains open-circuited or supplied with a signal of 25, 15, 5, and 3 dBm at 1 GHz. It is noted that, in the examined case, the channel is oriented vertically toward the spirals. The measurements of Fig. 4 show that MOSFET dc characteristics remain unchanged regardless the inductor input, since all curves are identical. The transistor dc characteristics are not disturbed despite inductor operation and metal layer, the MOSFET bias, and channel position or the existence of the poly resistances and . Similar results are acquired when the in-

NASTOS AND PAPANANOS: RF OPERATION OF MOSFETs UNDER INTEGRATED INDUCTORS

Fig. 5. Power spectrum measurements at room temperature of the drain current of the MOSFET output (410 0.35 m and 18 fingers, 50- poly resistors attached to the drain and gate). The transistor is placed under the spirals of the metal-layer-3 inductor. Inductor input: 3 dBm at 1 GHz, MOSFET input: 9 dBm at 900 MHz. Apart from the MOSFET tones, a part of the inductor signal appears plus the intermodulation products of the MOFSET and inductor inputs.

2

0

ductor is supplied with constant voltage. This conclusion is consistent with the preceding theoretical analysis, since the only possible effect predicted was the addition of the inductor signal on the high-frequency output of the transistor. Finally, it is mentioned that the current slope in the – curve is small due to the 50- resistor attached to the drain. 2) Interference on the Output Power of the MOSFET: The power spectrum of the MOSFET output under the effect of the inductor operation was measured using a spectrum analyzer (HP 8560A, frequency range: 50 Hz–2.9 GHz) connected on the drain [8]. The transistor was biased in saturation and strong inversion, supplied with a high-frequency sinusoidal signal, while, simultaneously, an RF generator was attached to the inductor terminals. Fig. 5 shows an indicative output when the n-MOS is placed vertically toward the spirals, supplied with a signal of 900 MHz and biased with V and V, whereas the inductor is supplied with a signal of 3 dBm at 1 GHz. Obviously, the operation of the inductor affects the output of the MOSFET. Therefore, at the output of the transistor appear tones of equal (1 GHz) and of multiple (2 GHz) frequency with respect to that of the inductor. Moreover, apart from the MOSFET main tone (0.9 GHz) and its harmonics (at 1.8 and 2.7 GHz), intermodulation products of the two input signals can also be distinguished. It is noted that the inductor operation does not affect the power of the transistor tones. Fig. 6 demonstrates detailed measurements of the power spectrum of the transistor output versus all possible inputs and relative positions of the two elements. The inductor is integrated on metal layer 3 and 50- poly-resistors are attached to the drain and gate terminals [9]. The solid lines in each graph represent the variation of the transistor main tone (for instance, the tone at 900 MHz in Fig. 5) as a function of the -axis variable. The dashed lines demonstrate the dependence of the main tone of the inductor interference on the MOSFET output (the tone at 1 GHz in Fig. 5). Each graph includes the measurements for the three possible positions of the transistor channel with respect the inductor (i.e., center, parallel, and ver-

2111

tical). The measurements were performed keeping constant all inputs except the sweeping one which is shown on the -axis of each figure. The constant values are: for the MOSFET: 9 dBm, 900 MHz, V and V; for the inductor: 3 dBm and 1 GHz. The work in [9] presents the corresponding measurements of interference for the structures with the inductor on metal layer 2. These measurements exhibit the same behavior as those in Fig. 6. Regarding the intermodulation products that appear at the MOSFET output due to the interference of the inductor, their power level is relatively small with respect to the output tones at the frequencies of the transistor and inductor inputs. They are noticeable in Fig. 5 due to the substantial inductor input power, which was selected in order to reveal the interference clearly. Fig. 7 displays indicative measurements of two intermodulation products versus inductor power for the same device and bias conditions as those of Fig. 5. It is obvious that, for small input power, only the inductor main tone appears at the output of the MOSFET while the other products of interference are negligible. The experimental results indicate isolation in the range of 32–60 dB between the signals applied at the inductor and at the MOSFET output. Therefore, if the same signal is applied at the inputs of both the transistor and the inductor, the power spectrum at the drain of the MOS is negligibly affected. 3) Measurement of MOSFET Scattering Parameters Under the Effect of Inductor Operation: The interference of inductor operation on the MOSFET -parameters was measured by connecting the two ports of a network analyzer on the gate and drain terminals and biasing the transistor in saturation and strong inversion. Initially, a constant voltage supplied the inductor. The MOSFET RF characteristics are usually determined by the -, -, -, or -parameters. Practically, it is more convenient to measure the -parameters (scattering) and then convert them to another set of parameters. Fig. 8(a) presents the variation of the open de-embedded parameter when the inductor is supplied a constant voltage of 0.3, 0, and 0.3 V. The experimental results show that the curve remains unchanged and this also occurs for , , and . Therefore, the MOSFET high-frequency characteristics are not affected by the inductor dc voltages. On the contrary, when the inductor input is an RF signal, then random spikes distort the MOSFET -parameter curves, as shown in Fig. 8(b), thus preventing any analysis [8]. The amplitude and intensity of the spikes depend on the power and frequency of the inductor signal. Fig. 8(b) displays an extreme case; it is a snapshot from the network analyzer screen (with no averaging) when the inductor input is 9 dBm. This phenomenon appears due to the operation principle of the network analyzer which measures a known signal in terms of frequency, since it is a stimulus-response system [29]. In the examined topology, inductor operation inserts additional tones at the transistor output, which are not expected by the network analyzer, and generates the spikes. The averaging option of the network analyzer decreases the amplitude of the spikes. However, the curve in this case is distorted, thus providing misleading results. Therefore, the usage of the network analyzer is not applicable to study the interaction of the inductor over MOSFET structure.

2112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 6. Measurement results at room temperature of the power of the transistor output under the influence of a metal-layer-3 inductor for all possible channel positions. The structure is described in Section II. The solid lines represent the output power at the frequency of the MOSFET input (for instance, at 900 MHz for the case of Fig. 5) and the dashed lines the power at the inductor input frequency (the tone at 1 GHz in Fig. 5). Except from the x-axis variable, the input of the MOSFET is 9 dBm at 900 MHz and for the inductor is 3 dBm at 1 GHz.

0

0

The curves declare that the presence of metal-layer-1 structures slightly affects the and values. Regarding the signal that is induced from the MOSFET supply lines to the inductor, this is a classical EM coupling effect that mostly depends on the layout and the frequency of operation [24], [28]. Table I provides indicative power measurements for the case of a metal-layer-3 inductor. The underneath circuitry includes a MOSFET biased in saturation and strong inversion with a 20-dBm input and 50- resistors attached on its drain and gate terminals. C. Comparison of Theoretical and Experimental Results Fig. 7. Measurements of the power of the intermodulation products at the output of the MOSFET as a function of inductor input power. The structure is the same as in Fig. 5 and the inputs are the constant ones described in the caption of Fig. 6.

B. Effect on the Inductor Characteristics Metal lines pass underneath the inductor to supply the transistor. Evidently, since their surface is considerably big (in the implemented structures, it equals at least 13% of the inductor area), they couple signal on the inductor terminals. Moreover, their presence deteriorates the inductance and quality factor [30]. Fig. 9 compares and measurements for the metallayer-3 inductor with the corresponding ADS Momentum simulations when supply lines pass underneath the inductor or not.

According to Section III, the effect of the inductor operation on the MOSFET output is evaluated by the aggregate of: 1) the EM crosstalk among the spirals and the supply lines of the transistor and 2) the interference on the MOSFET electric field due to the vertical electric field of the inductor. Next, both sources of interference are theoretically calculated and their aggregate is compared with measurements in order to confirm the validity of the approach. It is noted that only the main tone of the interference is considered (e.g., the tone at 1 GHz in Fig. 5), since the remaining tones are minor. The crosstalk interference was extracted by ADS Momentum simulations. However, for verification purposes, it was also estimated experimentally as follows. The spectrum analyzer measured the induced signal on the drain and gate supply lines for a

NASTOS AND PAPANANOS: RF OPERATION OF MOSFETs UNDER INTEGRATED INDUCTORS

2

2113

Fig. 8. Measurements of the MOSFET S -parameters at room temperature (410 0.35 m and 18 fingers, V = 2 V, V = 1 V, no poly resistors) under the effect of the metal-layer-3 inductor. (a) Real and imaginary part of S when the inductor is supplied with constant voltage: ( ): 0.3 V, ( ) 0 V, and ( ) 0.3 V. (b) S and S when the input of the inductor is an RF signal of 9 dBm.

2 0

TABLE I COUPLED SIGNAL AT THE INDUCTOR TERMINALS DUE TO THE MOSFET OPERATION

Fig. 9. Measurements and ADS momentum simulations of the L and Q values when the active circuitry is placed underneath the inductor or not.

wide range of inductor inputs while the MOSFET remained unbiased. Unfortunately, the implemented structures did not provide any access on the source line, thus the induced signal on this line was approximated by the measurements of the drain lines with similar shape. Table II presents the crosstalk measurements for the circuit that consists of an inductor on metal layer 3 with input power 3 dBm. The MOSFET channel is

placed vertically with respect to the inductor and no resistors are attached to drain and gate terminals. The transistor biasing conditions are V, V and the input is 9 dBm at 900 MHz. Columns 3–5 provide the crosstalk measurements for each supply line and column six presents the total coupling effect as calculated by (10). The value of the electric field of the inductor was estimated by HFSS simulations. In conjunction with (8b) and (9), its effect on the transistor output is extracted. Column 7 displays the power of the interference due to the electric field of the inductor. The aggregate of columns 6 and 7 provides the overall inductor interference, which is displayed in column 8. Finally, the last column demonstrates the corresponding measurements, showing good agreement between experimental and theoretically predicted values. Fig. 10 compares the contribution of each interference source with measurements as a function of inductor input frequency. The circuit and biasing conditions are the same as those of Table II. Obviously, both crosstalk and electric field effect are considerable factors, but in different frequency ranges. The electric field effect dominates at lower frequencies where the MOSFET gain is high, whereas, as frequency increases, the

2114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE II MEASUREMENT RESULTS AND THEORETICAL EXTRACTION OF METAL LAYER 3 INDUCTOR INTERFERENCE ON THE OUTPUT OF THE MOSFET (NO POLY-RESISTORS AT MOSFET DRAIN AND GATE)

Fig. 11. Layout detail of the implemented structures where the MOS gate is placed: (a) under the inductor and (b) at its center. The poly resistors are not shown. Fig. 10. EM simulation results versus inductor frequency of: 1) the crosstalk interference ( ) and 2) the inductor vertical electric field effect ( ) on the output of the underlying transistor. The aggregate of these two values provides the theoretical evaluation of the effect which is demonstrated by the ( ) symbols. The solid line presents the measurements for comparative reasons. The examined structure consists of n-MOS (410 0.35 m, 18 fingers V = 2 V, V = 1 V, no poly resistors) and a metal-layer-3 inductor.

2

2

voltage gain is compressed. On the contrary, the contribution of crosstalk becomes more apparent as the frequency increases due to its nature [24], [28]. The only exception from the above methodology appears when the MOSFET is placed at the center of the inductor. Since there are no spirals above the transistor, no vertical electric field component exists and the interference is merely due to crosstalk. The layouts of Fig. 11 verify qualitatively the effect of the vertical electric field of the inductor. The figure displays parts of the implemented structures, where the transistor is placed underneath the spirals [see Fig. 11(a)] and at its center [see Fig. 11(b)]. The accompanying transistor supply lines can be distinguished. The layouts are almost identical; due to the MOSFET horizontal displacement, there is a slight change of supply line lengths (e.g., increase of source line length and equal decrease of the drain one). If coupling were the only effect, the measurements of both structures should match. On the contrary, they differ quite a lot (see Figs. 6 and 12(a)–(c) and [9]). The interference on the transistor output is considerably higher when the transistor is placed underneath the spirals, thus indicating the appearance of additional phenomena (vertical electric field). Fig. 12(a)–(c) compares the theoretical interference values with measurements versus inductor frequency. The measure-

ment setup and the structures are the same as in Fig. 6. The dots symbolize the theoretically obtained values and the solid lines the measurements of the inductor interference on the MOSFET output. It is mentioned that the EM crosstalk contribution was extracted by EM simulations, and when the MOSFET is placed at the center of the inductor, only the crosstalk effect was taken into account. Evidently, the presented method achieves good accuracy. Table III demonstrates similar results when the inductor is integrated on metal layer 2 and the transistor is placed underneath the spirals. The structures are described in Section II. The MOSFET was biased at V and V and its input was 3 dBm at 900 MHz, while the input power at the inductor was 3 dBm. The second column provides the estimation of the crosstalk contribution, the third column provides the effect of the vertical electric field, and the fourth and fifth columns display the total theoretical evaluation of the interference and the corresponding measurements, respectively. The values of crosstalk in Tables II and III qualitatively confirm that the smaller is the vertical distance, the more signal is coupled on the transistor supply lines due to crosstalk. V. COMMENTS ON THE RESULTS—POSSIBLE APPLICATIONS The previous theoretical approach and experimental results lead to the following conclusions regarding the operation of the examined integrated 3-D topology. • The placement of integrated inductors above MOSFETs induces signal from the inductors to the output of the transistors and vice versa.

NASTOS AND PAPANANOS: RF OPERATION OF MOSFETs UNDER INTEGRATED INDUCTORS

2115

TABLE III MEASUREMENT RESULTS AND THEORETICAL EXTRACTION OF METAL OF THE METAL-LAYER-2 INDUCTOR INTERFERENCE ON THE MOSFET OUTPUT

Fig. 12. Measurements and theoretically extracted values of the power of the inductor interference on the transistor output versus inductor frequency. The structures are those of Fig. 6 under the same biasing conditions. The transistor channel is placed with respect to the inductor spirals: (a) vertically, (b) in parallel, and (c) at the center.

• One source of interference is the vertical electric field of the inductor that affects the transistor electric fields and charge concentrations when the MOSFET is placed underneath the inductor. The magnitude of the electric field of the inductor is calculated using suitable EM CAD tool and the effect on the output of the transistor is calculated by (7)–(9). • The effect of the vertical electric field of the inductor is eliminated when the transistor is placed at the center of the inductor. • The EM coupling (among the spirals and the supply lines of the MOSFET) is responsible for the remaining inter-

ference. Its contribution reduces as the vertical distance among inductor and transistor interconnect lines increases. Moreover, careful layout techniques are required for its further reduction. • The drain current and its derivatives are not affected by the presence or operation of the inductor, as shown in Fig. 4. However, it should be mentioned that the metal coverage over transistors might have an impact on some electrical characteristics of the MOSFET, such as mobility and reliability, or it may degrade matching. Nevertheless, the results of the performed dc characterization are encouraging and demonstrate that the inductor does not have catastrophic effects on the operation of the transistor. • Part of the signal of the transistor is induced on the inductor spirals. Its value is extracted experimentally or via simulations. • The inductor and values are deteriorated by the presence of the active circuitry underneath. Their change depends on the density of the underlying circuits and on the integration technology and can be evaluated using commercial EM simulation tools that take into account the individualities of each layout configuration. No generic formula can be provided in this case. • The application of this 3-D topology prohibits the usage of the ground shields, since the underlying metals will be used for the implementation of the active parts of the circuit. It should be noted that the implementation of the 3-D topology should be avoided if high- inductors are needed in the design. However, there are many applications that do not demand either accuracy in the inductance value or in the quality factor such as, for example, the inductive load in broadband LNAs or the degeneration inductors in LNAs and mixers. Based on the above description, this study illustrates useful points concerning the application of the examined topology into practice. • The first case includes the placement of the integrated inductor(s) of the system’s RF part over the active non-RF section of the system. As soon as both elements operate at totally different frequencies, the interference will exist but will not affect their output, since it will be rejected by the

2116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

system itself. However, one should take into account the and change due to the presence of the circuits underneath the inductor. • Another case is the application of this topology in RF circuits that utilize inductors, like the VCOs. In such cases, it is more preferable to place the transistors at the center of the inductor where the inductor interference is limited to crosstalk. The crosstalk contribution depends on the fabrication technology and the layout. In the implemented structures, there is approximately 40-dB isolation, therefore, a maximum 1% distortion is expected due to the inductor operation. However, once again, the designer should account for the and variations through appropriate simulations. • Finally, the most obvious (and risky) topology is to implement the inductors over the active circuitry without considering their frequency of operation. Evidently, an essential criterion in this case would be that the interference signal is much smaller than the minimum signal of the transistors. As a general comment, the inductor should be implemented on the top metal layer in order to minimize crosstalk. The designers should always consider for the effects on the inductor characteristics which can be estimated by commercial EM simulation tools. Moreover, the sensitive parts of the underlying circuit should be placed at the center of the inductor in order to reduce the effect on them. The total interference can be estimated as mentioned in Section IV-C. VI. CONCLUSION This paper examines the placement of integrated inductors over CMOS active circuitry so as to make their usage more flexible, compact, and economic. It was experimentally shown and theoretically confirmed that the dc operation of the MOSFET remains unaffected by the inductor operation. However, during inductor operation, a weak coupling from the inductor to the MOSFET occurs. Thus, a small portion of the signal in the inductor appears at the transistor output (attenuated in the range of 32–60 dB for the examined structures). The theoretical approach indicates that the interference is a combination of the inductor vertical field effect in the MOSFET channel, on the one hand, and the coupling among spirals and transistor supply lines, or crosstalk, on the other hand. Moreover, the interference magnitude depends on the inductor metal layer and the transistor’s relative position with respect to inductor. Finally, a short reference is made regarding possible applications of this 3-D CMOS topology and simple design guides are provided. In conclusion, such structures can be applied in practice if the appropriate precautions are taken, specifically: 1) the inductor should use the top metal layer to reduce crosstalk and minimize the variation of its and ; 2) the crosstalk among the spirals and MOSFET needs to be carefully considered since it affects significantly the output of both the transistor and the inductor and it is calculated using appropriate CAD tools or equations; 3) the active circuitry, or at least its more sensitive parts, should be placed at the center of the inductor in order to eliminate the effect of the inductor vertical electric field on the

transistor operation; and 4) the change of the inductor characteristics might be crucial for the performance of the system and should always be considered. APPENDIX The following paragraphs briefly introduce the MOSFET electrodynamics. The application of a voltage difference on the transistor gate concentrates positive charge in the polysilicon and creates a vertical electric field . As a result, negative charge carriers from the substrate and the drain and source regions are attracted and gathered below the gate at the frontier of Si-SiO forming the channel. The average vertical electric field value for n-MOS transistors is given by [14], [31]

(A1) where (A2) and (A3) and are the charge densities per unit area of the inversion and depletion regions, respectively. is the gate– substrate extrapolated threshold voltage [14] and is given by the formula

(A4) The application of a sinusoidal signal with amplitude at the gate varies its voltage, the charge densities, and the corresponding vertical electric field. The vertical electric field fluctuation of the transistor is calculated by substituting (A2)–(A4) in (A1) to yield

(A5) REFERENCES [1] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: PrenticeHall, 1998, ch. 6. [2] B. De Muer, M. Borremans, M. Steyaert, and G. Li Puma, “A 2-GHz low-phase-noise integrated LC-VCO set with flicker-noise upconversion minimization,” IEEE J. Solid-State Circuits, vol. 35, no. 7, pp. 1034–1038, Jul. 2000. [3] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [4] Y. K. Koutsoyannopoulos and Y. Papananos, “Systematic analysis and modeling of integrated inductors and transformers in RF IC design,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 47, no. 8, pp. 699–713, Aug. 2000.

NASTOS AND PAPANANOS: RF OPERATION OF MOSFETs UNDER INTEGRATED INDUCTORS

[5] J. R. Long and M. A. Copeland, “The modeling, characterization and design of monolithic inductors for silicon IC’s,” IEEE J. Solid-State Circuits, vol. 32, no. 3, pp. 357–369, Mar. 1997. [6] C. P. Yue and S. S. Wong, “Physical modeling of spiral inductors on silicon,” IEEE Trans. Electron Devices, vol. 47, no. 3, pp. 560–568, Mar. 2000. [7] P. Park, C. S. Kim, M. Y. Park, S. D. Kim, and H. K. Yu, “Variable inductance multilayer inductor with MOSFET switch control,” IEEE Electron Device Lett., vol. 25, no. 3, pp. 144–146, Mar. 2004. [8] N. Nastos and Y. Papananos, “High frequency operation of a MOSFET under an integrated inductor’s magnetic field,” in Proc. 9th Int. Conf. Electron., Circuits Syst., 2002, vol. 2, pp. 615–618. [9] ——, “Integrated inductors over MOSFETs—experimental results of a three dimensional integrated structure,” in Proc. IEEE ISCAS, 2003, vol. 1, pp. 57–60. [10] ——, “Inductor over MOSFET, operation and theoretical study of a CMOS RF three-dimensional structure,” in Proc. 24th MIEL Conf., vol. 2, pp. 525–529. [11] A. O. Adam, M. Fukumi, K. Higashi, T. Suyama, M. Miyamoto, and M. Hayashi, “Electromagnetic coupling effects in RFCMOS circuits,” in Proc. IEEE RFIC Symp., 2002, pp. 293–296. [12] A. L. L. Pun, T. Yeung, J. Lau, J. R. Clement, and D. K. Su, “Substrate noise coupling through planar spiral inductor,” IEEE J. Solid-State Circuits, ser. 6, vol. 33, pp. 877–884, Jun. 1998. [13] J. D. Kraus and D. A. Fleisch, Electromagnetics, 5th ed. New York: Mc Graw-Hill, 1999, ch. 2. [14] Y. Tsividis, Operation and Modeling of the MOS Transistor, 2nd ed. New York: McGraw-Hill, 1999, ch. 1, 4, 6. [15] R. Coen and R. S. Muller, “Velocity of surface carriers in inversion layers of silicon,” Solid State Electron., vol. 23, pp. 35–40, 1980. [16] J. B. Roldan, F. Gamiz, and J. A. Lopez-Villanueva, “A closed-loop evaluation and validation of a method for determining the dependance of the electron mobility on the longitudinal-electric field in MOSFET’s,” IEEE Trans. Electron Devices, vol. 44, no. 9, pp. 1447–1453, Sep. 1997. [17] V. M. Agostinelli, H. Shin, and A. F. Tasch, “A comprehensive model for inversion layer hole mobility for simulation of submicrometer MOSFET’s,” IEEE Trans. Electron Devices, vol. 38, no. 1, pp. 151–159, Jan. 1991. [18] J. W. A. von Kluge and W. A. Langheinrich, “An analytical model of MAGFET sensitivity including secondary effects using a continuous description of the geometric correction factor G,” IEEE Trans. Electron Devices, vol. 46, no. 1, pp. 89–95, Jan. 1999. [19] W. B. Kuhn and N. I. Ibrahim, “Analysis of current crowding affects in multiturn spiral inductors,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 31–38, Jan. 2001. [20] ANSOFT HFSS v9.0, Product Information. [Online]. Available: http:// www.ansoft.com/products/hf/hfss/ [21] H. Hasegawa, M. Furukawa, and H. Yanai, “Properties of microstrip line on Si-SiO2 system,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 11, pp. 869–881, Nov. 1971. [22] D. F. Williams, “Metal–insulator–semiconductor transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 2, pp. 176–181, Feb. 1999. [23] H. A. Wheeler, “Transmission-line properties of a strip line between parallel planes,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 11, pp. 866–876, Nov. 1978.

2117

[24] C. R. Paul, Introduction to Electomagnetic Compatibility. New York: Wiley-Interscience, Feb. 1992, ch. 6. [25] Agilent ADS 2004A Momentum, Complete Product Information [Online]. Available: http://eesof.tm.agilent.com/products/mom entum_main.html [26] F. W. Grover, Inductance Calculations. New York: Van Nostrand, 1946. [27] A. Weisshaar, H. Lan, and A. Luoh, “Accurate closed-formed expressions for the frequency dependent line parameters of on-chip interconnects on lossy silicon substrate,” IEEE Trans. Adv. Packag., vol. 25, no. 2, pp. 288–296, May 2002. [28] F. M. Tescie, M. V. Ianoz, and T. Karlsson, EMC Analysis Methods and Computational Models. New York: Wiley-Interscience, 1997, ch. 3. [29] Back to Basics. Palo Alto, CA: Agilent Technologies, 2000. [30] S.-M. Yim, T. Chen, and K. O. Kenneth, “The effects of a ground shield on the characteristics and performance of spiral inductors,” IEEE J. Solid-State Circuits, vol. 37, no. 2, pp. 237–244, Feb. 2002. [31] A. G. Sabnis and J. T. Clemens, “Characterization of electron mobility in the inverted h100i silicon substrate,” in IEDM Tech. Dig., 1979, p. 18.

Nikolaos Nastos (S’00) was born in Athens, Greece, on November 13, 1976. He received the Dipl. Eng. degree in electrical engineering and Ph.D. Eng. degree from the National Technical University of Athens, Athens, Greece, in 1999 and 2006, respectively. Since 1999, he has been with the Microelectronic Circuit Design Group, National Technical University of Athens. His research interests include high-frequency on-wafer measurements, modeling of CMOS active and passive devices, MOSFET model benchmarking and interconnection line characterization for multi-IC packages. Dr. Nastos is a Professional Engineer and a member of the Technical Chamber of Greece.

Yannis Papananos (S’83–M’96–SM’98) was born in Athens, Greece, on December 30, 1959. He received the Dipl. Eng. degree in electrical engineering from the National Technical University of Athens (NTUA), Athens, Greece, in 1983, the M.S. degree from Columbia University, New York, in 1984 and, the Dr.Eng. degree from NTUA in 1988. In 1992, he joined the Department of Electrical and Computer Engineering, NTUA, where he is now a Professor with the Microelectronics Circuit Design Group. He is the author of three books and numerous technical papers. His research interests are in the areas of analog and mixedsignal and RF integrated circuit design and computer-aided design for microelectronic design.

2118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Dynamically Optimum Lookup-Table Spacing for Power Amplifier Predistortion Linearization Chih-Hung Lin, Hsin-Hung Chen, Yung-Yi Wang, and Jiunn-Tsair Chen

Abstract—The lookup-table-based digital adaptive predistortion (DAPD-LUT) approaches are low cost and effective for power amplifier (PA) linearization in wireless applications. However, most existing DAPD-LUT schemes are sub-optimum because they adopt uniformly spaced LUTs regardless of the system state information (SSI), i.e., the PA characteristics and the input signal statistics. Other existing DAPD-LUT schemes assume either full or partial knowledge of the SSI to optimize and then to freeze the LUT spacing. Without prior knowledge of the SSI, we propose an SSI-learning low-complexity procedure to optimize the LUT spacing for a DAPD-LUT scheme. The proposed procedure is capable of online adapting the LUT spacing for PAs with various nonlinear characteristics, for input signals with various statistics, and for wireless environments with various timevarying properties. Index Terms—Dynamic optimization, lookup table (LUT), power amplifier (PA), predistortion linearization.

I. INTRODUCTION OWER efficiency of a power amplifier (PA) is a crucial issue in a wireless communication system. On the other hand, a standalone power-efficient PA is usually quite nonlinear. When a nonconstant-envelope modulated signal goes through a nonlinear PA, intermodulation distortion (IMD) emerges, which not only distorts the modulated signal, but also causes the power spectrum of the modulated signal to overflow to the adjacent channels. As a result, both self-interference and mutual interference among neighboring channels seriously degrade the communication quality. To maintain power efficiency and to suppress IMD, it is a common practice to adopt a linearization scheme to work with a high-power efficient-but-nonlinear PA. Based on the operation mode of a PA, existing PA linearization schemes can be roughly divided into two groups. One group of linearization schemes are for PAs to operate at highly nonlinear modes, like class-D or class-E PAs, which enjoy close to 100% of power efficiency. The other group are for PAs to operate at moderately nonlinear modes, like class-AB or class-B PAs, which are less power efficient, but suffer less signal nonlinear distortions. For the former, some linearization techniques such as LINC [1], CALLUM [2], and EE&R [3] are reported in the literature as excellent choices. However, nonlinear signal

P

Manuscript received September 4, 2005; revised January 3, 2006. C.-H. Lin, H.-H. Chen, and J.-T. Chen are with the Mobile Communication Laboratory, Institute of Communications Engineering, National Tsing Hua University, Taiwan 30013, R.O.C. (e-mail: [email protected]). Y.-Y. Wang is with the Department of Computer Science and Communication Engineering, St. John’s University, Taiwan 25135, R.O.C. Digital Object Identifier 10.1109/TMTT.2006.872808

distortion is a major concern in this paper so we focus mainly on the latter, some outstanding linearization schemes of which include feed-forward schemes [4], [5], feedback scheme [6], passivity scheme [7], and predistortion scheme [8], each with either analog approaches or digital approaches. Generally speaking, the feed-forward schemes are costly, the feedback schemes are restricted to only narrowband applications, the passivity scheme suffers high computational complexity, and all the analog approaches are inflexible. Therefore, in terms of cost effectiveness, the digital predistortion schemes outperform the others. The predistortion scheme predistorts the modulated input signal to invert the nonlinear distortion introduced by the PA. In particular, a digital adaptive predistortion (DAPD) scheme employing a gain-based lookup table (LUT) is very attractive for its flexibility in algorithm adaptation and its high accuracy in nonlinear compensation. Note that: 1) adaptive predistortion is required in a DAPD-LUT scheme to update the LUT entry values because the characteristics of the PA may vary with temperature and may be affected by aging and 2) the linearization accuracy of a DAPD-LUT scheme in terms of the IMD will improve by 6 dB if one doubles the number of LUT entries. However, the more LUT entries one adopts, the lower LUT convergence speed he suffers. The performance tradeoffs of various DAPD schemes are well summarized in [9]. In [10]–[13], several gain-based LUT techniques are either analyzed or implemented. These techniques assume the LUT to be uniformly spaced. In this paper, we refer to the DAPD-LUT schemes with uniform LUT spacings as the conventional schemes. However, nonuniform LUT spacings may be highly desired due to the following reason. In the uplink of a wireless network, transmit power control for mobile users is usually required because of the near–far problem [14]. Mobile users are seldom allowed to transmit signals with full power so as to mitigate mutual interference among co-channel mobile users. In the downlink of a wireless network, base stations (BSs) usually adopt some sort of mobile admission control so as to constrain the overall transmit power of the BS for the purposes of maintaining the service quality for its mobile clients and preventing mutual interference among BSs. In these network scenarios, most transmit signals do not occupy the entire PA input amplitude range. By adaptively reusing and rearranging those wasted LUT entries associated with the PA input amplitudes outside the actual input amplitude range, we can significantly improve the IMD performance of a DAPD scheme. Therefore, nonuniform LUT spacings in a DAPD-LUT scheme is intuitively helpful. A realization of the DAPD-LUT scheme with a nonuniform LUT spacing is reported in [15].

0018-9480/$20.00 © 2006 IEEE

LIN et al.: DYNAMICALLY OPTIMUM LUT SPACING FOR PA PREDISTORTION LINEARIZATION

In [16], Cavers presents a gain-based DAPD-LUT scheme with an optimum nonuniform LUT spacing and claims that the performance of the DAPD-LUT scheme is not much better than the conventional schemes. Cavers’s scheme assumes knowledge of the following conditions, which are: 1) input signal backoff (IBO); 2) PA characteristics; and 3) probability density function (pdf) of the modulated input signal. Therefore, in this paper, we refer to Cavers’s scheme as the conditionally optimum scheme. When any of the assumed knowledge varies with time, the optimum LUT spacing needs to be recalculated. Unfortunately, the computational complexity of recalculating the LUT spacing in the conditionally optimum scheme is extremely high. In contrast to Cavers’s claim in [16] about the performance of the conditionally optimum scheme being not much better than the conventional scheme, this paper develops a gain-based DAPD-LUT scheme with an unconditionally optimized LUT spacing, called the dynamically optimum scheme, which performs significantly better than the conventional schemes. The dynamically optimum scheme is blind, assumes no prior knowledge of the PA characteristics, and online adapts its LUT spacing to the time-varying factors introduced by the PA and the communication system it is embedded in. Another gain-based DAPD-LUT scheme with a nonuniform LUT spacing is introduced in [17], where the whole PA input amplitude range is first artificially divided into several segments according to the nonlinearity of the PA characteristic curve. The range division is carried out in a way to separate the segments with highly nonlinear PA characteristics from those with linear PA characteristics. Each of those nonlinear segments will be assigned more LUT entries than each of those linear segments to combat the PA nonlinear distortion. Although it is still uniform spacing within each segment, this approach as a whole enjoys the advantage of nonuniform LUT spacing. We refer to this sub-optimum scheme as the piecewise-uniform spacing scheme. The piecewise-uniform spacing scheme also requires prior knowledge of the PA characteristics so as to divide the PA input amplitude range into segments of different linearities. The piecewise-uniform spacing scheme focuses on the subject of PA characteristics and ignores how input signal statistics may influence the IMD performance of a PA linearization scheme. Intuitively, we should decrease the LUT spacing for the amplitude ranges with higher signal probability densities so that the overall average IMD power can be minimized. To take signal statistics into account, one can either: 1) assume knowledge of the input signal pdf as done in the conditionally optimum scheme or 2) make use of the input signal histogram, as will be shown in the proposed dynamically optimum scheme. Some existing histogram-related approaches for PA predistortion linearization can be found in [18] and [19]. In [18] and [19], the histogram of the input signal cumulative density function (cdf) is used to improve the robustness of the DAPD-LUT schemes, not to optimize the LUT spacing. In the dynamically optimum scheme, to indirectly learn the PA characteristics, we calculate the average IMD power introduced by each LUT entry based on the pdf histogram of the modulated input signal and the converged LUT entry values. With the local average IMD power

2119

Fig. 1. Block diagram of the system model.

introduced by each LUT entry available, we develop a procedure to online calculate an unconditionally optimized LUT spacing, which minimizes the overall average IMD power. One important feature in the dynamically optimum scheme is its ability to adaptively adjust itself to: 1) all kinds of PA characteristics; 2) all kinds of signal modulation schemes; and 3) all kinds of time-varying factors in the communication environments. In other words, realization of a general-purpose PA linearizer is possible and no artificial offline adjustment of the LUT spacing is required. The organization of this paper is as follows. Section II describes the system model. Section III develops the dynamically optimum scheme, the optimality issue of which will also be discussed. Some simulation experiments that confirm the feasibility and the robustness of the dynamically optimum scheme are presented in Section IV. II. SYSTEM MODEL Here, we describe the math model of a gain-based DAPDLUT scheme for PA linearization. Shown in Fig. 1 is a transmitter with a digitally predistorted PA. The complex baseband modulated input signal carrying the payload data is fed to the cascade of a predistorter (PD) and an RF link. The former distorts the modulated input signal to produce the predistorted signal , while the latter takes over the predistorted signal, digital-to-analog (D/A) transforms it, frequency up-converts it, and then power amplifies it to generate the transmit signal . Both PD and PA in this paper are modeled as memoryless nonlinear devices.1 In the PD, signal predistortion is provided by the complex gains saved in a LUT. The LUT entries are indexed and accessed through the quantized amplitude of the input signal . Let us define the PD complex gain as , where maps the input amplitude to the positive-integer-valued LUT entry index and is a discrete complex function that reports the th LUT entry value. For a DAPD-LUT scheme with an -entry uniformly spaced LUT, simply divides the input amplitude range into equal-sized bins and assigns each bin a LUT entry index number. For DAPD-LUT schemes with other LUT spacings, is characterized by the 1The proposed dynamically optimum scheme can be easily extended to work for a PA with memory. However, the PA memory effect introduces only linear signal distortions, which are independent of the nonlinear signal distortions compensated by the proposed DAPD-LUT scheme; therefore, the PA memory effect is ignored in this paper so as not to distract readers from the main theme.

2120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 2. AM/AM and AM/PM characteristics of two class-AB PAs. Fig. 3. IMD performance comparison among several DAPD-LUT schemes with various LUT spacings in system scenarios with varying IBOs.

bin boundaries , which are the design parameters to be optimized in this paper. Therefore, the PD input–output function can be expressed as

(1) where is the amplitude of the modulated input signal. The LUT is indexed by the input amplitude via an index mapper to implement a nonuniform LUT spacing. The complex gains in the LUT are iteratively updated with an adaptive algorithm [20] directed by the difference of the delayed reference signal and the baseband-equivalent feedback signal . In the conventional schemes, the index mapper is redundant. However, if we employ a nonuniform LUT spacing, the index mapper itself needs to be optimized as well [16], [21]. In this paper, we move one step further to adaptively update the index mapper through an iterative procedure, as will be made clear in Section III. In the RF link, the nonlinear baseband-equivalent input– output function of the PA is characterized by

(2) where is the amplitude of the predistorted signal, and and , respectively, denote the amplitudemodulated amplitude-distortion (AM/AM) and the amplitudemodulated phase-distortion (AM/PM) responses of the PA. Without loss of generality, in this paper, we normalize the amplitude range of both and to . Shown in Fig. 2 are the characteristics of two example baseband-equivalent classAB amplifiers. Substituting (2) into (1), we obtain

(3)

, with being a complex linear gain, holds where only in an ideal scenario. For convenience, we normalize to one. If we assume the optimum PD complex gain to be , then

(4) Before we start to develop the dynamically optimum scheme, let us first look into the IMD performance of the conditionally optimum scheme in [16], which is optimum only under a specific set of conditions. If relaxing some of the conditions in the conditionally optimum scheme can bring about significant IMD performance improvement, there will be a strong motivation to develop an unconditionally optimized scheme like the dynamically optimum scheme. As stated above, the knowledge of the following conditions is required in the conditionally optimum scheme: 1) IBO defined as the ratio of the average input power and the maximum allowed input power; 2) PA characteristics; and 3) pdf of the modulated input signal. As a test, we relax the first condition and test the performance improvement of a DAPD-LUT scheme with a LUT of entries. We assume perfect knowledge of the other two conditions, which are: 1) PA #1 in Fig. 2 and 2) standard 128-tone multicarrier orthogonal frequency division multiplexing (OFDM) signal with each carrier being 16-quadrature amplitude modulation (QAM) modulated. We define the total IMD power at the PA output as . Shown in Fig. 3 is the normalized IMD power with respect to various LUT spacings. Note that the IMD performance of the DAPD scheme with the LUT spacing conditionally optimized for dB ( curve) is not much better than that with a uniform LUT spacing ( curve). This is why Cavers, in [16], claims that the performance of the DAPD-LUT scheme with a nonuniform spacing is not worth investigating. However, the IMD performance of the DAPD scheme with an unconditionally optimum

LIN et al.: DYNAMICALLY OPTIMUM LUT SPACING FOR PA PREDISTORTION LINEARIZATION

2121

LUT spacing is much better than those with the LUT spacings conditionally optimized for IBOs of 3, 10, and 20 dB. Consider an urban wireless cellular network where: 1) the distance from a BS to one of its faraway mobiles is at least three times the distance to one of its nearby mobiles and 2) the power attenuation exponent with respect to the propagation distance is at least 4, then the power control mechanism can easily span a transmit power range of 20 dB in order to deal with the near–far problem. If we optimize the LUT spacing for a specific IBO, the resultant IMD performance will be very sub-optimum. Since the conditionally optimum scheme is optimum only under a specific set of conditions, any condition mismatch could cause significant performance degradation. However, some of the conditions are difficult to accurately obtain, e.g., the PA characteristics, and some of the conditions can be fast time varying, e.g., the IBO. In addition, the computational complexity of the conditionally optimum scheme thwarts any attempt to online optimize the LUT spacing for a different set of conditions. Therefore, an unconditionally optimized scheme is practically useful. Fig. 4. Mixture of the PD characteristics and the PA characteristics.

III. PROPOSED SCHEME A size- LUT in a DAPD-LUT scheme divides the amplitude range of the modulated input signal into bins, each predistorted by an LUT entry. The proposed scheme intends to balance the IMD power at the PA output corresponding to each bin so that the overall IMD power can be minimized. To do so, we derive the IMD power associated with each LUT entry in Section III-A; we then make use of the derived IMD power to develop a dynamic optimization procedure in Section III-B.

However, the number of the LUT entries is finite ( ) so the input–output function of the PD is only piecewise continuous. We define the PD input–output function of the th bin as , where is an input signal amplitude near the amplitude midpoint of the th bin. With as the input amplitude, according to Fig. 4, we can express the PA output amplitude as

A. IMD Power Derivation In order to make the LUT spacing of the proposed scheme unconditionally optimized, we wish to express the IMD power associated with each LUT entry in items of variables other than: 1) the IBO; 2) the PA characteristics; and 3) the pdf of the modulated input signal. Let us first assume that: 1) the modulated input signal is real and 2) the PA has only AM/AM nonlinear distortion, i.e., , to proceed with our derivation. After that, we will extend the derivation to a general scenario. As shown in Fig. 4, if we have an infinite number of entries in the LUT, which are basically free of LUT approximation errors, we can express the input–output function of the PD with a continuous function as (5) Similarly, we define the input–output function

(8) is the gain of the th LUT entry, is where the corresponding PA gain, and the correct PA output amplitude is . Note that the approximation error of the th LUT entry is zero at , whose corresponding PA output amplitude can be written as

(9) Therefore, the PA output amplitude error associated with the th LUT entry is

of the PA as (6)

Inserting (5) into (6), we have

(10) where (7)

which is equal to

since

is assumed perfect.

(11)

2122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

is the LUT approximation error of the PD output amplitude, is the PD gain error of the th LUT entry value, is defined in (4), is the derivative of with respect to , and is the slope of the tangent to the curve at point in Fig. 4. Note that we have

and with respect to . In (16), the first term is caused by the LUT approximation error in the phase, and the second term results from the LUT approximation error in the amplitude. Since the amplitude error in (14) and the phase error in (17) are orthogonal in the polar coordinate, the IMD power associated with the th LUT entry (15) can thus be extended to

(12)

(18)

where

The concerned LUT spacing problem becomes an optimization problem to minimize the total output IMD power at the PA output as

(19) (13) is the derivative of with respect to is the complex conjugate operation, and denotes the real part of the enclosed argument. Substituting (11) and (13) into (10), we can express the amplitude error associated with the th LUT entry at the PA output as

where

(14) is given in (13). For a small bin, it is reasonwhere able to expect that is uniformly distributed over the entire bin width. The IMD power associated with the th LUT entry governing a bin of width can thus be expressed as

(15) To generalize the derivation above, we now consider the AM/PM effect of the PA having a complex modulated input signal . With a similar derivation, we can express the phase error associated with the th LUT entry at the PA output as

(20) is given in (13), and is the probability mass where function (pmf) of in the th bin. Here, we will propose a procedure for unconditionally optimizing the LUT spacing. That is, based on , we will adjust to minimize in (19) without any information of the system conditions. Let us check in (20) and (13) to see if the procedure can possibly be made unconditional. After the convergence of the LUT, we have and from the LUT entry values, and we may approximate as

(21)

(16) (17) and , respectively, dewhere note the amplitude and phase of , and and , respectively, denote the derivative of

Similar approximation can be made for and . Therefore, are the only required system conditions. However, we further replace the pmf in (20) by their corresponding histogram collected on the fly, the optimization problem (19) becomes truly unconditional. B. Dynamic Optimization The existence of an optimum solution to the optimization problem (19) is guaranteed under some practical scenarios. Proposition 1: There always exists at least one set of that minimize the overall IMD power in (19) if in

LIN et al.: DYNAMICALLY OPTIMUM LUT SPACING FOR PA PREDISTORTION LINEARIZATION

2123

(6) is a monotonically increasing function and in (12) is bounded away from zero. Proof: See Appendix A. Since the LUT entry values and the histogram in of (20) are recursive quantities, i.e., both and will vary as long as we adjust , it is very difficult to analytically derive the optimum solution or even to prove the uniqueness of the optimum solution. However, a set of stationary spacing usually exists and can be approached through an iterative procedure. Proposition 2: For the optimization problem (19), a set of that makes identical for all is a stationary solution. For most PAs with benign characteristics, the stationary solution exists and turns out to be the optimum solution. Proof: We have been unable to prove the second statement of the proposition yet since it seems always possible to come up with some bizarre PA input–output functions to make the stationary solution nonoptimum. Please see Appendix B for the proof of the first statement. Next, we describe an iterative procedure to approach a stationary solution, which is likely to be the optimum solution, for the LUT spacing problem with LUT entries. Step 1) Initialization Assign the initial values of the bin boundaries as and , where is the bin index and the superscript denotes the iteration index. Set and then assign the midpoint of each bin as

(22) Step 2) Obtain the histogram Process the modulated input signals within the time period of one iteration and summarize a short-term histogram . Average through an exponential sliding window to approximate a long-term histogram as

Fig. 5. Flowchart of the proposed iterative procedure.

Step 5) Check convergence Examine if (23) where is a forgetting factor and . Step 3) Update the bin widths According to Proposition 2, we should make equal for all . Therefore, we assign

(24) where is a normalization constant. Step 4) Wait for the LUT entry values to renew When the LUT spacing is updated, we need to wait for the LUT entry values to renew before we can obtain the recursive values and for the iteration of the next round.

(25) is less than a predetermined small value . If yes, set a convergence indicator at 1. If no, set at 0. To prepare for the next iteration: 1) update the bin boundaries ; 2) reassign the bin midpoints with (22); 3) increase by one; and 4) jump to Step 2). Note that, even when we have , the iteration of the procedure will continue so as to online adapt the LUT spacing to the variations of all kinds of system conditions. The convergence indicator would serve as the quality indicator of the DAPD-LUT scheme. The iterative procedure is summarize as a flowchart in Fig. 5. Again, due to the recursive nature of and ,

2124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

it is very difficult to prove the convergence of the iterative procedure to the stationary solution given in Proposition 2, although simulation experiments always confirm the convergence. IV. SIMULATION EXPERIMENTS Two simulation experiments are conducted to evaluate the proposed dynamically optimum scheme. The first experiment tests its feasibility and compares the IMD performance among several DAPD-LUT schemes with various LUT spacings. The second experiment tests the robustness of the dynamically optimum scheme in a time-varying wireless system. The simulation scenarios discussed here are identical to those in Fig. 3, described in Section II, except that we relax not only the condition of the IBO, but also the conditions of the PA characteristics and the pdf of the modulated input signal: 1) the IBO ranges from 20 to 3 dB; 2) the PA characteristics can be either those of PA #1 or PA #2 in Fig. 2; and 3) the modulated input signal can be either nonuniformly distributed like that of the OFDM-modulated signal described in Section II or uniformly distributed. A. Feasibility Test We compare the IMD performance among several DAPDLUT schemes, i.e., the conventional scheme, the piecewiseuniform spacing scheme, the conditionally optimum scheme, and the dynamically optimum scheme. Note that the LUT spacing of the piecewise-uniform spacing scheme is the same as that employed in [17]. When only the condition of the IBO is relaxed, as shown in Fig. 3, all the DAPD-LUT schemes perform worse than the unconditionally optimum scheme with large gaps, except for the dynamically optimum scheme whose performance approaches the unconditionally optimum scheme with the difference always less than 1 dB. The small performance gap is due to the adaptation noise and the approximations made along the way in our IMD power derivation of Section III-A. As expected, the conditionally optimum scheme is unconditionally optimum only when the IBO condition is matched. Among the three conditionally optimum schemes respectively optimized for dB, dB, and dB, the one optimized for dB outperforms the other two in terms of avoiding the worst case scenario. Therefore, for the conditionally optimum scheme, we mostly focus on the one optimized for dB in the remainder of this section. Note that the piecewise uniform spacing scheme performs better than the uniform spacing scheme only when the IBO ranges from 20 to 15 dB. This is because the piecewise uniform spacing scheme assigns more LUT entries in the low-amplitude nonlinear region, which takes effect when the IBO is low. Two learning curves of the dynamically optimum scheme, respectively, in system scenarios with dB and dB are shown in Fig. 6. The forgetting in (23) is set at 0.9. Convergence of the scheme is smooth and fast, taking around only ten iterations in both scenarios. The power spectral density (PSD) performance in terms of the normalized PSD of the PA output signal is shown in Fig. 7 for several DAPD-LUT schemes with various LUT spacings in the system scenario with

Fig. 6. Learning curves of the dynamically optimum scheme in the system scenarios with: (a) IBO = 3 dB and (b) IBO = 20 dB.

0

0

dB. The dynamically optimum scheme again outperforms the other schemes with large gaps and approaches the unconditionally optimum scheme with a small gap. Let us now relax two conditions in the system scenario, i.e., the IBO and the PA characteristics. The normalized IMD power of several DAPD-LUT schemes with various LUT spacings are shown in Fig. 8. The two solid curves denote the IMD performance in the system scenario with PA #1. The three dashed curves denote the IMD performance in the system scenario with PA #2. Since the nonlinearity of PA #2 is worse than that of PA #1, the unconditionally optimum scheme in the system scenario with PA #2 performs worse than that with PA #1. Nevertheless, the performance of the dynamically optimum scheme still approaches that of the unconditionally optimum scheme regardless of the PA characteristics. On the other hand, if the conditionally optimum scheme is optimized for dB and PA #1 in system scenarios with varying IBOs and with PA #2, as shown as the “( 10 dB, PA #1) Optimum with PA #2” curve in Fig. 8, the performance degradation is significant. Comparing points A and B in Fig. 8, we observe a 6-dB performance degradation of

LIN et al.: DYNAMICALLY OPTIMUM LUT SPACING FOR PA PREDISTORTION LINEARIZATION

Fig. 7. PSD performance comparison among several DAPD-LUT schemes with various LUT spacings in the system scenario with IBO = 10 dB.

0

Fig. 8. IMD performance comparison among several DAPD-LUT schemes with various LUT spacings in system scenarios with varying IBOs and different PAs.

the conditionally optimum scheme with only the mismatch of the PA characteristics. We next relax another two conditions in the system scenario: the IBO and the pdf of the modulated input signal. The normalized IMD power is shown in Fig. 9. The two solid curves denote the IMD performance in the system scenario with the nonuniform OFDM input. The three dashed curves denote the IMD performance in the system scenario with the uniform input. Since the peak-to-average-power-ratio of the nonuniform OFDM input is higher than that of the uniform input, the unconditionally optimum scheme in the system scenario with the nonuniform OFDM input performs worse than that with the uniform input. The performance of the dynamically optimum scheme again approaches that of the unconditionally optimum scheme regardless of the pdf of the modulated input

2125

Fig. 9. IMD performance comparison among several DAPD-LUT schemes with various LUT spacings in system scenarios with varying IBOs and different modulated input signals.

Fig. 10. Learning curve of the dynamically optimum scheme in a time-varying system scenario with: 1) IBO = 20 dB, PA #1, and the nonuniform OFDM input at the beginning; 2) the IBO jumping from 20 to 10 dB at the 50th iteration; 3) PA #1 being replaced by PA #2 at the 100th iteration; and 4) the nonuniform OFDM input being replaced by the uniform input at the 150th iteration.

0

0

0

signal. If the conditionally optimum scheme is optimized for dB and the nonuniform OFDM input in system scenarios with varying IBOs and the uniform input, shown as the “( 10 dB, Non-Uniform-Input) Optimum with Uniform Input” curve in Fig. 9, the performance degradation is significant. Comparing points A and B in Fig. 9, we observe a 6-dB performance degradation of the conditionally optimum scheme with only the mismatch of the modulated input signal. B. Robustness Test Here, we test the robustness of the dynamically optimum scheme in a highly volatile system scenario. The learning curve of the dynamically optimum scheme is shown in Fig. 10 in a

2126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

time-varying system scenario with: 1) dB, PA #1, and the nonuniform OFDM input at the beginning; 2) the IBO jumping from 20 to 10 dB at the 50th iteration; 3) PA #1 being replaced by PA #2 at the 100th iteration; and 4) the nonuniform OFDM input being replaced by the uniform input at the 150th iteration. We again set at 0.9. Note that only the dynamically optimum scheme can adapt itself to the variations of the system conditions. In other words, the performance of the dynamically optimum scheme ties itself to the performance of the unconditionally optimum scheme with some transitional performance adaptation, while the performance of all the other DAPD-LUT schemes fluctuates dramatically. V. CONCLUSION Contrary to the claim in [16], we have proposed a dynamically optimized nonuniform LUT spacing for the DAPD-LUT scheme to linearize PA, which performs significantly better than the conventional scheme with uniform LUT spacing. The proposed dynamically optimum scheme adapts itself to the system scenario without prior knowledge of the IBO, the PA characteristics, and the pdf of the modulated input signal. The dynamically optimum scheme is practical and robust with its performance close to that of the unconditionally optimum scheme and with its complexity much lower than that of the conditionally optimum scheme. APPENDIX A PROOF OF THE EXISTENCE OF THE OPTIMUM SOLUTION over The optimization problem (19) optimize where for all , and for any , i.e., is optimized over a convex set. If in (12) is monotonically increasing and is bounded away from zero, then in (13) is greater than zero and bounded above. Hence, and in (19) are also greater than zero and bounded above. Therefore, there must exist at least a set of that minimize . APPENDIX B PROOF OF A STATIONARY SOLUTION , Assume we manage to locate a LUT spacing which make identical for all . If we can show that any perturbation of will only increase , then is a stationary solution. Without loss of generality, let us perturb only the boundary between the th and th LUT entries so that becomes and becomes , where the perturbation can be positive or negative. The increase in the IMD power is given by

(26) where the last inequality holds since

.

REFERENCES [1] B. Shi and L. Sundström, “A voltage-translinear based CMOS signal component separator chip for linear LINC transmitters,” Analog Integr. Circuits Signal Process., vol. 30, pp. 31–39, Jan. 2002. [2] K. Y. Chan and A. Bateman, “Analytical and measured performance of the combined analogue locked loop universal modulator (CALLUM),” Proc. Inst. Elect. Eng.—Commun., vol. 142, no. 5, pp. 297–306, Oct. 1995. [3] D. K. Su and W. J. MaFarland, “An IC for linearizing RF power amplifiers using envelope elimination and restoration,” IEEE J. Solid-State Circuits, vol. 33, no. 12, pp. 2252–2258, Dec. 1998. [4] S. J. Grant, J. K. Cavers, and P. A. Goud, “A DSP controlled adaptive feedforward amplifier linearizer,” in Proc. IEEE Int. Universal Pers. Commun. Conf., Sep. 1996, vol. 2, pp. 788–792. [5] J.-T. Chen, H.-S. Tsai, and Y.-K. Chen, “The optimal RLS parameter tracking algorithm for a power amplifier feed-forward linearizer,” IEEE Trans. Circuits Syst.—Part II: Analog Digit. Signal Process., vol. 46, no. 4, pp. 464–468, Apr. 1999. [6] M. A. Briffa and M. Faulkner, “Stability analysis of Cartesian feedback linearization for amplifiers with weak nonlinearities,” Proc. Inst. Elect. Eng.—Commun., vol. 143, no. 4, pp. 212–218, Aug. 1996. [7] A. J. Zozaya and E. Bertran, “Passivity theory applied to the design of power-amplifier linearizers,” IEEE Trans. Veh. Technol., vol. 53, no. 4, pp. 1126–1137, Jul. 2004. [8] J. K. Cavers, “Amplifier linearization using a digital predistorter with fast adaptation and low memory requirements,” IEEE Trans. Veh. Technol., vol. 39, no. 4, pp. 374–382, Nov. 1990. [9] S. Kusunoki, K. Yamamoto, T. Hatsugai, K. Tagami, H. Nagaoka, N. Tominaga, K. Osawa, K. Tanabe, S. Sakurai, and T. Iida, “Power amplifier module with digital adaptive predistortion for cellular phone,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2979–2986, Dec. 2002. [10] L. Sundström, M. Faulkner, and M. Johansson, “Quantization analysis and design of a digital predistortion linearizer for RF power amplifiers,” IEEE Trans. Veh. Technol., vol. 45, no. 4, pp. 707–719, Nov. 1996. [11] K. J. Muhonen, M. Kavehrad, and R. Krishnamoothy, “Look-up table technique for adaptive digital predistortion: A development and comparison,” IEEE Trans. Veh. Technol., vol. 49, no. 5, pp. 1995–2002, Sep. 2000. [12] M. Faulkner and M. Johansson, “Adaptive linearization using predistortion-experimental results,” IEEE Trans. Veh. Technol., vol. 43, no. 2, pp. 323–332, May 1994. [13] A. S. Wright and W. G. Durtler, “Experimental performance of an adaptive digital linearized power amplifier,” IEEE Trans. Veh. Technol., vol. 41, no. 4, pp. 395–400, Nov. 1992. [14] R. Lupas and S. Verdú, “Near–far resistance of multiuser detectors in asynchronous channels,” IEEE Trans. Commun., vol. 38, no. 4, pp. 496–508, Apr. 1990. [15] S. Boumaiza, J. Li, J.-S. Meriem, and F. M. Ghannouchi, “Adaptive digital/RF predistortion using a nonuniform LUT indexing function with built-in dependence on the amplifier nonlinearity,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2670–2677, Dec. 2004. [16] J. K. Cavers, “Optimum table spacing in predistorting amplifier linearizers,” IEEE Trans. Veh. Technol., vol. 48, no. 5, pp. 1699–1705, Sep. 1999. [17] J. Y. Hassani and M. Kamarei, “A flexible method of LUT indexing in digital predistortion linearization of RF power amplifiers,” in IEEE Int. Circuits Syst. Symp., May 2001, vol. 1, pp. 53–56. [18] H. Durney and J. Sala, “CDF estimation for pre-distortion of nonlinear high power amplifiers,” in IEEE Int. Acous., Speech, Signal Process. Conf., May 2002, vol. 3, pp. 2545–2548. [19] D. Huang, X. Huang, and H. Leung, “Nonlinear compensation of high power amplifier distortion for communication using a histogram-based method,” IEEE Trans. Signal Process., Oct. 2004, submitted for publication. [20] S. Haykin, Adaptive Filter Theory, 3rd ed. Englewood Cliffs, N.J.: Prentice-Hall, 1996. [21] W.-J. Mao, L.-X. Ran, and K.-S. Chen, “Adaptive predistortion for RF power amplifier based on new look-up table indexing method,” in Proc. Int. Microw. Millimeter-Wave Technol. Conf., Aug. 2002, pp. 932–935.

LIN et al.: DYNAMICALLY OPTIMUM LUT SPACING FOR PA PREDISTORTION LINEARIZATION

2127

Chih-Hung Lin was born in Tainan, Taiwan, R.O.C., in 1975. He received the B.S. degree in electronic engineering from National Taiwan University of Science and Technology (NTUST), Taipei, Taiwan, R.O.C., in 1999, the M.S. degree from National Tsing Hua University (NTHU), Hsinchu, Taiwan, R.O.C., in 2000, and is currently working toward the Ph.D. degree at NTHU. His research interests include digital signal processing (DSP)-assisted RF circuit calibration, PA linearization, and peak-to-average-power ratio reduction in OFDM systems.

Yung-Yi Wang received the B.S. degree from National Taiwan University of Science and Technology (NTUST), Taiwan, R.O.C., in 1989, the M.S. degree from the University of Massachusetts at Lowell, in 1994, and the Ph.D. degree form NTUST, in 2000, all in the electrical engineering. In 1995, he joined the faculty of St. John’s University, Taiwan, R.O.C., where he is currently an Associated Professor with the Department of Computer Science and Communication Engineering. His research interests include wireless communication, statistical signal processing, and adaptive signal processing.

Hsin-Hung Chen received the M.S. degree from National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C., in 2000, and is currently working toward the Ph.D. degree at NTHU. His research interests include PA linearization and in-phase/quadrature (I/Q) imbalance compensation.

Jiunn-Tsair Chen received the Ph.D. degree from Stanford University, Stanford, CA, in 1998. Since August 1999, he has been with the faculty of National Tsing Hua University, Hsinchu, Taiwan, R.O.C., where he is an Associate Professor with the Department of Electrical Engineering. His current research interests are wireless communications, antenna array signal processing, adaptive signal processing, and PA linearization.

2128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Efficient 3-D Capacitance Extraction Considering Lossy Substrate With Multilayered Green’s Function Zuochang Ye, Student Member, IEEE, Wenjian Yu, Member, IEEE, and Zhiping Yu, Senior Member, IEEE

Abstract—An efficient algorithm for extraction of three-dimensional (3-D) capacitance on multilayered and lossy substrates is presented. The new algorithm presents a major improvement over the quasi-3-D approach used in a Green’s function-based solver and takes into consideration the sidewalls of 3-D conductors. To improve the efficiency of the computation and the transformation of the Green’s function, a nonuniform grid is adopted. The most computationally intensive part in the transformation of the Green’s function is computed separately as technology-independent maforemost. Once computed, can be stored and used trices for any technology, thus the storage requirement and computational complexity are reduced from ( 2 ) and ( 2 log 2 ), respectively, to just [(log max )2 ]. Extensive tests have been performed to verify the new algorithm, and its accuracy has been established by comparing with other programs. Index Terms—Capacitance extraction, nonuniform grid, substrate coupling.

Green’s

function,

I. INTRODUCTION T BECOMES more critical that three-dimensional (3-D) effects should be considered accurately in modeling capacitance on radio-frequency (RF) CMOS integrated circuits (ICs) because of shrunken feature size and increased operation frequency. The boundary element method (BEM) is an efficient method for this problem, since only conductor surfaces and interfaces between different material regions need to be discretized, and thus the computational expense is greatly reduced, compared with finite-difference or finite-element schemes, e.g., Raphael [1] and HFSS [2]. Great efforts have been made in the BEM-based 3-D capacitance extraction, such as the fast multi-pole method (FMM) [3], the singular value decomposition (SVD) method [4], the hierarchical method [5], and the quasi-multiple medium (QMM) method [6]. Other methods, not confined to BEM, such as sparse matrix canonical grid (SMCG) [7] and adaptive integral method (AIM) [8] are also presented. All of these methods, however, focus mainly on the fast solving of the resulted linear system, i.e., the so-called problem. In this paper, we study the efficient computation of potential coefficient matrix for multilayered and lossy substrate based

I

Manuscript received September 3, 2005; revised December 21, 2006. This work was supported by the Ministry of Science and Technology in China under Research Grant 2004AA1Z11050 of the National 863 Plan. The work of W. Yu was supported by the NSFC under Grant 60401010. Z. Ye and Z. Yu are with the Computer-Aided Design Group, Institute of Microelectronics, Tsinghua University, Beijing 100084, China (e-mail: [email protected]; [email protected]). W. Yu is with the EDA Laboratory, Department of Computer Science and Technology, Tsinghua University, Beijing 100084, China (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.873630

on Green’s function [9], [10]. In this method, the Green’s function is calculated and stored based on the process technology only, thus the dielectric interfaces do not need to be discretized. As a result, the size of the linear system is greatly reduced. Furthermore, the algorithm in this paper can be combined with some of the aforementioned numerical methods to improve the efficiency. In [9], the chip structure is assumed to be confined by rectangle electric and magnetic walls. Considering the Neumann boundary condition at the magnetic walls, the Green’s function can be expanded in cosine series, and thus the fast Fourier transform (FFT) can be applied to accelerate the summation of cosine series. By introducing the concept of complex permittivity [11], this method can handle lossy substrate with little extra effort. The original algorithm was implemented in software ASITIC [12]. However, in ASITIC, only analytical integration over horizontal panels is conducted, such that the conductors are treated as two-dimensional (2-D) sheets, preventing its use in 3-D capacitance extraction. Mathematically, the Green’s function in [10] can be integrated analytically in the -direction, thus the sidewall capacitance can be computed. In practice, however, there are some difficulties, because, to preserve the numerical stability, the Green’s function in the -direction is obtained through a complicated recursive procedure [10]. The resulted expression is a continual multiplication, which cannot be integrated analytically. Although measures have been taken in [13] by considering both the bottom and the top plates of conductors, the sidewall capacitance still cannot be handled accurately. In [14], conductors are cut horizontally into slices in order to include 3-D effects, but this amounts to volume discretization, leading to an enormous increase in variable number. Another major disadvantage arises from the FFT-accelerated discrete cosine transform (DCT). Although it dramatically reduces the computational cost for the doubly infinite series, it requires meshing of the whole chip uniformly, since the mesh size is related to the ratio of the chip dimension to the minimum panel size. With the feature size shrunk to the deep-submicrometer regime while the chip size stays on the order of millimeters, this uniform meshing results in an unacceptable amount of memory and time to perform the transformation and store the transformed matrix. In this paper, we derived a new formula for the recursive computation of Green’s function, which can be integrated stably over the sidewalls of the conductor to accomplish true 3-D extraction. The efficiency for computing and storing the Green’s function is also improved by using a nonuniform grid. In Section II, the Green’s function approach for capacitance extraction is reviewed, and the derivation of the recursive computation of Green’s function is redone in a simpler and more

0018-9480/$20.00 © 2006 IEEE

YE et al.: EFFICIENT 3-D CAPACITANCE EXTRACTION CONSIDERING LOSSY SUBSTRATE WITH MULTILAYERED GREEN’S FUNCTION

2129

where

(4) is the complex medium permittivity [11]. By the use of instead of , both ohmic and displacement currents are accounted for, and thus the frequency-dependent effects for conductive substrate are included. The use of the Green’s function greatly simplifies the problem by implicitly taking into account the boundary conditions, making it unnecessary to discretize the boundaries. In this case, only the actual conductors require discretization. The Green’s function has been previously computed in analytical form and shown to be [9]

Fig. 1. Geometry and boundary condition of the multilayer substrate.

stable form. In Section III, the Green’s function in the -direction is rederived, and the numerical stability is studied. In Section IV, the surfaces of conductors are classified to four types, and analytical integral of the coefficients of potential for each type is derived. In Section V, an efficient method for computing the Green’s function is presented. Experiment results are shown in Section VI, and conclusions are given in Section VII.

II. BACKGROUND The substrate is characterized in [9] as a multilayered structure, as shown in Fig. 1. Each layer has a thickness and a uniform permittivity and conductivity. Conductors are embedded in the layers. The objective of the parasitics extraction is to compute the capacitance matrix for a multiconductor geometry. To determine the th column of , we need only to solve for the surface charges on each conductor produced by raising conductor to unit potential while grounding the rest of the conductors. Then, is numerically equal to the charge on conductor . The charge is obtained by solving the linear system

(1) where is the vector of panel charges, is the vector of panel potentials which are supposedly known, and is the potential coefficient matrix. Each entry of , i.e., , is the average potential over panel due to the unit charge uniformly distributed on panel . Using the Galerkin method and assuming a piece-wise constant charge distribution, can be computed by convolving the charge distribution with the Green’s function as

(2)

The Green’s function Poisson’s equation

(5) and are the lateral dimenwhere sions of the substrate in the - and -directions, respectively. is obtained by solving the Poisson’s equation in the -direction along with the boundary conditions in this dimension, and it is shown in [9] that

(6) where , and is the complex permittivity of the source layer. The subscript “ ” is the index for each layer. The superscripts “ ” and “ ” are for upper and lower cases, respectively. Direct computation of (6) is numerically instable. To overcome this, [10] presents a numerical stable algorithm, and [15] further improves the stability. In both methods, following [9], is derived using hyperbolic functions as in (6). Accordingly, to solve the numerical stability, the resulting formulas, i.e., [10, eqs. (51a), (51b) ], behave as continuing multiplications, for which there exists no closed-form integration in the -direction, and the capacitance regarding sidewalls can not be computed. Such that (6) should be rederived, and the numerical stability issue should be addressed. III. ROBUST COMPUTATION OF GREEN’S FUNCTION USING EXPONENTIAL FUNCTIONS

can be computed by solving the

To rederive the formula for Green’s function in the -direction, we start from [10, eq. (14)], shown as

(3)

(7)

2130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

where . should satisfy the following boundary conditions at the source point, the interfaces of adjacent layers, and the top and bottom of the whole structure, respectively: (8) (9) (10) (11)

values of . When grows, and rapidly converge to 1 and 1, respectively. In the meantime, has an asymptote of 1, resulting in divided-by-zero, and exceeds the maximum double precision very quickly. In our evaluation of , the possible instability may lie in two aspects. The first is the recursive procedure (15) and (16), where the coefficients and are computed. When increases, may exceed the maximum double precision. We resolve this by defining a new data structure (actually, a C++ class) to handle super-large complex numbers, i.e., to express a general complex number by

(12) (13) The most general solution for (7), considering the boundary conditions (8) and (9) at point , is

The evaluation of (14)–(16) contains only five fundamental operations, i.e. “ ,” “ ,” “ ,” “/,” and (exponentiation). Moreover, the integration

(21)

(14) where the subscript denotes the source layer, and the subscript denotes the field layer. The above formula and (6) are equal mathematically, while they are different numerically from each other, especially when the stability problem is involved. The boundary conditions on the interface of each adjacent layer, i.e., (10) and (11), lead to recursive relations that the coefficients must satisfy as follows:

also contains these operations only. Thus, if the five operations are overloaded, the equations (14)–(16), as well as (21), can be computed using . The overload is straightforward. As an example, the multiplication operator “ ” is replaced by algorithm 1, where and are computed individually, and, if the norm of the resulting is larger than 10 or smaller than 10 , then it is absorbed by . With all five operators being overloaded, the recursive evaluation of the coefficients in (14) can be performed stably. Algorithm 1 Calculate

for ‘



(15) (16) respectively for the cases that the field point is above and below the source point. In the above two equations, the matrices and are defined as (17) (18) . where The boundary conditions (12) and (13) determine the initial values of the recursive relations (15) and (16) as (19) (20) Thus, with (15)–(20), all of the coefficients in (14) can be evaluated. The remaining work is to preserve the stability of the evaluation. As mentioned in [10], there exists a numerical instability problem during the evaluation of (6) that arose from large

if

or

then

end if Another potential instability may be caused by the denominator of (14). If and are both large and they are very close to each other, the denominator may become zero due to finite precision, resulting in a divided-by-zero. Fortunately, this problem is nonexistent. To examine this, the denominator can be written as , where and . Supposing approaches , it can be easily found from (15) and (16) that has an asymptote of a constant , while approaches , i.e., they will not be close to each other. The stability of the proposed approach can be testified by Fig. 2, where is plotted against for both lightly and heavily doped substrates described in Section VI-B. It can

YE et al.: EFFICIENT 3-D CAPACITANCE EXTRACTION CONSIDERING LOSSY SUBSTRATE WITH MULTILAYERED GREEN’S FUNCTION

2131

Fig. 3. Positional relations of two panels.

Thus, for a specific technology, the matrix of DCT, i.e., Fig. 2. f

as a function of m(= n) in both low- and high-resistivity profiles.

be seen from the figure that, when , goes extremely large (up to a million), converges stably to a constant. IV. DERIVATION OF THE PANEL INTEGRATIONS FOR 3-D EXTRACTION Suppose the coordinates of two conductors are as shown in Fig. 1. Each facet of the conductor is discretized to independent panels. To find with assigned a unit value, (5) is integrated over the area of panel and . If one substitutes (5) into (2) and interchanges the order of the symbols, the integral can be computed analytically, resulting in a 2-D infinite summation. To compute 3-D capacitance, the panels of a cuboid conductor can be grouped into three classes: horizontal (in the – ) plane, vertical I (in the – plane), and vertical II (in the – plane). The positional combinations of each pair of panels can be classified into four types, as shown in Fig. 3. In type A, two panels are both bottom or top surfaces of the conductors. In type B, one panel is horizontal, and the other is vertical. In types C and D, both panels are vertical. They are parallel to each other in type C and perpendicular to each other in type D. In the following subsections, each type of panel pairs is discussed in turn. A. Horizontal–Horizontal (Type A) To compute the coefficient of two panels in the – plane, the Green’s function is integrated over the panels. Excluding the cases of and , the integration can be written as

(24) can be computed beforehand and stored in a database. When the , and and layout information, i.e., the coordinates the areas and are given, the potential coefficients can be obtained by simply addressing in matrix and summating the 64 terms. B. Horizontal–Vertical (Type B) For the case of type B, since a vertical panel is involved, the computation of potential coefficients consists of three integrations in the - and -directions and one integration in the -direction. The integration of in the -direction is shown as

(25)

The closed-form solution of the above definite integration can be derived from (21). The remaining three integrations in the – plane are the same as in type A. Supposing that the vertical panel is a field panel and it is in the – plane with the -coordinate being , the integration of potential coefficient can be written as

(22) where is the upper bound of the truncated infinite series. The integration in (22) can be evaluated analytically, resulting in sine functions, and it can be cast into a sum of 64 terms in the form

(23)

(26)

2132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

It can be cast into a sum of 32 terms similar to type A and turned into the form of a discrete cosine-sine transform

(27) which is very similar to (24). C. Vertical–Vertical (Types C and D) For types C and D, the two panels are both vertical. The computation of consists of two integrations in the – plane and two integrations in the -direction. Since the coefficients are discontinuous at the source point, depending on whether the two panels are in the same layer or not, the closed-form integration in the -direction

Fig. 4. G (x) and @ G =@x , where @ G =@x converges to a constant rapidly when x increases. x is normalized by x = x=a.

(28)

should be derived individually. For both cases, the resulting expressions still consist of “ ,” “ ,” “ ,"‘/,” and operations, such that the data structure can also be used to preserve the computational stability. The left derivation of includes two integrations in the – plane, and the resulting matrix are DCT (for type C) and discrete sine transform (DST) (for type D), respectively. Due to space limitations, the details will not be presented here. Fig. 5. Normalized nonuniform mesh grid for computing Green’s function.

V. EFFICIENT GREEN’S FUNCTION COMPUTATION ON NONUNIFORM GRID In order to use FFT to compute (24), the chip should be discretized uniformly to nodes, where depends on and the minimum panel size . Practically, to limit the discretization error, should satisfy [15]

(29) With the shrinking metal width and larger chip size, becomes larger and larger. In a typical circuit, m and m, will be at least 4096. If the technology has six metal layers and the sidewall capacitance is to be taken into account, about 150 Green’s functions in total should be computed and saved. Even if FFT is used, the computational amount is still prohibitive. Moreover, to perform the FFT and store the results, the required memory size is unacceptable for most of today’s PCs. In this section, a new technique is presented to reduce the computational time and memory. The following algorithm is presented for the case of type A defined in the previous section. The algorithm for other types can be derived similarly. Let and be the normalized coordinates, thus , , and in (24) is a smooth function of and . can be computed on some key nodes , , and , where is the amount of grid points

on both dimensions. The computed is stored in the Green’s function database, and interpolation can be involved when computing for arbitrary . It is easy to find that the potential coefficient is relevant to the second derivative of the transformed Green’s function . approaches when approaches (0,0) and attenuates when or increases, as shown in Fig. 4. Thus, it is efficient to discretize the – plane with fine mesh when and are small, and with coarse mesh when they become large, i.e., to adopt nonuniform mesh grid. This is quite reasonable, since small and are related to near-field interaction and large and are related to far-field interaction, and the latter is obviously smoother and less important than the former. An available meshing scheme is shown in Fig. 5, where and can be defined as

(30) is a pregiven number. Thus, the minimum norwhere malized mesh size (at ) is . For example, when , , the minimum mesh size is of the chip size, which is sufficient for most cases. In case the minimum metal width decreases or the maximum

YE et al.: EFFICIENT 3-D CAPACITANCE EXTRACTION CONSIDERING LOSSY SUBSTRATE WITH MULTILAYERED GREEN’S FUNCTION

lateral size increases, can be set larger, proportioned to the logarithm of . As (29) holds, the storage requirement is therefor reduced from to , where is the potentially maximum value of . As a result of the nonuniform meshing, FFT is unavailable for acceleration. This may lead to complexity if is computed directly, which is slightly larger than that using DCT, which is . However, by exploiting the property of in (14), the computation can be greatly accelerated. Let

(31) where (14),

is the aspect ratio of the chip. Substituting for can be written as a function of as

(32) Note that , , , and are all functions of , thus is a smooth function. Furthermore, has an asymptote of a constant when approaches infinity. Hence, can be fitted by a certain function. In this paper, the following multipole-like expansion is used:

(33) where is a pregiven number (such as 64) to avoid the computation of infinite and preserve the precision of the approximation. is the expansion order, for example , used to control the tradeoff between precision and computational complexity. When , , the sum of (35) is computed directly. When or , the sum is computed by approximated . Define matrix with entries

(34) Thus, each entry in matrix parts as

in (24) can be partitioned into two

Fig. 6. 2

2133

2 2 crossover in dielectrics.

includes the remaining and is computed approximately by (33) and (34). The above procedure is summarized as follows. 1) Compute using (34) for a series and . This is a purely maathematical problem and is independent of the technology and the layout. 2) Given a technology, compute by (14) for a series of . 3) Fit to obtain coefficients by (33). 4) Compute matrix by (35) and save it to the database. As long as (34) has been computed, the fitting of and the computation of (35) are fast and memory-efficient. For nodes, the computational time is approximately , dominated by the first part in (35), and the memory for storing the matrix is . Since FFT cannot be used for acceleration, the computation of (34) is very time consuming, and it may take several hours. Fortunately, (34) is layout- and technology-independent. Thus, it can be computed beforehand. Once computed, can be stored and used for any technology and layout. Once , , , is computed, for arbitrary coordinate pair , can be computed by means of 2-D interpolation, such as spline interpolation [16]. VI. NUMERICAL RESULTS The algorithm described above is implemented in the solver Substrate Coupling Analyzer for Passive Elements (SCAPE). Here, several examples will be shown to verify SCAPE and to compare the accuracy and efficiency with other methods. All simulations are done on a Sun Blade 2000 with Ultra SPARC III Cu processors at 900 MHz and 2-GB memory. Before the simulation of all of the following examples, the matrices are computed for and , using about 1 h. Since is technology-independent, it needs to be computed only once. A. Test Cases for 3-D Extraction

(35) where the first portion consists of terms with indices and and is computed directly, while the second portion

The test cases are crossing conductors in five dielectric layers, as shown in Fig. 6. Each conductor in the example is length cross section (units in micrometers). The spacing between neighboring conductors in the same layer is 1 m. The relative permittivity of every layer is 3.9. The thickness of the bottom four layers is 1 m, and the thickness of the top layer is 28 m. Each of the structures are surrounded by a 32 32 32 box. The bottom of the box is a perfect ground plane, and the other five surfaces of the box are

2134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE I COMPARISON FOR k k BUS PROBLEMS

2

Fig. 7. Substrate profiles used in example problem.

in Neumann boundary condition, i.e., the normal derivative of the potential is zero. The above crossover problems are computed by FastCap with very fine meshing (which is denoted by FastCap I), FastCap with relative coarse meshing (which is denoted by FastCap II), ASITIC, and SCAPE. The expansion order in both FastCap I and FastCap II is 2, which is the most accurate mode in running FastCap with a reasonable amount of time. In the input of FastCap, 0 is assigned to the permittivity of outer space to handle the boundary condition, and each interface between the dielectric layers is specified to make the comparison fair. In ASITIC, since it cannot handle conductors with finite thickness, to model the thickness effect to the best of its abilities, the upper and lower surfaces of the conductors are treated independently as conductors of zero thickness and are extracted as such. After extraction, the resulting matrix is reduced by combining together the top and the bottom plates of the conductors. This is electrically equivalent to shorting the top and the bottom plates together [13]. Using the capacitance matrix of FastCap I, i.e., FastCap with very fine meshing, as the standard, the error of capacitance matrix computed by another program is estimated in a twonorm manner: . The error of FastCap II is around 3%, which is controlled by adjusting the mesh size. Table I compares SCAPE with ASITIC and FastCap. It is shown that, using FastCap I’s result as the criterion, SCAPE is more accurate than FastCap II, which is within 2.5% and around 3%, respectively. ASITIC does not account for the sidewall effect, and thus it results in large error ( 20%). B. Test Cases for Nonuniform Grid Method This example shows the advantage of using the efficient computation of Green’s function as presented in Section V. Two experiments using different substrate profiles are conducted to test the accuracy and efficiency of the extraction algorithm. The profiles used are taken from [17] and described in Fig. 7. The high-resistivity substrate is used in various BiCMOS processes, while the low-resistivity substrate is used in CMOS due to the

Fig. 8. Two contacts over the top of the substrate. This case is used to verify the nonuniform grid method and compare the accuracy and efficiency with the DCT method.

TABLE II SUMMARY OF COMPARISON. ERROR IS WITH RESPECT TO DCT-1024

latch-up suppressing properties. The test example is shown in Fig. 8, where two conductors lie over a substrate with an oxide layer. To simplify the comparison, the thickness of the conductors is set to zero, and thus the sidewall effect is ignored. The distance between the centers of two conductors is m. The substrate laterals for both profiles are m. The Green’s functions for both profiles were computed and compared in Table II, from which it is seen that: 1) SCAPE is much more accurate than DCT-512 (i.e., the DCT method with the FFT size of 512); 2) in the stage for computing the Green’s function, SCAPE is about 16 faster than DCT-512 and uses 1/24 of the memory used by DCT-512. Compared with DCT-1024, SCAPE is about 64 faster and uses only 1/95 of the memory. If the contact size decreases, the required FFT size and the time and memory usage for the DCT method will be increase quadratically, while, for the nonuniform grid method, the required time and memory usage stay constant for a wide range of contact sizes. Figs. 9 and 10 show the extrapolated time and memory used for the DCT method and nonuniform grid method. In the DCT method, the required memory and time

YE et al.: EFFICIENT 3-D CAPACITANCE EXTRACTION CONSIDERING LOSSY SUBSTRATE WITH MULTILAYERED GREEN’S FUNCTION

2135

TABLE III COMPARISON OF EXTRACTED IMPEDANCE AS A FUNCTION OF FREQUENCY USING HIGH-RESISTIVITY PROFILE

Fig. 9. Computational cost of Green’s function as a function of the required FFT size. The circle symbol line shows the variation of the DCT based method, while the square symbol line shows the nonuniform grid method.

Fig. 10. Memory required for storing the Green’s function as a function of the required FFT size. The circle symbol line shows the variation of the DCT-based method, while the square symbol line shows the nonuniform grid method.

usage for DCT-4096 are 269 MB and 198 s, respectively. It is noticeable that, for a six-layer technology, considering the sidewall effect and ten frequency points, a factor of 1500 would be multiplied on them, and the cost is unacceptable. Three classes of test were done to verify the accuracy of the nonuniform grid method. In the first test, the two contacts are both of size 2 m, and the distance between them is 100 m, the frequency varies from 1 to 20 GHz. In the second test, the size and the frequency are fixed at 2 m and 1 GHz, and the distance varies from 2 to 100 m. In the third test, the distance and the frequency are fixed at 100 m and 1 GHz, and the size of both contacts varies from 8 to 0.25 m. The results are compared in Table III, where the impedance obtained by the nonuniform grid method and DCT method is comparable. We applied the proposed method to a relatively large-scale circuit with the layout from a simple mixed-signal circuit. Fig. 11 shows the layout for an example problem with 67 contacts on a 512 m 512 m substrate. For each of the substrate profiles shown in Fig. 7, extraction was performed, and the resulted capacitance matrix is converted into an impedance matrix. The comparison of impedance computed by the DCT method and the nonuniform grid method is shown in Table IV. It is seen from the table that the results from both of the methods are comparable.

Fig. 11. Example layout from a mixed-signal design.

TABLE IV SELECTED SET OF EXTRACTED IMPEDANCE FOR THE EXAMPLE LAYOUT

C. Interdigital Capacitor (IDC) Over Lossy Substrate The major advantage of SCAPE over capacitance extraction programs based on free-space Green’s function, such as

2136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 12. Substrate structure for the IDC.

Fig. 15.

Y

of the IDC.

Fig. 13. Structure of the IDC.

phase of -parameters are sensitive to frequency due to the substrate loss. Both the ASITIC and SCAPE curves show the right trend when the frequency increases. However, since ASITIC does not compute the sidewall capacitance, the discrepancy between ASITIC and HFSS is unacceptably large, while the average error between SCAPE and HFSS is less than 3%. Since HFSS supports full-wave simulation while SCAPE is a quasistatic method, this example also indicates that the quasi-static assumption is still applicable up to 20 GHz without noticeable error. VII. CONCLUSION

Fig. 14.

Y

of the IDC.

FastCap, is its capability of dealing with multilayered dielectric and conductive substrate. This capability provides complex capacitance and its frequency dependency. The example is the simulation of an IDC (or fractal capacitor), and it demonstrates the frequency-dependent capacitance extraction. The hypothetical process used in this example is shown in Fig. 12. We choose HFSS [2] for comparison. HFSS is a widely used commercial software based on the finite-element method (FEM), and it supports full-wave simulation. In order to obtain a reasonable accuracy in HFSS within acceptable memory and time, the thickness of the substrate is set to be 32 m. As shown in Fig. 13, the structure of the IDC is defined by the finger length , finger width , spacing , and the number of fingers . The parameters of the simulated IDC are m, m, m, and . Figs. 14 and 15 show the - and -parameters, respectively, computed by HFSS, ASITIC, and SCAPE. The results from HFSS are taken as the reference, where the magnitude and

An improved Green’s function-based algorithm is proposed for the extraction of 3-D capacitance on the lossy substrate at RF frequency. A new formula is adopted, and the Green’s function can be integrated analytically and stably in the -direction, thus the capacitance of the sidewall surfaces can be evaluated. In addition, to reduce the computational time and memory requirement in computing, transforming, and storing the Green’s function, a novel nonuniform grid method is developed and proposed. The memory requirement and the computational complexity reduce from and , respectively, to for both. ACKNOWLEDGMENT The authors would like to thank the staff of Cadence, San Jose, CA, for their collaboration. REFERENCES [1] “Raphael User’s Manual,” Synopsys, Mountain View, CA, 2004. [2] “Ansoft HFSS User’s Manual,” Ansoft Corporation, Pittsburgh, PA, 2001. [3] K. Nabors and J. White, “FastCap: a multipole accelerated 3-d capacitance extraction program,” IEEE Trans. Computer-Aided Design Integr. Circuits Syst., vol. 10, no. 11, pp. 1447–1459, Nov. 1991. [4] S. Kapur and D. E. Long, “IES3: a fast integral equation solver for efficient 3-dimensional extraction,” in Proc. Int. Conf. Computer Aided Design, San Jose, CA, Nov. 1997, pp. 448–455.

YE et al.: EFFICIENT 3-D CAPACITANCE EXTRACTION CONSIDERING LOSSY SUBSTRATE WITH MULTILAYERED GREEN’S FUNCTION

[5] W. Shi, J. Liu, N. Kakani, and T. Yu, “A fast hierarchical algorithm for three-dimensional capacitance extraction,” IEEE Trans. Comput.Aided Design Integr. Circuits Syst., vol. 21, no. 3, pp. 330–336, Mar. 2002. [6] W. Yu and Z. Wang, “Enhanced QMM-BEM solver for three-dimensional multiple-dielectric capacitance extraction within the finite domain,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 560–566, Feb. 2004. [7] C. H. Chan and L. Tsang, “A sparse-matrix canonical-grid method for scattering by many scatterers,” Microw. Opt. Technol. Lett., vol. 8, no. 2, pp. 114–118, 1995. [8] M. B. E. Bleszynski and T. Jaroszewicz, “AIM: adaptive integral method for solving large-scale electromagnetic scattering and radiation problems,” Radio Sci., vol. 31, no. 5, pp. 1225–1251, 1996. [9] R. Gharpurey and R. G. Meyer, “Modeling and analysis of substrate coupling in integrated circuits,” IEEE J. Solid-State Circuits, vol. 31, no. 3, pp. 344–353, Mar. 1996. [10] A. M. Niknejad, R. Gharpurey, and R. G. Meyer, “Numerically stable Green function for modeling and analysis of substrate coupling in integrated circuits,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 17, no. 4, pp. 305–315, Apr. 1998. [11] S. Ramo, J. R. Whinnery, and T. V. Duzer, Fields and Waves in Communication Electronics, 3rd ed. New York: Wiley, 1994. [12] A. M. Niknejad and R. G. Meyer, “Analysis, design, and optimization of spiral inductors and transformers for Si RF IC’s,” IEEE J. Solid-State Circuits, vol. 33, no. 10, pp. 1470–1481, Oct. 1998. [13] R. Gharpurey and S. Hosur, “Transform domain techniques for efficient extraction of substrate,” in Proc. Int. Conf. Computer Aided Design, San Jose, CA, 1997, pp. 461–467. [14] R. Gharpurey, “Modeling and analysis of substrate coupling in integrated circuits,” Ph.D. dissertation, College of Eng., Univ. of California, Berkeley, 1999. [15] C. Xu, T. Fiez, and K. Mayaram, “On the numerical stability of Green’s function for substrate coupling in integrated circuits,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, no. 4, pp. 653–658, Apr. 2005. [16] C. de Boor, A Practical Guide to Splines. New York: SpringerVerlag, 1978. [17] J. P. Costa, M. Chou, and L. M. Silveira, “Efficient techniques for accurate modeling and simulation of substrate coupling in mixed-signal ICs,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 18, no. 5, pp. 597–607, May 1999. Zuochang Ye (S’05) was born in Hainan, China, on October 17, 1979. He received the B.S. degree in electronics engineering from Tsinghua University, Beijing, China, in 2002, and is currently working toward the Ph.D. degree in microelectronics at Tsinghua University. His interests are in the area of RF circuit modeling, substrate coupling, and numerical methods in electromagnetics.

2137

Wenjian Yu (S’01–M’04) received the B.S. and Ph.D. degrees in computer science (both with the highest honors) from Tsinghua University, Bejing, China, in 1999 and 2003, respectively. Since August 2003, he has been a Research Assistant in the Department of Computer Science and Technology, Tsinghua University. His research interests include the parasitic parameter extraction of interconnects in very large-scale integration (VLSI) circuits, the direct boundary element analysis of the electromagnetic field, and the modeling and simulation of VLSI interconnects. He published more than 40 technical papers in refereed journals or conferences. Dr. Yu was the Technical Program subcommittee member of the ACM/IEEE Asia South-Pacific Design Automation Conference in 2005. He was the recipient of the Microsoft Fellowship Award in 2002 and the distinguished Ph.D. Award from Tsinghua University in 2003. He has served as a reviewer for the IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS and the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

Zhiping Yu (S’80–M’80–SM’94) received the B.S. degree from Tsinghua University, Beijing, China, in 1967, and the M.S. and Ph.D. degrees from Stanford University, Stanford, CA, in 1980 and 1985, respectively. He is presently a Professor and Deputy Director with the Institute of Microelectronics, Tsinghua University. From 1989 to 2002, he was a Senior Research Scientist with the Department of Electrical Engineering, Stanford University, while serving as a faculty member with Tsinghua University. He returned to Tsinghua University full time in September 2002 and held the Pericom Microelectronics Professorship (2002–2004). His research interests include device simulation for nanoscale MOSFETs, quantum transport in nanoelectronic devices, compact circuit modeling of passive and active components in RF CMOS, and numerical analysis techniques. He has authored or coauthored over 170 technical papers and coauthored the book on technology for computer-aided design Technology CAD—Computer Simulation of IC Processes and Devices (Kluwer, 1993). He served as the Associate Editor of the IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATION OF CIRCUITS AND SYSTEMS from 1996 to 2005.

2138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Low-Power Ultra-Wideband Wavelets Generator With Fast Start-Up Circuit David Barras, Student Member, IEEE, Frank Ellinger, Member, IEEE, Heinz Jäckel, Member, IEEE, and Walter Hirt, Senior Member, IEEE

Abstract—A low-power fully integrated ultra-wideband (UWB) wavelet generator is presented. This UWB generator is intended for low-power and low-complexity UWB radio technology using the noncoherent energy collection approach. The wavelet generator is based on a cross-coupled inductance-capacitance (LC) oscillator. It can be directly driven by two digital signals, which can modulate the length, position, and phase of the output wavelet. An additional digital circuit improves the startup time of the oscillator so that the oscillator and output buffers can be switched off between each wavelet generation. The entire chip—including output buffers—uses a 0.18- m CMOS technology. When operating at 10 megapulses per second (Mp/s) with a 1.2-GHz bandwidth wavelet, the generator provides a typical average output power of 20 dBm and consumes only 1.8 mW. The differential output signal is a multicycle waveform centered at 4.5 GHz. Index Terms—Monolithic microwave integrated circuit (MMIC), oscillator, ultra-wideband (UWB), wavelet generator.

I. INTRODUCTION LTRA-WIDEBAND (UWB) radio is a promising technology for short-range wireless data communications [1]. Following the U.S. and the Federal Communications Commission (FCC) frequency allocation for UWB in February 2002 [2], the European and Asian administrations are also progressing in the elaboration of a regulation for this emerging technology. From an implementation point-of-view, several solutions have been developed in order to use the UWB technology in compliance with the FCC’s regulatory requirements. Among the existing solutions, the ones proposed by the multiband orthogonal frequency division multiplexing (OFDM) alliance (MBOA)1 and the UWB Forum2 are the most advanced. Besides these solutions proposed by industrial consortia, which mainly aim at transmission rates on the order of 100 Mb/s or more, UWB is also seen as an interesting technology for new emerging communication and location tracking radio systems with very low power consumption (typically in the range of a

U

Manuscript received August 27, 2005; revised December 27, 2005. This work was supported by the Swiss Government under the CTI/KTI Fund 6322-1, by the Swiss Federal Institute of Technology (ETHZ), and by the joint Center for Advanced Silicon Electronics (CASE). D. Barras, F. Ellinger, and H. Jäckel are with the Electronics Laboratory, Swiss Federal Institute of Technology (ETHZ), CH-8092 Zürich, Switzerland (e-mail: [email protected]). W. Hirt is with the IBM Zürich Research Laboratory, CH-8803 Ruschlikon, Switzerland (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.873631 1Multiband OFDM Alliance homepage. [Online]. Available: http://www. multibandofdm.org/ 2UWB Forum homepage. [Online]. Available: http://www.uwbforum.org/

Fig. 1. Typical architecture of a fully integrated noncoherent UWB transceiver using the energy collection approach.

few nanojoules per transmitted bit), low complexity, and high integration level (i.e., an antenna, a quartz-based time reference and an energy source are the only off-chip components). For example, several such solutions propose receivers based on the energy collection approach [3], [4] to implement low data-rate UWB (UWB-LDR) radio links (typically between 0.1–10 Mb/s). These noncoherent approaches avoid the need for implementing sophisticated channel estimations schemes, while compromising reduced sensitivity, noise, and interference rejection with the advantage of simplicity and very low power consumption. These solutions are mainly intended for ultra low-power wireless personal area networks (WPANs), wireless sensor networks (WSNs) [5], wireless body area networks (WBANs) [6], and RF tags [7]. Fig. 1 illustrates a typical UWB front-end architecture using the energy collection approach. The transmitting chain (Tx) consists of an integrated wavelet generator, which sends the signal through a transmit/receive switch (T/R) followed by a pre-select filter and an antenna. The receiving path (Rx) is composed of a UWB low-noise amplifier such as proposed in [8], a self-mixing rectifier, a baseband amplifier, and a gated integrator. This paper reports on the design and implementation of the wavelet generator in the transmitter path. Section II and Section III discuss the design constraints. Circuit implementation issues are dealt with in Section IV, while results and conclusions are provided in Sections V and VI, respectively. II. UWB SIGNAL GENERATION TECHNIQUES We can distinguish between two main classes of pulsed UWB waveforms. The first class of signals is based on the Gaussian monocycle pulse and provides signals with only one or a small number of cycles. In practice, 2–3 cycles can be generated by derivations of the Gaussian monocycle. The second class of

0018-9480/$20.00 © 2006 IEEE

BARRAS et al.: LOW-POWER UWB WAVELETS GENERATOR WITH FAST START-UP CIRCUIT

waveforms features a larger number of cycles and can be realized by the amplitude modulation of a sine wave. At equivalent center frequency, the latter class provides signals that can be seen as wavelets with longer durations and, thus, narrower bandwidths. These two classes of waveforms are actually strongly related to their respective generation method. UWB signals belonging to the second class are generated by gated oscillators (e.g., tunnel diode or oscillator with output gate [9]) or up-converted baseband pulse such as proposed in [10] and [11]. Monocycle UWB signals can be generated either by switching circuits (e.g., fast logic circuit such as in [12] and [13]) or techniques involving current such as translinear circuits [14], [15], cells [16] or step-recovery diode used in conjunction with microstrip lines [17]. Very often, most of the aforementioned solutions are not viable for standard silicon implementations. Low-cost integrated technologies such as CMOS or BiCMOS preclude the use of components like step recovery or tunnel diodes since they are not part of standard devices libraries. Furthermore, one of the main issues when using very short Gaussian monocycles at low pulse rates (typically below 10 Mp/s)3 is the peak voltage needed to exploit the allowed UWB power density levels. With shortduration waveforms such as a Gaussian monocycle centered at 4 GHz, which features a peak-to-peak duration of 200 ps, a peak-to-peak voltage of more than 5 V is needed to reach the maximal allowed power density of 41.3 dBm/MHz [2] at a pulse rate of 10 Mp/s. Thus, this high-voltage requirement prevents such waveforms from being generated by a deep-submicrometer CMOS technology, where the transistor breakdown voltages are typically well below 3 V. III. DESIGN CONSTRAINTS Some design choices for emitted UWB signals are spawned by regulation. The emission limit released by the U.S.-based FCC consists essentially of the allowed frequency range from 3.1 to 10.6 GHz and the minimally required 10-dB bandwidth, as well as the average and peak power constraints. For a sine signal modulated by a square pulse, whose 10-dB bandwidth extends beyond 500 MHz, this translates into a pulse whose duration is smaller than 3 ns. Furthermore, since the pulse repetition rate of noncoherent UWB schemes is typically in the order of 10 Mp/s or less, the equivalent duty cycle of the pulse generator is below 3%. This low duty cycle can be advantageously exploited for low-power applications. By switching the whole circuit completely off during the idle periods, the overall power consumption can be drastically reduced. However, this implies the use of an oscillator with a settling time in the range of 1 ns or below. In this study, we investigate the realization of pulsed-UWB signal generators based on oscillating circuits and methods to reduce the startup time of oscillators. Such solutions generate UWB signals having multicycle property or, equivalently, pulses 3It is assumed that UWB low-power transceivers using noncoherent pulse position modulation (PPM) schemes typically do not exploit pulse rates above 10 Mp/s. This is mainly due to the limitations of the channel characteristics. Strong multipath echoes in indoor environments increase the delay spread up to 100 ns or more.

2139

Fig. 2. Equivalent schematic of the cross-coupled oscillator. The amplitude limiting device is a cross-coupled transistor pair, which is modeled by a nonlinear negative conductance g (v).

having a bandpass characteristic with narrower bandwidth typically between 500 MHz and half the pulse center frequency. This type of signal is particularly interesting for on-chip implementation since they allow reducing the peak-to-peak voltage of the pulsed signal. A. Oscillator Equivalent Circuit The goal here is to derive an analytical expression that describes the startup transient phase of an oscillator, whose active device features a nonlinear amplitude limiting function. The implemented oscillator uses a cross-coupled transistor pair and the practical oscillator considered for analysis uses a cross-coupled transistor pair and an equivalent parallel resonator, which takes into accounts parasitics and other additional components (i.e., load, output, and input impedances of the active devices, as well as the frequency-tuning devices such as varactors). A simplified equivalent circuit is shown in Fig. 2. The cross-coupled pair is modeled by a nonlinear negative conductance . A first-order approximation of the nonlinear – transfer function of a differential pair is given in [18]. The – characteristic of a cross-coupled differential pair is obtaining by inverting the polarity of the input voltage . We obtain

if if if

(1)

with

(2) is the equilibrium overdrive voltage and is the maximum voltage that the circuit can handle and corresponds to the nearly off state of one of the transistors. is the carrier mobility, is the oxide capacitance per unit area, is the width, is the effective length of the MOS transistors, and is the tail current of the differential pair.

2140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

where

is the equivalent conductance and

(7) represents a normalizing factor, which is related to the output amplitude. By normalizing (6) by , we get, after replacing by , (8)

Fig. 3. Transfer function according to (1) and Taylor approximation of the nonlinear input transfer function defining the conductance g (v).

is the natural angular frequency of the where oscillator and is a damping factor and has the dimension of the inverse of the electrical quality factor. , the above equation can be By using the substitution reduced to the well-known “van der Pol” equation [20]

In order to derive an analytical solution, this characteristic is approximated by a transfer function of the following form:

(3) where and are constants. A Taylor expansion of the nonlinear transfer function provides and , where is the equivalent small-signal transconductance of the differential pair. Fig. 3 depicts both (1) and the Taylor approximation defining the nonlinear conductance . The equation describing the circuit illustrated in Fig. 2 is

(9) Finding an exact solution to the “van der Pol” equation turns out to not be easy. The solving method depends on the value of . First, the existence of stable limit cycles is given by the theorem of Levinson–Smith and is guaranteed provided or, equivalently, . However, in the proposed high-frequency implementation, stays typically smaller than one, and the oscillator’s behavior is close to that of a harmonic oscillator. For small (typically ), we can get a good approximation of the exact solution to (6) by using the averaging method [21]

(4) Furthermore, we have from (4), following differential equation:

and

, and . We obtain the

time-varying envelope (10)

(5) The factor into brackets appears as to be the derivative of the equivalent voltage-dependant resistive part of the oscillating system. We then modify this term by factorizing with

The cosine term in the above equation represents the oscillations with frequency and phase . The latter depends on initial conditions. The first term of the right-hand side describes the time-varying amplitude of the oscillations (envelope). is depicted in Fig. 4 for two values of the initial condition . The numerical solution of for the case of the large initial condition has been depicted for comparison with the estimated expression of the envelope. The case illustrated here shows a simulation for . We notice a very good agreement between the numerical solution and the approximation of the envelope . B. Wavelet Generator’s Settling Time

and we get for the differential equation

(6)

We define the overall settling time as the time needed for the oscillation to reach 90% of its steady-state amplitude. This overall settling time can be separated into two phases, which are: 1) the oscillation’s onset delay and 2) the oscillation’s rise time . The rise time is defined as the time needed for an

BARRAS et al.: LOW-POWER UWB WAVELETS GENERATOR WITH FAST START-UP CIRCUIT

2141

. To obtain a parameters, as well as the initial condition sufficient short overall settling time, both time effects have to be considered in practice. In the case of switched oscillators for an UWB wavelet generator, the onset delay should be as short as possible in order to increase the overall efficiency, and must be smaller than half the pulse length. Fig. 4 illustrates the effect of different initial condition on the overall settling of the oscillating signal. Small initial conditions impose longer delays on the onset of the output signal. IV. CIRCUIT IMPLEMENTATION A. Oscillator Core

Fig. 4. Envelope function A(t) for different initial conditions v (0) according to (10). The plain curve illustrates the overall settling time of a circuit with an initial condition v (0) = 10 mV, which is a thousand times higher than the one represented by the dotted curve, where v (0) = 10 V. The numerical solution for v (t) is given for reference (dashed line). The equation parameters = 4:1, Q = 5:8, and ! = 2 1 4:5 1 10 . t is the onset are A delay and t represents the rise time of the signal’s envelope (see Section III-B for details).

oscillating signal to grow from 10% to 90% of its steady-state amplitude. The onset delay defines the absolute time needed for an oscillator to provide an output amplitude that reaches 10% of the steady-state amplitude from a given small initial condition , i.e., an initial condition whose value is smaller than 10% of the steady-state amplitude. We define the overall settling time of the oscillator as the sum of and . From (10), we can express , , and as follows:

(11)

(12) and for the rise time

(13) is the steady-state oscillation amplitude, which can where be derived from the nonlinear expression of the – characteristic of the active device and is given by (7). is the initial condition. The equations above have been expressed with practical parameters of an oscillator as well, i.e., the open-loop gain and the quality factor of the passive parallel resonator . Equation (11) and (13) both show that the rise time depends only on the open-loop gain and the quality factor of the resonator, while the settling delay depends on these

The oscillating circuit used in the proposed implementation is depicted in Fig. 5 (middle dashed box) and is based on a cross-coupled differential pair [18]. This circuit features a negative at its output and can be seen as the transistor version of negative resistance devices (NRDs) such as tunnel diodes. The latter have already been proposed for UWB wavelet generation [19] and for very high-frequency oscillators up to several hundreds of gigahertz. The proposed circuit has been implemented exclusively with CMOS transistors as provided by the IBM BiCMOS7HP technology. Although bipolar transistors provide higher , the circuit has been realized in CMOS to verify the performance of a wavelet generator using low-cost silicon technology. Each transistor has a width/length (W/L) ratio of 240 m/0 : 18 m to provide a sufficient . The technology’s typical transconductance equals approximately 40 for n-channel MOS transistors. B. Resonator Load The resonator is depicted in the upper center dashed box. Varactors enable an external analog voltage to modify the center frequency of the oscillator. Eventual process variations can be compensated, thus enabling the generated signal to comply with the system’s specification. To achieve a reduced overall settling time and a reduced ringing at the oscillator extinction, the quality factor of the resonator has been halved from 20 (on-chip 0.6-nH inductor’s quality factor) to 10 by adding a parallel resistor . Note that reducing the -value of the resonator implies an higher oscillator phase-noise level. However, since the effect of the switched oscillator can be seen as the amplitude modulation of an equivalent continuous wave (CW) signal by a very short pulse, the corresponding spectrum is extended far beyond a slight increase caused by the phase-noise degradation. In other words, the envelope of the spectrum is defined by the short pulse modulation, while the purity of the spectral lines formed by the pulse repetition are slightly modified by the phase noise of the oscillator. The latter does not play any role in the spectrum envelope, which is the most important for UWB signals. C. Modified Tail Current Source Usually, in CW oscillators as used in narrowband transmitters, the oscillations start from very small initial conditions imposed by the intrinsic thermal noise, which is typically on the order of nanovolts. The resulting settling time extends far above

2142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 5. Simplified circuit schematic of the entire wavelet generator. The middle dashed box contains the active circuit, which is fed by a modified tail current source (lower middle box). The resonator is depicted in the upper center dashed box. The left-most part represents the startup circuit. Output buffers with on-chip capacitors are depicted on the right-hand side (see text of Section IV for detailed explanations).

1 ns and is further increased by the high quality factors required for these applications. Based on the investigations in Section III-B, we can reduce the overall settling time by forcing the oscillator to start with larger initial conditions rather than simply relying on the intrinsic circuit noise. This can be achieved with a slight modification of the tail current source of the cross-coupled pair and an additional logic circuit, as depicted in Fig. 5. The modified tail current source of the cross-coupled pair (lower centered dashed box) consists of two tail current sources , which can be independently triggered by a logic circuit represented in the left-most dashed box. The logic block produces a slight delay at the onset of only one of the two tail current sources. Before the activation of the oscillator core, both transistors are in the off state and present the same high impedance at their source nodes. During the startup process, the tail current that flows through the first activated source pulls down the source nodes. As long as the impedance at the source nodes remains similar, the equivalent circuit seen by the triggered source is a current divider, thus forcing a net current to flow through the capacitor . The voltage through the capacitor at is

(14) This voltage sets an imbalance in the differential pair by further pulling down the source of the transistor being on the side of the first activated current source. This voltage difference is passed on to the resonator by the cross-coupled implementation, thus creating the required large-signal initial condition. The capacitor connected between the current source plays a second important role. This capacitor ensures a proper function of the cross-coupled pair during the oscillating phase by creating a low-impedance path between the transistors’ sources.

Thus, the value of the capacitor results from a tradeoff between the quality of the low-impedance path forming the common mode node of the differential pair and the amplitude of the initial conditions given by (14). In practice, an impedance of a less than 10 should be chosen so that the negative smallsignal is not significantly degraded (source degeneration). At 4 GHz, this leads to a capacitor value on the order of a few picofarads. This value further determines the amount of delay needed to create the source voltage imbalance at startup. To obtain large-signal initial conditions, should be set on the order of the threshold voltage. By choosing and mA, (14) gives a delay of approximately 50 ps. This delay can be implemented by cascading several inverters as described in Section IV-D. D. Startup Circuit The left-most box of Fig. 5 contains a simplified schematic of the triggering circuit. The digital signal is the main control signal that can be easily provided by the digital output of a baseband processor. Its length is inversely proportional to the bandwidth of the UWB wavelet. is split into two signals, each triggers one of the current source , but only one of these signals is delayed by a cascade of four inverters ( blocks). The signal sets the two pass-gate multiplexers in such a manner that only one delayed path is routed to only one of the current source. Here, high impose a delay on the left-most current source. Another interesting feature that comes with the addition of a triggering circuit—used in conjunction with a fully symmetrical startup circuit—is the possibility to create bi-phase signals. By starting the pulse randomly with phase 0 or 180 , the spectral lines that appear with a periodic signal can be reduced. Thereby, a more homogeneous power spectral density (PSD) is created (dithering effect) with the further benefits that this also allows higher average emitted power.

BARRAS et al.: LOW-POWER UWB WAVELETS GENERATOR WITH FAST START-UP CIRCUIT

Fig. 6. (a) Simulated and (b) measured differential outputs loaded by an equivalent impedance of 100 . The measured peak-to-peak voltage reaches 900 mV and the pulse length is 2.5 ns. A reduced number of bond wires to the test substrate ground causes a slight discrepancy during the envelope rise between t = 0:5 ns and t = 1:5 ns.

2143

Fig. 7. Calculated PSD of the measured and simulated signal (in dBm/MHz). The 10-dB bandwidth is 1.2 GHz. The UWB mask is shown as a reference.

0

E. Output Buffers Additional output buffers with 2.5-pF on-chip decoupling capacitors are implemented to isolate the oscillator core from the impedance variations of the load and, thus, to ensure a stable startup behavior. A source follower topology is used for the output transistors, whose bias source is switched on by the digital trigger signal only during the time of emission. This reduces the overall power consumption of the entire circuit. Furthermore, disabling the output buffer at the end of a pulse reduces the ringings of the resonator and, thus, avoids narrowing the signal bandwidth. V. RESULTS The circuit has been measured on a Duroid test substrate connected to an oscilloscope and a spectrum analyzer via microstrip lines and coaxial connections. Each port of the differential output has been measured on a separate channel of the oscilloscope. Each channel input presents a 50- load to the generator output. Consequently, the equivalent load for the differential output signal is 100 . Fig. 6 shows a comparison between a simulated differential output signal and the sampling oscilloscope’s differential channel measurements. Both simulation and measurements have the same bias conditions. Although short, the digital trigger signal of 2.5-ns duration that has been chosen clearly leads to the three oscillator’s phases (rise time, steady-state, and extinction phase) in the generated wavelet, which complies with the UWB bandwidth requirements. The oscillator starts within less than 1 ns, which matches well with the calculations illustrated in Fig. 4. At time 2 ns, we notice a short steady-state phase, which is preceding the extinction phase, whose duration is approximately 1 ns. Additionally, Fig. 6(b) illustrates the bi-phase signaling ability of the wavelet generator; both measurements show two wavelets superimposed, where phases 0 and 180 correspond to V and V, respectively. A good phase inversion behavior of 180 10 is attained owing to the balanced circuit implementation.

Fig. 8. Chip photograph of the UWB wavelet generator, the total chip area measures 0.92 1.32 mm .

2

The PSDs of the measured and simulated output signals shown in Fig. 6 are illustrated in Fig. 7. This graph shows the calculated average PSD in dBm/MHz for a pulse repetition rate of 10 Mp/s. The measured bandwidth is 1.2 GHz and is centered at 4.5 GHz, which compares well with the simulation results. For the measured case, we notice a small spectral outgrowth around 4 GHz, which widens the bandwidth somewhat. This component originates from a slight sweep of the instantaneous frequency during the wavelet’s transient extinction phase beyond ns. The resonator is loaded by an additional capacitance formed by the gate–oxide capacitance in series with the depletion capacitance between the channel and the transistor’s substrate. The measured peak PSD is less than 3 dB lower than the simulated peak PSD. This discrepancy can be explained partly by a slower than expected envelope rise. The most likely causes are underestimated on-chip parasitic effects and a limited number of ground pads, which increase the equivalent impedance connected in series with the tail current source, especially during the startup phase (inductive bond-wire effect). The measurement cables and the substrate board account for slightly less than 1-dB difference. However, the output amplitude of the wavelet generator can be modified by adjusting the bias of the differential pair. Thus,

2144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE I SUMMARY OF THE PERFORMANCES AND COMPARISON WITH PREVIOUSLY REPORTED IMPLEMENTATIONS

losses that occur in a T/R switch or a pre-select filter can be easily compensated. Another advantage of such a topology is that the spectrum is absolutely free from parasitic spikes. These spectrum lines occur typically in wavelet generators using up-conversion mixers with nonideal local oscillator (LO)-RF leakage characteristic or output gates having a nonideal forward isolation (especially at low pulse rates). Contrary to the solution using a mixer, which enables a more accurate bandwidth and sidelobe control by choosing the appropriate modulating signal, our implementation does not provides any means to control the spectrum, except by choosing the pulse length. The FCC’s UWB mask for indoor applications [2] is illustrated as a reference in Fig 7. The violation of the UWB mask between 1 and 2 GHz can be easily corrected by the additional attenuation of the pre-select filter in this band or by simply shifting the high-pass corner frequency defined by the series output capacitors. At a pulse repetition rate of 10 Mp/s, the circuit consumes an average power of only 1.8 mW at a nominal voltage of 1.8 V. In this configuration, the oscillator is switched on during 2.5 ns every 100 ns, which correspond to a duty cycle of 1/40 with a peak power requirements of 70 mW. Equivalently, the energy per pulse needed is 180 pJ. The average signal power delivered to an equivalent balanced 100- load is 20 dBm (10 W). The circuit is able to drive a 50- load with up to 20- W output power, thus having an overall efficiency above 1%. The total chip area including pads measures 0.92 1.32 mm , while the active area has a size of approximately 0.6 0.95 mm . A photograph of the chip is shown in Fig. 8. This fully integrated circuit implementation is significantly cheaper than other known pulse generators requiring external devices since a standard commercial 0.18- m CMOS technology process costs only approximately 0.1 U.S./mm for mass production. A summary of the characteristics of the proposed realization is provided in Table I and compared to other pulse generator implementations. To obtain a fair comparison, the measured power consumptions have to be weighted by the output peak-to-peak voltage given in the last column. VI. CONCLUSION The design, realization, and results of a fast starting lowpower UWB wavelet generator have been presented. An oscillating core based on a cross-coupled differential pair has been

used to generate a multicycle UWB signal. The entire circuit including output buffers is only switched on during the actual wavelet emission period such that its power consumption is linearly dependent on the pulse repetition rate and in the submilliwatt range for a pulse rate below 5 Mp/s. The UWB signal’s spectrum can be extended beyond 1 GHz and is centered at 4.5 GHz. Only two external low-speed digital signals have to be provided to drive the proposed wavelet generator. ACKNOWLEDGMENT This study benefited from the collaboration between ETH Zürich, Zürich, Switzerland, and the IBM Zürich Research Laboratory, Rueschlikon, Switzerland, within the joint Center for Advanced Silicon Electronics (CASE). In this context, the authors would like to acknowledge both Dr. M. Schmatz and B. Jagannathan, both with IBM, for their continuous support. The authors are also very grateful to C. Carta, Laboratory for Electromagnetic Fields and Microwave Electronics (IFH), Zürich, Switzerland, for the organization of the wafer run and M. Lanz, ETH Zürich, for providing substrate mounted test chips. REFERENCES [1] D. Porcino and W. Hirt, “Ultra-wideband radio technology: Potential and challenges ahead,” IEEE Commun. Mag., vol. 4, no. 7, pp. 66–74, Jul. 2003. [2] “FCC first report and order: In the matter of revision of part 15 of the Commission’s rules regarding ultra-wideband transmission systems,” FCC, Washington, DC, FCC 02-48, 2002. [3] M. Weisenhorn and W. Hirt, “Robust noncoherent receiver exploiting UWB channel properties,” in Proc. Joint Ultra-Wideband Syst. Technol. Conf., Kyoto, Japan, May 2004, pp. 156–160. [4] S. Paquelet, L. M. Aubert, and B. Uguen, “An impulse radio asynchronous transceiver for high data rates,” in Proc. Joint Ultra-Wideband Syst. Technol. Conf., Kyoto, Japan, May 2004, pp. 1–5. [5] I. Oppermann, L. Stoica, A. Rabbachin, Z. Shelby, and J. Haapola, “UWB wireless sensor networks: UWEN—A practical example,” IEEE Commun. Mag., vol. 42, no. 12, pp. 27–32, Dec. 2004. [6] T. Zasowski, F. Althaus, M. Stäger, A. Wittneben, and G. Tröster, “UWB for noninvasive wireless body area networks: Channel measurements and results,” in Proc. Int. Ultra-Wideband Syst. Technol. Conf., Reston, VA, Nov. 2003, pp. 285–289. [7] L. Stoica, S. Tiuraniemi, A. Rabbachin, and I. Oppermann, “An ultrawideband TAG circuit transceiver architecture,” in Proc. Joint UltraWideband Syst. Technol. Conf., Kyoto, Japan, May 2004, pp. 258–262. [8] D. Barras, F. Ellinger, W. Hirt, and H. Jaeckel, “A low supply voltage SiGe LNA for ultra-wideband front-ends,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 10, pp. 469–471, Oct. 2004.

BARRAS et al.: LOW-POWER UWB WAVELETS GENERATOR WITH FAST START-UP CIRCUIT

[9] J. Zhao, C. Maxey, A. Narayanan, and S. Raman, “A SiGe BiCMOS ultra-wideband RFIC transmitter design for wireless sensor networks,” in Proc. IEEE Radio Wireless Conf., Atlanta, GA, Sep. 2004, pp. 215–218. [10] J. Ryckaert et al., “Ultra-wideband transmitter for low-power wireless body area networks: Design and evaluation,” IEEE Trans. Circuits Syst. —Part I: Regular Papers, vol. 52, no. 12, pp. 2515–2525, Dec. 2005. [11] D. D. Wentzeloff and A. P. Chandrakasan, “A 3.1–10.6 GHz ultrawideband pulse-shaping mixer,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Long Beach, CA, Jun. 2005, pp. 83–86. [12] “XS110 UWB solution for media-rich wireless applications,” FreeScale, Austin, TX, 2005 [Online]. Available: http://www. freescale.com [13] K. Marsden, H.-J. Lee, D. S. Ha, and H.-S. Lee, “Low power CMOS reprogrammable pulse generator for UWB systems,” in Proc. Int. Ultra Wideband Syst. Technol. Conf., Reston, VA, Nov. 2003, pp. 443–447. [14] H. Kim, D. Park, and Y. Joo, “Design of CMOS Scholtz’s monocycle pulse generator,” in Proc. IEEE Ultra-Wideband Syst. Technol. Conf., Nov. 2003, pp. 81–85. [15] J. F. M. Gerrits and J. R. Farserotu, “Wavelet generation circuit for UWB impulse radio applications,” Electron. Lett., vol. 38, no. 25, pp. 1737–1738, Dec. 2002. [16] S. Bagga, W. A. Serdijn, and J. R. Long, “A PPM Gaussian monocycle transmitter for ultra-wideband communications,” in Proc. Joint UltraWideband Syst. Technol. Conf., Kyoto, Japan, May 2004, pp. 130–134. [17] J. Han and C. Nguyen, “A new ultra-wideband, ultra-short monocycle pulse generator with reduced ringing,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 6, pp. 206–208, Jun. 2002. [18] B. Razavi, “Differential amplifiers,” in Design of Analog CMOS Integrated Circuits, 1st ed. Boston, MA: McGraw-Hill, 2000, ch. 4, pp. 100–134. [19] J. Joe, “Cellonics UWB pulse generators,” presented at the Proc. Int. Ultra Wideband Syst. Workshop, Oulu, Finland, Jun. 2003, Paper 1029. [20] B. van der Pol, “The nonlinear theory of electric oscillations,” Proc. IRE, vol. 22, no. 9, pp. 1051–1086, Sep. 1934. [21] F. Verhulst, “The method of averaging,” in Nonlinear Differential Equations and Dynamical Systems, 2nd ed. Berlin, Germany: Springer, 1999, ch. 11, pp. 136–165.

David Barras (S’02) was born in Sierre, Switzerland, in 1972. He received the Master’s degree in electrical engineering from the Swiss Institute of Technology of Lausanne (EPFL), Lausanne, Switzerland, in 1997, and is currently working toward the Ph.D. degree at the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland. From 1997 to 2001, he was with a subsidiary of the Swatch Group in Switzerland, where he worked as an RF/Antenna Engineer. In 2001, he joined the ETH. His main interests are WPANs, RF transceivers, and the design of silicon-based RF circuits for low-power wireless applications.

Frank Ellinger (S’97–M’01) was born in Friedrichshafen, Germany, in 1972. He received the M.S. degree in electrical engineering from the University of Ulm, Ulm, Germany, in 1996, both the Masters degree in business and administration (MBA) and Ph.D. degree in electrical engineering, and both the Habilitation (post-doctoral) degree in electrical engineering, and Venia Legendi (university teaching degree) in circuit design from the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland, in 2001 and 2005, respectively.

2145

In 2001, he was with the Wireless Marketing Division, Infineon, Munich, Germany. Since 2001, he has been Head of the RFIC Design Group of the Electronics Laboratory, ETH, and Project Leader of the IBM/ETH Competence Center for Advanced Silicon Electronics, Rüschlikon, Switzerland. He also lectures at the ETH. His main interests are the design of integrated circuits for high-speed wireless and optical communication. He has authored as primary author over 40 refereed papers, most of them IEEE journal contributions. He holds three patents. Dr. Ellinger was program chair of the Workshop on Compound Semiconductor Devices and Integrated Circuits Europe in 2003. In 2005, he became an Associate Editor of the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. One of his recent publications has been among the third most-read (downloaded) IEEE JOURNAL OF SOLID-STATE CIRCUITS papers in May 2004. He was the recipient of several awards including the Rohde & Schwarz/Agilent/Gerotron EEEfCOM Award, the Denzler Award of the Swiss Electrotechnical Association (SEV), the ETH Medal, and the Young Ph.D. Award of the ETH (Bonus 29).

Heinz Jäckel (M’82) received the Ph.D. degree in electrical engineering from the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland, in 1979. In 1980, he joined IBM, where he held scientific and management positions for 13 years in the research laboratories Ruschlikon, Switzerland, and Yorktown Heights, NY. During this time, he carried out research projects in the field of device and circuit design for superconducting Josephson Junction Computers, GaAs-MESFET logic, and memory integrated circuits (ICs) and optoelectronics. In 1988, he was instrumental in the establishment of the opto-electronic project at IBM, where he subsequently managed the optical storage devices activities. He has been a Full Professor of analog electronics with the Electronics Laboratory, ETH, since 1993. The research activities of his High Speed Electronics and Photonics Group at ETH concentrate on the following topics: technology, design and characterization of ultrafast transistors (mainly InP-based heterojunction bipolar transistors) and circuits for multi-10-Gb electronics, integrated-circuit (IC) design of RF circuits for mobile communication, and CMOS-application-specific integrated circuits (ASICs) for sensory technology. In the area of lightwave communication, the group pursues research on photonic devices and integrated optical circuits for data transmission, particularly InP-based all-optical devices for all optical signal processing at terabit/s data rates.

Walter Hirt (S’75–M’79–SM’02) received the Ing. HTL degree from the HTL Brugg-Windisch, Brugg-Windisch, Switzerland, in 1971, the B.A.Sc. and M.A.Sc. degrees from the University of Toronto, Toronto, ON, Canada, in 1977 and 1979, respectively, and the Ph.D. degree (Dr. sc. techn.) from the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland, in 1988 for information-theoretic work. Earlier, in 1980, he had joined the IBM Zürich Research Laboratory, Ruschlikon, Switzerland, where he contributed to the application of modern signal processing concepts in digital magnetic disk storage devices. In the 1990s, he focused on the development and standardization of wireless optical systems (IrDA VFIr). His recent interests cover UWB radio technology, including its worldwide regulation and standardization. He is an initiator of the European-Union-funded research project PULSERS that is dedicated to the development of pervasive UWB radio systems. He is the inventor or coinventor of numerous patented concepts for which he was named Master Inventor at IBM Research.

2146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

A Novel Self-Coupled Dual-Mode Ring Resonator and Its Applications to Bandpass Filters Yng-Huey Jeng, Student Member, IEEE, Sheng-Fuh R. Chang, Member, IEEE, Yi-Ming Chen, Student Member, IEEE, and Yu-Jen Huang

Abstract—A novel dual-mode ring resonator with self-coupled segments, called a self-coupled ring resonator, is proposed. The self-coupling between ring segments provides the same mode perturbation effect as the conventional methods of adding stub, cutting notch, or varying line impedance on the ring resonator. The mode perturbation and transmission-zero generation due to the self-coupling effect are analyzed with the even–odd mode theory. The self-coupled ring resonator can have the capacitive or inductive perturbation simply by controlling the impedance ratio and coupling coefficients of self-coupled sections. For both perturbation cases, the transmission zeros exist at even multiples of the passband center frequency, resulting in wide stopband range. Also, only for the capacitive perturbation case, two transmission zeros are found on both sides of the passband, which brings a pseudoelliptic bandpass response. In comparison with the regular uniform ring resonator, the self-coupled ring resonator takes shorter ring length, giving the compact size feature when applied to a bandpass filter design. A 2.45-GHz low-temperature co-fired ceramic bandpass filter based on the self-coupled ring resonator was designed to verify the proposed theory. Index Terms—Bandpass filter, dual mode, even–odd mode, perturbation, self-coupled.

I. INTRODUCTION ANDPASS filters based on the dual-mode ring resonator have been extensively investigated since the dual-mode characteristic of the ring resonator was first analyzed by Wolff and Knoppik [1]. The uniform ring resonator has degenerate modes, which are orthogonal and have identical resonant frequencies. When this uniform ring resonator is fed by an asymmetric arrangement of feeding lines or the circuit profile is perturbed, the degenerate modes become coupled, resulting in a narrowband bandpass response [2]. Based on this mode perturbation mechanism, numerous dual-mode bandpass filters have then been reported using different perturbation schemes, including: 1) the distributed perturbation by using a stepped-impedance ring resonator [3]; 2) the capacitive perturbation, leading to an elliptic response, by adding single or multiple open stubs symmetrically on the ring [4]–[6]; and

B

Manuscript received August 25, 2005; revised December 25, 2005. Y.-H. Jeng, Y.-M. Cheng, and Y.-J. Huang are with the Department of Electrical Engineering, National Chung Cheng University, Taiwan, R.O.C. (e-mail: [email protected]; [email protected]; aerowaterfall@ yahoo.com.tw). S.-F. R. Chang is with the Department of Electrical Engineering, the Department of Communication Engineering, and the Center for Telecommunication Research, National Chung Cheng University, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.873632

Fig. 1. Proposed self-coupled ring resonator. (a) Schematic and (b) even- and (c) odd-mode equivalent circuit. BD as the reference plane.

3) the inductive perturbation by cutting single or multiple notches on the ring [2], [6]. In this paper, we propose a new distributed perturbation scheme by coupling adjacent segments of the ring resonator, which brings the advantage of ease of implementation since extra stubs or notches are of no need. Furthermore, the proposed self-coupled ring resonator shifts the resonant frequency toward the lower frequency such that the circuit size can be further reduced. In Section II, the mode perturbation due to the self-coupled effect is analyzed. In Section III, the generation of the transmission zero is discussed. A bandpass filter application with the proposed self-coupled ring resonator is designed in Section IV, where the simulation and measurement results are described in detail. Finally, a conclusion is given in Section V. II. MODE PERTURBATION The schematic diagram of the proposed self-coupled ring resonator is shown in Fig. 1(a), which is composed of the first coupled pair at the center section, connected with two other coupled pairs at both ends. The central coupled section has the physical length of , the coupling coefficient of , and the characteristic impedance of . Two coupled sections at the ends have the same parameters: the physical length of , the coupling coefficient of , and the characteristic impedance . The structure is symmetric to line and line . In the following derivation, the layout discontinuity effect is neglected.

0018-9480/$20.00 © 2006 IEEE

JENG et al.: NOVEL SELF-COUPLED DUAL-MODE RING RESONATOR AND ITS APPLICATIONS TO BANDPASS FILTERS

2147

A. Resonance Conditions Since the structure is symmetric with respect to the line , the resonance condition can be derived by using the even-odd mode theory with as the reference plane. The equivalent even- and odd-mode circuits are given in Fig. 1(b) and (c), obtained from the replacement of the reference plane with the magnetic and electric walls, respectively. When the even mode resonates at , the impedance at position vanishes as follows:

(1) and are the electrical lengths corresponding to the where physical lengths and , respectively, at the even-mode resonant frequency . Thus, the even-mode resonance condition is obtained as

(2) When the odd mode resonates at vanishes as follows:

Fig. 2. Charge distribution on the self-coupled ring resonator for the case of R = 0:74, c = 0, and c = 0:4. (a) Even mode. (b) Odd mode.

, the admittance at position

(3) and are the electrical lengths corresponding to In (3), the physical lengths and , respectively, at the odd-mode resonant frequency . Hence, the odd-mode resonant condition becomes

(4) To further simplify (2) and (4), the following relationship of the coupling coefficient and characteristic impedance to evenand odd-mode impedances of parallel-coupled lines is used:

Let the impedance ratio be the ratio of the characteristic impedances of the end coupled section to the central coupled section, i.e., . The even- and odd-mode resonance conditions (2) and (4) then become

(5) (6)

The derived resonance conditions (5) and (6) reveal that the even and odd modes are split due to the impedance change and the self-coupling of the ring. The impedance ratio and coupling coefficients and determine the nature (capacitive or inductive) and extent of the mode splitting. 1) Special Case: Uniform and Uncoupled Ring Resonator: When both and equal zero and the impedance ratio equals 1, the self-coupled ring resonator is reduced to a uniform ring resonator in [1]. The even and odd modes are degenerated and have the identical resonant frequency . At , the total length of the ring equals one wavelength, i.e., , where and are the electrical lengths corresponding to the physical lengths and , respectively. The degenerated modes are orthogonal to each other and, when the input and output feed lines are 90 apart, the output signals through two paths are out-of-phase such that a bandstop characteristic is observed [8]. 2) Special Case: Stepped-Impedance Resonator: When both and equal zero and is different from 1, the self-coupled ring resonator reduces to a stepped-impedance resonator, as investigated in [3]. The even and odd modes are separated such that the ring behaves as a narrowband bandpass filter when the input and output feed lines are 90 apart. 3) Special Case: Self-Coupled Stepped-Impedance Resonator: When equals and is different from 1, the self-coupled ring resonator becomes a self-coupled steppedimpedance resonator, which is not yet reported in the literature. Its characteristic is similar to the case in 2). 4) Capacitive Perturbation ( , ): When the impedance ratio is less than 1 and is different from , the ring resonator is perturbed in a similar way as the conventional uniform ring, capacitively perturbed by adding open stubs [4]–[8]. The electric charge distributions of the even- and odd-mode are simulated for an exemplary case of , , and . Fig. 2 shows that the even-mode electric

2148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 3. Normalized resonant frequencies with respect to the impedance ratio R.

charges are densely distributed on the end sections and, in contrast, the odd-mode charges are densely populated around the central section. This implies that the even mode has stronger electric energy density around the end sections, while the odd mode has stronger magnetic energy density at end sections. For this capacitive perturbation case, it will be found out, from the following discussion in Section II-B, that the even mode resonates at a lower frequency than the odd mode. 5) Inductive Perturbation ( , ): When the impedance ratio is larger than one , the ring resonator is inductively perturbed, similar to the conventional uniform ring with the notch perturbation [7]. In this case, the even mode resonates higher than the odd mode, which will be demonstrated in Section II-B. B. Effect of Impedance Ratio Solving (5) and (6) gives the relationship of the even- and odd-mode resonant frequencies with the impedance ratio and coupling coefficients. To illustrate the dependence of mode resonant frequencies on the impedance ratio, one exemplary case of and is plotted in Fig. 3. For the capacitive perturbation situation , the odd-mode resonant frequency is higher than the even mode. In contrast, the odd-mode resonates lower than the even mode for the inductive perturbation situation . The difference of the even- and odd-mode resonant frequencies becomes larger when the impedance ratio departs further away from 1. Nevertheless, the geometric average resonant frequency is insensitive to the impedance ratio.

Fig. 4. Calculated normalized resonant frequencies with respect to the central self-coupling coefficient c (here, c = 0). (a) Capacitive perturbation. (b) Inductive perturbation.

quency . Therefore, a bandpass filter, based on the ring resonator with a dominant central self-coupling, will have a smaller circuit size than the filters designed with the uncoupled uniform ring resonator. Second, consider the end self-coupling case and . The odd- and even-mode resonant frequencies both increase with the coupling coefficient for both capacitive and inductive perturbations, as indicated in Fig. 5. Hence, the average resonant frequency increases with the end coupling coefficient .

C. Effect of Coupling Coefficient To examine the effect of self-coupling through the central and end sections, the resonance conditions (5) and (6) are solved in two respective situations. First, consider the central self-coupling case and . Fig. 4 shows that, for both capacitive and inductive perturbations, the odd- and even-mode resonant frequencies decrease with the increase of the central self-coupling coefficient , which then results in the decrease of the average resonant fre-

III. TRANSMISSION ZEROS The coupling matrix method [8]–[11] and the admittance matrix method [3] have been used to investigate the existence of the transmission zero of the dual-mode ring resonator. In the following, the admittance matrix method is adopted. By referring to Fig. 6, the self-coupled ring resonator can be considered as a two-port circuit with two parallel branches, connected between the input and output feed points. The left branch

JENG et al.: NOVEL SELF-COUPLED DUAL-MODE RING RESONATOR AND ITS APPLICATIONS TO BANDPASS FILTERS

2149

Fig. 6. Single-self-coupled ring resonator. (a) Schematic diagram. (b) Equivalent model with Y matrices.

Fig. 5. Calculated normalized resonant frequencies with respect to the end selfcoupling coefficient c (here, c = 0). (a) Capacitive perturbation. (b) Inductive perturbation.

consists of a portion of the central coupled section with the coupling coefficient and the electric length , and one coupled section with the coupling coefficient and the electric length . The trans-admittance of this branch can be obtained as

The right branch has a similar configuration, a portion of the central coupled section with the coupling coefficient and the electric length , where , cascading with the other end-coupled section of the coupling coefficient and electric length . The trans-admittance of this branch can be obtained as follows:

(8) where

(7) where

Therefore, the total trans-admittance is simply the summation of and , i.e., . The transmission zero exists if vanishes. The spectral response of for the cases of various values with and is plotted in Fig. 7. It shows that, for the case of capacitive perturbation

2150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 7. Spectral response of Y

of the self-coupled ring resonator.

, there exists two transmission zeros near the fundamental passband, one located at one side of the passband. When the impedance ratio becomes greater than 1 (inductive perturbation), no transmission zero exists near the fundamental passband. This finding agrees with the result found in [7] and [12]. In addition, a remarkable feature is that extra transmission zeros exist approximately at even multiples of the fundamental passband frequency for both capacitive and inductive perturbations. This enhances the suppression of even-harmonic spurious passbands such that the stopband range is considerably increased. IV. BANDPASS FILTER APPLICATION A 2.45-GHz bandpass filter is designed with the proposed self-coupled ring resonator on a low-temperature ceramic co-fired (LTCC) substrate. The five-layer LTCC substrate has a dielectric constant of 7.8, a loss tangent of 0.0043, and a dielectric layer thickness of 90, 90, 135, 90, and 90 m, respectively. The design procedure is outlined below. A. Initial Dimension Estimation To have pseudoelliptic filter performance, the capacitive perturbation is selected according to the results presented in Section III. We choose the impedance ratio . To have a minimized ring size, we choose the ring structure with dominant central self-coupling and based on the finding in Section II-C. By substituting these values into (5) and (6), the coupled lengths are then obtained: mm and mm. The input and output tapping positions are estimated from solving , as discussed in Section III. Therefore, we obtain the initial circuit dimensions. We then move to the circuit layout and electromagnetic simulation. B. LTCC Layout The central coupled section with a strong coupling coefficient is implemented on layers 3 and 4 to form a broadside coupling. The input and output feed lines are placed on layers 2 and 5. Layers 1 and 6 are used as ground planes, connected by through vias to keep equip-potential grounding. The input and output ground–signal–ground (G–S–G) pads are left on layer 1

Fig. 8. Layout schematic of 2.45-GHz LTCC dual-mode bandpass filter.

for on-chip measurement. The layout schematic is illustrated in Fig. 8. The LTCC filter size is 2.4 14.2 mm , which is only 23% of the conventional dual-mode ring filter. C. Three-Dimensional (3-D) Electromagnetic Simulation The 3-D electromagnetic simulator HFSS1 was conducted to include dielectric loss, conductor loss, cross-layer spurious coupling, and finite ground-plane effects. The simulation results are shown by the dash lines in Fig. 9, where the simulation insertion loss is 2.3 dB and the return loss is 17 dB at 2.45 GHz. The transmission zeroes are locate at 2.18 and 2.82 GHz on both sides of passband. The third transmission zero is at 5.6 GHz, suppressing the second-harmonic level down to 53 dB. The spurious passband occurs at 9.2 GHz, which is approximately four times the passband central frequency of 2.45 GHz. The simulated result shows this filter is a second-order filter. If a higher order response is required, a cross-coupled arrangement, as reported in [13], [14], with such a resonator can be used. D. Measurement The G–S–G probes with 250- m pitch are used for on-chip measurement, which are connected to the vector network analyzer for obtaining the scattering parameters. The measured results are illustrated by the solid line in Fig. 9. The measured center frequency is at 2.5 GHz, which is 50-MHz up-shifted from the simulation. The measured insertion loss is 2.7 dB and the return loss is 15 dB at 2.5 GHz. The transmission zeroes are measured at 2.16 and 2.90 GHz, respectively, which is a 80-MHz difference from the simulation. This is due to the LTCC process tolerance such that the actual impedance ratio is slightly less than the designed value of 0.9. Although the transmission-zero frequencies are slightly changed, their resultant stopband rejection is not deteriorated. At 2.1 GHz, where the third-generation mobile communication 1HFSS

V9.1, Ansoft Corporation, Pittsburgh, PA, 2003.

JENG et al.: NOVEL SELF-COUPLED DUAL-MODE RING RESONATOR AND ITS APPLICATIONS TO BANDPASS FILTERS

2151

the greater the frequency difference between the even and odd modes. If taking the average of the even- and odd-mode resonant frequencies, the average resonant frequency is insensitive to the impedance ratio, but, instead, decreases with the central coupling coefficient and increases with the end coupling coefficient . The transmission zeros on both sides of passband have been proven to exist only for the capacitive perturbation case , which brings a beneficial pseudoelliptic bandpass filter response. However, for the inductive perturbation, no transmission zero exists near the passband. Nevertheless, additional transmission zeros for both capacitive and inductive perturbations are located at even multiples of the passband frequency. This property dramatically enhances the stopband range for bandpass filter applications. An LTCC bandpass filter has been designed with the proposed self-coupled ring resonator of , , and . The circuit size is only 23% of the uncoupled uniform ring case. The measured insertion loss is 2.7 dB and the return loss is 15 dB at 2.5 GHz with two transmission zeroes close to the passband at 2.16 and 2.9 GHz and the third at 5.65 GHz, which results in at least 30-dB stopband rejections up to 6 GHz. The measurement results excellently match the simulation prediction, which demonstrates that a compact pseudoelliptic bandpass filter can be obtained based on the proposed self-coupled dual-mode ring resonator. REFERENCES

Fig. 9. Measurement and simulation results of a five-layer LTCC bandpass filter using the self-coupled ring resonator with R = 0:9, c = 0, c = 0:4, ` = 4:9 mm, and ` = 4:6 mm.

operates, the rejection achieves 45 dB. In the 5.15–5.35-GHz range, where the high data-rate wireless local area network (WLAN) system operates, the rejection is 43 dB. The lower stopband attenuation is better than 23 dB from 50 MHz to 2.4 GHz and the upper stopband rejection is at least 30 dB up to 6 GHz. V. CONCLUSION A new dual-mode ring resonator has been proposed, which is composed of one central coupled section connected to two identical coupled sections at both ends. The central coupled section has the coupling coefficient , characteristic impedance , and length . The coupled sections at both ends have the same coupling coefficient , characteristic impedance , and length . The mode-splitting mechanism resulted from the change of the ring characteristic impedance and the self-coupling through the central and end sections. The perturbation nature is primarily determined by the impedance ratio , where the capacitive perturbation is generated by and the inductive perturbation is generated by . The larger the impedance ratio,

[1] I. Wolff and N. Knoppik, “Microstrip ring resonator and dispersion measurements on microstrip lines,” Electron. Lett., vol. 7, no. 26, pp. 779–781, Dec. 1971. [2] I. Wolff, “Microstrip bandpass filter using degenerate modes of a microstrip ring resonator,” Electron. Lett., vol. 8, no. 12, pp. 302–303, Jun. 1972. [3] M. Matsuo, H. Yabuki, and M. Makimoto, “Dual-mode steppedimpedance ring resonator for bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1235–1240, Jul. 2001. [4] A. Gorur, “A novel dual-mode bandpass filter with wide stopband using the properties of microstrip open-loop resonator,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 386–388, Oct. 2002. [5] A. Gorur, C. Karpuz, and M. Akpinar, “A reduced-size dual-mode bandpass filter with capacitively loaded open-loop arms,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 385–387, Sep. 2003. [6] B. T. Tan, J. J. Yu, and S. T. Chew, “A miniaturized dual-mode ring bandpass filter with a new perturbation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 343–348, Jan. 2005. [7] A. Gorur, “Description of coupling between degenerate modes of a dual-mode microstrip loop resonator using a novel perturbation arrangement and its dual-mode bandpass filter application,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 671–677, Feb. 2004. [8] L. H. Hsieh and K. Chang, “Compact, low insertion-loss, sharp-rejection, and wide-band microstrip bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1241–1246, Apr. 2003. [9] J. S. Hong and M. J. Lancaster, Microstrip Filter for RF/Microwave Applications. New York: Wiley, 2001. [10] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [11] S. Amari and U. Rosenberg, “Direct synthesis of a new class of bandstop filters with source-load coupling,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 264–266, Jun. 2001. [12] S. Amari, “Comments on ‘Description of coupling between degenerate modes of a dual-mode microstrip loop resonator using a novel perturbation arrangement and its dual-mode bandpass filter applications’,” IEEE Trans. Microw. Theory Tech.’, vol. 52, no. 9, pp. 2190–2192, Sep. 2004.

2152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

[13] L. H. Hsieh and K. Chang, “Dual-mode quasi-elliptic-function bandpass filter using ring resonators with enhanced-coupling indeed tuning stubs,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 5, pp. 1340–1345, May 2002. [14] J. S. Hong and M. J. Lancaster, “Compact microwave elliptic function filter using novel microstrip meander open-loop resonators,” Electron Lett., vol. 32, pp. 563–564, 1996.

Yng-Huey Jeng (S’05) received the M.S. degree in physics from the National Chung Cheng University, Taiwan, R.O.C., in 1997, and is currently working toward the Ph.D. degree in electrical engineering at National Chung Cheng University. Her research interests include microwave filters in LTCC and organic substrates and high-power microwave sources.

Sheng-Fuh R. Chang (S’83–M’92) received the B.S. and M.S. degrees in communications engineering from National Chiao-Tung University, Taiwan, R.O.C., in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from the University of Wisconsin–Madison, in 1991. He was with the Center for Plasma Theory and Computation, University of Wisconsin–Madison, where he was involved with high-power microwave and millimeter-wave sources such as free-electron lasers and Cerenkov masers. In 1992, he joined the Hyton Technology Corporation, where he was responsible for C - and Ku-band satellite low-noise down-converter and multipoint microwave-dis-

tribution system (MMDS) transceivers. In 1994, he joined the Department of Electrical Engineering, National Chung Cheng University, Taiwan, R.O.C., where he is currently a Full Professor with the Department of Electrical Engineering and is also the Vice Director of the Center for Telecommunication Research. His research interests include microwave and millimeter-wave integrated circuits with CMOS, heterojuncton bipolar transistor (HBT), and pseudomorphic high electron-mobility transistor (pHEMT) technologies, multifunctional RF transceivers, smart-antenna RF systems, and high-power microwave sources. Prof. Chang is a member of Phi Tau Phi and Sigma Xi.

Yi-Ming Chen (S’04) was born in Hsinchu, Taiwan, R.O.C., in 1977. He received the M.S. degree in computers and communication from Shu-Te University, Taiwan, R.O.C., in 2003, and is currently working toward the Ph.D. degree in electrical engineering at National Chung Cheng University, Taiwan, R.O.C. His research focus on 3-D miniature microwave and millimeter-wave filter techniques.

Yu-Jen Huang was born in Hsinchu, Taiwan, R.O.C., in 1981. He received the M.S. degree in electrical engineering from National Chung-Cheng University, Chia-Yi, Taiwan, R.O.C., in 2005. He is currently a Research and Development Engineer with the Measurement/Calibration Department, Electronics Testing Center, Taiwan, R.O.C. His research interests are WLAN communication systems and antenna design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

2153

Space-Mapping Optimization of Planar Coupled-Resonator Microwave Filters Smain Amari, Member, IEEE, Conrad LeDrew, and Wolfgang Menzel, Fellow, IEEE

Abstract—This paper presents an iterative technique for the design of planar coupled-resonator microwave filters, which exploits initial information on the equivalent circuit elements within the space-mapping technique. To accelerate the convergence of the design process, information on the dependence of the elements of the equivalent circuit on adjustable geometrical and physical parameters, which is available from the initial design step, is used. The technique is applied to design harmonic-reject planar filters. Results from applications to fourth- and sixth-order filters show that the successful designs are achieved with at most two iterations. A sixth-order harmonic-reject filter is then fabricated and measured. Index Terms—Design, harmonic reject, microwave filters, optimization, space mapping (SM).

I. INTRODUCTION HE DESIGN of microwave filters continues to attract considerable attention. Although direct design techniques that yield relatively good initial responses have been known for some time, the final designs are obtained only through an optimization process. Over the last few years, new efficient optimization strategies have been introduced [1]–[4]. The success of these algorithms is due to their use of circuit models as an intermediary step instead of a direct optimization in which a full-wave simulator is driven by an optimization algorithm. The circuit model embodies only the essential information needed to meet the specifications of the filter and sheds all the redundant information that the fine model behind the full-wave simulator contains. Indeed, it is now possible to use intensive and slow numerical techniques to optimize large filtering structures that are simply impossible to handle through a direct optimization [4]. A general framework within this paradigm is the space-mapping (SM) technique [1]. In this technique, with its multitude of variations, two different optimization spaces, i.e., the fine and the coarse, are used. The fine model can be a full-wave model

T

Manuscript received August 22, 2005; revised January 26, 2006. This work was supported in part by the Natural Science and Engineering Research Council of Canada. S. Amari is with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada K7K 7B4 (e-mail: [email protected]). C. LeDrew was with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada K7K 7B4. He is now with the Avionics Installation Section, Aerospace Telecommunications and Engineering Support Squadron, Trenton, ON, Canada K0K 3W0 (e-mail: terry. [email protected]). W. Menzel is with Microwave Techniques, University of Ulm, D-89069 Ulm, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872811

based on the method of moments, mode-matching, finite-element, or other numerical techniques or simply direct measurement. It is assumed to produce an accurate representation of the response of the structure. This can be achieved by using a fine mesh or by keeping a large enough number of modes. On the other hand, the coarse model may be a less accurate version of the fine model in which a larger mesh or fewer modes are used or a mono-mode equivalent circuit. A mapping is then established between the points of the fine and coarse spaces at each iteration. A point in the coarse space is mapped onto one in the fine space if the corresponding fine and coarse models yield the same response. The technique has been applied to engineering problems in many areas [5]. Some of its convergence properties have also been investigated [6]. The use of a coarse model, such as a finite-element analysis with a larger mesh size or a mode-matching analysis with a reduced number of modes, introduces an element of arbitrariness in the process. Although the use of faster exploratory tests through the coarse model can be fruitful in quickly establishing regions of possible solutions in the coarse domain, there is no means to deciding what the boundary of coarseness is. The reliability of this rather intuitive process that has been used by engineers for decades is not guaranteed, especially for higher order and strongly correlated systems. From an examination of the physics of the problems we are dealing with, i.e., microwave filters, it is obvious that their response is fully specified by their physical and geometrical characteristics. The need for two separate spaces to represent the structure is not obvious. Despite this, it is undeniable that the SM modeling provides a vastly superior design strategy than classical brut-force optimization [5]. In this paper, we propose arguments to explain why using an equivalent circuit (surrogate) converges considerably faster than employing a classic “brut-force” optimization. Arguments are advanced to show that the process is equivalent to a nonlinearity “localization.” The nonlinearity is mainly kept in the parameter extraction (PE) step of the process. Since this involves much simpler electrical networks and only manipulation of the data from a single full-wave analysis or measurement at a time, it can be carried out in negligible CPU times. A simple example, with well-defined assumptions, is used to show that the nonlinearity in the cost function of the corresponding classical brut-force optimization based on the scattering parameters is reduced to a linear programming problem by the introduction of an equivalent circuit. The results of this example provide a crucial clue that allows better design strategies to be established through a judicious use of a priori information on the elements of the equivalent circuit. This a priori information is most often

0018-9480/$20.00 © 2006 IEEE

2154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

The main task of the design is to determine the optimal values and such that the scattering parameters are equal to the specifications as given by and . This can be done by minimizing a cost function of the form

Fig. 1. Coupling and routing scheme of a second-order Chebyshev filter. The structure is symmetric with respect to its center.

(3) available as a prelude to the initial design. In microwave coupled resonator filter design, for example, curves giving the coupling coefficients versus geometrical parameters, such as separation between resonators, are first determined and then used in the initial design. In fact, the design curves are not more than an approximation to the mapping of the SM formulation. This simple connection does seem to have been made by microwave filter designers. Here, we propose to use this information not only for the initial design, but during the optimization process as well. We then apply the technique to design planar harmonic-reject filters of orders 4 and 6. II. EXAMPLE For simplicity, we focus attention on a second-order Chebyshev filter whose coupling and routing scheme is shown in Fig. 1. Here, the dark disks represent resonators and the empty ones are the input and output loads normalized to unity. The resonators are modeled as unit capacitors in parallel with frequency-independent reactances to account for the shifts in the resonant frequencies. The lines between any two nodes are frequency-independent inverters (coupling coefficients). The normalized low-pass frequency is denoted by . We assume that this model provides a faithful description of the response of the actual system within the frequency range of interest. In other words, a set of values of the elements of the model to match the actual response of the filter is assumed to exist. This is a very crucial assumption. In order to show the effect of using an equivalent circuit as an intermediary step in the design (SM), we assume that the coupling coefficients depend linearly on dedicated optimization variables such that

where are judiciously chosen frequency points. Other cost functions are naturally possible and may even be more adequate [8]; this is not important for our discussion. If a cost function based directly on the scattering parameters in (2) is used to determine the optimal values of the parameters and to meet a given set of specifications, it is obvious that we are dealing with a highly nonlinear function in the optimization variables despite the fact that the elements of the equivalent network are linear functions of these very same variables as given by (1). The crucial task is to preserve the simple relationship between the optimization variables and the elements of the equivalent network during the optimization process. Let us now assume that a full-wave (and time-consuming) simulation is carried out on an initial design and produced scattering parameters and . If the initial design falls within the range of the model, then a set of parameters and can be extracted in such a way that the response of the model is equal to that of the initial design as obtained from the full-wave analysis. It is very important to emphasize that the values of and are obtained here from the full-wave simulation through PE and are not those obtained from the synthesis. For example, for a Chebyshev filter, the extracted value of is not necessarily zero, whereas its value obtained from the synthesis is indeed zero. If the initial values of the optimization variables are denoted by and , the PE process gives the following relations:

(4)

(1) and are optimization paHere, – are constants and rameters. The scattering parameters of this network, as a function of the normalized frequency , are easily found to be [7]

(2)

Given the linear relationships between the coupling coefficients and the optimization variables, we only need one more independent PE per parameter in order to fully determine the constants in (1) or (4). In actual problems, the linearity holds only for small changes in the adjustable variables. We can, therefore, perturb the variables around the initial design to calculate the gradient of the coupling coefficients. This can be done by finite differencing and would require three additional fullwave simulations in this example, or analytically in some cases with no additional full-wave simulation. The dependence of the coupling coefficients on the optimization variables is now fully established.

AMARI et al.: SM OPTIMIZATION OF PLANAR COUPLED-RESONATOR MICROWAVE FILTERS

To obtain the optimal values and , we can simply use (1) since the constants – are now known. As long as (1) hold, the process will converge in one iteration. At this point, it seems that the nonlinearity in the initial optimization problem simply disappeared. In fact, what happened is the following. The initial nonlinear optimization problem was replaced by the following two-step procedure within the SM procedure. Step 1) A PE problem. This step still contains the initial nonlinearity. However, the PE is much less CPU taxing and involves only one full-wave simulation at a time. It simply manipulates the data obtained from the full-wave simulation in order to extract the elements of the equivalent network. Step 2) An inversion of the relationships between the coupling coefficients (1) to get the next value of optimization variables. This discussion brings out the PE step as the most crucial step of the procedure. In fact, it is known that SM fails to converge when multiple solutions to the PE problem exist [9]. Techniques to tackle this problem have been proposed [9]. For microwave filters, it is known that the PE problem has a unique solution for canonical topologies such as folded structures or direct-coupled resonator filters. In actual implementations of SM, it is often assumed that the relationship between the elements of the equivalent circuit and the optimization variables is linear. This holds only for small changes in the variables. Most importantly, for coupled resonator microwave filter design, these relationships can be quite adequately established by using well-known techniques as long as higher order modes and parasitic effects are not significant [10], [11]. From the plots of the elements of the equivalent circuit versus the optimization variables, the correctness of the linear approximation can be assessed and then used to set acceptable step sizes in the design process. Should these relationships be correctly approximated by simple and invertible functions, the number of iterations can be reduced significantly by using such a priori information. It should, however, be stressed that microwave filters whose design curves are rapidly varying functions of the optimization variables are likely to be of limited practical value because of their increased sensitivity to manufacturing errors. III. FILTER STRUCTURE AND INITIAL DESIGN We are interested in designing planar bandpass filters with wide stopbands, i.e., harmonic-reject filters. To achieve this, it is necessary to increase the separation in frequency between the dominant resonance and higher order resonances. One possibility is to use what is called stepped-impedance resonators (SIRs), as shown in Fig. 2 for the case of a four-resonator filter. The dimensions of each resonator are first adjusted to put the first spurious resonance at more than four times the dominant one. The steps are detailed, for example, in [12]. Other considerations such as the factors of the resonators can be handled at this stage by forcing a tradeoff between the width of the spurious-free stopband and the factor. Once the resonators have been dimensioned, the next step in the design is the extraction of a coupling matrix that meets the

2155

Fig. 2. Layout of a four-resonator stripline harmonic-reject filter. The structure is surrounded by a metallic enclosure to prevent radiation.

Fig. 3. Coupling coefficient versus separation distance between two resonators. Solid line: full-wave simulation. Dashed–dotted line: quadratic approximation. Dashed line: linear approximation.

specifications of the filter. This can be done analytically in some cases or by optimization [7], [13]. To implement the coupling coefficient between two resonators, the techniques described in [10] or [11] can be used. At this stage, it is advantageous to perform this for few values of the controlling geometrical parameters in order to establish an approximate functional relationship between the coupling coefficient and the corresponding dimensions. This is, in fact, nothing other than the mapping that is central to the SM technique in which the equivalent circuit is used as the “coarse” model. In our specific case, Fig. 3 shows a plot of the coupling coefficient between two resonators versus the spacing between them (solid line). The de-normalized coupling coefficient is calculated from the resonant frequencies of the even and odd modes and using the simple equation

(5)

2156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Also shown in Fig. 3 (dashed line) are a linear (dotted line) and a parabolic (dashed–dotted line) approximation around an initial point. It is amply clear from this figure that the quadratic approximation is very accurate over practically the entire range. On the other hand, the linear approximation is accurate only in the vicinity of the initial point. From this observation, it is expected that a linear approximation (Jacobian) will converge slowly and may not even converge if the starting point is away from the desired response. On the other hand, the parabolic approximation is expected to converge much more rapidly. It is indeed shown below that six iterations are needed for the linear approximation, but only two for the parabolic one. IV. LINEAR APPROXIMATION In order to demonstrate the effect of including the information acquired during the preliminary steps of the initial design, we first use the linear approximation to design four- and six-resonator Chebyshev filters. The layout of the four-resonator filter is shown in Fig. 1. The conducting strips are sandwiched between two layers of dielectric substrate of thickness 0.635 mm and dielectric constant . The structure is enclosed in a metallic box to eliminate radiation. Since this version of the SM has been presented and discussed by many researchers, only a summary of the important steps is given here. The reader is referred to any of a number of papers for details [2], [4]. It is worth mentioning that the process can be accelerated by calculating the Jacobian analytically [14], [15]. In the actual implementation of this algorithm, it is important to keep in mind that the linear approximation is valid only over a small range around the basis point. If the basis point is not close to the target or ideal position, the process might converge slowly or even fail. This has been found to be the case for the filters investigated here. To overcome this problem, the algorithm is applied in few steps by setting intermediary target points. For example, in order to design a filter with an in-band return loss of 20 dB, we can use ideal responses with the same bandwidth, but with intermediary in-band return loss of 5, 10, and 15 dB. By doing so, we can increase the likelihood that the linear approximation remains valid at each iteration. In order to decide on the size of the step in the in-band return loss, design curves such as shown in Fig. 3 can be used. A. Four-Resonator Filter We first apply the linear approximation to design a four-resonator bandpass Chebyshev filter, as shown in Fig. 2. The passband of the filter is centered at 1.5 GHz with a bandwidth of 150 MHz and in-band return loss of 21 dB. The ideal coupling matrix that meets these specifications is found to be

Fig. 4. Response of initial design of four-resonator filter. Results from Zeland’s IE3D.

Fig. 5. Convergence of iterative process based on linear approximation for a four-resonator filter. It takes five iterations to reach specifications.

The response of the initial design is shown in Fig. 4. The center and width of the passband are relatively well predicted by the initial design although the in-band return loss is much lower than the specified values. From the examination of the design curves, e.g., Fig. 3, it was estimated that a 5-dB step was adequate to stay within the range of validity of the linear approximation. Ideal normalized coupling matrices that correspond to 5, 10, and 15 dB were first extracted. It took five iterations to reach the specifications, as shown in Fig. 5. The first two iterations had targets of 5, 10, and 15 dB, respectively. The last two iterations had both the final ideal response as a target since one iteration alone did not give satisfactory results. B. Six-Resonator Filter

(6)

The linear approximation was also applied to a six-resonator Chebyshev filter. The passband of 150-MHz width is centered at 1.5 GHz with an in-band return loss of 21 dB.

AMARI et al.: SM OPTIMIZATION OF PLANAR COUPLED-RESONATOR MICROWAVE FILTERS

Fig. 6. Simulated response of initial design of six-resonator filter.

2157

ulations are carried out along with the corresponding parameters’ extractions for a filter with optimization variables. Here, it is important to take advantage of the sparsity of the Jacobian and the Hessian matrices since specific entries in the coupling matrix are mainly controlled by specific optimization variables. For example, the coupling coefficient is mainly controlled by the spacing between the first and second resonators. In other words, each entry in the coupling matrix is assumed to be a second-order polynomial in the optimization variable that is used to control it. From the dependence of the coupling coefficient between two adjacent resonators on the spacing between them, as shown in Fig. 3, we expect the quadratic approximation to be valid over the entire range. Consequently, the target of the first iteration is set equal to the ideal desired response that meets the specifications. From the response of the initial design, the entries of the coupling matrix, including the diagonal elements, are first extracted. Since the entries of the ideal coupling matrix meeting the specifications are known, the values of the optimization parameters at the next iteration are obtained by directly solving the corresponding quadratic equations. The process is repeated again until convergence is reached. It is important to mention at this point that. after the first iteration, the response is very close to the ideal response for all the cases examined thus far. Admittedly, this would not be the case if the design curve in Fig. 3 were substantially deviating from a quadratic function. If the CPU time required by the full-wave simulation is of serious concern, it is more efficient to switch to a linear approximation after the first iteration. It was also noticed that after the first quadratic iteration, only few entries in the coupling matrix deviated appreciably from their ideal values. Consequently, the second iteration involved the adjustments of only few optimization variables, typically two or three for the class of filters investigated here. A. Four-Resonator Filter

Fig. 7. Convergence of iterative process based on linear approximation for a six-resonator filter. It takes six iterations to reach the specifications.

The response of the initial design is given in Fig. 6 where a minimum return loss of 5 dB is achieved over the entire passband. Using the linear approximation with 5-dB steps, it took six iterations to meet the specifications. The evolution of the response versus the number of iterations is shown in Fig. 7. Only the results of the fourth and sixth iteration are shown in order not to crowd the figure. V. PARABOLIC APPROXIMATION In order to highlight the advantage of using a priori information, the parabolic or quadratic approximation was used to design the same two filters. The strategy is the same as in the case of the linear approximation previously discussed, except that the relationship between the entries of the coupling matrix and the optimization variables is assumed quadratic instead of linear. In order to determine the coefficients of the quadratic functions, full-wave sim-

The initial design is the same as in the linear approximation (Fig. 4). The evolution of the response for the first and second iterations is shown in Fig. 8. It can be seen that the first iteration already achieves a return loss of more than 16 dB over the entire passband and accurately locates the passband of the filter. These results show the advantage of using the quadratic approximation. Compared to the linear approximation, the CPU time saving is approximately 40%. B. Six-Resonator Filter The quadratic approximation was also used to design the sixresonator filter discussed in Section IV-B. It took only two iterations to reach a response that satisfies the specifications. Furthermore, the response after the first iteration is close enough to the desired response for a linear approximation to be valid. This results in reducing the number of full-wave simulations. The responses of the filter for the two iterations are shown in Fig. 9. The convergence of the design process is evident. Compared to the linear approximation, the CPU time saving for this filter is approximately 46%.

2158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 10. Fabricated six-resonator filter. Fig. 8. Convergence of iterative process based on quadratic approximation for a four-resonator filter. It takes two iterations to reach the specifications.

Fig. 11. Measured (solid lines) and simulated (dashed lines) frequency response of sixth-order harmonic-reject filter. Fig. 9. Convergence of iterative process based on quadratic approximation for a six-resonator filter. It takes two iterations to reach specifications.

VI. EXPERIMENTAL VALIDATION To validate the designed filters, the six-resonator filter was selected for fabrication and measurement. The filter was etched on one substrate, cut out, and placed into the mount. A second empty substrate was placed into the other part of the mount, and the substrates were pressed together by screws. As the grove in one part of the mount is smaller, its edge is used for ground connection (by pressure as well). A photograph of the fabricated filter is shown in Fig. 10. The measured and simulated responses of this filter are shown in Fig. 11. The measured bandwidth is slightly larger than the simulated one due to manufacturing errors in the coupling gaps. The filter was also measured by soldering subminiature A (SMA) connectors to the feeding microstrip lines. The flanges of the SMA connectors are not taken into account, this explains partly the larger deviations between the two results at higher frequencies. Still the overall trend of the measured response is in reasonably good agreement with the simulated results. The measured

minimum insertion loss in the passband is less than 2 dB including the effects of the connectors. This is significantly lower than what is achievable by standard planar filters based, for example, on half-wavelength resonators. The insertion loss performance of the filter may be enhanced even further by using suspended strip line (SSL) technology if an increase in size and a reduction in the upper stopbands are acceptable. A minimum attenuation of 30 dB is achieved up to four times the center of the main passband. If only a 20-dB attenuation is required, then a usable stopband extending up to more than five times the center of the passband is also achieved. VII. DISCUSSION The results presented in this paper point to the importance of using whatever a priori information one might have on the behavior of the elements of the equivalent circuit versus the optimization variables (mapping). One might then ask whether using a higher order approximant such as polynomials of order three of higher or rational functions (Padé) can lead to more efficient optimization. From practical considerations, the use of

AMARI et al.: SM OPTIMIZATION OF PLANAR COUPLED-RESONATOR MICROWAVE FILTERS

filter implementations where the elements of the equivalent circuit are rapidly varying functions of the optimization variables should be avoided for the resulting filters are likely to be too sensitive to manufacturing errors, especially for narrowband applications. A survey of the voluminous literature on microwave bandpass filters shows that the design curves giving the coupling coefficients in terms of the controlling geometrical dimensions are smooth functions [11]. In all the cases investigated thus far, higher order approximants have not been necessary. VIII. CONCLUSION This paper has presented arguments to explain the reasons behind the tremendous success of optimization techniques that exploit equivalent circuits (coarse models) in connection with full-wave field solvers (fine models). By exploiting a priori information on the elements of the equivalent network as acquired during the initial design, it has been shown that a set of planar harmonic-reject filters can be designed within two iterations. REFERENCES [1] J. W. Bandler, R. M. Biernacki, S. H. Chen, R. H. Hemmers, and K. Madsen, “Electromagnetic optimization exploiting aggressive space mapping,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2874–2882, Dec. 1995. [2] P. Harscher, E. Ofli, R. Vahldieck, and S. Amari, “EM-simulator based parameter extraction and optimization technique for microwave and millimeterwave filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, pp. 1113–1116. [3] A. Garcia-Lamperez, S. Liorente-Romano, M. Salazar-Palma, and T. K. Sarkar, “Efficient electromagnetic optimization of microwave filters and multiplexers using rational models,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 508–521, Feb. 2004. [4] M. A. Ismail, D. Smith, A. Panariello, Y. Wang, and M. Yu, “EMbased design of large-scale dielectric-resonator filters and multiplexers by space mapping,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 386–392, Jan. 2004. [5] J. W. Bandler, Q. S. Cheng, S. A. Dakroury, A. S. Mohamed, M. H. Bakr, K. Madsen, and J. Sondergaard, “Space mapping: The state of the art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 337–361, Jan. 2004. [6] K. Madsen and J. Sondergaard, “Convergence of hybrid space mapping algorithms,” Optim. Eng., vol. 5, pp. 145–156, 2004. [7] S. Amari, “Synthesis of cross-coupled resonator filters using an analytical gradient-based optimization technique,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1559–1564, Sep. 2000. [8] P. Kozakowski, A. Lamecki, P. Sypek, and M. Mrosowki, “Eignevalue approach to synthesis of prototype filters with source/load coupling,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 98–100, Feb. 2005. [9] J. W. Bandler, R. M. Biernacki, and S. H. Chen, “Fully automated space mapping optimization of 3-D structures,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 1996, pp. 753–756. [10] I. C. Hunter, Theory and Design of Microwave Filter. London, U.K.: IEE Press, 2001. [11] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [12] M. Makimoto and S. Yamashita, Microwave Resonators and Filters for Wireless Communication, Theory Design and Applications. New York: Springer, 2001. [13] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003.

2159

[14] S. Amari, P. Harscher, R. Vahldieck, and J. Bornemann, “Novel analytical gradient evaluation techniques for optimization of microwave structures,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 1999, pp. 31–34. [15] N. K. Nikolova, J. W. Bandler, and M. H. Bakr, “Adjoint techniques for sensitivity analysis in high-frequency structure CAD,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 403–419, Jan. 2004.

Smain Amari (M’98) received the DES degree in physics and electronics from Constantine University, Constantine, Algeria, in 1985, and the Masters degree in electrical engineering and Ph.D. degree in physics from Washington University, St. Louis, MO, in 1989 and 1994, respectively. From 1994 to 2000, he was with the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada. From 1997 to 1999, he was a Visiting Scientist with the Swiss Federal Institute of Technology, Zürich, Switzerland, and a Visiting Professor in Summer 2001. Since November 2000, he has been with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada, where he is currently an Associate Professor. He is interested in numerical analysis, numerical techniques in electromagnetics, applied physics, applied mathematics, wireless and optical communications, computer-aided design (CAD) of microwave components, and application of quantum field theory in quantum many-particle systems.

Conrad LeDrew was born in Guelph, ON, Canada, on December 18, 1968. He received the Bachelor of Engineering degree in computer engineering and the Masters degree in applied science from the Royal Military College (RMC) of Canada, Kingston, ON, Canada, in 1999, and 2005, respectively. He is currently with the Canadian Forces in the Avionics Installation Section, Aerospace Telecommunications and Engineering Support Squadron (ATESS), Trenton, Ontario, Canada.

Wolfgang Menzel (M’89–SM’90–F’01) received the Dipl.-Ing. degree in electrical engineering from the Technical University of Aachen, Aachen, Germany, in 1974, and the Dr.-Ing. degree from the University of Duisburg, Duisburg, Germany, in 1977. From 1979 to 1989, he was with the MillimeterWave Department, AEG, Ulm, Germany [now the European Aerospace, Defense, and Space Systems (EADS)]. From 1980 to 1985, he was Head of the Laboratory for Integrated Millimeter-Wave Circuits. From 1985 to 1989, he was Head of the entire Millimeter-Wave Department. During that time, his areas of interest included planar integrated circuits (mainly on the basis of fine-line techniques), planar antennas, and systems in the millimeter-wave frequency range. In 1989, he became a Full Professor with the Department of Microwave Techniques, University of Ulm, Ulm, Germany. His current areas of interest are multilayer planar circuits, waveguide filters and components, antennas, millimeter-wave and microwave interconnects and packaging, and millimeter-wave application and system aspects. Dr. Menzel was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2003–2005). From 1997 to 1999, he was a Distinguished Microwave Lecturer for Microwave/Millimeter Wave Packaging. From 1997 to 2001, he chaired the German IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Chapter. He was the recipient of the 2002 European Microwave Prize.

2160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Quasi-Static Modeling of Defected Ground Structure Nemai Chandra Karmakar, Senior Member, IEEE, Sushim Mukul Roy, and Isaac Balbin

Abstract—A quasi-static equivalent-circuit model of a dumbbellshaped defected ground structure is developed. The equivalent-circuit model is derived from the equivalent inductance and capacitance developed due to the perturbed return current path on the ground and the narrow gap, respectively. The theory is validated against the commercial full-wave solver CST Microwave Studio. Finally, the calculated results are compared with the measured results. Good agreement between the theory, the commercially available numerical analyses, and the experimental results validates the developed theoretical model. Index Terms—Bandstop filter, defected ground structure (DGS), electromagnetic bandgap (EBG), frequency spectrum, high-impedance surface, low-pass filter (LPF), photonic bandgap.

Fig. 1. Isometric view of unit cell DGS.

I. INTRODUCTION EFECTED ground structures (DGSs) yield low-pass performance with a very wide stopband. Due to its wide bandstop property, a DGS has been used in many interesting applications. The DGS is realized as a low-pass filter (LPF) in [1]. Garde et al. [2] proposed a nonuniform ring patterned dumbbellshaped DGS to design an LPF similar to the author’s proposition of the nonuniform distribution of electromagnetic bandgap structure (EBGS) [3]. Liu et al. [4] reported an LPF with a multilayer fractal EBGS. Significant ripples appear in the passband. Although the LPF performance reported in [2] and [4] is impressive, the designs need to take care of both the bottom and top layouts that may be in contrast to high-level implications. DGSs are designed by connecting two square electromagnetic bandgap (EBG) cells with a thin slot. Fig. 1 shows the isometric view of a dumbbell-shaped DGS. As can be seen in Fig. 1, is the height of the dielectric substrate, is the width of the microstrip line, and are the arm lengths, and is the width of the gap under the microstrip line on the ground plane. The frequency of operation can be changed with the DGS dimensions. Design and analysis are a challenging problem for DGSs. The easy availability of commercial electromagnetic (EM) solvers is the main resource for the design and analysis of DGS. The fullwave analysis [5] is very involving and does not give any physical insight of the operating principle of the DGS. The flowchart in Fig. 2 shows the conventional design and analysis methods of

D

Manuscript received August 10, 2005; revised February 2, 2006. This work was supported by the Australian Research Council under Discovery Project Grant DP0665523: Chipless RFID for Barcode Replacement and Monash University under the M.Sc. and Final Year Project Research Programs. The authors are with the Department of Electrical and Computer Systems Engineering, Monash University, Clayton, Vic. 3800, Australia (e-mail: nemai. [email protected]). Digital Object Identifier 10.1109/TMTT.2006.873633

Fig. 2. Conventional design and analysis method of DGS

DGSs. It can be seen in Fig. 2 that the design phase of a DGS starts with the design specifications of stopband frequencies. The dielectric material is selected for the design. The full-wave solver is used to find the -parameters versus frequency behavior of the DGS. If the results are satisfactory, only then can the -parameters be converted to and -parameter matrices and the equivalent LC resonant structure derived from the matrices. The physical insight is understood based on the equivalent-circuit model of the DGS. The other disadvantage of this method is that there is not direct correlation between the physical dimensions of the DGS and the equivalent LC parameters. The derived performance of the DGS is fully unpredictable until the optimized solutions are achieved through a trial-and-error iterative process. Hence, the conventional methods as reported in the open literature are time consuming and may not lead to the optimum design. This paper overcomes this limitation by developing the equivalent-circuit model, which is directly derived from the physical dimensions of the DGS. Fig. 3 illustrates the flowchart of the

0018-9480/$20.00 © 2006 IEEE

KARMAKAR et al.: QUASI-STATIC MODELING OF DGS

2161

Fig. 4. (a) Unit cell DGS. (b) Surface current on ground plane.

Fig. 3. Proposed design and analysis method of DGS.

method. As can be seen from this figure, a generalized equivalent-circuit model of the DGS is developed first. The design resonant frequency, dimensions, and dielectric properties of the microwave laminate are selected. The design parameter is varied in a do loop until the required frequency is achieved. In the development of the equivalent-circuit model, the structure is assumed to be the combination of a pair of u-shaped filaments of ground currents and the gap and cross discontinuities. For the components, quasi-static equivalent capacitances and inductances are calculated and matrix parameters are extracted. Finally, the matrix parameters are transformed to -parameters versus frequency. This approach gives a comprehensive understanding of the physical principle of DGS—how the DGS creates bandstop and bandpass responses and which dimensions play the most critical role in creating the distinct performance. This paper is organized as follows. Section II presents the theory of the DGS unit cell followed by the design in Section III. Section IV deals with the analysis of the equivalent model obtained in Section III. Results and discussion are presented in Sections V and VI, respectively, followed by a conclusion in Section VII. II. QUASI-STATIC THEORY OF DGS For a conventional microstrip transmission line, the quasi-TEM mode propagates under the microstrip filament and the infinite ground plane. The electric and magnetic fields are mostly confined under the microstrip line. The return current

on the ground plane is the negative image of the current on the microstrip line. As can be seen in Fig. 4(a) of the DGS perturbed microstrip transmission line, the return path of the current is fully disturbed and this current is confined to the periphery of the perturbation [see Fig. 4(b)] and returns below the microstrip line once the perturbation is over. Based on this observation, an equivalent-circuit model shown in Fig. 5 is developed. In this compact model in Fig. 5(a), the current distribution, as shown in Fig. 5(b), is observed. This current distribution in Fig. 5(b) is a more regular version of the current distribution, as shown in Fig. 4(b). Based on the observation of the maximum concentration of the return current, the width of the side filament arms, which contribute to the inductance of the DGS, is selected. Fig. 5(c) shows the equivalent filament model of the DGS. Fig. 5(d) shows the current on the DGS perturbed microstrip line. This equivalent-circuit model starts with a cross at the junction of the dumbbell followed by a transmission line with the arm length , the bend, arm length , the bend, arm length , and finishes with the other cross. The gap is represented by the equivalent capacitances and is connected vertically to the arms of the two crosses. The power is impinged at one arm of the cross and power is extracted from the opposite arm of another cross. Now the equivalent-circuit model of the current filament can be extracted with the equivalent inductances and capacitances of the microstrip discontinuities. The inductances and capacitances are derived from the physical dimensions using quasi-static expressions for microstrip crosses, lines, and gaps available in the open literature [6], [10]–[12]. The closed-form expressions for various microstrip discontinuities considered here are based on quasi-static analysis of a thin microstrip line (the thick line or thick ground plane does not affect the behavior of this circuit in terms of location of the attenuation pole. Only the bandwidth of the stopband decreases) [8]. The mode of propagation of the wave is considered to be purely TEM. In the high-frequency region, small changes in inductance, characteristic impedance, and effective dielectric constant (hence, to capacitance) take place. We have incorporated those small corrections by curve fitting, as well as with interpolation from the previous works and available data in [6] and [10]–[12]. When estimating the capacitance, as charge is supposed to be a scalar quantity, capacitance values are supposedly unchanged [8]. Since the effective dielectric constant changes very little

2162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 5. (a) Truncated structure of DGS (inverted figure: the truncated ground plane is shown on the top and the continuous microstrip line is shown at the bottom). (b) Current distribution on ground plane. (c) Schematic equivalent current sheet. (d) Current distribution on microstrip line.

with frequency [9], capacitance changes very little with an increase of frequency. On the contrary, the current distribution is supposed to be a vector quantity and a function of frequency. Hence, the inductance decreases to a limited extent with an increase in frequency. Thus, by curve fitting and interpolation from previous studies, we have made the necessary correction to the dc inductances. Thus, a simplified form of calculation is possible without going into full-wave matrix solvers. III. DESIGN OF DGS The DGS unit cell is designed for the application of a global system for mobile communications (GSM) dual-band mobile communications where most RF and microwave circuits are designed at - and -band. Taking the attenuation pole at 2.4 GHz and the cutoff frequency at 1.2 GHz, the length of and is , where is the guide wavelength of the cutoff frequency . This resonant behavior of DGS can be explained by an equivalent LC circuit model. As mentioned above, the circuit model is derived for the quasi-static expressions for microstrip bends, gaps, and crosses [6]–[12]. The filament inductances for bends and straight lines are calculated using expressions found in [6]–[12]. For the calculations and practical prototyping, the Taconic ceramic laminate of dielectric constant and thickness mm is used for analysis and CST Microwave Studio is used for simulation on the -parameters versus frequency of the DGS for comparison.

IV. ANALYSIS If we extend our view to the concentration of the return current on the ground plane in Figs. 4 and 5, we observe an interesting fact. The current is concentrated at all places along the length of the microstrip line, except at the defect on the ground. At the gap on the ground, the current retraces a certain amount of path and goes along the sidelobes (being confined within a limited width only) and a strong capacitance is introduced in the gap along the length of the microstrip line. A small amount of current also goes directly along the sidelobes instead of traveling along the microstrip line. The circuit model of this truncated structure was then derived and its output behavior was calculated with the help of MATLAB 7 and is then compared with those of the actual unit cell DGS. The results, being in good agreement over a wide range of parameter variation, can be rightly concluded as the circuit model of a unit cell DGS. The special feature of this circuit model is that it incorporates the actual physical dimensions and thereby predicts the outcomes and changes of a unit cell DGS with the variation of the physical dimensions. To the best of the authors’ knowledge, this type of analysis was not carried out before. The previous studies [1], [5] were based on the Butterworth filter approximation of the DGS and there was no relationship between the proposed design parameters and the equivalent-circuit components. Length of the side arm contributes twice to the inductance arising at the sidelobes in comparison to the length of the side

KARMAKAR et al.: QUASI-STATIC MODELING OF DGS

2163

for

(3) for

(4)

Fig. 6. (a) Microstrip gap on ground plane. (b) Equivalent-circuit model.

for arm. As a result, keeping the length of one arm constant, if the length of the other is varied, the same variance in the location of the attenuation pole is not observed for similar variance of and . In extracting the equivalent-circuit model, closed-form expressions were used for calculating the circuit parameters of certain microstrip discontinuities that comes to play in this truncated figure [see Fig. 5(a)]. The following is a discussion of individual discontinuities and their equivalent-circuit parameters.

(5)

pF for pF (6) where

is the gapwidth.

B. Microstrip Cross

A. Microstrip Gap The central discontinuity of the microstrip line of Fig. 5(c) can be represented as the microstrip gap. The equivalent circuit of the microstrip discontinuity is shown in Fig. 6. As can be seen, the gap is represented by two parallel capacitances to ground and a series capacitance . The values of these capacitances are extracted from even- and odd-mode capacitances, as given below. Interestingly, it should be noted that all equivalent capacitances are extracted from the physical dimensions of the gap discontinuity and the dielectric constant [7]

The two ends of the structure shown in Fig. 5(c) are represented by two microstrip crosses. The power is impinged on one end and is extracted through the other end. The equivalent circuit of such a discontinuity is shown in Fig. 7. As can be seen in this figure, two sets of two symmetrical arms of the microstrip cross are represented by equivalent , , and , and the cross arms are inductively coupled by . Each end of the term is terminated by width and . The equivalent capacitances and inductances are calculated using (7)–(10), shown at the bottom of this page [7]. C. Inductance Calculations

(1) pF for pF

(2)

The two U-shaped filaments of the truncated ground plane [as shown in Fig. 5(a)–(c)] of lengths are represented as inductances and are discussed as follows. The inductances here have been calculated based on [6]–[12]. Since the filaments that we are referring to are actually rectangular cross sections of wire, a correction must be made to account for the effect of the extra conductor. This is done by con-

pF (7) NH

(8)

NH

(9)

NH

(10)

2164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 7. (a) Microstrip cross on ground plane. (b) Equivalent-circuit model.

Fig. 8. Rectangular filament of wire broken into

m 2 n segments.

sidering the rectangular cross sections of filaments separated by a distance known as the geometric mean distance (GMD). The GMD is found by calculating of the sum of the logarithm of the distance between pairs of points. To start calculating the GMD, initially the wire must be broken up into points (as shown in Fig. 8) of equal volume that are small compared to the width and depth of the wire. The GMD is then calculated by summing the logarithms of the distances between the pairs of points and then taking of it. This method of GMD allowed us to calculate the inductance created by the side arms of the dumbbell. The concept of the GMD can also be used to calculate the self-inductance of a filament. The self-inductance of a single conductor can be computed by assuming that the self-inductance of the conductor is simply the sum of all the partial mutual inductance of each pair of filaments within the single conductor. This gives rise to the GMD of a filament from itself. It can be calculated by [12]

above-mentioned formula and usual circuit theory. Thus, we have ensured that all the inductive effects of the side arms are included. At dc, the current is distributed uniformly throughout the conductor. However, as the frequency rises, there will be a tendency for the current to concentrate at the surface of the filament. It is assumed that the current decreases exponentially inside the filament (skin effect), and that the current is the same at the top and bottom of the conductor, i.e., sidelobe of the ground plane, as shown from the surface current distribution diagram in Fig. 5(b). As a result, the outer portions of the conductor contribute less than the inner parts to the overall self inductance (current has more difficulty passing through the inner parts due to skin effect). Thus, if current is concentrating on the surface, the inductance will decrease. Thus, with an increase of frequency, the inductance decreases. Using (7)–(15) and by curve fitting [6]–[12], we have done our calculations for the inductances. If two conductors meet at an angle, mutual inductance comes to play at the bend, which we represented as in the circuit diagram (Fig. 9). When two strips of lengths and meet at an angle , then the junction gives a mutual inductance given by [6] NH

(12) here, is zero, which implies in As Fig. 9 is 0. The self and mutual inductance can be calculated with the magnetic flux method for mutual inductance and the energy method for effective inductance calculation [12] taking into consideration the high frequency of operation

(13)

NH (14)

(11) NH If two or more very closely placed inductors are considered in parallel and the net inductance is calculated using two-port circuit parameters, we get an incorrect result as the mutual inductances are not taken into consideration. Thus, the following relation is used that includes the mutual inductances:

In our calculation, the side arms are purely inductive and the two arms are combined into a single inductance by using the

(15) In (13), a single turn of the coil is considered and is the skin depth. Taking into consideration the aforementioned closed-form expressions and circuit parameters, we model the equivalent circuit of the truncated figure (Fig. 9) and then carry on the following conversions using two-port circuit parameters, as shown in Fig. 9.

KARMAKAR et al.: QUASI-STATIC MODELING OF DGS

2165

Fig. 9. Equivalent-circuit model of unit cell DGS.

As can be seen in this figure, the complete equivalent-circuit model in terms of crosses, bent lines, and the gap capacitances is fully characterized by (1)–(15). All these expressions take care of the dimensions of the DGS and the dielectric properties of the substrate. Therefore, from the equivalent-circuit model, a direct correlation between the design parameters and the design specification is calculated. Results are followed by discussions in Section VI, in which the basis of selecting the is discussed. V. RESULTS The parametric study of the design parameters of the proposed DGS and the influence of these parameters on the attenuation pole and cutoff frequency is presented here. This parametric study will give rise to the frequency behavior of a DGS assisted 50- transmission lines. The parametric study leads to

the design curves for generic DGS circuits. Therefore, this study is very useful for the designer community. This study also gives insight into the physical properties of the DGS in the frequency behavior. Every set of theoretically calculated results is compared with those obtained from the commercially available EM solver CST Microwave Studio. Good agreement between the two theoretical results validate the proposed theory. Finally, the theoretical results are compared with the measured results of the fabricated prototyped DGS circuit on the Taconic substrate. The agreement is, in general, good, showing on-the-spot attenuation pole. The DGS assisted microstrip transmission line is measured on an Agilent 8510C vector network analyzer (VNA). A. Parametric Study of DGS In Figs. 10–15, the lengths of and are taken to be 5 mm each with a gap dimension of 0.5 mm. The dielectric constant

2166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 10. Variation of resonant frequency with arm length a.

Fig. 13. Variation of resonant frequency with gap dimension g .

Fig. 11. Variation of resonant frequency with arm length b. Fig. 14. Variation of resonant frequency with dielectric constant " of substrate.

Fig. 12. Variation of resonant frequency with both side arm lengths a and b simultaneously. Fig. 15. Comparison of S -parameters versus frequency of CST Microwave Studio simulation and theory.

of the substrate is 2.2 and height is 0.7874 mm. The microstrip transmission line is of 2.4-mm width and 50- characteristic impedance. When any of the above-mentioned parameters are varied, the other parameters are kept as mentioned above. Fig. 10 shows the variation of the attenuation pole or resonant frequency of the DGS unit cell with the arm length . It can be seen in the figure that the frequency decreases with the arm length. The agreement between the CST Microwave Studio simulation and the theory is very good. Fig. 11 shows the variation of the attenuation pole or resonant frequency of the DGS unit cell with the arm length .

Fig. 12 shows the variation of the resonant frequency when both and vary together. It can be seen from the figures that the frequency decreases with an increase in arm length. The agreement between the CST Microwave Studio simulation and the theory is very good. Fig. 13 shows the variation of the resonant frequency with the gap distance . With the gap distance, the gap capacitance diminishes. As a result, the resonant frequency increases with the increase of the gap distance.

KARMAKAR et al.: QUASI-STATIC MODELING OF DGS

2167

VI. DISCUSSIONS

Fig. 16. Comparison of S -parameters versus frequency of Agilent HP 8510C VNA measurement, CST Microwave Studio simulation, and theory for unit cell DGS using taconic alumina as the substrate with " = 10 and height 0.63 mm. a = b = 5 mm and g = 0:3 mm. Characteristic impedance of microstrip line is 50 .

Finally, in the parametric study, the dielectric constant of the substrate material is varied. It can be seen in Fig. 14 that the resonant frequency decreases with the dielectric constant of the substrate. Here also, the agreement between CST Microwave Studio and the theory is very good. B. Simulation and Measured Results of DGS After the satisfactory agreement of the comprehensive parametric study of the unit cell DGS between CST Microwave Studio and the proposed theory, the complete -parameter versus frequency plots are shown in Fig. 15. As can be seen in Fig. 15, the attenuation poles for CST and the theory are in very good agreement at 7.87 and 7.9 GHz, respectively. There is a deviation in the stop bandwidth of the two calculations. The discrepancy can be attributed to the simple equivalent-circuit model of the proposed theory that yields narrowband responses compared with the full-wave analysis of CST. However, the precise match of center frequency responses validates the proposed theory. Finally, the theoretical calculation of the DGS is compared with the measured results on an Agilent HP 8510C VNA. The DGS was fabricated on a taconic substrate with and a thickness of 0.63 mm. Both the arm lengths mm and gap dimension was mm. Characteristic impedance of the microstrip line was 50 . This exercise also validates the proposed theory for a completely different set of parameters of the DGS. Fig. 16 shows the measured, simulated, and calculated -parameters of the unit cell DGS versus frequency. As can be seen from these figures, similar magnitude of agreement, as shown in Fig. 16 for measured results, CST Microwave Studio simulation, and the proposed theory is achieved. Again, reasons for the discrepancies may be attributed to the simplified circuit model where the dimensions are very frequency sensitive and of narrowband design. In additionally to this highly frequency sensitive theoretical responses, the factor of a parallel resonant circuit varies inversely with the resistance and other losses. Hence, in the CST simulated result, we get a bigger bandwidth compared to the calculated result.

The width of the microstrip line considered here corresponds to 50- characteristic impedance. The width of the side arms is chosen here to be 0.2 mm. The width of 0.2 mm was chosen as this is the width that corresponds to the maximum concentration of the current distribution on the side arms. This optimum width changes slightly with an abrupt increase or decrease of arm length and dielectric constant of the slab, but 0.2 mm is a very good approximation for which the computed results match with the simulated or measured ones. As the inductance of a planar strip with square cross section is inversely proportional to the length of the sides, decreasing results in an increase in the side-arm inductance. By raising the inductance of the side arms, the attenuation pole is shifted toward the left. The converse is not true for an increase of , i.e., the attenuation pole does not shift significantly toward a higher frequency, as current concentration is much smaller there. In earlier related literature, the unit cell DGS has been described as a one-pole Butterworth filter [1], [5] where the capacitance comes only from the gap and the inductance comes only from the loop. After doing this sort of analysis of the unit cell DGS, we can say that the variance of the inductance and the capacitance does not follow any linear rule and we can also explain why they do not follow. If we observe Figs. 4(b) and 5(b), it can be clearly seen that the density of current is more in the bends. It is because there is no mutual inductance at a right-angle bend and, hence, current flows with much more ease through this region. The cross capacitances are similar in magnitude with the gap capacitance. Although due to different connectivity they are not in parallel or series with the gap capacitance, they still play a big role in the determination of the location of the attenuation pole. Assuming cross capacitance as zero leads to a significant deviation of the computed results from the measured or simulated one. Additionally, like distributed inductance, there is distributed capacitance of the ground plane along the length of the microstrip line. VII. CONCLUSION In this paper, we have presented a novel equivalent-circuit model of a unit cell DGS. The equivalent-circuit model is derived from the equivalent inductance and capacitance developed due to the perturbed returned current path on the ground and narrow gap, respectively. The filament current path is modeled as a current sheet on the ground plane. The current tightly coupled to the periphery of the dumbbell-shaped DGS. Hence, the model is a combination of various microstrip-line discontinues such as crosses, bends, tees, and the gap capacitances. Based on the developed theory, a comprehensive parametric study is performed and compared with the simulated results of CST Microwave Studio. Excellent agreement regarding the location of the attenuation poles between the proposed theory and CST Microwave Studio simulation has been obtained. The theory has been fully validated against the -parameter versus frequency plot for both the commercial full-wave solvers CST Microwave Studio and the theory. Finally, the calculated results have been compared with the measured results. In general, good agreement between the theory, commercially avail-

2168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

able numerical analyses, and experimental results has validated the developed theoretical model. However, there is a discrepancy regarding the bandwidth calculation. This discrepancy can be attributed to the quasi-static equivalent-circuit model itself where the loss tangent of the dielectric material and other losses were not included. ACKNOWLEDGMENT The authors acknowledge the software supported by CST, Darmstadt, Germany. REFERENCES [1] D. Ahn, J. S. Park, C. S. Kim, J. Kim, Y. Qian, and T. Itoh, “A design of the low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 86–93, Jan. 2001. [2] L. Garde, M. J. Yabar, and C. D. Rio, “Simple modeling of DGS to design 1D-PBG low pass filter,” Microw. Opt. Technol. Lett., vol. 37, no. 3, pp. 228–232, May 2003. [3] N. C. Karmakar and M. N. Mollah, “Investigations into nonuniform photonic bandgap microstripline low-pass filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 564–572, Feb. 2003. [4] H.-W. Liu, X.-W. Sun, and Z.-F. Li, “A low-pass filter of wide stopband with a novel multilayer fractal photonic bandgap structure,” Microw. Opt. Technol. Lett., vol. 40, no. 5, pp. 431–432, Mar. 2004. [5] J. S. Park, “An equivalent circuit and modeling method for defected ground structures and its application to the design of microwave circuits,” Microw. J. Nov. 2003. [Online]. Available: www.mj.com [6] F. W. Grover, Inductance Calculation: Working Formulas and Tables. New York: Dover, 1946. [7] R. Garg and I. J. Bahl, “Microstrip discontinuities,” Int. J. Electron., vol. 45, no. 1, pp. 81–87, 1978. [8] A. Gopinath and P. Silvester, “Calculation of inductance of finite—Length strips and its variation with frequency,” IEEE Trans. Microw. Theory Tech., vol. MTT-21, no. 6, pp. 380–386, Jun. 1973. [9] E. J. Denlinger, “A frequency dependent solution for microstrip transmission lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 1, pp. 30–39, Jan. 1971. [10] A. F. Thomson and A. Gopinath, “Calculation of microstrip discontinuity inductances,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 8, pp. 648–655, Aug. 1975. [11] B. Easter, “The equivalent circuit of some microstrip discontinuities,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 8, pp. 655–660, Aug. 1975. [12] B. L. Ooi, D. X. Xu, and L. H. Guo, “Efficient methods for inductance calculation with special emphasis on nonuniform current distributions,” Microw. Opt. Technol. Lett., vol. 40, no. 4, pp. 432–436, Mar. 5, 2004.

Nemai Chandra Karmakar (S’91–M’91–SM’99) received the B.Sc. (EEE) and M.Sc. (EEE) degrees from Bangladesh University of Engineering and Technology, Dhaka, Bangladesh, in 1987 and 1989, respectively, the M.Sc. degree in electrical engineering from the University of Saskatchewan, Saskatoon, SK, Canada, in 1991, and the Ph.D. degree from University of Queensland, Brisbane, Australia, in 1999. His doctoral research concerned the area of switched beam and phased-array antennas for mobile satellite communications. From 1989 to 1990, he was an Assistant Engineer with the Electronics Institute, Atomic Energy Research Establishment, Dhaka, Bangladesh. In August 1990, he was a Research Assistant with the Communications Research Group, University of Saskatchewan. From 1992 to 1995, he was a Microwave Design

Engineer with Mitec Ltd., Brisbane, Australia, where he contributed to the development of land mobile satellite antennas for the Australian Mobilesat. From 1995 to 1996, he taught final year courses on microwaves and antenna technologies at Queensland University of Technology, Brisbane, Australia. From September 1998 to March 1999, he was a Research Engineer within the Radar Laboratory, Nanyang Technological University, Singapore. From March 1999 to July 2004, he was an Assistant Professor and Graduate Advisor with the Division of Communication Engineering, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. Since July 2004, he has been a Senior Lecturer with the Department of Electrical and Computer Systems Engineering, Monash University, Clayton, Australia. His research interests cover areas such as smart antennas for mobile and satellite communications, EBG-assisted RF devices, planar phased-array antennas, broadband microstrip antennas and arrays, beam-forming networks, near-field/far-field antenna measurements, microwave device modeling, and monostatic and bistatic radars. He has authored or coauthored over 150 referred journal and conference papers and six book chapters. He is listed in the Marquis Who’s Who in Science and Technology (2002–2003, 2005–2006) as a pioneer in planar phased arrays. Dr. Karmakar was the recipient of the third Best Student Paper presented at the 1997 Asia–Pacific Microwave Conference, Hong Kong for his doctoral work. His doctoral work was one of the most significant findings at the University of Queensland in 1998 and was published in national media such as ABC Radio and the Canberra Times.

Sushim Mukul Roy received the Bachelor of Engineering degree in electronics and telecommunication engineering from Deemed University, Kolkata, India, in 2003, and is currently working toward the Ph.D. degree at Monash University, Clayton, Australia. His areas of interest include passive microwave devices. His current research concerns chipless radio frequency identification systems.

Isaac Balbin received the B.Sc./B.E. degree in electricla engineering from Monash University, Clayton, Australia, in 2005, and is currently working toward the M.Sc. (Research) degree in electrical and computer systems engineering at Monash University. His research concerns negative refractive index material and passive RF identification (RFID) tag development.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

2169

A Computational Study of Ultra-Wideband Versus Narrowband Microwave Hyperthermia for Breast Cancer Treatment Mark Converse, Member, IEEE, Essex J. Bond, Member, IEEE, Barry D. Van Veen, Fellow, IEEE, and Susan C. Hagness, Senior Member, IEEE

Abstract—We present a computational study comparing the performance of narrowband (NB) microwave hyperthermia for breast cancer treatment with a recently proposed ultra-wideband (UWB) approach. Space–time beamforming is used to preprocess input signals from both UWB and NB sources. The train of UWB pulses or the NB sinusoidal signals are then transmitted simultaneously from multiple antennas into the breast. Performance is evaluated using finite-difference time-domain electromagnetic (EM) and thermal simulations with realistic numerical breast phantoms derived from magnetic resonance images (MRIs) of the breast. We use three methods of mapping MRI data to complex permittivity data to account for uncertainty in the embodiment of the dielectric properties transitions in heterogeneous breast tissue. EM power-density deposition profiles and temperature profiles are compared for the UWB and NB cases in the three different breast phantoms. Dominant mechanisms that influence the efficacy of focusing UWB and NB signals in the breast are identified. The results of this study suggest that, while NB focusing performs reasonably well when the excitation frequency is optimized, UWB focusing consistently performs better, offering the potential for tighter focusing and greater reduction of hot spots, particularly in breast tissue, which exhibits distinct dielectric-properties boundaries within the tissue heterogeneity. Index Terms—Breast cancer, electromagnetic (EM) hyperthermia, finite-difference time-domain (FDTD) method, microwave imaging, space–time beamforming, ultra-wideband (UWB) radar.

I. INTRODUCTION YPERTHERMIA is a well-known thermal therapy wherein the cytotoxic effects of elevated temperatures in tissue are induced to achieve cell death or render the cells more vulnerable to ionizing radiation and chemical toxins. Clinical studies have shown local hyperthermia to be effective in the treatment of a variety of cancers [1]–[4], including breast cancer [5]–[7], when delivered as an adjuvant to radiation and/or chemotherapy. The objective of hyperthermia treatment of cancer is to raise the temperature in the tumor volume above

H

Manuscript received August 2, 2005; revised December 22, 2005. This work was supported by the Department of Defense Breast Cancer Research Program under Award DAMD17-02-1-0625, by the National Science Foundation under Grant BES-0201880, and by the National Institutes of Health under Grant 1 F31 CA099933-01. The authors are with the Department of Electrical and Computer Engineering, University of Wisconsin–Madison, Madison, WI 53706 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872790

42 C–43 C for a sufficient period of time while preserving normal physiological temperatures (well below 42 C) in the surrounding tissue. One of the persisting challenges in achieving this objective with noninvasive electromagnetic (EM) hyperthermia treatment is focusing EM power in the cancerous tissue while avoiding the introduction of auxiliary foci in normal tissue. The use of an antenna array offers the opportunity for transmitting EM signals that constructively interfere at a desired location and destructively interfere elsewhere in space, thereby providing localized heating via selective absorption of EM energy. Numerous investigations have been conducted over the past several decades to explore and evaluate methods of focusing EM energy using arrays that transmit amplitude- and phase-adjusted narrowband (NB) signals [8], [9]. In contrast, until very recently, less attention has been given to the possibility of using multiple-frequency or ultra-wideband (UWB) signals. In 1998, Jacobsen proposed a multifrequency scheme based on the use of three NB signals distributed over a 520-MHz band and demonstrated that distributing the transmitted power over this frequency band produces fewer hot spots in the volume to be heated [10]. In 2004, we proposed and demonstrated the theoretical feasibility of an UWB microwave space–time beamforming system for focusing microwave energy at a lesion site in the breast [11]. In our UWB approach, an UWB pulse train is passed through a beamformer (a bank of time shifters and finite-impulse response (FIR) filters), which implements the frequency-dependent amplitude and phase adjustments in each channel to exploit coherent and incoherent combining of signals across frequency and space. Our preliminary results suggested that the necessary temperature gradients required for effective hyperthermia may be achieved with this technique. Our previous feasibility study was motivated by the hypothesis that UWB focusing methods offer the potential for tighter focusing and a greater reduction of hot spots compared to NB methods. The rationale behind this hypothesis, as given in [11] from a frequency-domain perspective, is summarized here. The mainlobe for each frequency component of the transmitted UWB pulses attains a maximum at the focal location. Therefore, the total power at the focal location will be the coherent summation of power across frequency. The location and peak amplitudes of the sidelobes will be a function of array configuration, breast composition, and frequency. At positions away from the focal location, some frequencies will have sidelobe peaks while others will have nulls. Hence, for a fixed

0018-9480/$20.00 © 2006 IEEE

2170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

mainlobe power, the overall sidelobe levels are expected to be lower when transmitting UWB pulses rather than NB signals. While these arguments seem to be highly plausible, they were not verified by a formal study of UWB focusing compared with NB focusing in realistic breast tissue. In this paper, we present a numerical investigation of the performance of UWB versus NB signals for focusing EM energy in the breast. Following the methodology in [11], we use an anatomically realistic finite-difference time-domain (FDTD) EM breast model, containing a 2-mm-diameter tumor, to simulate the absorbed EM power density distributions that result from the transmission of focused UWB or NB signals. An FDTD thermal model based on the Pennes bio-heat equation is used to simulate the temperature profiles which result from the simulated absorbed power density distributions. The results of this comparison study indicate that UWB focusing produces the desired elevated temperatures in the tumor region while preserving normal physiological temperatures throughout larger regions of normal breast tissue relative to NB focusing. We have intentionally chosen to limit the comparison study to a relatively small tumor diameter of 2 mm. As the tumor size decreases, the higher conductivity of malignant tissue makes less of a contribution toward selective microwave absorption, and the role that focusing plays becomes more critical. The small-tumor scenario therefore allows for a proper assessment of the validity of our hypothesis that tighter focusing and reduced hot-spots can be achieved with UWB focusing relative to NB focusing. Section II describes the beamforming technique for focusing NB and UWB signals. Section III describes the numerical models and methods used to evaluate the efficacy of the UWB and NB hyperthermia techniques. In Section IV, we compare the two techniques by examining the absorbed EM power-density distributions and temperature profiles. These results are followed by concluding remarks in Section V. II. TRANSMIT BEAMFORMING A beamformer is a spatial filter that can be used with an array of antennas to focus energy at some desired location in a spatial field. NB beamformers are comprised of one complex weight in each antenna channel, while UWB beamformers contain a tapped delay line or FIR filter in each channel. The amplitude and phase in each channel is chosen to obtain constructive interference at the focus location and destructive interference elsewhere. The FIR filters in the UWB beamformer implement the amplitude and phase required for constructive/destructive interference as a function of frequency. The goal of our transmit focusing design strategy is to maximize the energy deposited at a given location while minimizing energy deposited throughout the remainder of the breast region. We choose to place greater emphasis on minimizing energy deposition at interior regions than near the surface because a cooling medium can be used to prevent unhealthy temperatures near the skin. A time-domain approach is used for the UWB beamformer design to jointly optimize the design criterion across all frequencies in the band of interest. In contrast, solving a series of decoupled design problems, one for each frequency as in [11], results in a suboptimal solution, since it

does not take into account the fact that the net energy responsible for heating is the integral of the energy at each frequency. Independently optimizing the energy at each frequency is not equivalent to optimizing the integral. Assume that an array of antennas is located in a coupling/ cooling medium surrounding the breast. We represent the analytical model of the frequency response associated with propagation through the coupling medium and normal breast tissue from the th antenna to location by . The analytical propagation model employed here is identical to that described in [11]. The FIR filter in the th channel has coefficients represented by the vector , where superscript denotes the vector/matrix transpose. The filter length is chosen empirically to balance performance and complexity. The frequency response of the th filter is written as

(1) and is the where sampling interval. The total weighted energy deposited in a region of the breast in the UWB case is thus obtained as

(2)

where represents the temporal frequency band of interest. We choose the weighting term in (2) as in order to emphasize the region near while de-emphasizing those positions distant from . Usually, is chosen near the center of the breast to de-emphasize energy deposition near the skin. The constant controls the degree of de-emphasis with distance from . Let the vector be the concatenation of the weight vectors from each channel. Define , , and let . We may now rewrite (2) as

(3) where and superscript denotes complex-conjugate transpose. The energy deposited at the focus location in the UWB case is ,

CONVERSE et al.: COMPUTATIONAL STUDY OF UWB VERSUS NB MICROWAVE HYPERTHERMIA FOR BREAST CANCER TREATMENT

2171

where . Our transmit focusing design goal is now concisely expressed as (4) in (4) is used to penalize solutions with a large The term norm, since such solutions are not robust to modeling errors and other slight perturbations [12]. We choose to be , which weights the norm of at approximately 10% of the total energy in the optimization criterion. Carrying out the maximization in (4) requires solving the generalized eigenvalue problem (5) is the identity mawhere is the eigenvalue and trix. Thus, the solution to (4) is the eigenvector corresponding . to the largest eigenvalue of The NB case is obtained by reducing the filter length in each channel to . The frequency of interest is denoted by . The optimization problem (4) is applicable with and . The solution to (4) for this case is expressed in closed form as (6) is any real scalar. We choose . The beamformer that is used to generate the results in this paper assumes that the number of antennas is . The filter length in each channel is for the UWB case and for the NB case. The sampling period is 20 ps. The frequency band of interest is GHz and is the breast interior. The weighting factor is chosen to be 0.9 in units of cm .

where

III. NUMERICAL MODELS FOR PERFORMANCE EVALUATION We examine the differences between UWB and NB focusing for hyperthermia treatment by performing two-dimensional (2-D) simulations to calculate distributions of absorbed power density and temperature profiles throughout the breast. This approach allows for efficient evaluation of the relative merits of these two techniques and simplifies the problem by eliminating configurational complications and polarization concerns. While we expect some quantitative differences to be observed between 2-D and three-dimensional (3-D) focusing, the qualitative conclusions drawn from the comparison of UWB and NB focusing in two dimensions should be valid and extendable to three dimensions. Both the 2-D EM and thermal models are similar to the magnetic resonance image (MRI)-derived breast models presented in [11] except for the methods used to map MRI data to complex permittivity data and the thermal parameters used in the breast models. The key features of these models are summarized below. A. Anatomically Based Numerical Breast Phantoms The configuration used in this study mimics that of a patient lying in the prone (or face-down) position with the breast

Fig. 1. 2-D FDTD model of the hyperthermia treatment configuration for a patient lying in the prone position. The MRI-derived breast model contains a 2-mm-diameter malignant lesion shown by a white dot. The 17 black dots near the surface of the breast represent antenna locations. The realistic nature of the heterogeneous normal tissue is illustrated in Fig. 2.

extending through an opening in the treatment table. In this position, the antenna array encircles the pendulous breast allowing for easy access to the full volume of the breast. The prone configuration is represented in two dimensions by a coronal plane through the breast with antennas surrounding the breast, as shown in Fig. 1. A 2-mm-diameter malignant tumor is inserted into the breast model at a distance of 1.5–2.0 cm from the surface of the breast. Each phantom is composed of four different media types: heterogeneous normal breast tissue, skin, malignant tumor, and deionized water (the coupling/cooling medium). B. EM Model A 2-D FDTD-based EM model is used to calculate the absorbed power density distributions that arise from the focusing of UWB and NB microwave signals in the breast. The FDTD EM model solves Maxwell’s equations on a discrete spatial grid comprised of a numerical breast phantom and antenna array configuration shown in Fig. 1. The grid resolution used for these simulations is 0.5 0.5 mm. The antennas are modeled as electric-current sources that radiate the set of NB or UWB signals designed using the procedure described in Section II. The dispersive nature of the media properties is incorporated into the FDTD model using an auxiliary differential equation technique [13]. The dispersion characteristics are treated using single-pole Debye dispersion expressions of the following form: (7) is the relative permittivity at infinite frequency, is Here, the static relative permittivity, is the static conductivity, and is the relaxation time constant. Table I lists the specific Debye

2172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE I DEBYE PARAMETERS FOR THE DISPERSIVE MATERIALS INCLUDED IN THE EM MODEL AND THE RESULTING DIELECTRIC PROPERTIES AT 6 GHz

Fig. 2. MRI data from which the FDTD breast model is derived. (a) MRI image showing pixel intensity as a function of position within the coronal plane of the breast. (b) Histogram of MRI pixel intensities.

parameters for each material [14]–[16]. Note that (6 GHz) and (6 GHz) denote the values of dielectric constant and conductivity generated by the Debye model at 6 GHz—which is the center of the frequency band of interest. The anatomically realistic variation of the frequency-dependent permittivity and conductivity in the interior of the breast is derived from the density variation within a high-resolution breast MRI data set. The original MRI data are shown as an image in Fig. 2(a) and as a histogram depicting the range and distribution of pixel intensities in Fig. 2(b). Darker regions (low pixel intensities) represent denser fibroglandular tissue while

the lighter regions (high pixel intensities) indicate less dense adipose tissue. In creating the MRI-derived FDTD EM model, we do not attempt to directly estimate the complex permittivity from the MRI pixel intensity data; rather, we map the MRI data to representative values of complex permittivity using the MRI data as a template of heterogeneity. The choice of complex permittivity values is not a definitive one because of the uncertainty that exists in the literature on the dielectric properties of normal breast tissue at microwave frequencies [17]. Three dielectric spectroscopy studies [18]–[20] suggest that normal breast tissue is a low-dielectric-constant, low-loss material and that the within-patient variability in dielectric properties is less than 10% around the nominal values. However, the nominal values across these three studies are not in agreement. Other studies [21]–[23] suggest that the impact of normal breast tissue heterogeneity on dielectric-property variability is more significant, because the dielectric properties of fat and fibroglandular tissue are distinctly different. Tissue heterogeneity in the breast may, in fact, explain the discrepancies observed across the different studies of [18]–[20]. We account for this dielectric-property uncertainty in our investigation by creating three different types of MRI-derived breast models for use in the UWB versus NB performance comparison. These models differ in the manner in which the MRI pixel intensities in the heterogeneous breast interior are mapped to Debye parameters ( , , and ). The three mapping methods—uniform, piecewise linear, and bimodal—are described below. In all three cases, the Debye relaxation time is treated as a constant throughout the breast interior. 1) Uniform Mapping: This method follows the strategy presented in [11] and [24] and uses a smooth linear mapping between the range of MRI pixel intensities in the breast interior and a range of Debye parameters around a median baseline. The row labeled as “average tissue” in Table I summarizes the Debye parameters for the median baseline and the corresponding dielectric constant and conductivity at 6 GHz. The histogram of Fig. 3(a) shows the range and distribution of dielectric constants at 6 GHz that result from applying this uniform mapping scheme to the data of Fig. 2(b) assuming a variability of 50% about the median. This result illustrates a general feature of all three mapping schemes—that low MRI pixel intensities (dense fibroglandular tissue) are assigned Debye parameters yielding the largest values of dielectric constant and conductivity while high MRI pixel intensities (fatty tissue) are assigned the smallest values. 2) Piecewise-Linear Mapping: This method assumes fatty and fibroglandular tissue are distinct tissue types, each with its own set of median Debye parameters and degree of variability about the median. Visual inspection of the MRI image is used to

CONVERSE et al.: COMPUTATIONAL STUDY OF UWB VERSUS NB MICROWAVE HYPERTHERMIA FOR BREAST CANCER TREATMENT

2173

TABLE II THERMAL CONSTANTS USED IN THE NUMERICAL MODEL OF THE BIO-HEAT EQUATION DERIVED FROM DATA IN [26]–[43]

Fig. 3. Histograms of the dielectric constant at 6 GHz resulting from: (a) uniform, (b) piecewise-linear, and (c) bimodal mapping schemes applied to the MRI pixel intensities in Fig. 2.

determine intensity thresholds for the fatty, fibroglandular, and transition regions in the histogram of Fig. 2(b). The intensity at which the local peak occurs within the higher end of the intensity spectrum of Fig. 2(b) is mapped to the median Debye parameters chosen for fatty tissue. The maximum pixel intensity within this fatty region of the histogram is mapped to the

minimum fatty tissue Debye parameters, and the minimum fatty tissue pixel intensity is mapped to the maximum fatty tissue Debye parameters. The same process is applied to the fibroglandular tissue region clustered at the lower end of the pixel intensity spectrum. Pixel intensities within the transition region are mapped to Debye parameters that span the range between the fatty maximum and fibroglandular minimum. Fig. 3(b) shows a histogram of the resulting dielectric constant at 6 GHz when the median Debye parameters are assigned the values listed in Table I for fatty and fibroglandular tissue and the variation about each of the two medians is chosen to be 10%. 3) Bimodal Mapping: This method also assumes two distinct tissue types in the interior of the breast, but does not allow for a transition region. A single-intensity threshold is used to set the boundary between the fatty and fibroglandular tissues. The pixel intensities within the fat and fibroglandular regions are mapped to Debye parameters in a manner similar to that described above for the piecewise-linear mapping method. Fig. 3(c) shows a histogram of the resulting dielectric constant at 6 GHz when the median Debye parameters are assigned the values listed in Table I for fatty and fibroglandular tissue and the variation about each of the two medians is chosen to be 10%. Comparing Fig. 3(c) with (3b), we conclude that the bimodal mapping scenario introduces the sharpest contrasts and, therefore, the greatest scattering within the propagation medium because of the jump discontinuities that exist in the dielectric properties in the absence of a transition region. We note that these three methods yield FDTD models of the breast with slightly different average dielectric properties of the breast interior. For example, at 6 GHz, the spatially averaged dielectric constant and conductivity values are as follows: and S/m for the uniformly mapped model, and S/m for the piecewise linearly mapped model, and and S/m for the bimodally mapped model. The analytical propagation model used in the design of the beamformer (discussed in Section II) requires an assumed average for the dielectric properties of the interior of the breast. We generated the results reported in this paper (in Section IV) using beamformers that were designed with average values corresponding exactly to the specific breast phantom under consideration. We have verified that there is no discernable change in the performance when beamformers designed using one of the other sets of average values are employed in the focusing of the microwave signals. In fact, the beamformer performance is quite robust with respect to much larger mismatches between the average dielectric properties assumed in the beamformer design and the actual average dielectric properties of the interior breast tissue environment.

2174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Q

Fig. 4. Dissipated power density in decibels calculated for NB focusing using the FDTD EM model with uniformly mapped tissue heterogeneity. Four different excitation frequencies are considered: (a) 2, (b) 4, (c) 6, and (d) 8 GHz. The beamformer is designed to focus the signals at (2.0, 4.0) cm—which is the location of a 2-mm-diameter tumor.

EM power deposition is a well-accepted figure of merit for evaluating the effectiveness of the focusing strategy employed in hyperthermia. The heating potential , that is, the power dissipated per unit volume, is calculated as a function of location in the breast in one of two ways. For the UWB simulations, we calculate using the FDTD-computed time-domain field quantities as follows: [25]:

where

is the frequency of the transmitted NB signals, , and is the electric field phasor.

C. Thermal Model We have also constructed a 2-D FDTD thermal model to compare UWB and NB focusing on the basis of temperature profiles. The model is based on the well known bio-heat equation:

(8)

(10)

where and are the computational lattice indices and is the assumed pulse repetition rate. For the NB (single-frequency) simulations, we calculate using the FDTD-derived phasor field quantities as follows:

is the which is discretized using the method of [26]. Here, specific heat, is the density, is the thermal conductivity, represents metabolic heat production, is the heating potential computed in the FDTD EM simulation, is a constant representing the heat exchange mechanism due to capillary blood perfusion, and is the blood temperature (assumed to be at body temperature). A discussion of the thermal parameters and their

(9)

CONVERSE et al.: COMPUTATIONAL STUDY OF UWB VERSUS NB MICROWAVE HYPERTHERMIA FOR BREAST CANCER TREATMENT

2175

Fig. 5. Temperature distribution calculated using the FDTD thermal model with the power deposition pattern of: (a) Fig. 4(a) (2 GHz), (b) Fig. 4(b) (4 GHz), (c) Fig. 4(c) (6 GHz), and (d) Fig. 4(d) (8 GHz).

role in the bio-heat equation is found in [26]. The thermal model consists of the same four media as the EM model and uses the same computational lattice. Thermal properties for the different media, listed in Table II, were obtained by averaging numerous values found in the literature [27]–[43]. Note that, while the heterogeneity of normal breast tissue is reflected in the dielectric properties of the FDTD EM model, it is not reflected in the properties of the FDTD thermal model, i.e., the thermal properties are assumed to be constant throughout the normal breast tissue region of the thermal model. Convective boundary conditions with a convective coefficient of 300 W/m K are used in this model to simulate the effects of chilled (15 C) water at the skin surface. The value chosen for the convective coefficient is based upon an extrapolation of the experimental results for a cooling system used in ultrasound vasectomy [44]. The thermal simulation proceeds as follows. First, as an initialization step, an equilibrium temperature distribution is determined for the breast in air at room temperature with no external sources. Then, we assume that the breast is immersed in

the coupling/cooling medium for two minutes and simulate the resulting temperature distribution. Finally, the spatial distribution of , as computed by the FDTD EM simulation, is introduced throughout the breast, and the simulation is run until a steady-state condition is reached. IV. SIMULATION RESULTS AND DISCUSSION Here, we compare the simulation results for UWB and NB beamforming in the numerical breast phantoms described in Section III. Each breast phantom consists of one of the three FDTD EM models (e.g., uniformly, piecewise linearly, or bimodally mapped tissue heterogeneity) coupled with the sole FDTD thermal model. In all figures showing the spatial distribution of , the skin region is excluded from view. Water cooling is sufficient to minimize skin heating, as is evident in all temperature-profile figures where the skin region is included. First, we consider the effectiveness of NB hyperthermia for the numerical phantom comprised of the FDTD breast model with uniformly mapped tissue heterogeneity and a tumor centered at

2176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Q

Fig. 6. in decibels and temperature distributions for the breast phantom with uniformly mapped tissue heterogeneity. (a) and (c) UWB focusing. (b) and (d) NB focusing at the optimal frequency of 3.5 GHz.

coordinates cm. We choose representative frequencies from the frequency band over which the UWB focusing signals have significant spectral content (1–11 GHz). Fig. 4 shows the spatial distribution of for the excitation frequencies of 2, 4, 6, and 8 GHz. In each case, the maximum occurs at the focus location, cm. The presence of the tumor at this focus location introduces a local increase in the absorbed power density due to the higher conductivity of the tumor relative to the surrounding tissue. This selective absorption of the tumor augments the inherent focusing capability of the beamformer. Fig. 5 depicts the temperature profile that is obtained when each of the four distributions of Fig. 4 are used as the input into the thermal model. Contour lines are shown in 1 C increments from 37 C to 42 C. The profiles of Fig. 5 show that the temperature gradients necessary to selectively heat the tumor site to therapeutic levels (42 C–43 C) can be achieved at 2, 4, and (to some extent) 6 GHz, whereas, at 8 GHz, the temperature selectivity is severely degraded with temperatures reaching the highest levels near the surface of the breast instead of at the tumor site.

Several important trends can be observed in Figs. 4 and 5. Fig. 4 shows that an increase in frequency leads to higher resolution focusing, as was expected. In array processing terms, the width of the mainlobe of the transmit beampattern decreases with increasing frequency while the sidelobe levels increase. Fig. 4 also illustrates that an increase in excitation frequency leads to an increase in absorbed EM power near the surface of the breast. This is a direct consequence of attenuation increasing with frequency. A comparison between Figs. 4 and 5 reveals that, as the frequency is increased from 2 to 4 GHz, the EM power absorption at the tumor site becomes more localized, while the absorption near the surface does not increase significantly. As a result, the region surrounding the tumor that is exposed to temperatures above 42 C is smaller at 4 GHz relative to 2 GHz. As the frequency is increased further to 6 GHz, the EM power absorption near the surface increases considerably. Consequently, while the tumor site is still elevated to the desired temperature, the extent of the raised-temperature region away from the tumor site is enlarged relative to that observed at

CONVERSE et al.: COMPUTATIONAL STUDY OF UWB VERSUS NB MICROWAVE HYPERTHERMIA FOR BREAST CANCER TREATMENT

2177

Q

Fig. 7. in decibels and temperature distributions for the breast phantom with piecewise linearly mapped tissue heterogeneity. (a) and (c) UWB focusing. (b) and (d) NB focusing at the optimal frequency of 3.8 GHz.

the lower frequencies. At 8 GHz, the increased sidelobe levels and the greatly increased EM power absorption in normal tissue result in ineffective hyperthermia treatment characterized by undesired hot spots near the surface of the breast. Thus, a fundamental tradeoff exists when focusing NB signals in the breast. If too low of a frequency is chosen, then the extent of the region surrounding the tumor site that is raised to nonphysiological temperatures may be unnecessarily large [see Fig. 5(a)] due to poor focusing resolution associated with the mainlobe. If too high of a frequency is chosen, then too much of the transmitted power is absorbed in tissue near the breast surface and throughout the breast due to increased conductivity of normal tissue and higher sidelobe levels. This latter case results in auxiliary foci or hot spots in normal tissue rather than at the tumor site [see Fig. 5(d)]. Clearly, the choice of an effective NB excitation frequency requires balancing the competing demands of focusing resolution with depth of penetration within a propagation medium whose dielectric properties and volume are patient-specific.

Although we only show results at four representative frequencies in the 1–11-GHz band, this frequency band can be further explored using finer sampling, and EM and thermal simulation can be performed at each frequency. The ratio of deposited power at the tumor location to deposited power near the breast surface can be calculated, and the frequency with the largest ratio is considered to be the “optimum” frequency. Note that the optimum frequency will vary with breast density and geometry. For the phantom with uniformly mapped tissue heterogeneity, the optimum frequency determined in this manner is 3.5 GHz. Interestingly, the spectral peak of transmit pulses that result from the UWB design described in Section II occurs at precisely this frequency. The and temperature profiles for the NB case at the optimum frequency compared with the UWB case are shown in Fig. 6. EM focusing in the vicinity of the tumor location appears to be comparable in both cases, leading to areas of similar size over which the temperature is elevated to above 42 C. In the normal tissue region in the center of the breast, the peak level

2178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Q

Fig. 8. in decibels and temperature distributions for the breast phantom with bimodally mapped tissue heterogeneity. (a) and (c) UWB focusing. (b) and (d) NB focusing at the optimal frequency of 3.7 GHz.

of absorbed EM power is 3 dB higher for the NB case. This extra absorbed power is the likely cause for the higher temperatures that appear around the center of the breast in the NB profile of Fig. 6(d) relative to the UWB profile of Fig. 6(c). Although UWB focusing yields higher absorbed power near the surface of the breast, the water cooling of the skin prevents hot spots from occurring in this region. Fig. 7 shows the and temperature profiles for UWB and NB focusing in the breast phantom with piecewise linearly mapped tissue heterogeneity. The optimal NB frequency here is 3.8 GHz. Increased power deposition can be seen throughout the breast in both Fig. 7(a) and (b) when compared with Fig. 6(a) and (b), although a greater increase occurs in the NB case. The increase is attributed to the sharper contrast in dielectric properties between fatty and fibroglandular tissue. A comparison between the UWB case in Fig. 7(a) and the NB case in Fig. 7(b) once again suggests that the excess power deposited near the center of the breast with NB focusing contributes to the degraded temperature profile in Fig. 7(d) compared with the temperature profile in Fig. 7(c).

The resulting and temperature profiles from NB and UWB focusing in the breast phantom with the bimodally mapped tissue heterogeneity is depicted in Fig. 8. The optimal NB frequency here is 3.7 GHz. Once more, UWB focusing yields a temperature profile with steeper gradients compared to the NB focusing profile. Figs. 6–8 consistently show that power absorption near the surface of the breast has no adverse effect on the temperature gradients, assuming that there is sufficient water cooling. The input power to the antennas for the UWB and optimal NB focusing solutions ranged from 4.5 to 9.5 W/cm. V. CONCLUSION In this study, we have compared UWB and NB beamforming for microwave hyperthermia treatment of breast cancer using anatomically realistic numerical breast phantoms containing a 2-mm-diameter tumor. Three methods for mapping MRI pixel intensity data to complex permittivity data were used to derive the phantoms. These mapping methods cover a wide range of possible embodiments of the dielectric-properties transitions

CONVERSE et al.: COMPUTATIONAL STUDY OF UWB VERSUS NB MICROWAVE HYPERTHERMIA FOR BREAST CANCER TREATMENT

that may occur in the breast interior due to heterogeneous tissue composition. The small tumor size creates one of the most challenging focusing scenarios for selective heating. This formal comparison study confirms our hypothesis that UWB focusing methods offer the potential for tighter focusing and a greater reduction of hot-spots compared to NB methods for a small ( 1 cm) tumor size. The results demonstrate that UWB focusing consistently produces the necessary temperature gradients required for effective hyperthermia treatment while preserving normal physiological temperatures throughout larger regions of normal tissue relative to NB focusing with an optimum excitation frequency, particularly in breast tissue environments that exhibit distinct dielectric-properties boundaries between fat and fibroglandular tissue. REFERENCES [1] K. A. Leopold, M. Dewhirst, T. Samulski, J. Harrelson, J. A. Tucker, S. L. George, R. K. Dodge, W. Grant, S. Clegg, L. R. Prosnitz, and J. R. Oleson, “Relationships among tumor temperature, treatment time, and histopathological outcome using preoperative hyperthermia with radiation in soft-tissue sarcomas,” Int. J. Rad. Oncol. Biol. Phys., vol. 22, no. 5, pp. 989–998, 1992. [2] J. van der Zee, D. G. Gonzalez, G. C. van Rhoon, J. D. P. van Dijk, W. L. J. van Putten, and A. A. M. Hart, “Comparison of radiotherapy alone with radiotherapy plus hyperthermia in locally advanced pelvic tumors: A prospective, randomised, multicentre trial,” Lancet, vol. 355, pp. 1119–1125, 2000. [3] P. Wust, B. Hildebrandt, G. Sreenivasa, B. Rau, J. Gellermann, H. Riess, R. Felix, and P. M. Schlag, “Hyperthermia in combined treatment of cancer,” Lancet Oncol., vol. 3, no. 8, pp. 487–497, Aug. 2002. [4] J. Overgaard, D. Gonzalez, M. Hulshof, G. Arcangeli, O. Dahl, O. Mella, and S. Bentzen, “Randomized trial of hyperthermia as an adjuvant to radiotherapy for recurrent or metastatic malignant melanoma,” Lancet, vol. 345, pp. 540–543, 1995. [5] C. C. Vernon, J. W. Hand, S. B. Field, D. Machin, J. B. Whaley, J. van der Zee, W. L. J. van Putten, G. C. van Rhoon, J. D. P. van Dijk, D. G. Gonzalez, F. F. Liu, P. Goodman, and M. Sherar, “Radiotherapy with or without hyperthermia in the treatment of superficial localized breast cancer: Results from five randomized controlled trials,” Int. J. Rad. Oncol. Biol. Phys., vol. 35, no. 4, pp. 731–744, Jul. 1996. [6] J. W. Hand, D. Machin, C. C. Vernon, and J. B. Whaley, “Analysis of thermal parameters obtained during phase III trials of hyperthermia as an adjunct to radiotherapy in the treatment of breast carcinoma,” Int. J. Hyperthermia, vol. 13, pp. 343–364, 1997. [7] D. S. Kapp, “Efficacy of adjuvant hyperthermia in the treatment of superficial recurrent breast cancer: Confirmation and future directions,” Int. J. Rad. Oncol. Biol. Phys., vol. 35, pp. 1117–1121, 1996. [8] M. E. Kowalski, B. Behnia, A. G. Webb, and J.-M. Jin, “Optimization of electromagnetic phased-arrays for hyperthermia via magnetic resonance temperature estimation,” IEEE Trans. Biomed. Eng., vol. 49, no. 11, pp. 1229–1241, Nov. 2002. [9] A. J. Fenn, V. Sathiaseelan, G. A. King, and P. R. Stauffer, “Improved localization of energy deposition in adaptive phase-array hyperthermia treatment of cancer,” Linc. Lab. J., vol. 9, no. 2, pp. 187–195, 1996. [10] S. Jacobsen, “Reduction of hot spots in hyperthermia by means of broadband energy transmission,” Electron. Lett., vol. 34, no. 20, pp. 1901–1902, Oct. 1998. [11] M. C. Converse, E. J. Bond, S. C. Hagness, and B. D. Van Veen, “Ultrawide-band microwave space–time beamforming for hyperthermia treatment of breast cancer: A computational feasibility study,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1876–1889, Aug. 2004. [12] H. Cox, R. M. Zeskind, and M. M. Owen, “Robust adaptive beamforming,” IEEE Trans. Acoust., Speech Signal Process., vol. ASSP-35, pp. 1365–1375, Oct. 1987. [13] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 3rd ed. Boston, MA: Artech House, 2005. [14] E. J. Bond, X. Li, S. C. Hagness, and B. D. Van Veen, “Microwave imaging via space–time beamforming for early detection of breast cancer,” IEEE Trans. Antennas Propag., vol. 51, no. 8, pp. 1690–1705, Aug. 2003.

2179

[15] J. Z. Bao, M. L. Swicord, and C. C. Davis, “Microwave dielectric characterization of binary mixtures of water, methanol, and ethanol,” J. Chem. Phys., vol. 104, no. 12, pp. 4441–4450, Mar. 1996. [16] S. Gabriel, R. W. Lau, and C. Gabriel, “The dielectric properties of biological tissues: III. Parametric models for the dielectric spectrum of tissues,” Phys. Med. Biol., vol. 41, pp. 2271–2293, 1996. [17] W. D. Hurt, J. M. Ziriax, and P. A. Mason, “Variability in EMF permittivity values: Implications for SAR calculations,” IEEE Trans. Biomed. Eng., vol. BME-27, no. 10, pp. 396–401, Oct. 1987. [18] S. S. Chaudhary, R. K. Mishra, A. Swarup, and J. M. Thomas, “Dielectric properties of normal and malignant human breast tissues at radiowave and microwave frequencies,” Indian J. Biochem. Biophys., vol. 21, pp. 76–79, 1984. [19] A. J. Surowiec, S. S. Stuchley, J. R. Barr, and A. Swarup, “Dielectric properties of breast carcinoma and the surrounding tissues,” IEEE Trans. Biomed. Eng., vol. 35, no. 4, pp. 257–263, Apr. 1988. [20] W. T. Joines, Y. Zhang, C. Li, and R. L. Jirtle, “The measured electrical properties of normal and malignant human tissues from 50 to 900 MHz,” Med. Phys. J., vol. 21, no. 4, pp. 547–550, 1994. [21] P. M. Meaney, M. W. Fanning, D. Li, S. P. Poplack, and K. D. Paulsen, “A clinical prototype for active microwave imaging of the breast,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 1841–1853, Nov. 2000. [22] A. M. Campbell and D. V. Land, “Dielectric properties of female human breast tissue measured in vitro at 3.2 GHz,” Phys. Med. Biol., vol. 37, no. 1, pp. 193–210, 1992. [23] C. Beasley, S. C. Hagness, J. Booske, T. M. Breslin, F. Xu, M. J. Lindstrom, D. Popovic, M. Okoniewski, W. Temple, A. Magliocco, and T. Ogilvie, “Ex-vivo dielectric characterization of normal, benign, and malignant breast tissue at microwave frequencies: Preliminary results from a multi-institutional study,” presented at the IEEE Int. Symp. Antennas Propag. USNC/URSI Radio Sci. Meeting, Columbus, OH, Jun. 2003. [24] X. Li and S. C. Hagness, “A confocal microwave imaging algorithm for breast cancer detection,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 3, pp. 130–132, Mar. 2001. [25] O. P. Gandhi and C. M. Furse, “Currents induced in the human body for exposure to ultrawideband electromagnetic pulses,” IEEE Trans. Electromagn. Compat., vol. 39, no. 2, pp. 174–180, 1997. [26] P. Bernardi, M. Cavagnaro, S. Pisa, and E. Piuzzi, “Specific absorption rate and temperature elevation in a subject exposed in the far-field of radio-frequency sources operating in the 10-900-MHz range,” IEEE Trans. Biomed. Eng., vol. 50, no. 3, pp. 295–304, Mar. 2003. [27] K. Giering, I. Lamprecht, and O. Minet, “Specific heat capacities of human and animal tissues,” Proc. SPIE, vol. 2624, pp. 188–197, Jan. 1996. [28] H. Bowmann, “Heat transfer and thermal dosimetry,” J. Microw. Power E.E., vol. 16, no. 2, pp. 121–130, 1981. [29] K. Sekins and A. Emery, “Thermal modeling of local hyperthermia,” in Hyperthermia in Cancer Treatment. Boca Raton, FL: CRC, 1986, vol. 2, ch. 6. [30] M. P. Robinson, M. J. Richardson, J. L. Green, and A. W. Preece, “New materials for dielectric simulation of tissues,” Phys. Med. Biol., vol. 36, no. 12, pp. 1565–1571, Dec. 1991. [31] K. R. Diller, J. W. Valvano, and J. A. Pearce, “Bioheat transfer,” in The CRC Handbook of Thermal Engineering. Boca Raton, FL: CRC, 2000. [32] J. Lang, B. Erdmann, and M. Seebass, “Impact of nonlinear heat transfer on temperature control in regional hyperthermia,” IEEE Trans. Bio. Eng., vol. 46, no. 9, pp. 1129–1138, Sep. 1999. [33] H. L. M. Cheng and D. B. Plewes, “Tissue thermal conductivity by magnetic resonance thermometry and focused ultrasound heating,” J. Mag. Res. Imag., vol. 16, no. 5, pp. 598–609, Nov. 2002. [34] S. J. Graham and M. J. Bronskill, “MR measurement of relative water content and multicomponent T relaxation in human breast,” Mag. Res. Med., vol. 35, no. 5, pp. 706–715, May 1996. [35] J. S. Al-bahri and N. M. Spyrou, “Photon linear attenuation coefficients and water content of normal and pathological breast tissues,” Appl. Rad. Iso., vol. 47, no. 8, pp. 777–784, Aug. 1996. [36] H. Q. Woodard and D. R. White, “The composition of body tissues,” Brit. J. Rad., vol. 59, pp. 1209–1219, Dec. 1986. [37] S. J. Graham, P. L. Stanchev, J. O. A. LloydSmith, M. J. Bronskill, and D. B. Plewes, “Changes in fibroglandular volume and water content of breast tissue during the menstrual cycle observed by MR imaging at 1.5 T,” J. Mag. Res. Imag., vol. 5, no. 6, pp. 695–701, Nov. 1995.

2180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

[38] J. Werner and M. Buse, “Temperature profiles with respect to inhomogeneity and geometry of the human body,” J. Appl. Physiol., vol. 65, no. 3, pp. 1110–1118, Sep. 1988. [39] F. A. Duck, Physical Properties of Tissue: A Comprehensive Reference Book. London, U.K.: Academic, 1990. [40] E. Y. K. Ng and N. M. Sudharsan, “Effect of blood flow, tumour and cold stress in a female breast: A novel time-accurate computer simulation,” Proc. Int. Mech. Eng. Part H-J. Eng. Med., vol. 215, no. H4, pp. 393–404, 2001. [41] B. I. Tropea, “Thermal injury kinetic in electrical trauma,” Trans. ASME, J. Biomed. Eng., vol. 114, no. 21, pp. 4096–4105, Jul. 1992. [42] J. C. Chato, “Fundamentals of bioheat transfer,” in Thermal Dosimetry and Treatment Planning. Berlin, Germany: Springer-Verlag, 1990, pp. 1–56. [43] A. Shitzer and R. C. Eberhart, Heat Transfer in Medicine and Biology: Analysis and Applications. New York, NY: Plenum, 1985. [44] N. M. Fried, Y. D. Sinelnikov, B. B. Pant, W. W. Roberts, and S. B. Solomon, “Noninvasive vasectomy using a focused ultrasound clip: Thermal measurements and simulations,” IEEE Trans. Biomed. Eng., vol. 48, no. 12, pp. 1453–1459, Dec 2001.

Mark Converse (S’92–M’04) received the B.S. degree in electrical engineering and the M.S. and Ph.D. degrees from the University of Wisconsin–Madison, in 1996, 1999, and 2003, respectively. During his graduate studies, he was engaged in plasma processing research involving damage evaluation/analysis and mitigation during the etching process. After receiving the M.S. degree in 1999, he began research in microwave vacuum electronics, investigating the impulse response of the helix traveling wave tube. In May of 2003, he began postdoctoral research examining the feasibility of using ultra-wideband microwave hyperthermia to treat breast cancer. Currently, he is an Assistant Scientist with the University of Wisconsin–Madison, where he is investigating microwave ablation of liver cancer. His research interests include EM interactions with materials, electrical/biological interfaces, and organic electronics.

Essex J. Bond (S’98–M’06) received the B.S. degree from the Milwaukee School of Engineering, Milwaukee, WI, in 1999, the M.S. degree from the University of Wisconsin–Madison, in 2001, both in electrical engineering, and is currently working toward the Ph.D. degree in electrical and computer engineering at the University of Wisconsin–Madison. His research interests include biomedical applications of signal processing and array signal processing. Mr. Bond is the recipient of a National Institutes of Health Pre-doctoral Fellowship.

Barry D. Van Veen (S’81–M’86–SM’97–F’02) was born in Green Bay, WI. He received the B.S. degree from Michigan Technological University, Houghton, in 1983, and the Ph.D. degree from the University of Colorado at Boulder, in 1986, both in electrical engineering. In the spring of 1987, he was with the Department of Electrical and Computer Engineering, University of Colorado at Boulder. Since August of 1987, he has been with the Department of Electrical and Computer Engineering, University of Wisconsin–Madison, where he is currently a Professor. His research interests include signal processing for sensor arrays and biomedical applications of signal processing. He coauthored Signals and Systems (Wiley, 1999, 1st ed., 2003, 2nd ed.). Dr. Van Veen served as an Associate Editor for the IEEE TRANSACTIONS ON SIGNAL PROCESSING and on the IEEE Signal Processing Society’s Statistical Signal and Array Processing Technical Committee and the Sensor Array and Multichannel Technical Committee. He was a recipient of a 1989 Presidential Young Investigator Award from the National Science Foundation and a 1990 IEEE Signal Processing Society Paper Award. He was also the recipient of the Holdridge Teaching Excellence Award from the Electrical and Computer Engineering Department at the University of Wisconsin in 1997. He was an Office of Naval Research Fellow while working on the Ph.D. degree.

Susan C. Hagness (S’91–M’98–SM’04) received the B.S. degree (with highest honors) and Ph.D. degree in electrical engineering from Northwestern University, Evanston, IL, in 1993 and 1998, respectively. Since August 1998, she has been with the University of Wisconsin–Madison, where she is currently an Associate Professor with the Department of Electrical and Computer Engineering and a faculty affiliate of the Department of Biomedical Engineering. Her bioelectromagnetics research interests include microwave imaging, sensing, and thermal therapy techniques, UWB radar, dielectric spectroscopy, time-domain inverse scattering, and FDTD theory and applications in biology and medicine. She coauthored Computational Electrodynamics: The Finite-Difference Time-Domain Method (Artech House, 2005, 3rd ed.) Dr. Hagness served as an elected member of the IEEE Antennas and Propagation Society (AP-S) Administrative Committee from 2003 to 2005. She is currently serving as a member-at-large of the United States National Committee (USNC) of the International Union of Radio Science (URSI) and as an Associate Editor for the IEEE ANTENNAS AND WIRELESS PROPAGATION LETTERS. She was the recipient of the Presidential Early Career Award for Scientists and Engineers presented by the White House in 2000. In 2002, she was named one of the 100 top young innovators in science and engineering in the world by the Massachusetts Institute of Technology (MIT) Technology Review magazine. She was the recipient of the University of Wisconsin Emil Steiger Distinguished Teaching Award in 2003, the IEEE Engineering in Medicine and Biology Society Early Career Achievement Award in 2004, and the URSI Isaac Koga Gold Medal in 2005. While working toward the Ph.D. degree, she was a National Science Foundation Graduate Fellow and a Tau Beta Pi Spencer Fellow.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

2181

Analysis of Optical Carrier-to-Sideband Ratio for Improving Transmission Performance in Fiber-Radio Links Christina Lim, Member, IEEE, Manik Attygalle, Member, IEEE, Ampalavanapillai Nirmalathas, Senior Member, IEEE, Dalma Novak, Senior Member, IEEE, and Rod Waterhouse, Senior Member, IEEE

Abstract—In this paper, we investigate the optimum carrier-to-sideband ratio (CSR) for maximizing the transmission performance of an optically modulated millimeter-wave signal in a fiber-wireless system via experiment, theory, and simulation. We present a simple analytical model to assess the performance enhancement resulting from optical CSR variations. The model is capable of analyzing systems incorporating binary phase-shift keyed and quaternary phase-shift keyed modulation formats. We quantify the optical CSR of a point-to-point fiber-radio link and establish that the performance of the fiber-wireless links can be significantly improved when the optical signal is transmitted at the optimum CSR of 0 dB. The analysis further shows that the optimum optical CSR is independent of transmission bit rates. Index Terms—Fiber radio, microwave photonics, optical fiber communication, optical single sideband (OSSB).

I. INTRODUCTION IXED-WIRELESS access networks operating at submillimeter- or millimeter-wave frequencies with optical fiber transport have the required bandwidth to deliver a wide variety of broadband services [1]–[4]. The use of the millimeter-wave frequency band not only overcomes spectral congestion issues in the lower microwave frequency regions, but also offers a large wireless transmission bandwidth. Together with the low-loss and large bandwidth of an optical fiber backbone, a hybrid network capable of distributing broadband millimeter-wave radio signals can be realized [5], [6]. The proposed architecture of such a hybrid network comprises a central office (CO) with an optical fiber backbone that distributes the radio signals to a large number of functionally simple remote antenna base stations (BSs) for wireless distribution. Such an architecture moves the routing, switching, processing, and management functions to the CO, thereby allowing the resources to be shared among a large number of BSs and simplifying the overall network architecture.

F

Manuscript received August 9, 2005; revised November 30, 2005 and December 21, 2005. This work was supported in part by the Australian Research Council under DP0452223. C. Lim, D. Novak, and R. Waterhouse are with the Department of Electrical and Electronic Engineering, The University of Melbourne, Victoria, Vic. 3010, Australia (e-mail: [email protected]; [email protected]; [email protected]). M. Attygalle and A. Nirmalathas are with the Victoria Laboratory, Department of Electrical and Electronic Engineering, National ICT Australia, The University of Melbourne, Victoria, Vic. 3010, Australia (e-mail: [email protected]. edu.au; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872809

The distribution of millimeter-wave radio signals to and from BSs is susceptible to fiber chromatic dispersion that severely limits the transmission distance [7]–[9]. It has been demonstrated that such penalties can be mitigated using the optical modulation scheme single sideband with carrier signal can be generated using a dual[10]–[13]. The electrode Mach–Zehnder modulator (MZM); however, the millimeter-wave radio signals are typically weakly modulated onto the optical carrier due to the low modulation efficiency at these frequencies [10]. As a result, the power of the optically modulated millimeter-wave sideband can be more than 20 dB below that of the optical carrier. To improve the link performance, the optical power of the signals can be increased by using a high power optical source or an optical amplifier; however, this may lead to increased intermodulation distortions at the receiver or even damage of the receiver due to too large an optical power incident on the optical detector [14], [15]. A few techniques have been proposed to improve the modulation efficiency of such signals including Brillouin scattering [16], external optical filtering [14], [15], and optical attenuation [17]. In this paper, we present experimental, analytical, and numerical (using commercial software) studies on the impact of optical modulation efficiency of the millimeter-wave signals on the overall fiber-radio link performance. Here we define the optical carrier-to-sideband ratio (CSR) of an optically modulated millimeter-wave signal as the ratio of the optical power in the optical carrier to that of the first-order sideband, within a defined resolution bandwidth (RBW) (here set as 2.5 GHz). In this investigation, we focus on optically modulated millimeter-wave modulation scheme. We have deradio signals in an veloped a simple analytical model to quantify the performance enhancement and the results show that an optimum CSR can be achieved for improving the performance of the fiber-radio link. This paper is organized as follows. A detailed description of the analytical model for investigating the impact of the optical CSR of an optical modulated millimeter-wave signal on a fiberradio link is given in Section II. Section III describes the experimental investigations of the optical CSR and provides a comparison between the experimental, analytical, and simulation results. Section IV extends the investigations to different data rates, while Section V focuses on the link performance when different modulation formats are implemented in the fiber-radio links.

0018-9480/$20.00 © 2006 IEEE

2182

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

an erbium-doped fiber amplifier (EDFA), which is based on a black-box model that takes into account amplifier saturation effects and is independent of the wavelength [19]. At the output of the EDFA, a filter is used to remove a portion of the optical carrier power and the resulting optical field is as follows:

(4) Fig. 1. Schematic of analytical model.

II. DEVELOPMENT OF ANALYTICAL MODEL FOR OPTICAL CSR IN FIBER-RADIO LINKS Fig. 1 shows the schematic diagram of the analytical model to investigate the impact of the optical CSR in a millimeter-wave fiber-radio link incorporating modulation [18]. This model can be extended to incorporate the optical double sideband with carrier configuration if necessary. The optical carrier is modeled as a single-mode source of frequency and an optical field amplitude of . The generator consists of a dual-electrode MZM biased at quadrature and driven with two RF signals that are 90 out-of-phase [10]. The output of the generator can be represented by the optical field as described as follows in (1):

where represents the amount of optical carrier power after filtering and is the EDFA gain. As defined earlier, the optical CSR of the optically modulated millimeter-wave signal is given as the power in the optical carrier relative to that of the sideband, calculated using an RBW of 2.5 GHz. Here we assume that the optical carrier has a Gaussian spectral shape with a linewidth [full-width half maximum (FWHM)] of 10 MHz, while the sideband is a sinc function with a null-to-null bandwidth equal to twice the bit rate. and The average optical power of the optical carrier the sideband are approximated by integrating over the RBW while centered at the carrier and sideband frequencies, respectively, as depicted schematically in the inset of Fig. 1 and expressed mathematically as follows:

(1) is the switching voltage of the dual-electrode MZM, where and are the RF drive signals and is the insertion loss of the MZM. In this analysis, we assume that the MZM is driven by 155-Mb/s data stream, which is upconverted to a millimeter-wave frequency in a binary phase-shift keyed (BPSK) format that can be represented by

(5)

Bit Rate Bit Rate (6)

(2) where is the amplitude of the RF signal, and data. Equation (1) can be further simplified to

represents the

is the resolution bandwidth, Bit Rate is In (5) and (6), the bit rate of the system, and is the linewidth of the laser. The resulting CSR of the signal in decibels (dB) is obtained after the optical filter that removes part of the optical carrier and is shown as

(7)

(3) and are the Bessel functions of the first kind. For where simplicity, higher order terms are not included, as their contributions are relatively small and can be neglected without loss of accuracy. Note that only one sideband is present, while the other is suppressed, which results in the modulation format. The optical field is then optically amplified using

At the photodetector (PD), the square-law process recovers the millimeter-wave signal. The baseband data can be recovered by downconverting the millimeter-wave signal using a local oscillator that matches the phase of the recovered millimeter-wave signal. Taking into account the overall link gain and loss, the bit error rate (BER) of the data can then be obtained using a Gaussian approximation. In doing so, the noise processes accumulated within the link such as thermal noise from the RF

LIM et al.: ANALYSIS OF OPTICAL CSR FOR IMPROVING TRANSMISSION PERFORMANCE IN FIBER-RADIO LINKS

Fig. 2. Experimental setup for optical CSR investigation.

2183

Fig. 3. Measured optical spectra with and without an 80% reflectivity FBG.

electronics and also the amplified spontaneous emission (ASE) noise from the EDFA (signal-to-spontaneous beat noise) are also included in the model. The shot noise and spontaneous-to-spontaneous beat noise contributions are relatively small and can be neglected in the overall BER calculations. III. EXPERIMENTAL DEMONSTRATION AND MODEL VERIFICATION Shown in Fig. 2 is the experimental setup for the optimum CSR investigation for the fiber-radio link. Here, an external fiber Bragg grating (FBG) is used to remove a portion of the optical carrier, leaving only a fraction of the optical carrier power to be detected at the receiver [20]. In this investigation, a number of FBGs with 3-dB reflection bandwidths of 2.7 GHz and a reflectivity ranging from 3 dB (50%) to 30 dB (99.9%) were used to evaluate the optical link performance. The inset of Fig. 2 shows the transmission profile of three of the FBGs with reflectivities of 50%, 80%, and 95%. A tunable laser source with its wavelength set to 1553 nm was used to drive the dual-electrode MZM. A pseudorandom bit sequence (PRBS) with a length of 2 1 at 155 Mb/s was used to modulate the phase of a 35-GHz RF carrier to generate the BPSK data. This was then applied to the two arms of the MZM, which was biased at quadrature to obtain an optically modulated signal. The optical signals were amplified using an EDFA to compensate for the high insertion loss incurred using the modulator before passing through an isolator and the FBG. Upon reception at the PD, the RF signal at 35 GHz was detected, amplified, and downconverted to 2.5 GHz before the baseband data was recovered using a 2.5-GHz electronic phase-locked loop (PLL). Fig. 3 shows the optical spectrum before and after the FBG with 80% reflectivity measured using an optical spectrum analyzer with 2.5-GHz RBW. It can be seen from this figure that the FBG suppresses the optical carrier by approximately 7.5 dB, which, in turn, decreases the CSR by 7.5 dB. The corresponding BER curves are shown in Fig. 4 with a 3-dB improvement in the sensitivity at a when the CSR was decreased by 7.5 dB, i.e., from 16.5 dB (without the FBG) to 9 dB (with 80% reflectivity FBG). Also shown in Fig. 4 are the BER curves obtained using the analytical model developed in Section II with

Fig. 4. Measured and calculated BER curves with and without an 80% reflectivity FBG (CSR = carrier-to-sideband ratio).

TABLE I LOSS/GAIN AND OTHER PARAMETERS USED IN THE ANALYTICAL MODEL FOR OPTIMUM OPTICAL CSR ANALYSIS BASED ON EXPERIMENTAL DATA

the parameters (tabulated in Table I) following the experiment as closely as possible. It can be seen from Fig. 4 that the theory agrees very well with measurement. The close match between the experimental and analytical results confirms that the model can be used to accurately determine the resulting BER given the optical CSR of the millimeter-wave modulated signal for 155-Mb/s BPSK formatted data. We will, therefore, use the analytical model to further quantify the performance of the fiberradio link as a function of the optical CSR. Shown in Fig. 5 is the sensitivity at a plotted against the optical CSR. Also shown are measurements for three different values of optical CSRs obtained with and without an FBG (80% and 95% reflectivity). It can be seen from Fig. 5 that the theoretical model matches the experimental results and shows that the optimum operating condition occurs at an optical CSR of 0 dB. The

2184

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 5. Measured, calculated, and simulated sensitivity versus optical CSR for 155-Mb/s BPSK data.

CSR investigations were also carried out numerically using the commercial software package VPITransmissionMaker1 with the simulation parameters once again following the experimental values as closely as possible. Also shown in Fig. 5 are the simulation results. The simulation results agree well with the analytical model with only a small discrepancy that can be attributed to numerical error. The simulation results also confirm that an optimum CSR occurs at 0 dB. The situation that an optimum CSR exists for a millimeterwave modulated optical signal is due to the interplay between the optical powers in the carrier and sideband. The sensitivity of the link is dependent on the addition of these two parameters, while the BER is dependent on the square root of the product. To explain these relationships, we assume a transmission bit-rate of 155 Mb/s and also that the received optical power is maintained constant, while the CSR (with RBW GHz) of the link is varied from 20 to 10 dB. Using the analytical model, the resulting optical powers of the carrier and sideband , and the corresponding received baseband data (the difference between the average of “1” and “0”), which is proportional to the square root of , as well as the root mean square of the total link noise, are plotted in Fig. 6. It can be seen that as the optical CSR varies, and decrease/increase accordingly to maintain the same . However, the received baseband current peaks at a CSR of 0 dB, while the root mean square of the total noise power does not vary significantly with CSR. Hence, this leads to a lower BER and an improved performance at a CSR at 0 dB. Note that the definition of optical CSR here is based on the RBW of the test equipment, i.e., 2.5 GHz. Whether or not the optimum optical CSR is dependent on the RBW of the measuring equipment needs to be further investigated. This dependency is quantified using the analytical model and also via simulations, and is shown in Fig. 7. Here, we illustrate how the optimum CSR varies with RBW. Both sets of results indicate that the RBW is less than twice the bit rate and approaches 0 dB thereafter. When 1VPIsystems Corporation, Holmdel, NJ. [Online]. Available: http://www. VPIsystems.com

Fig. 6. Relationship between P ; P , total received optical power, received baseband signal, and root mean square of total noise power with CSR for 155-Mb/s BPSK data.

Fig. 7. Optimum CSR for 155-Mb/s BPSK data versus RBW of the test and measuring equipment.

the RBW is less than twice the bit rate, the average power estimation of the sideband does not represent the actual power. Here, approximately 89% of the signal power falls within the main lobe of the BPSK signal, which is the null-to-null bandwidth corresponding to two times the bit rate. As the RBW decreases, the estimated power of the sideband becomes less accurate, which leads to an inaccurate estimation of the optimum CSR. As the RBW increases to more than 500 MHz, however, the estimation of the sideband power with a 155-Mb/s data rate becomes more realistic and, hence, leads to an observed optimum CSR of 0 dB. These results show that the correct experimental setup is very important when trying to ascertain the optimum optical CSR and the RBW should be set to greater than twice the transmission bit rate.

IV. BIT-RATE DEPENDENCY In Section III, our analysis showed that for a fiber-radio link incorporating an modulation format, the optimum

LIM et al.: ANALYSIS OF OPTICAL CSR FOR IMPROVING TRANSMISSION PERFORMANCE IN FIBER-RADIO LINKS

2185

Fig. 8. Measured and calculated sensitivity at BER = 10 for 51.8-Mb/s BPSK data as a function of optical CSR. Also shown is the calculated sensitivity for 622-Mb/s BPSK data.

performance with the best sensitivity occurs at a CSR of 0 dB measured at an RBW larger than twice the transmission bit rate. Here, we further extend our investigation to also include the dependence on bit rate. Increasing the data rate essentially increases the null-to-null bandwidth of the modulated sideband, which, in turn, increases the average power in the sideband and decreases the resulting optical CSR for a given RBW. To investigate the dependence of the optical CSR performance on the bit rate, the experiment outlined in Fig. 2 was carried out with the exception that the bit rate was varied from 155 Mb/s. Shown in Fig. 8 are the predicted (via the analytical model) and measured sensitivities at a versus the optical CSR (with GHz) when the data rate was set to 51.8 Mb/s. Also shown in Fig. 8 are the predicted results if the bit rate is increased to 622 Mb/s. Once again, it can be seen that the optimum CSR occurs at a value of 0 dB regardless of the data rate of the system. Varying the bit rate essentially varies the link sensitivity of the system; however, the optimum CSR remains unchanged at 0 dB. Our results indicate that a change in the transmission bit rate does not vary the optimum CSR; however, it does lead to a change in the sensitivity of the system, which is as expected.

Fig. 9. (a) Calculated and simulated sensitivity at BER = 10 as a function of optical CSR for 80- and 155-Mb/s QPSK data. (b) Calculated and simulated sensitivity at BER = 10 as a function of optical CSR for 622-Mb/s QPSK data.

cally independent in-phase and quadrature components, which are essentially half the null-to-null bandwidth [21]

Bit Rate V. HIGHER ORDER MODULATION FORMATS To demonstrate the dependency of the optimum CSR on higher order modulation formats, the analytical model derived in Section II was enhanced to incorporate quaternary phase-shift keying (QPSK) modulation format using (2) with the following modification:

(8)

The average optical power of the optical carrier remains the same as per (5); however, the average optical power in the sideband is modified to reflect the statisti-

(9)

Bit Rate The calculation of the CSR is carried out using (7). The millimeter-wave signal is recovered using the square-law PD and, using the same technique as outlined in Section II, the BER of the recovered QPSK modulated data can be estimated. Here, the data recovery path is modified to reflect the recovery of the in-phase and quadrature components with a different equivalent gain or loss compared to the BPSK case. Fig. 9(a) shows the theoretical sensitivity at a versus optical CSR plots for QPSK for a bit rate of 155 Mb/s. Also shown are the simulated results for the same configuration and modulation format. The results show that the optimum CSR for the QPSK modulation scheme also occurs at 0 dB calculated with an RBW of 2.5 GHz. In order to investigate the de-

2186

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 10. Simulated sensitivity at BER = 10 for 155-Mb/s 16-QAM data.

as a function of optical CSR

pendency on transmission bit rate, we have investigated the performance of the fiber-radio link with QPSK modulation format with different bit rates. Also shown in Fig. 9(a) are the results for 80-Mb/s QPSK data, which once again indicates that the optimum CSR occurs at 0 dB. Fig. 9(b) summarizes the results for 622-Mb/s QPSK modulation for both analytical and numerical simulations. Similarly, these results illustrate that the optimum CSR occurs at 0 dB for a QPSK modulation scheme, independent of the deployed bit rate. To further verify that the optimum CSR for fiber-radio transmission is not dependent on the modulation scheme, we have simulated a point-to-point fiber-radio link with an RF carrier at 35 GHz carrying 155-Mb/s 16 quadrature amplitude modulated (16 QAM) data. The schematic representing the analyzed circuit closely follows that of Fig. 2, except for the data generation and recovery processes. The optical CSR of the modulated optical signal is varied by removing a portion of the optical carrier power and the BER of the recovered data is then calculated. The sensitivity versus optical CSR for 16 QAM is summarized in Fig. 10 for an RBW of 2.5 GHz and shows that the optimum CSR occurring at 0 dB for the BPSK and QPSK cases. Based on these results, it can be concluded that the performance of the fiber-radio link is dependent on the optical CSR with the optimum CSR occurring at 0 dB when measured with an RBW greater than twice the transmission bit rate. The optimum CSR does not depend on the transmission bit rate. VI. CONCLUSION We have experimentally and theoretically investigated the impact of optical CSR on the performance of a millimeter-wave fiber-radio link. In doing so, we have derived an analytical model to emulate the performance of the fiber-radio link while varying the optical CSR. The derived analytical model provides a very close match to the experimental results and can accurately estimate the link performance. The experimental, theoretical, and simulated investigations showed that the performance of the fiber-radio link is dependent on optical CSR with optimal performance occurring at a CSR of 0 dB when measured at an RBW greater than twice the transmission bit

rate. This is attributed to the interplay between the received optical power, which relies on the summation of the optical carrier and sideband powers, and the detected photocurrent, which is dependent on the product of these two parameters. We have also shown that the optimum measured CSR is dependent on the RBW of the measuring equipment. For an accurate estimation of the CSR, the RBW must be greater than twice the transmission bit rate for BPSK formatted data. In addition, we have also extended the investigations to examine higher order modulation formats and have derived an analytical model for a fiber-radio link with QPSK modulated data. The investigations revealed that the optimum optical CSR for a fiber-radio link with QPSK modulated data also occurred at 0 dB and is again independent of the bit rate. Further analysis showed that the optimum optical CSR is independent of the transmission bit rate. REFERENCES [1] R. Heidemann and G. Veith, “Millimeter-wave photonics technologies for Gbit/s-wireless-local-loop,” in Proc. 3rd Opto-Electron. Commun. Conf., Chiba, Japan, Jun. 1998, pp. 310–311. [2] D. Novak, G. H. Smith, C. Lim, A. Nirmalathas, H. F. Liu, and R. B. Waterhouse, “Fiber-fed millimeter-wave wireless systems,” in Proc. 3rd Opto-Electron. Commun. Conf., Chiba, Japan, Jun. 1998, pp. 306–307. [3] U. Gliese, “Multi-functional fiber-optic microwave links,” Opt. Quantum Electron., vol. 30, no. 11–12, pp. 1005–1019, Dec. 1998. [4] H. Ogawa, D. Polifko, and S. Banba, “Millimeter-wave fiber optics systems for personal radio communications,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2285–2293, Dec. 1992. [5] G. H. Smith, D. Novak, and C. Lim, “A millimeter-wave full-duplex fiber-radio star-tree architecture incorporating WDM and SCM,” IEEE Photon. Technol. Lett., vol. 10, no. 11, pp. 1650–1652, Nov. 1998. [6] L. Noel, D. Wake, D. G. Moodie, D. D. Marcenac, L. D. Westbrook, and D. Nesset, “Novel techniques for high-capacity 60 GHz fiber-radio transmission systems,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1416–1423, Aug. 1997. [7] G. J. Meslener, “Chromatic dispersion induced distortion of modulated monochromatic light employing direct detection,” IEEE J. Quantum Electron., vol. QE-20, no. 10, pp. 1208–1216, Oct. 1984. [8] H. Schmuck, “Comparison of optical millimeter-wave system concepts with regard to chromatic dispersion,” Electron. Lett., vol. 31, no. 21, pp. 1848–1849, Oct. 1995. [9] U. Gliese, S. Norskov, and T. N. Nielsen, “Chromatic dispersion in fiber-optic microwave and millimeter-wave links,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 10, pp. 1716–1724, Oct. 1996. [10] G. H. Smith, D. Novak, and Z. Ahmed, “Technique for optical SSB generation to overcome dispersion penalties in fiber-radio systems,” Electron. Lett., vol. 33, no. 1, pp. 74–75, Jan. 1997. [11] J. Park, W. V. Sorin, and K. Y. Lau, “Elimination of the fiber chromatic dispersion penalty on 1550 nm millimeter-wave optical transmission,” Electron. Lett., vol. 33, no. 6, pp. 512–513, Mar. 1997. [12] E. Vergnol, D. Tanguy, J. F. Cadiou, A. Carenco, and E. Penard, “Multicarrier and -QAM modulation based on integrated single side band lightwave source,” in Proc. Opt. Fiber Commun. Conf., San Diego, CA, Feb. 1999, pp. 224–226. [13] E. Vourch, D. Le Berre, and D. Herve, “Lightwave single sideband wavelength self-tunable filter using InP : Fe crystal for fiber-wireless systems,” IEEE Photon. Technol. Lett., vol. 14, no. 2, pp. 194–196, Feb. 2002. [14] R. D. Esman and K. J. Willians, “Wideband efficiency improvement of fiber optic systems by carrier subtraction,” IEEE Photon. Technol. Lett., vol. 7, no. 2, pp. 218–220, Feb. 1995. [15] M. J. LaGasse, W. Charczenko, M. C. Hamilton, and S. Thaniyavarn, “Optical carrier filtering for high dynamic range fiber optic links,” Electron. Lett., vol. 30, no. 25, pp. 2157–2158, Dec. 1994. [16] S. Tonda-Goldstein, D. Dolfi, J.-P. Huignard, G. Charlet, and J. Chazelas, “Stimulated brillouin scattering for microwave signal modulation depth increase in optical links,” Electron. Lett., vol. 36, no. 11, pp. 944–946, May 2000.

m

LIM et al.: ANALYSIS OF OPTICAL CSR FOR IMPROVING TRANSMISSION PERFORMANCE IN FIBER-RADIO LINKS

[17] H. Toda, T. Yamashita, T. Kuri, and K. Kitayama, “25-GHz channel spacing DWDM multiplexing using an arrayed waveguide grating for 60-GHz band radio-on-fiber systems,” in Proc. Microw. Photon., Budapest, Hungary, Sep. 2003, pp. 287–290. [18] C. Lim, M. Attygalle, A. Nirmalathas, D. Novak, and R. Waterhouse, “Optimum modulation depth for performance improvement in fiberradio links,” in Proc. Microw. Photon., Oct. 2004, pp. 89–92. [19] X. Zhang and A. Mitchell, “A simple black box model for erbiumdoped fiber amplifiers,” IEEE Photon. Technol. Lett., vol. 12, no. 1, pp. 28–30, Jan. 2000. [20] M. Attygalle, C. Lim, G. J. Pendock, A. Nirmalathas, and G. Edvell, “Transmission improvement in fiber wireless links using fiber Bragg gratings,” IEEE Photon. Technol. Lett., vol. 17, no. 1, pp. 190–192, Jan. 2005. [21] S. Haykin, Digital Communications. New York: Wiley, 1988. Christina Lim (S’98–M’00) received the B.E. (with first-class honors) and Ph.D. degrees in electrical and electronic engineering from The University of Melbourne, Victoria, Vic., Australia, in 1995 and 2000, respectively. In 1999, she joined the Photonics Research Laboratory (a member of the Australian Photonics Cooperative Research Centre), The University of Melbourne, where she is currently a Senior Research Fellow. Her research interests include fiber-wireless access technology, modeling of optical and wireless communication systems, microwave photonics, application of mode-locked lasers, optical network architectures, and optical signal monitoring. Dr. Lim was a recipient of the 1999 IEEE Lasers and Electro-Optics Society (IEEE LEOS) Graduate Student Fellowship. She was also the recipient of the 2004 Australian Research Council Australian Research Fellowship.

Manik Attygalle (S’99–M’02) received the B.E. (with first-class honors) and Ph.D. degrees in electrical and electronic engineering from The University of Melbourne, Victoria, Vic., Australia, in 1997 and 2002, respectively. In 2002, he joined the Photonics Research Laboratory, Department of Electrical and Electronic Engineering, The University of Melbourne, as a Research Fellow. He is currently with the Victoria Laboratory, Department of Electrical Engineering, National ICT Australia, The University of Melbourne. His research interests included fiber-radio networks, all-optical signal processing, applications of mode-locked lasers, FBGs, and long-haul optical link design and optimization. His current research activity is focused on passive optical networks and related topics.

Ampalavanapillai (Thas) Nirmalathas (S’96– M’97–SM’03) received the B.E. (Hons.) degree in electrical and electronic engineering and Ph.D. degree in electrical and electronic engineering from The University of Melbourne, Victoria, Vic., Australia, in 1993 and 1997, respectively. From 1997 to 2003, he was a Research Fellow, Senior Research Fellow, and Senior Lecturer with The University of Melbourne. From 2001 and 2005, he was also the Director of Photonics Research Laboratory with The University of Melbourne, and the Program Manager of the Telecommunications Technologies Research Program, Australian Photonics CRC. In 2004, he was a Guest Researcher with the Ultra-fast Photonic Network Group, National ICT Australia (NICTA), Koganei, Japan, and a Visiting Scientist with the Lightwave Department, Institute for

2187

Infocom Research (I2R), Singapore. He is currently an Associate Professor and Reader with the Department of Electrical and Electronic Engineering, The University of Melbourne. He is also the Program Leader for the Network Technologies Research Program of the Victoria Research Laboratory, NICTA. His current research interests include microwave and terahertz photonics, optical access networks, optical performance monitoring, photonic packet switching technologies, and ultrafast optical communications systems.

Dalma Novak (S’90–M’91–SM’02) received the B.E. (with first-class honors) and Ph.D. degrees in electrical engineering from the University of Queensland, Brisbane, Qld., Australia, in 1987 and 1992, respectively. Her doctoral thesis concerned the dynamic behavior of directly modulated semiconductor lasers. She is currently a Vice-President with Pharad LLC, Glen Burnie, MD, which develops advanced wireless communications, sensors, and antenna products. From 1992 to 2004 she was a faculty member with the Department of Electrical and Electronic Engineering, The University of Melbourne, Victoria, Vic., Australia, where she is currently a Professorial Fellow. From January 1992 to August 1992, she was a Lecturer with the Department of Electrical and Computer Engineering, University of Queensland. In September 1992, she joined The University of Melbourne. From July 2000 to January 2001, she was a Visiting Researcher with the Department of Electrical Engineering, University of California Los Angeles, and with the Naval Research Laboratory, Washington, DC. From June 2001 to December 2003 she was a Technical Section Lead with Dorsál Networks Inc., and later with the Corvis Corporation, Columbia, MD. From January to June 2004 she was Professor and Chair of Telecommunications with The University of Melbourne. She has authored or coauthored over 190 papers, including three book chapters. Her research interests include hybrid fiber-radio systems, microwave photonics applications, highspeed photonic devices and systems, wavelength division multiplexing (WDM) networks, and wireless communications. Dr. Novak is an associate editor (Systems/Networks) for the JOURNAL OF LIGHTWAVE TECHNOLOGY. She is a member of the IEEE Lasers and ElectroOptics Society (IEEE LEOS) and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Microwave Photonics Technical Sub-committees. She is also a member of the Technical Program Committees for the Optical Fiber Communications Conference and the IEEE MTT-S International Microwave Symposium (IMS).

Rod Waterhouse (S’90–M’92–SM’02) received the B.E. (Hons.), M.Eng.Sc. (Research), and Ph.D. degrees from the University of Queensland, Brisbane, Australia, in 1987, 1990, and 1994, respectively. In 1994, he joined RMIT University, as a Lecturer, and become a Senior Lecturer in 1997 and an Associate Professor in 2002. In 2001 he took a leave of absence from RMIT and joined the venture-backed Dorsal Networks, which was later acquired by Corvis. In 2003, he left Corvis, resigned from RMIT, and joined Photonic Systems Inc. as a Principal Engineer. In 2004, he co-founded Pharad LLC, a broadband wireless communications company, where he is currently a Vice President. Dr. Waterhouse is an Adjunct Senior Fellow with the Department of Electrical and Electronic Engineering, The University of Melbourne, Victoria, Vic., Australia. He has authored or coauthored over 180 publications in the field of antennas, electromagnetics, microwave and photonics engineering and authored a book on printed antenna design in 2003. He holds seven patent applications. Dr. Waterhouse is an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He chaired the IEEE Victorian Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Chapter (1998–2001).

2188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

The Dependence of Electromagnetic Far-Field Absorption on Body Tissue Composition in the Frequency Range From 300 MHz to 6 GHz Andreas Christ, Anja Klingenböck, Theodoros Samaras, Member, IEEE, Cristian Goiceanu, and Niels Kuster, Member, IEEE

Abstract—The dielectric parameters of the tissue simulating liquids to assess the exposure from mobile phones were determined in a previous study considering the tissue distribution of the exposed regions of the head using a planar layered model and the transmission-line method. Currently, the standards for the compliance testing of wireless devices are being extended to more general exposure situations. This paper uses the same methods considering different locations of the body and the respective variations of the tissue structures and dimensions. The analysis of tissue compositions shows a significant increase of 2.2–4.7 dB of the peak spatial specific absorption rate (SAR) in comparison to the values assessed with current standard liquids. This increase is due to standing-wave effects in tissues with low water content. For a certain distance between the antenna and the body (approximately 3 for a 2 dipole), these standing-wave effects dominate the coupling mechanism, leading to a higher average SAR in layered tissue. The observations were validated using finite-difference time-domain simulations of an anatomical high-resolution human model. Nevertheless, a sound conservative exposure assessment applying phantoms filled with homogeneous standardized liquids is possible if a distance and frequency-dependent scaling factor is applied. Index Terms—Body-worn devices, human anatomy, specific absorption rate (SAR).

I. INTRODUCTION HE CURRENT standards for compliance testing of wireless devices with safety limits were developed for the assessment of the specific absorption rate (SAR) in the head of a mobile phone user [1]–[4]. These standards define dielectric parameters for head tissue simulating liquid (HTSL) and body tissue simulating liquid (BTSL) to be used for SAR measurements in head-shaped phantoms. The parameters were chosen to warrant a conservative assessment of user exposure without strong overestimation when the wireless device is placed close

T

Manuscript received August 4, 2005; revised December 20, 2005. This work was supported by the Swiss Commission for Technology and Innovation, by the Mobile Manufacturers Forum, Belgium, by the Bundesamt für Strahlenschutz, Germany, and by Schmid and Partner Engineering AG, Switzerland. A. Christ, A. Klingenböck, and N. Kuster are with the Foundation for Research on Information Technologies in Society, Swiss Federal Institute of Technology, 8092 Zürich, Switzerland. T. Samaras is with the Radiocommunications Laboratory, Aristotle University of Thessaloniki, 541 24 Thessaloniki, Greece. C. Goiceanu is with the Occupational Health Department, Institute of Public Health, 6600 Iasi, Romania. Digital Object Identifier 10.1109/TMTT.2006.872789

Fig. 1. Layered planar tissue model.

to the head. These parameters lead to a rather complicated testing procedure, but avoid unnecessarily strong restrictions of the technology of the devices-under-test. HTSL was designed considering the region of the ear and the temporal bone. The absorption of electromagnetic fields in human tissue structures has been studied for different generic body models. In [5]–[7], experimental and theoretical body models consisting of a tissue layer with low water content (fat or bone) and one with high water content (muscle or brain) are proposed. These models, however, do not take into account the exposure of the skin and possible effects related to its presence. A significant impact on the absorption characteristics for body models including the skin layer (e.g., consisting of skin, fat, and muscle tissue) is reported, e.g., by [8]–[12]. Standing-wave or impedance-matching effects in the tissue layers can lead to an increase of the local SAR in the skin if the thickness of the fat layer is in the order of magnitude of . However, if the SAR is averaged over a cubical volume of a mass of 1 or 10 g, a conservative exposure assessment is possible using homogeneous material distribution, such as HTSL [12]. The authors of [13] conducted a systematic study for tissue distributions in the region of the ear and the temporal bone. Using a simplified one-dimensional model of the tissue-layer compositions, dielectric worst case parameters for HTSLs were derived for a frequency range between 300 MHz–3 GHz. These parameters were adopted by the above-mentioned standards for the compliance testing of phones operated at the ear. In recent years, the usage pattern of mobile phones has changed, e.g., due to the integration of Bluetooth, enabling communication between a computer and phone or between a headset and phone, which can then be carried in a pocket or on a belt. It has been predicted that many wireless devices ranging from health-support systems to lifestyle accessories will operate close to or on the body. The tissue composition of the trunk and limbs is substantially different from the layer structure of the regions of the head that are considered by [13]. The objective of this paper is to extend this study for any tissue composition that might occur at any

0018-9480/$20.00 © 2006 IEEE

CHRIST et al.: DEPENDENCE OF ELECTROMAGNETIC FAR-FIELD ABSORPTION ON BODY TISSUE COMPOSITION

2189

TABLE I TISSUE LAYER THICKNESSES OF THE DIFFERENT BODY REGIONS. ALL DIMENSIONS ARE IN MILLIMETERS

TABLE II RELATIVE PERMITTIVITY  , CONDUCTIVITY  IN SIEMENS PER METER AND DENSITY  IN kg/m OF THE BODY TISSUES

location of the human body in the entire user population. Like [13], this study focuses on far-field-like exposure conditions, while [14] examines the effect of layered tissue in the extreme near field of transmitters. Since standing-wave effects can only occur if the body can be approximated by a layered planar structure in terms of wavelengths, the frequency range was limited from 300 MHz to 6 GHz. In detail, the objectives encompass the following: • identification of all compositions of tissue layers considering the whole human body (without the head) including anatomically correct variations of the layer thicknesses with respect to age and sex; • calculation of the worst case tissue combinations with respect to SAR assessment for the frequency bands used for personal communications; • comparison of the worst case SAR for the anatomically correct cases to the SAR obtained using the standardized parameters for tissue simulating liquids. II. METHODS A. Planar Tissue Model As already mentioned, the reflections of propagating waves at different tissue layer interfaces can give rise to standing-wave effects and impedance matching, which can, under the conditions discussed below, lead to a local SAR increase. These effects have also been reported for close distances between transmitters and body [12]. They can be analyzed using the transmission-line method [15] and a simple one-dimensional layered model (Fig. 1). A detailed discussion of the validity of this approach and the comparison of the results of the layered model to realistic anatomical phantoms in the near field of sources can be found in [13].

In order to evaluate the worst case tissue distributions, all permutations of tissues and layers, as given in Table I, are considered for the frequencies and dielectric properties listed in Table II. Taking into account the large number of different body regions leads to a significant increase of combinations in comparison to the head regions regarded in [13]. Therefore, the layer thicknesses are varied in steps of 0.2 mm instead of 0.1 mm as used in the original approach. The step size of 0.2 mm results in approximately one billion different cases for each frequency, such that a further refinement of the steps would give rise to a disproportionate increase of the computational requirements. The field distribution in the tissue layers is calculated for an incident power density of 1 W/m , and the 1- and 10-g peak spatial-average SAR are then computed by integrating the power density in the tissues over a cubical volume of the respective masses according to the procedure described in [16]. B. Numerical Simulations In order to validate the effects observed with the planar tissue model, the SAR was simulated using the finite-difference time-domain (FDTD) method [17] implemented in the simulation platform SEMCAD X (Schmid & Partner Engineering AG, Zürich, Switzerland). Both a planar layered model and an anatomical whole body model of a European male (EM) [18] are exposed to the radiation of dipole antennas. The anatomical model was constructed from cryosection images provided by the Visible Human Project. It consists of 112 different tissue types. A detailed description of the tissue distributions at the exposed locations of the models will be given in Section IV-B. C. Analysis of the Anatomical Tissue Distribution The different sequences of tissue layers at different regions of the entire human body and their respective thicknesses are

2190

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE III WORST CASE TISSUE COMBINATIONS FOR THE 1-g PEAK SPATIAL AVERAGED SAR AT AN INCIDENT POWER DENSITY OF 1 W/m

TABLE IV WORST CASE TISSUE COMBINATIONS FOR THE 10-g PEAK SPATIAL AVERAGED SAR AT AN INCIDENT POWER DENSITY OF 1 W/m

identified from a literature study (see below). Table I lists the regions and the respective tissue sequences for both adults and children. The dielectric parameters of the tissues are taken from [19]. Many of the referenced sources do not report the mean value and standard deviation, but only a minimum and maximum value. Table I lists either these or the mean value twice the standard deviation as the respective thickness ranges. The limited knowledge about the thickness distributions and especially the missing information about the correlation of these values to the tissue thicknesses between these regions prevent a statistical evaluation of the exposure of the user population. However, a conservative estimation of the exposure can be obtained by considering the maximum exposure for the following layer thicknesses. • The skin layer includes the epidermis and dermis. Thickness ranges are reported in [20] for an age range of 0–5 years for children and 26–60 years for adults. • The subcutaneous adipose tissue (SAT) underneath the skin layer contains varying amounts of fat. The thickness ranges for children (7–11 years) and adults (20–70 years) are found in [21]. The maximum reported value is 23.2 mm. This limit does not take extremely obese people into consideration. The dielectric parameters of fat (mean) from [19] were chosen for SAT. • The maximum layer thickness of breast tissue is set to 30 mm because reliable reference information is not available. The dielectric parameters of breast tissue are similar to those of body fat (Table II). • The thickness range of muscle tissue can vary significantly depending on the individual and on the particular location within the regions given in Table I and the respective muscles. Layer thicknesses of 60 mm and above are reported [22]–[25]. In order to limit the maximum number of tissue combinations, the maximum thickness of muscle tissue is also limited to 30 mm, or muscle tissue is used to terminate the layer sequence. • For the thickness range of bone tissue, both the cortical and trabecular parts of the bones are considered. The ranges for the ribs (thorax, rear abdomen) are given in [20] for adults (21–25 years) and children (3–9 years). The values for the limbs and the vertebrae (spine) are chosen from [26].

• The range of the cerebrospinal fluid (CSF) is derived from the dimensions of the subarachnoid space given in [27]. It considers the size range between the largest and the smallest vertebrae anteriores and posteriores. Since no values for children are available, the same thickness range as for adults is used. • The final layers in the tables (i.e., the innermost tissues considered) are used to terminate the tissue sequence and are assumed to be infinite. In most cases, different viscera are used to terminate the sequences. For the dielectric parameters of lung tissue, the average of the values for inflated and deflated lung given in [19] are chosen. III. RESULTS The worst case tissue distributions for the 1- and 10-g averaged SAR for an incident power of 1 W/m are given in Tables III and IV. For all frequencies, a characteristic sequence of tissues can be observed. The skin layer with high water content is followed by a layer of SAT or layers of SAT and breast tissue, which have a comparatively low water content and only slightly attenuate the propagating waves (see Table II and [28]). This layer is again terminated by a high water content tissue at which the waves in the previous layer are reflected (muscle or viscera). Tissue sequences like these will lead to standing-wave effects in the low-permittivity layer. If the thickness of this layer, including the outermost layer of skin, corresponds to an electrical length of approximately or a wavelength of approximately , the reflection at the inner high-permittivity layers (muscle, viscera) will lead: 1) to impedance-matching effects, which will allow a significant amount of the electromagnetic power to enter the tissue and 2) to constructive interference, giving rise to a significant SAR increase in the skin layer. In all cases listed in Tables III and IV, the absolute maximum of the local SAR occurs in the skin. This is illustrated in Fig. 2. The solid lines show the local SAR distribution at 900 MHz for the tissue distribution given in Table III (black), for the same tissue sequence terminated with fat instead of muscle (dark grey), and for a homogeneous structure with HTSL (light grey). The steps in the SAR in the curves of Fig. 2 indicate the boundaries of the tissue layers. For the layered case without terminating muscle tissue, the local peak SAR rises by more than 3 dB. For the

CHRIST et al.: DEPENDENCE OF ELECTROMAGNETIC FAR-FIELD ABSORPTION ON BODY TISSUE COMPOSITION

2191

Fig. 3. 1-g peak spatial-average SAR for the identified worst case tissue combinations (Table III) in comparison to HTSL and BTSL. Fig. 2. Local and 1-g peak spatial-average SAR distribution in layered biological tissue as in Table III for 900 MHz (2.2-mm skin, 1.4-mm SAT, 22.2-mm breast tissue with and without termination by a muscle layer) in comparison to homogeneous HTSL.

case of an unpropitious thickness of the low water content tissue layers, Fig. 2 shows an increase of approximately 8 dB. The dashed lines show the 1-g peak spatial-average SAR. For the tissue sequence without the terminating muscle layer, the tissue simulating liquid still yields a conservative exposure estimate because the SAT and breast tissue layers do not contribute significantly to the SAR in the averaging volume. For the worst case tissue combination, the local increase is not compensated by the tissue simulating liquid. The liquid underestimates the 1-g averaged SAR in the layered tissue by approximately 3 dB. Depending on the tissue structure and penetration depth, the standing-wave effects can also occur inside the body if a low loss tissue is enclosed by two tissues with high water content. The 1- and 10-g peak spatial-average SAR for worst case tissue sequences in comparison to the HTSL and BTSL over the frequency range from 236 to 5800 MHz is given in Figs. 3 and 4. Fig. 5 shows the power reflection coefficient or power return loss for homogeneous liquids and worst case tissue combinations with the free-space wave impedance as reference and clearly demonstrates the matching effects due to the layering.

Fig. 4. 10-g peak spatial-average SAR for the identified worst case tissue combinations (Table IV) in comparison to HTSL and BTSL.

IV. VALIDATION A. Planar Layered Model The SAR increase due to standing-wave effects, which has been reported in Section III, will be dominant for plane-wave incidence at antenna distances at which far-field-like exposure can be assumed. For the evaluation of these distances, a layered and a homogeneous generic planar body model are exposed to the radiation of a -dipole at distances between 10–300 mm and frequencies between 236–2450 MHz. The tissue structure of the layered body model is chosen according to Table III. The material parameters of the generic and the homogeneous models are taken from Table II. The simulations are carried out with the FDTD method. A minimum mesh step size of 0.5 mm is used in the skin layer and at the antenna feedpoint and tips.

Fig. 5. Power reflection coefficient for the identified worst case tissue combinations (Tables III and IV) and HTSL and BTSL.

The maximum step size was limited to in all materials and tissues. Fig. 6 shows the ratio of the 1-g peak spatial-average SAR of the layered body model normalized in comparison to the homogeneous one for the above-mentioned frequency and distance

2192

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 6. 1-g peak spatial-average SAR ratio (layered versus homogeneous) for a =2 dipole at different frequencies and distances to the phantom. Fig. 8. Feedpoint impedance of the =2-dipole antenna at 2450 MHz in front of the layered and homogeneous phantoms as function of the distance.

Fig. 7. Feedpoint impedance of the =2-dipole antenna at 236 MHz in front of the layered and homogeneous phantoms as function of the distance.

Fig. 9. Cross section through the abdominal region of the visible human model with a =2 dipole (900 MHz) at a distance of 100 mm.

ranges normalized to the antenna output power. Whereas the homogeneous body model yields a conservative value for the SAR at close distances, the absorption in the layered model increases with the antenna distance and reaches a maximum value of approximately 200%–250%, which is in good correspondence with the results of the transmission-line model. At 236 MHz, a maximum increase of only 150% is observed within the distance range considered. The increase of the SAR ratio begins at approximately , and the maximum is reached at a distance between the antenna and the body of approximately for all frequencies. This, however, can strongly depend on the type of antenna. Figs. 7 and 8 show the feedpoint impedance of the antennas in front of the layered and the homogeneous phantoms as a function of the distance for 236 and 2450 MHz. For short distances, the presence of the body model and the tissue composition have a strong impact on the feedpoint impedance. If the distance increases, the impedances converge toward the free-space value. Comparison with the SAR ratio in Fig. 6 shows that the standing-wave effect reaches its maximum approximately at the

distances at which the impedances converge, i.e., at which the radiating components dominate the field of the antenna. B. Anatomical Model The SAR increase observed for the layered planar model can also be reproduced using an anatomical high-resolution model of the human body. A -dipole antenna for 900 MHz is placed at a distance of 100 mm in front of the abdomen of the visible human model (Fig. 9). At 900 MHz, the distance of 100 mm is sufficient to reach the maximum SAR increase in layered tissue (Fig. 6). The abdominal region of the visible human model consists mainly of layers of skin and fat terminated by muscle tissue or different viscera with high water content. The dielectric coefficients of the tissues are selected according to Table II. A nonuniform mesh is used with step sizes between 0.3 mm at the antenna feedpoint and the body regions of highest SAR distributions and 15 mm for free space. In the visible human model, the maximum mesh step was limited to 3.5 mm. The peak spatial-average SAR is calculated following the procedure described in [16].

CHRIST et al.: DEPENDENCE OF ELECTROMAGNETIC FAR-FIELD ABSORPTION ON BODY TISSUE COMPOSITION

Fig. 10. Local and 1-g peak spatial-average SAR distributions in the visible human model (Fig. 9) for anatomical and homogeneous (HTSL) tissue distributions irradiated by a =2-dipole antenna with 1-W output power at 900 MHz.

The 1-g peak spatial-average SAR maximum is located 15 mm above the normal from the antenna feedpoint to the skin of the visible human model (Fig. 9). The thicknesses of the tissue layers at this location are 2.8 mm for the skin and 18.8 mm for the fat. Fig. 10 shows the distribution of the local SAR and the 1-g peak spatial-average SAR along the -axis, as indicated in Fig. 9, for an anatomical tissue distribution and homogeneous modeling using the parameters of HTSL. As it has been demonstrated for the layered planar model, the local peak SAR in the skin layer increases by approximately 6 dB due to the standing-wave effects in the layered tissue. The 1-g peak spatial-average SAR increases by approximately 2.5 dB. V. DISCUSSION AND CONCLUSIONS Evaluation of the absorption in anatomical tissue sequences shows that the dielectric parameters of the tissue simulating liquids defined by current standards for compliance testing [4] do not always yield a conservative exposure estimate for the averaged SAR in the body for far-field-like exposure conditions. The dielectric parameters of the standardized liquids were determined in a similar study considering the anatomical composition of head tissue [13] and have proven to yield a conservative exposure estimate for the SAR exposure caused by mobile communications equipment operating close to the head in several recent studies [29], [30]. The increase in SAR observed in this paper is due to a more general composition of tissues at different locations of the body in comparison to the regions of the head (ear, temporal bone), which are considered in [13]. This concerns both the sequences of high and low water content tissue and the significantly increased span of variation for the thicknesses of the respective layers. This paper has considered the effect of possible tissue variations, but not the uncertainties of the dielectric parameters of the tissues. The parameters change as a function of the water content (e.g., decreases of the water content of bone tissue with age) or tissue composition (e.g., changes of the ratio of white

2193

matter and gray matter in the brain with age) [31]. However, these uncertainties are relatively small compared to the variations between tissue types. Substantial attenuation of the reported standing-wave effects would only occur if the water content of the fat tissue were significantly underestimated in the literature. For homogeneous tissue, the effect of higher water content is small since the effect of higher conductivity is partly compensated by the increased permittivity [31], [32]. In [33], the effect of age-dependent tissue parameters in humans was studied for exposures at the ear and found to be smaller than 1.3%. The maximum increase of the peak spatial SAR values in realistic layered tissues compared to assessment with current standard tissue-simulating homogenous media ranges from 2.2 to 4.7 dB. These effects have been verified by dipoles operating in the vicinity of planar layered structures (at distances ) as well as in front of the visible human model. Although this increase cannot be reproduced by a homogenous medium, a conservative exposure assessment of far-field conditions ( 90th percentile of the user population) can be obtained by measuring the peak spatial-average SAR with the current standard liquids and applying a scaling factor that can be derived from the results reported in this study. For devices operated close to the body, two approaches can be applied, which are: 1) evaluation of the devices in the touch position using standard liquids (because the increase of peak spatial SAR due to standing waves in layered tissues is smaller than the decrease by distance) [32] or 2) measurement at actual usage distances and application of a distance- and frequency-dependent scaling factor. However, additional studies of different antenna types at different distances are needed to determine sound scaling functions between touch and far-field conditions. REFERENCES [1] Recommended Practice for Determining the Spatial-Peak Specific Absorption Rate (SAR) in the Human Body Due to Wireless Communications Devices: Measurement Techniques, IEEE Standard 1528/D1.2, 2003. [2] Specific Absorption Rate (SAR) Estimation for Cellular Phone (Standard Version 2), ARIB STD-T56, 2002. [3] Human Exposure to Radio Frequency Fields From Handheld and BodyMounted Wireless Communication Devices—Human Models, Instrumentation and Procedures, Part 1: Procedure to Determine the Specific Absorption Rate (SAR) for Handheld Devices Used in Close Proximity to the Ear (Frequency Range of 300 MHz to 3 GHz), IEC 62209 Part 1, 2005. [4] “Evaluating compliance with FCC guidelines for human exposure to radiofrequency electromagnetic fields,” FCC, Washington, DC, Tech. Rep. OET Bull. 65, Aug. 1997. [5] A. W. Guy, “Analyses of electromagnetic fields induced in biological tissues by thermographic studies on equivalent phantom models,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 2, pp. 205–214, Feb. 1971. [6] R. W. P. King, “Electromagnetic field generated in model of human head by simplified telephone transceiver,” Radio Sci., vol. 30, no. 1, pp. 267–281, Jan. 1995. [7] H.-R. Chuang, “Numerical computation of fat layer effects on microwave near-field radiation to the abdomen of a full-scale human body model,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 1, pp. 118–125, Jan. 1997. [8] H. P. Schwan and K. Li, “Hazards due to total body irradiation,” Proc. IRE, vol. 44, no. 11, pp. 2058–2062, Nov. 1956. [9] P. W. Barber, O. P. Gandhi, M. J. Hagmann, and I. Chatterjee, “Electromagnetic absorption in a multilayered model of man,” IEEE Trans. Biomed. Eng., vol. 26, no. BME-7, pp. 400–405, Jul. 1979.

2194

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

[10] I. Chatterjee, O. P. Gandhi, M. J. Hagmann, and A. Riazi, “Plane-wave spectrum approach for the calculation of electromagnetic absorption under near-field exposure conditions,” Bioelectromagnetics, vol. 1, pp. 363–377, 1980. [11] I. Chatterjee, M. J. Hagmann, and O. P. Gandhi, “Electromagnetic absorption in a multilayered slab model of tissue under near-field exposure conditions,” Bioelectromagnetics, vol. 1, pp. 379–388, 1980. [12] K. Meier, R. Kästle, V. Hombach, R. Tay, and N. Kuster, “The dependence of EM energy absorption upon human head modeling at 1800 MHz,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 11, pp. 2058–2062, Nov. 1997. [13] A. Drossos, V. Santomaa, and N. Kuster, “The dependence of electromagnetic energy absorption upon human head tissue composition in the frequency range of 300–3000 MHz,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 1988–1995, Nov. 2000. [14] A. Christ, T. Samaras, A. Klingenböck, and N. Kuster, “Characterization of the electromagnetic near-field absorption in layered biological tissue in the frequency range from 30 MHz to 6000 MHz,” Phys. Med. Biol., submitted for publication. [15] S. Ramo, J. R. Whinnery, and T. van Duzer, Fields and Waves in Communication Electronics, 3rd ed. New York: Wiley, 1993. [16] Recommended Practice for Measurements and Computations of Radio Frequency Electromagnetic Fields With Respect to Human Exposure to Such Fields, 100 kHz–300 GHz, IEEE Standard C95.3, 2002. [17] A. Taflove and S. C. Hagness, Computational Electromagnetics: The Finite-Difference Time-Domain Method, 2nd ed. Boston, MA: Artech House, Inc., 2000. [18] M. J. Ackerman, “The visible human project,” Proc. IEEE, vol. 86, no. 3, pp. 504–511, Mar. 1998. [19] “Tissue dielectric properties,” FCC, Washington, DC [Online]. Available: http://www.fcc.gov/fcc-bin/dielec.sh [20] W. S. Snyder, M. J. Cook, E. S. Nasset, L. R. Karhausen, G. P. Howells, and I. H. Tipton, Report of the Task Group on Reference Man. New York: Elsevier, 1975, iCRP Pub. 23. [21] E. Tafeit, R. Möller, K. Sudi, R. Horejsi, A. Berg, and G. Reibnegger, “Orthogonal factor coefficient development of subcutaneous adipose tissue topography in girls and boys,” Amer. J. Phys. Anthropol., vol. 115, no. 1, pp. 57–61, Apr. 2001. [22] T. Abe, D. V. DeHoyos, M. L. Pollok, and L. Garzarella, “Time course for strength and muscle thickness changes following upper and lower body resistance training in men and women,” Eur. J. Appl. Phys., vol. 81, no. 3, pp. 174–180, Jan. 2000. [23] S. M. Bunce, “ -mode ultrasound: A reliable measure of transversus abdominis thickness?,” Clin. Biomech., vol. 17, no. 4, pp. 315–317, May 2002. [24] C. M. Futter, E. Weiler-Mithoff, S. Hagen, K. van de Sijpe, P. L. Coorevits, J. C. Litherland, M. H. C. Webster, M. Hamdi, and P. N. Blondeel, “Do pre-operative abdominal exercises prevent post-operative donor site complications for women undergoing DIEP flap breast reconstruction? A two-centre, prospective randomised controlled trial,” Brit. J. Plastic Surg., vol. 56, no. 7, pp. 674–683, Oct. 2003. [25] P. W. Hodges, “Measurement of muscle contraction with ultrasound imaging,” Muscle & Nerve, vol. 27, no. 6, pp. 682–691, Jun. 2003. [26] P. Geusens, F. Cantatore, J. Nijs, W. Proesmans, F. Emma, and J. Dequeker, “Heterogeneity of growth of bone in children at the spine, radius and total skeleton,” Growth, Develop., Aging, vol. 55, no. 4, pp. 249–256, Winter 1991. [27] K. Gábor, “Medical background for MINOSC,” Dept. Mech. Eng., Technion–Israel Inst. Technol., Haifa, Israel, Tech. Rep. [Online]. Available: http://robotics.technion.ac.il/people/gabi/Spine.pdf [28] S. Gabriel, R. W. Lau, and C. Gabriel, “The dielectric properties of biological tissues: III. Parametric models for the dielectric spectrum of tissues,” Phys. Med. Biol., vol. 41, no. 11, pp. 2271–2293, Nov. 1996. [29] W. Kainz, A. Christ, T. Kellom, S. Seidman, N. Nikoloski, B. Beard, and N. Kuster, “Dosimetric comparison of the specific anthropomorphic mannequin (SAM) to 14 anatomical head models using a novel definition for the mobile phone positioning,” Phys. Med. Biol., vol. 50, no. 14, pp. 3423–3445, Jul. 2005. [30] B. Beard, W. Kainz, T. Onishi, T. Iyama, S. Watanabe, O. Fujiwara, J. Wang, G. Bit-Babik, A. Faraone, J. Wiart, A. Christ, N. Kuster, A.-K. Lee, H. Kroeze, M. Siegbahn, J. Keshvari, H. Abrishamkar, M. A. Stuchly, W. Simon, D. Manteuffel, and N. Nikoloski, “Result of the protocol for the computational comparison of the SAM phantom to anatomically correct models of the human head,” IEEE Trans. Electromagn. Compat., submitted for publication.

M

[31] C. Gabriel, “Dielectric properties of biological tissue: Variation with age,” Biolectromagnetics, vol. 26, no. S7, pp. S12–S18, Sep. 2005. [32] N. Kuster and Q. Balzano, “Energy absorption mechanism by biological bodies in the near field of dipole antennas above 300 MHz,” IEEE Trans. Veh. Technol., vol. 41, no. 1, pp. 17–23, Feb. 1992. [33] J. Wang, O. Fujiwara, S. Watanabe, and Y. Yamanaka, “Age effect of spatial peak absorption rate of dielectric tissue properties of head for 900 MHz mobile telephones,” IEEE Trans. Electromagn. Compat., to be published.

Andreas Christ was born in Offenbach, Germany, in 1968. He received the Dipl. Ing. degree in electrical engineering from the Technical University Darmstadt, Darmstadt, Germany, in 1996, and the Ph.D. degree from the Swiss Federal Institute of Technology (ETH), Zürich, Switzerland, in 2003. In 1997, he joined the Swiss Federal Institute of Technology (ETH). He has since been with the Foundation for Research on Information Technologies in Society (IT’IS Foundation), ETH, where he is involved in the assessment of interaction mechanisms of electromagnetic fields and biological tissue. His additional research interests include computational electrodynamics with the FDTD method, the numerical modeling of medical devices, and the optimization of experimental techniques for near-field assessment. He is also involved in the development of procedures for compliance testing of wireless devices within the working groups of several standardization bodies.

Anja Klingenböck was born in Baden, Switzerland, in 1973. She received the Environmental Sciences degree (with a major in biology) at the Swiss Federal Institute of Technology (ETH), Zürich, Switzerland, in 1999. In 2001, she joined the Foundation for Research on Information Technologies in Society (IT’IS Foundation), ETH. Her main responsibilities include the development of anatomical computer models of humans and animals and numerical simulations for dosimetric studies. In parallel, she has been involved in an e-learning project with the Geobotanical Institute, ETH, where she developed multimedia software for the education of students in botany and ecology at graduate and undergraduate levels.

Theodoros Samaras (S’93–A’97–M’02) received the Physics degree from Aristotle University of Thessaloniki, Thessaloniki, Greece, in 1990, the M.Sc. degree in medical physics (with distinction) from the University of Surrey, Surrey, U.K., in 1991, and the Ph.D. degree from the Aristotle University of Thessaloniki, Thessaloniki, Greece, in 1996. In 1998, he was with the Bioelectromagnetic (BIOEM)/Electromagnetic Compatibility (EMC) Group, Swiss Federal Institute of Technology, where he was mainly involved with studying the temperature increase due to the absorption of electromagnetic energy in materials and the effect of heat diffusion in electromagnetic dosimetry. He subsequently joined the Hyperthermia Unit, Erasmus Medical Center, Rotterdam, The Netherlands, where he conducted research on treatment quality of superficial hyperthermia. In December 1999, he returned to the Aristotle University of Thessaloniki, where he is currently an Assistant Professor. His research interests include numerical techniques with applications in biomedical technology, EMC, and telecommunications, as well as the therapeutic applications and safety of nonionizing radiation. Dr. Samaras was the recipient of a Marie Curie Fellowship presented by the European Commission.

CHRIST et al.: DEPENDENCE OF ELECTROMAGNETIC FAR-FIELD ABSORPTION ON BODY TISSUE COMPOSITION

Cristian Goiceanu received the M.S. degree in physics and Ph.D. degree from the Al. I. Cuza University of Iasi, Iasi, Romania, in 1993 and 2003, respectively. His doctoral thesis focused on exposure consequences, the relationship between exposure parameters and biological effects, and on deriving exposure limits. From 1993 to 1995, he was with the Faculty of Physics, Plasma Physics Department, Iasi, Romania. In 1996, he joined the Occupational Health Department, Institute of Public Health, Iasi, Romania, where he focused on experimental studies and on health consequences and health protection related to exposure to electromagnetic fields. As a member of the Romanian Working Group on Non-Ionizing Radiation Protection (RWG-NIRP), he was involved in the development of exposure standards. In June 2003, he joined the Foundation for Research on Information Technologies in Society (IT’IS Foundation), Swiss Federal Institute of Technology (ETH), Zürich, Switzerland, as a Visiting Scientist for a six-month term. His research interests include exposure assessment and exposure metrics, risk assessment of wireless technologies, development of exposure standards, subtle biological effects of electromagnetic fields, and dielectric behavior of biological tissues.

2195

Niels Kuster (M’93) was born in Olten, Switzerland, in 1957. He received the M.S. and Ph.D. degrees in electrical engineering from the Swiss Federal Institute of Technology (ETH), Zürich, Switzerland. In 1993, he became a Professor with the Department of Electrical Engineering, ETH. In 1992, he was an Invited Professor with the Electromagnetics Laboratory, Motorola Inc., Fort Lauderdale, FL. In 1998, he was with the Metropolitan University of Tokyo, Tokyo, Japan. In 1999, he became Director of the Foundation for Research on Information Technologies in Society, Zürich, Switzerland. He has consulted several government agencies on the issue of the safety of mobile communications. His research interest is currently focused on reliable on/in-body wireless communications and related topics. This includes measurement technology and computational electrodynamics for evaluation of close near-fields in complex environments, safe and reliable wireless communication links within the body or between implanted devices and the outside for biometrics applications, development of exposure setups and quality control for bioexperiments evaluating interaction mechanisms, therapeutic effects as well as potential health risks, and exposure assessments. Dr. Kuster is a member of several standardization bodies. He also served on the boards of scientific societies, research management councils for governments, and editorial boards.

2188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

The Dependence of Electromagnetic Far-Field Absorption on Body Tissue Composition in the Frequency Range From 300 MHz to 6 GHz Andreas Christ, Anja Klingenböck, Theodoros Samaras, Member, IEEE, Cristian Goiceanu, and Niels Kuster, Member, IEEE

Abstract—The dielectric parameters of the tissue simulating liquids to assess the exposure from mobile phones were determined in a previous study considering the tissue distribution of the exposed regions of the head using a planar layered model and the transmission-line method. Currently, the standards for the compliance testing of wireless devices are being extended to more general exposure situations. This paper uses the same methods considering different locations of the body and the respective variations of the tissue structures and dimensions. The analysis of tissue compositions shows a significant increase of 2.2–4.7 dB of the peak spatial specific absorption rate (SAR) in comparison to the values assessed with current standard liquids. This increase is due to standing-wave effects in tissues with low water content. For a certain distance between the antenna and the body (approximately 3 for a 2 dipole), these standing-wave effects dominate the coupling mechanism, leading to a higher average SAR in layered tissue. The observations were validated using finite-difference time-domain simulations of an anatomical high-resolution human model. Nevertheless, a sound conservative exposure assessment applying phantoms filled with homogeneous standardized liquids is possible if a distance and frequency-dependent scaling factor is applied. Index Terms—Body-worn devices, human anatomy, specific absorption rate (SAR).

I. INTRODUCTION HE CURRENT standards for compliance testing of wireless devices with safety limits were developed for the assessment of the specific absorption rate (SAR) in the head of a mobile phone user [1]–[4]. These standards define dielectric parameters for head tissue simulating liquid (HTSL) and body tissue simulating liquid (BTSL) to be used for SAR measurements in head-shaped phantoms. The parameters were chosen to warrant a conservative assessment of user exposure without strong overestimation when the wireless device is placed close

T

Manuscript received August 4, 2005; revised December 20, 2005. This work was supported by the Swiss Commission for Technology and Innovation, by the Mobile Manufacturers Forum, Belgium, by the Bundesamt für Strahlenschutz, Germany, and by Schmid and Partner Engineering AG, Switzerland. A. Christ, A. Klingenböck, and N. Kuster are with the Foundation for Research on Information Technologies in Society, Swiss Federal Institute of Technology, 8092 Zürich, Switzerland. T. Samaras is with the Radiocommunications Laboratory, Aristotle University of Thessaloniki, 541 24 Thessaloniki, Greece. C. Goiceanu is with the Occupational Health Department, Institute of Public Health, 6600 Iasi, Romania. Digital Object Identifier 10.1109/TMTT.2006.872789

Fig. 1. Layered planar tissue model.

to the head. These parameters lead to a rather complicated testing procedure, but avoid unnecessarily strong restrictions of the technology of the devices-under-test. HTSL was designed considering the region of the ear and the temporal bone. The absorption of electromagnetic fields in human tissue structures has been studied for different generic body models. In [5]–[7], experimental and theoretical body models consisting of a tissue layer with low water content (fat or bone) and one with high water content (muscle or brain) are proposed. These models, however, do not take into account the exposure of the skin and possible effects related to its presence. A significant impact on the absorption characteristics for body models including the skin layer (e.g., consisting of skin, fat, and muscle tissue) is reported, e.g., by [8]–[12]. Standing-wave or impedance-matching effects in the tissue layers can lead to an increase of the local SAR in the skin if the thickness of the fat layer is in the order of magnitude of . However, if the SAR is averaged over a cubical volume of a mass of 1 or 10 g, a conservative exposure assessment is possible using homogeneous material distribution, such as HTSL [12]. The authors of [13] conducted a systematic study for tissue distributions in the region of the ear and the temporal bone. Using a simplified one-dimensional model of the tissue-layer compositions, dielectric worst case parameters for HTSLs were derived for a frequency range between 300 MHz–3 GHz. These parameters were adopted by the above-mentioned standards for the compliance testing of phones operated at the ear. In recent years, the usage pattern of mobile phones has changed, e.g., due to the integration of Bluetooth, enabling communication between a computer and phone or between a headset and phone, which can then be carried in a pocket or on a belt. It has been predicted that many wireless devices ranging from health-support systems to lifestyle accessories will operate close to or on the body. The tissue composition of the trunk and limbs is substantially different from the layer structure of the regions of the head that are considered by [13]. The objective of this paper is to extend this study for any tissue composition that might occur at any

0018-9480/$20.00 © 2006 IEEE

CHRIST et al.: DEPENDENCE OF ELECTROMAGNETIC FAR-FIELD ABSORPTION ON BODY TISSUE COMPOSITION

2189

TABLE I TISSUE LAYER THICKNESSES OF THE DIFFERENT BODY REGIONS. ALL DIMENSIONS ARE IN MILLIMETERS

TABLE II RELATIVE PERMITTIVITY  , CONDUCTIVITY  IN SIEMENS PER METER AND DENSITY  IN kg/m OF THE BODY TISSUES

location of the human body in the entire user population. Like [13], this study focuses on far-field-like exposure conditions, while [14] examines the effect of layered tissue in the extreme near field of transmitters. Since standing-wave effects can only occur if the body can be approximated by a layered planar structure in terms of wavelengths, the frequency range was limited from 300 MHz to 6 GHz. In detail, the objectives encompass the following: • identification of all compositions of tissue layers considering the whole human body (without the head) including anatomically correct variations of the layer thicknesses with respect to age and sex; • calculation of the worst case tissue combinations with respect to SAR assessment for the frequency bands used for personal communications; • comparison of the worst case SAR for the anatomically correct cases to the SAR obtained using the standardized parameters for tissue simulating liquids. II. METHODS A. Planar Tissue Model As already mentioned, the reflections of propagating waves at different tissue layer interfaces can give rise to standing-wave effects and impedance matching, which can, under the conditions discussed below, lead to a local SAR increase. These effects have also been reported for close distances between transmitters and body [12]. They can be analyzed using the transmission-line method [15] and a simple one-dimensional layered model (Fig. 1). A detailed discussion of the validity of this approach and the comparison of the results of the layered model to realistic anatomical phantoms in the near field of sources can be found in [13].

In order to evaluate the worst case tissue distributions, all permutations of tissues and layers, as given in Table I, are considered for the frequencies and dielectric properties listed in Table II. Taking into account the large number of different body regions leads to a significant increase of combinations in comparison to the head regions regarded in [13]. Therefore, the layer thicknesses are varied in steps of 0.2 mm instead of 0.1 mm as used in the original approach. The step size of 0.2 mm results in approximately one billion different cases for each frequency, such that a further refinement of the steps would give rise to a disproportionate increase of the computational requirements. The field distribution in the tissue layers is calculated for an incident power density of 1 W/m , and the 1- and 10-g peak spatial-average SAR are then computed by integrating the power density in the tissues over a cubical volume of the respective masses according to the procedure described in [16]. B. Numerical Simulations In order to validate the effects observed with the planar tissue model, the SAR was simulated using the finite-difference time-domain (FDTD) method [17] implemented in the simulation platform SEMCAD X (Schmid & Partner Engineering AG, Zürich, Switzerland). Both a planar layered model and an anatomical whole body model of a European male (EM) [18] are exposed to the radiation of dipole antennas. The anatomical model was constructed from cryosection images provided by the Visible Human Project. It consists of 112 different tissue types. A detailed description of the tissue distributions at the exposed locations of the models will be given in Section IV-B. C. Analysis of the Anatomical Tissue Distribution The different sequences of tissue layers at different regions of the entire human body and their respective thicknesses are

2190

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE III WORST CASE TISSUE COMBINATIONS FOR THE 1-g PEAK SPATIAL AVERAGED SAR AT AN INCIDENT POWER DENSITY OF 1 W/m

TABLE IV WORST CASE TISSUE COMBINATIONS FOR THE 10-g PEAK SPATIAL AVERAGED SAR AT AN INCIDENT POWER DENSITY OF 1 W/m

identified from a literature study (see below). Table I lists the regions and the respective tissue sequences for both adults and children. The dielectric parameters of the tissues are taken from [19]. Many of the referenced sources do not report the mean value and standard deviation, but only a minimum and maximum value. Table I lists either these or the mean value twice the standard deviation as the respective thickness ranges. The limited knowledge about the thickness distributions and especially the missing information about the correlation of these values to the tissue thicknesses between these regions prevent a statistical evaluation of the exposure of the user population. However, a conservative estimation of the exposure can be obtained by considering the maximum exposure for the following layer thicknesses. • The skin layer includes the epidermis and dermis. Thickness ranges are reported in [20] for an age range of 0–5 years for children and 26–60 years for adults. • The subcutaneous adipose tissue (SAT) underneath the skin layer contains varying amounts of fat. The thickness ranges for children (7–11 years) and adults (20–70 years) are found in [21]. The maximum reported value is 23.2 mm. This limit does not take extremely obese people into consideration. The dielectric parameters of fat (mean) from [19] were chosen for SAT. • The maximum layer thickness of breast tissue is set to 30 mm because reliable reference information is not available. The dielectric parameters of breast tissue are similar to those of body fat (Table II). • The thickness range of muscle tissue can vary significantly depending on the individual and on the particular location within the regions given in Table I and the respective muscles. Layer thicknesses of 60 mm and above are reported [22]–[25]. In order to limit the maximum number of tissue combinations, the maximum thickness of muscle tissue is also limited to 30 mm, or muscle tissue is used to terminate the layer sequence. • For the thickness range of bone tissue, both the cortical and trabecular parts of the bones are considered. The ranges for the ribs (thorax, rear abdomen) are given in [20] for adults (21–25 years) and children (3–9 years). The values for the limbs and the vertebrae (spine) are chosen from [26].

• The range of the cerebrospinal fluid (CSF) is derived from the dimensions of the subarachnoid space given in [27]. It considers the size range between the largest and the smallest vertebrae anteriores and posteriores. Since no values for children are available, the same thickness range as for adults is used. • The final layers in the tables (i.e., the innermost tissues considered) are used to terminate the tissue sequence and are assumed to be infinite. In most cases, different viscera are used to terminate the sequences. For the dielectric parameters of lung tissue, the average of the values for inflated and deflated lung given in [19] are chosen. III. RESULTS The worst case tissue distributions for the 1- and 10-g averaged SAR for an incident power of 1 W/m are given in Tables III and IV. For all frequencies, a characteristic sequence of tissues can be observed. The skin layer with high water content is followed by a layer of SAT or layers of SAT and breast tissue, which have a comparatively low water content and only slightly attenuate the propagating waves (see Table II and [28]). This layer is again terminated by a high water content tissue at which the waves in the previous layer are reflected (muscle or viscera). Tissue sequences like these will lead to standing-wave effects in the low-permittivity layer. If the thickness of this layer, including the outermost layer of skin, corresponds to an electrical length of approximately or a wavelength of approximately , the reflection at the inner high-permittivity layers (muscle, viscera) will lead: 1) to impedance-matching effects, which will allow a significant amount of the electromagnetic power to enter the tissue and 2) to constructive interference, giving rise to a significant SAR increase in the skin layer. In all cases listed in Tables III and IV, the absolute maximum of the local SAR occurs in the skin. This is illustrated in Fig. 2. The solid lines show the local SAR distribution at 900 MHz for the tissue distribution given in Table III (black), for the same tissue sequence terminated with fat instead of muscle (dark grey), and for a homogeneous structure with HTSL (light grey). The steps in the SAR in the curves of Fig. 2 indicate the boundaries of the tissue layers. For the layered case without terminating muscle tissue, the local peak SAR rises by more than 3 dB. For the

CHRIST et al.: DEPENDENCE OF ELECTROMAGNETIC FAR-FIELD ABSORPTION ON BODY TISSUE COMPOSITION

2191

Fig. 3. 1-g peak spatial-average SAR for the identified worst case tissue combinations (Table III) in comparison to HTSL and BTSL. Fig. 2. Local and 1-g peak spatial-average SAR distribution in layered biological tissue as in Table III for 900 MHz (2.2-mm skin, 1.4-mm SAT, 22.2-mm breast tissue with and without termination by a muscle layer) in comparison to homogeneous HTSL.

case of an unpropitious thickness of the low water content tissue layers, Fig. 2 shows an increase of approximately 8 dB. The dashed lines show the 1-g peak spatial-average SAR. For the tissue sequence without the terminating muscle layer, the tissue simulating liquid still yields a conservative exposure estimate because the SAT and breast tissue layers do not contribute significantly to the SAR in the averaging volume. For the worst case tissue combination, the local increase is not compensated by the tissue simulating liquid. The liquid underestimates the 1-g averaged SAR in the layered tissue by approximately 3 dB. Depending on the tissue structure and penetration depth, the standing-wave effects can also occur inside the body if a low loss tissue is enclosed by two tissues with high water content. The 1- and 10-g peak spatial-average SAR for worst case tissue sequences in comparison to the HTSL and BTSL over the frequency range from 236 to 5800 MHz is given in Figs. 3 and 4. Fig. 5 shows the power reflection coefficient or power return loss for homogeneous liquids and worst case tissue combinations with the free-space wave impedance as reference and clearly demonstrates the matching effects due to the layering.

Fig. 4. 10-g peak spatial-average SAR for the identified worst case tissue combinations (Table IV) in comparison to HTSL and BTSL.

IV. VALIDATION A. Planar Layered Model The SAR increase due to standing-wave effects, which has been reported in Section III, will be dominant for plane-wave incidence at antenna distances at which far-field-like exposure can be assumed. For the evaluation of these distances, a layered and a homogeneous generic planar body model are exposed to the radiation of a -dipole at distances between 10–300 mm and frequencies between 236–2450 MHz. The tissue structure of the layered body model is chosen according to Table III. The material parameters of the generic and the homogeneous models are taken from Table II. The simulations are carried out with the FDTD method. A minimum mesh step size of 0.5 mm is used in the skin layer and at the antenna feedpoint and tips.

Fig. 5. Power reflection coefficient for the identified worst case tissue combinations (Tables III and IV) and HTSL and BTSL.

The maximum step size was limited to in all materials and tissues. Fig. 6 shows the ratio of the 1-g peak spatial-average SAR of the layered body model normalized in comparison to the homogeneous one for the above-mentioned frequency and distance

2192

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 6. 1-g peak spatial-average SAR ratio (layered versus homogeneous) for a =2 dipole at different frequencies and distances to the phantom. Fig. 8. Feedpoint impedance of the =2-dipole antenna at 2450 MHz in front of the layered and homogeneous phantoms as function of the distance.

Fig. 7. Feedpoint impedance of the =2-dipole antenna at 236 MHz in front of the layered and homogeneous phantoms as function of the distance.

Fig. 9. Cross section through the abdominal region of the visible human model with a =2 dipole (900 MHz) at a distance of 100 mm.

ranges normalized to the antenna output power. Whereas the homogeneous body model yields a conservative value for the SAR at close distances, the absorption in the layered model increases with the antenna distance and reaches a maximum value of approximately 200%–250%, which is in good correspondence with the results of the transmission-line model. At 236 MHz, a maximum increase of only 150% is observed within the distance range considered. The increase of the SAR ratio begins at approximately , and the maximum is reached at a distance between the antenna and the body of approximately for all frequencies. This, however, can strongly depend on the type of antenna. Figs. 7 and 8 show the feedpoint impedance of the antennas in front of the layered and the homogeneous phantoms as a function of the distance for 236 and 2450 MHz. For short distances, the presence of the body model and the tissue composition have a strong impact on the feedpoint impedance. If the distance increases, the impedances converge toward the free-space value. Comparison with the SAR ratio in Fig. 6 shows that the standing-wave effect reaches its maximum approximately at the

distances at which the impedances converge, i.e., at which the radiating components dominate the field of the antenna. B. Anatomical Model The SAR increase observed for the layered planar model can also be reproduced using an anatomical high-resolution model of the human body. A -dipole antenna for 900 MHz is placed at a distance of 100 mm in front of the abdomen of the visible human model (Fig. 9). At 900 MHz, the distance of 100 mm is sufficient to reach the maximum SAR increase in layered tissue (Fig. 6). The abdominal region of the visible human model consists mainly of layers of skin and fat terminated by muscle tissue or different viscera with high water content. The dielectric coefficients of the tissues are selected according to Table II. A nonuniform mesh is used with step sizes between 0.3 mm at the antenna feedpoint and the body regions of highest SAR distributions and 15 mm for free space. In the visible human model, the maximum mesh step was limited to 3.5 mm. The peak spatial-average SAR is calculated following the procedure described in [16].

CHRIST et al.: DEPENDENCE OF ELECTROMAGNETIC FAR-FIELD ABSORPTION ON BODY TISSUE COMPOSITION

Fig. 10. Local and 1-g peak spatial-average SAR distributions in the visible human model (Fig. 9) for anatomical and homogeneous (HTSL) tissue distributions irradiated by a =2-dipole antenna with 1-W output power at 900 MHz.

The 1-g peak spatial-average SAR maximum is located 15 mm above the normal from the antenna feedpoint to the skin of the visible human model (Fig. 9). The thicknesses of the tissue layers at this location are 2.8 mm for the skin and 18.8 mm for the fat. Fig. 10 shows the distribution of the local SAR and the 1-g peak spatial-average SAR along the -axis, as indicated in Fig. 9, for an anatomical tissue distribution and homogeneous modeling using the parameters of HTSL. As it has been demonstrated for the layered planar model, the local peak SAR in the skin layer increases by approximately 6 dB due to the standing-wave effects in the layered tissue. The 1-g peak spatial-average SAR increases by approximately 2.5 dB. V. DISCUSSION AND CONCLUSIONS Evaluation of the absorption in anatomical tissue sequences shows that the dielectric parameters of the tissue simulating liquids defined by current standards for compliance testing [4] do not always yield a conservative exposure estimate for the averaged SAR in the body for far-field-like exposure conditions. The dielectric parameters of the standardized liquids were determined in a similar study considering the anatomical composition of head tissue [13] and have proven to yield a conservative exposure estimate for the SAR exposure caused by mobile communications equipment operating close to the head in several recent studies [29], [30]. The increase in SAR observed in this paper is due to a more general composition of tissues at different locations of the body in comparison to the regions of the head (ear, temporal bone), which are considered in [13]. This concerns both the sequences of high and low water content tissue and the significantly increased span of variation for the thicknesses of the respective layers. This paper has considered the effect of possible tissue variations, but not the uncertainties of the dielectric parameters of the tissues. The parameters change as a function of the water content (e.g., decreases of the water content of bone tissue with age) or tissue composition (e.g., changes of the ratio of white

2193

matter and gray matter in the brain with age) [31]. However, these uncertainties are relatively small compared to the variations between tissue types. Substantial attenuation of the reported standing-wave effects would only occur if the water content of the fat tissue were significantly underestimated in the literature. For homogeneous tissue, the effect of higher water content is small since the effect of higher conductivity is partly compensated by the increased permittivity [31], [32]. In [33], the effect of age-dependent tissue parameters in humans was studied for exposures at the ear and found to be smaller than 1.3%. The maximum increase of the peak spatial SAR values in realistic layered tissues compared to assessment with current standard tissue-simulating homogenous media ranges from 2.2 to 4.7 dB. These effects have been verified by dipoles operating in the vicinity of planar layered structures (at distances ) as well as in front of the visible human model. Although this increase cannot be reproduced by a homogenous medium, a conservative exposure assessment of far-field conditions ( 90th percentile of the user population) can be obtained by measuring the peak spatial-average SAR with the current standard liquids and applying a scaling factor that can be derived from the results reported in this study. For devices operated close to the body, two approaches can be applied, which are: 1) evaluation of the devices in the touch position using standard liquids (because the increase of peak spatial SAR due to standing waves in layered tissues is smaller than the decrease by distance) [32] or 2) measurement at actual usage distances and application of a distance- and frequency-dependent scaling factor. However, additional studies of different antenna types at different distances are needed to determine sound scaling functions between touch and far-field conditions. REFERENCES [1] Recommended Practice for Determining the Spatial-Peak Specific Absorption Rate (SAR) in the Human Body Due to Wireless Communications Devices: Measurement Techniques, IEEE Standard 1528/D1.2, 2003. [2] Specific Absorption Rate (SAR) Estimation for Cellular Phone (Standard Version 2), ARIB STD-T56, 2002. [3] Human Exposure to Radio Frequency Fields From Handheld and BodyMounted Wireless Communication Devices—Human Models, Instrumentation and Procedures, Part 1: Procedure to Determine the Specific Absorption Rate (SAR) for Handheld Devices Used in Close Proximity to the Ear (Frequency Range of 300 MHz to 3 GHz), IEC 62209 Part 1, 2005. [4] “Evaluating compliance with FCC guidelines for human exposure to radiofrequency electromagnetic fields,” FCC, Washington, DC, Tech. Rep. OET Bull. 65, Aug. 1997. [5] A. W. Guy, “Analyses of electromagnetic fields induced in biological tissues by thermographic studies on equivalent phantom models,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 2, pp. 205–214, Feb. 1971. [6] R. W. P. King, “Electromagnetic field generated in model of human head by simplified telephone transceiver,” Radio Sci., vol. 30, no. 1, pp. 267–281, Jan. 1995. [7] H.-R. Chuang, “Numerical computation of fat layer effects on microwave near-field radiation to the abdomen of a full-scale human body model,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 1, pp. 118–125, Jan. 1997. [8] H. P. Schwan and K. Li, “Hazards due to total body irradiation,” Proc. IRE, vol. 44, no. 11, pp. 2058–2062, Nov. 1956. [9] P. W. Barber, O. P. Gandhi, M. J. Hagmann, and I. Chatterjee, “Electromagnetic absorption in a multilayered model of man,” IEEE Trans. Biomed. Eng., vol. 26, no. BME-7, pp. 400–405, Jul. 1979.

2194

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

[10] I. Chatterjee, O. P. Gandhi, M. J. Hagmann, and A. Riazi, “Plane-wave spectrum approach for the calculation of electromagnetic absorption under near-field exposure conditions,” Bioelectromagnetics, vol. 1, pp. 363–377, 1980. [11] I. Chatterjee, M. J. Hagmann, and O. P. Gandhi, “Electromagnetic absorption in a multilayered slab model of tissue under near-field exposure conditions,” Bioelectromagnetics, vol. 1, pp. 379–388, 1980. [12] K. Meier, R. Kästle, V. Hombach, R. Tay, and N. Kuster, “The dependence of EM energy absorption upon human head modeling at 1800 MHz,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 11, pp. 2058–2062, Nov. 1997. [13] A. Drossos, V. Santomaa, and N. Kuster, “The dependence of electromagnetic energy absorption upon human head tissue composition in the frequency range of 300–3000 MHz,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 1988–1995, Nov. 2000. [14] A. Christ, T. Samaras, A. Klingenböck, and N. Kuster, “Characterization of the electromagnetic near-field absorption in layered biological tissue in the frequency range from 30 MHz to 6000 MHz,” Phys. Med. Biol., submitted for publication. [15] S. Ramo, J. R. Whinnery, and T. van Duzer, Fields and Waves in Communication Electronics, 3rd ed. New York: Wiley, 1993. [16] Recommended Practice for Measurements and Computations of Radio Frequency Electromagnetic Fields With Respect to Human Exposure to Such Fields, 100 kHz–300 GHz, IEEE Standard C95.3, 2002. [17] A. Taflove and S. C. Hagness, Computational Electromagnetics: The Finite-Difference Time-Domain Method, 2nd ed. Boston, MA: Artech House, Inc., 2000. [18] M. J. Ackerman, “The visible human project,” Proc. IEEE, vol. 86, no. 3, pp. 504–511, Mar. 1998. [19] “Tissue dielectric properties,” FCC, Washington, DC [Online]. Available: http://www.fcc.gov/fcc-bin/dielec.sh [20] W. S. Snyder, M. J. Cook, E. S. Nasset, L. R. Karhausen, G. P. Howells, and I. H. Tipton, Report of the Task Group on Reference Man. New York: Elsevier, 1975, iCRP Pub. 23. [21] E. Tafeit, R. Möller, K. Sudi, R. Horejsi, A. Berg, and G. Reibnegger, “Orthogonal factor coefficient development of subcutaneous adipose tissue topography in girls and boys,” Amer. J. Phys. Anthropol., vol. 115, no. 1, pp. 57–61, Apr. 2001. [22] T. Abe, D. V. DeHoyos, M. L. Pollok, and L. Garzarella, “Time course for strength and muscle thickness changes following upper and lower body resistance training in men and women,” Eur. J. Appl. Phys., vol. 81, no. 3, pp. 174–180, Jan. 2000. [23] S. M. Bunce, “ -mode ultrasound: A reliable measure of transversus abdominis thickness?,” Clin. Biomech., vol. 17, no. 4, pp. 315–317, May 2002. [24] C. M. Futter, E. Weiler-Mithoff, S. Hagen, K. van de Sijpe, P. L. Coorevits, J. C. Litherland, M. H. C. Webster, M. Hamdi, and P. N. Blondeel, “Do pre-operative abdominal exercises prevent post-operative donor site complications for women undergoing DIEP flap breast reconstruction? A two-centre, prospective randomised controlled trial,” Brit. J. Plastic Surg., vol. 56, no. 7, pp. 674–683, Oct. 2003. [25] P. W. Hodges, “Measurement of muscle contraction with ultrasound imaging,” Muscle & Nerve, vol. 27, no. 6, pp. 682–691, Jun. 2003. [26] P. Geusens, F. Cantatore, J. Nijs, W. Proesmans, F. Emma, and J. Dequeker, “Heterogeneity of growth of bone in children at the spine, radius and total skeleton,” Growth, Develop., Aging, vol. 55, no. 4, pp. 249–256, Winter 1991. [27] K. Gábor, “Medical background for MINOSC,” Dept. Mech. Eng., Technion–Israel Inst. Technol., Haifa, Israel, Tech. Rep. [Online]. Available: http://robotics.technion.ac.il/people/gabi/Spine.pdf [28] S. Gabriel, R. W. Lau, and C. Gabriel, “The dielectric properties of biological tissues: III. Parametric models for the dielectric spectrum of tissues,” Phys. Med. Biol., vol. 41, no. 11, pp. 2271–2293, Nov. 1996. [29] W. Kainz, A. Christ, T. Kellom, S. Seidman, N. Nikoloski, B. Beard, and N. Kuster, “Dosimetric comparison of the specific anthropomorphic mannequin (SAM) to 14 anatomical head models using a novel definition for the mobile phone positioning,” Phys. Med. Biol., vol. 50, no. 14, pp. 3423–3445, Jul. 2005. [30] B. Beard, W. Kainz, T. Onishi, T. Iyama, S. Watanabe, O. Fujiwara, J. Wang, G. Bit-Babik, A. Faraone, J. Wiart, A. Christ, N. Kuster, A.-K. Lee, H. Kroeze, M. Siegbahn, J. Keshvari, H. Abrishamkar, M. A. Stuchly, W. Simon, D. Manteuffel, and N. Nikoloski, “Result of the protocol for the computational comparison of the SAM phantom to anatomically correct models of the human head,” IEEE Trans. Electromagn. Compat., submitted for publication.

M

[31] C. Gabriel, “Dielectric properties of biological tissue: Variation with age,” Biolectromagnetics, vol. 26, no. S7, pp. S12–S18, Sep. 2005. [32] N. Kuster and Q. Balzano, “Energy absorption mechanism by biological bodies in the near field of dipole antennas above 300 MHz,” IEEE Trans. Veh. Technol., vol. 41, no. 1, pp. 17–23, Feb. 1992. [33] J. Wang, O. Fujiwara, S. Watanabe, and Y. Yamanaka, “Age effect of spatial peak absorption rate of dielectric tissue properties of head for 900 MHz mobile telephones,” IEEE Trans. Electromagn. Compat., to be published.

Andreas Christ was born in Offenbach, Germany, in 1968. He received the Dipl. Ing. degree in electrical engineering from the Technical University Darmstadt, Darmstadt, Germany, in 1996, and the Ph.D. degree from the Swiss Federal Institute of Technology (ETH), Zürich, Switzerland, in 2003. In 1997, he joined the Swiss Federal Institute of Technology (ETH). He has since been with the Foundation for Research on Information Technologies in Society (IT’IS Foundation), ETH, where he is involved in the assessment of interaction mechanisms of electromagnetic fields and biological tissue. His additional research interests include computational electrodynamics with the FDTD method, the numerical modeling of medical devices, and the optimization of experimental techniques for near-field assessment. He is also involved in the development of procedures for compliance testing of wireless devices within the working groups of several standardization bodies.

Anja Klingenböck was born in Baden, Switzerland, in 1973. She received the Environmental Sciences degree (with a major in biology) at the Swiss Federal Institute of Technology (ETH), Zürich, Switzerland, in 1999. In 2001, she joined the Foundation for Research on Information Technologies in Society (IT’IS Foundation), ETH. Her main responsibilities include the development of anatomical computer models of humans and animals and numerical simulations for dosimetric studies. In parallel, she has been involved in an e-learning project with the Geobotanical Institute, ETH, where she developed multimedia software for the education of students in botany and ecology at graduate and undergraduate levels.

Theodoros Samaras (S’93–A’97–M’02) received the Physics degree from Aristotle University of Thessaloniki, Thessaloniki, Greece, in 1990, the M.Sc. degree in medical physics (with distinction) from the University of Surrey, Surrey, U.K., in 1991, and the Ph.D. degree from the Aristotle University of Thessaloniki, Thessaloniki, Greece, in 1996. In 1998, he was with the Bioelectromagnetic (BIOEM)/Electromagnetic Compatibility (EMC) Group, Swiss Federal Institute of Technology, where he was mainly involved with studying the temperature increase due to the absorption of electromagnetic energy in materials and the effect of heat diffusion in electromagnetic dosimetry. He subsequently joined the Hyperthermia Unit, Erasmus Medical Center, Rotterdam, The Netherlands, where he conducted research on treatment quality of superficial hyperthermia. In December 1999, he returned to the Aristotle University of Thessaloniki, where he is currently an Assistant Professor. His research interests include numerical techniques with applications in biomedical technology, EMC, and telecommunications, as well as the therapeutic applications and safety of nonionizing radiation. Dr. Samaras was the recipient of a Marie Curie Fellowship presented by the European Commission.

CHRIST et al.: DEPENDENCE OF ELECTROMAGNETIC FAR-FIELD ABSORPTION ON BODY TISSUE COMPOSITION

Cristian Goiceanu received the M.S. degree in physics and Ph.D. degree from the Al. I. Cuza University of Iasi, Iasi, Romania, in 1993 and 2003, respectively. His doctoral thesis focused on exposure consequences, the relationship between exposure parameters and biological effects, and on deriving exposure limits. From 1993 to 1995, he was with the Faculty of Physics, Plasma Physics Department, Iasi, Romania. In 1996, he joined the Occupational Health Department, Institute of Public Health, Iasi, Romania, where he focused on experimental studies and on health consequences and health protection related to exposure to electromagnetic fields. As a member of the Romanian Working Group on Non-Ionizing Radiation Protection (RWG-NIRP), he was involved in the development of exposure standards. In June 2003, he joined the Foundation for Research on Information Technologies in Society (IT’IS Foundation), Swiss Federal Institute of Technology (ETH), Zürich, Switzerland, as a Visiting Scientist for a six-month term. His research interests include exposure assessment and exposure metrics, risk assessment of wireless technologies, development of exposure standards, subtle biological effects of electromagnetic fields, and dielectric behavior of biological tissues.

2195

Niels Kuster (M’93) was born in Olten, Switzerland, in 1957. He received the M.S. and Ph.D. degrees in electrical engineering from the Swiss Federal Institute of Technology (ETH), Zürich, Switzerland. In 1993, he became a Professor with the Department of Electrical Engineering, ETH. In 1992, he was an Invited Professor with the Electromagnetics Laboratory, Motorola Inc., Fort Lauderdale, FL. In 1998, he was with the Metropolitan University of Tokyo, Tokyo, Japan. In 1999, he became Director of the Foundation for Research on Information Technologies in Society, Zürich, Switzerland. He has consulted several government agencies on the issue of the safety of mobile communications. His research interest is currently focused on reliable on/in-body wireless communications and related topics. This includes measurement technology and computational electrodynamics for evaluation of close near-fields in complex environments, safe and reliable wireless communication links within the body or between implanted devices and the outside for biometrics applications, development of exposure setups and quality control for bioexperiments evaluating interaction mechanisms, therapeutic effects as well as potential health risks, and exposure assessments. Dr. Kuster is a member of several standardization bodies. He also served on the boards of scientific societies, research management councils for governments, and editorial boards.

2196

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

A Split-Field Iterative ADI Method for Simulating Transverse-Magnetic Waves in Lossy Media Shumin Wang and Jeff H. Duyn

Abstract—A novel split-field alternating-direction implicit method is proposed to simulate two-dimensional transversemagnetic waves in lossy media. By splitting the electric field with respect to the two transverse-magnetic field components, an efficient implementation scheme is obtained. Stability and numerical dispersion are further examined. Finally, numerical examples demonstrate the validity of the proposed method. Index Terms—Finite-difference method, iterative method, lossy media.

I. INTRODUCTION HE alternating-direction implicit (ADI) method is a finitedifference time-domain (FDTD) method suitable for spatially oversampled problems [1]–[6]. Due to its implicit nature and the fact that only tri-diagonal matrices are generated, it is an efficient unconditionally stable method that does not require solving general sparse linear systems. Although the time-step size of the ADI method is no longer bound by the Courant–Friedrichs–Lewy (CFL) condition [7], the traditional ADI method suffers a large splitting error in addition to a numerical dispersion error [2], [8]. Unlike the numerical dispersion error, which mainly affects electrically large or highly resonant problems, the splitting error is associated with relatively large field variations such as near-field sources, metal edges, corners, tips, etc. Since these structures are common in simulations, the splitting error has a broad range of impact on the accuracy in practice. Recently, the iterative ADI method has been proposed to reduce the splitting error [3]. This method interprets the traditional ADI method as a special relaxation solver of the Crank–Nicolson (CN) scheme [8]. By applying a few iterations at each time step, the splitting error can be effectively reduced. Based on the above interpretation, more efficient approaches can be developed. For example, the pre-iterative scheme is proposed in [4], which provides a better initial guess in regions with a large splitting error. In [5], the geometrical multigrid scheme was applied to further increase the convergence rate. Although the fundamental theory of the iterative ADI method remains the same, the efficiency (and sometimes the accuracy

T

Manuscript received July 26, 2005; revised December 1, 2005. This work was supported by The National Institute of Neurological Disorders and Stroke under the Intramural Research Program. The authors are with the Laboratory of Functional and Molecular Imaging, The National Institute of Neurological Disorders and Stroke/National Institutes of Health, Bethesda, MD 20892 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.873634

[6]) depends on the implementation of lossy media. The appropriate treatment of conductive terms in the transverse-electric (TE) case can be achieved by relatively simple matrix manipulation [4]. However, this is not true for lossy transverse-magnetic (TM) waves, which are common in bioelectromagnetic applications [9]. In fact, this problem has more profound consequences in general three-dimensional (3-D) simulations where TE and TM waves usually coexist. A proper treatment of conductive terms in 3-D simulations should at least be applicable to TM waves. To retain the efficiency of the ADI method, it is necessary to properly treat lossy TM waves. In this paper, we present a novel scheme by employing a split-field formulation. This scheme splits the electric field according to the two magnetic field components. As a result, a system of equations that can be solved much more efficiently by the iterative ADI method is obtained. Before introducing the proposed method, we stress the difference between the splitting error and split-field formulation. The splitting error is originated from splitting the CN operators [8], while both the electric and the magnetic fields are the original ones. In the split-field method, the electric field is split to construct a system suitable for the iterative ADI method. In this paper, we first review the conventional treatment of lossy media and the iterative ADI method in Section II. The proposed treatment is introduced in Section III. Its stability and numerical dispersion are analyzed in Section IV. In Section V, numerical examples demonstrate the validity of the proposed method. Finally, concluding remarks are drawn in Section VI. II. REVIEW OF THE CONVENTIONAL TREATMENT AND THE ITERATIVE ADI METHOD Maxwell’s equations for lossy matrix form as follows:

waves can be written in

(1)

. Instead of using the concept of where consecutive forward/backward difference introduced in [1], an iterative ADI scheme can be generally obtained in three steps [3]. First, the right-hand-side matrix splits into two matrices.

0018-9480/$20.00 © 2006 IEEE

WANG AND DUYN: SPLIT-FIELD ITERATIVE ADI METHOD FOR SIMULATING TM WAVES IN LOSSY MEDIA

According to the conventional treatment of lossy media, they are

(2)

2197

In (7), we notice three entries in the above expression containing conductivity . Thus, the splitting error is not only proportional to the field variation as in the free-space and lossy TE cases [3], [4], but also proportional to conductivity. This implies that the splitting error is no longer local to structures such as near-field sources and metal discontinuities. Furthermore, four nonzero terms exist in (7). Compared with the free-space and cases [3], [4], which only contain one nonzero term, the iterative ADI scheme based on (5) and (6) is more difficult to implement and more computationally expensive.

(3) III. SPLIT-FIELD APPROACH By applying the CN scheme at time step , which essentially employs a central difference to approximate time-derivative terms and the average of and time-step values to approximate nontime-derivative terms [8], we have

The proposed treatment starts from splitting parts, i.e.,

into two

(8) where

and

satisfy

(4) (9)

is the identity matrix. Finally, the above equation can where be solved in two sub-steps, i.e., and

(10) (5) respectively. When combining the above two equations, we obtain

in the first step and

(6) in the second step, where denotes the th iteration. The solution of the traditional ADI method was shown as the first iterative solution with a special initial guess [3], which is equivalent to an omission of the last terms in (5) and (6). This omission eventually introduces an error to the solution of (4), which manifests itself as the splitting error in the traditional ADI method. By fully exercising the iterative solving procedure, the iterative ADI method provides a systematic way to obtain more accurate results. This has been demonstrated in [3] and [4]. According to (2) and (3), the splitting error of the conventional treatment of waves in lossy media is represented by

(7)

which is equivalent to the traditional Maxwell’s equation. The other two Maxwell’s equations are

(11) (12) , nor has physical meanWe notice that neither ings. Only their combination represents the physical field. The main purpose of splitting is to obtain a new system of equations that is more appropriate for the iterative ADI method. We also notice the difference between the proposed split-field and Berenger’s split-field perfectly matched layer (PML) [10]. In that approach, and are associated with and , respectively. In the proposed approach, and are associated with and , respectively.

2198

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Equations (9)–(12) are rewritten as

(18)

(19) (13) (20)

where . An iterative ADI scheme is obtained following the three steps outlined in Section II. In the proposed treatment, the right-hand side matrix in (13) splits into two matrices as follows:

in the first step and

(21)

(22) (14) (23) (24) (15)

in the second step. In each step, a tri-diagonal matrix is constructed in the same way as introduced in [1]. IV. STABILITY AND THE NUMERICAL DISPERSION ERROR

As a result, the splitting error becomes

A. Stability (16)

Expression (16) is much simpler than (7). Only one nonzero entry exists, which is proportional to the spatial variation of field. As a result, the splitting error is again local to regions with large field variations. Furthermore, the simple format of (16) involves less computational cost compared to (7). With the proposed approach, we are able to achieve the same splitting-error property and computational efficiency as the free-space and the lossy TE cases [3], [4]. With (14) and (15), (4)–(6) are followed to develop the corresponding iterative ADI scheme. Multiple choices exist in terms of which unknown is solved implicitly. One can derive the following two sets of equations:

(17)

We study numerical stability of the proposed method in a lossless case, as lossy media naturally introduces wave dissipation. Following the standard procedure, and are expanded into a discrete set of Fourier modes. For each mode,

(25) (26) (27) (28) By substituting the discrete Fourier modes into (13), the system amplification matrix [8] is derived from (4) as

(29)

WANG AND DUYN: SPLIT-FIELD ITERATIVE ADI METHOD FOR SIMULATING TM WAVES IN LOSSY MEDIA

where

and

2199

are given by

(30)

Fig. 1. Numerical dispersion error of the proposed and traditional ADI.

(31) , , and . In an uniform and mesh, where , the dominate eigenvalues of the system amplification matrix are

where Fig. 2. Relative error of the proposed method with different number of iterations.

B. Numerical Dispersion Error To derive the numerical dispersion error, we add an term to the Fourier modes, where is the angular frequency, is the time-step index, and represents the time-step size. By substituting the Fourier modes into (13), we obtain the following equation:

(32)

With the help of Mathematica,1 it was found that . Thus, the proposed method is stable and nondissipative in a lossless case. 1[Online].

Available: http://www.wolfram.com

is the identity matrix and is given by (29). The where numerical dispersion relation is obtained from (32) by forcing its determinant to be zero, i.e.,

2200

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 3. Two-dimensional (2-D) head/coil system. The outer circle represents the RF shield. The dots inside the outer circle represents the legs of a birdcage coil, which were modeled as current sources in the simulation. The gray scale with respect to the background represents the phase and magnitude of the excitations.

ADI method). Yee’s FDTD method is used to provide the reference solution [7]. The time-step size of Yee’s method is 2.36 ps and that of the proposed ADI method is 47.2 ps. Fig. 2 shows the relative error of the proposed method with a different number of iterations. The relative error is defined by

The result is

(33) where is the theoretical phase velocity in the medium, is the CFL number defined by , and is the numerical phase velocity, which is the unknown to be solved. Equation (33) is a transcendental equation and can be solved numerically. In Fig. 1, we compare the largest dispersion error (of all propagation angles) of the proposed method with that of the traditional ADI scheme. In this figure, the spatial sampling rate is denoted by points-per-wavelength (PPW). Although the dispersion relations take different forms, the numerical dispersion error of the proposed ADI method is nearly identical to the traditional one. V. NUMERICAL EXAMPLES To verify the proposed method, we simulated the field distribution of an infinite long electric current source in lossy media ( , ). The source is at the center of the computational domain and is excited at 1 GHz. A uniform mesh was constructed with 1-mm cells. The boundary of the computational domain is sufficiently far away from the source to eliminate reflection errors. field at each observation point was obtained by the discrete Fourier transform. The proposed ADI method was tested with and a different number of iterations at each time step (note that the iterative ADI method with one iteration recovers the traditional

where represents the numerical solution and represents the reference solution. Fig. 2 clearly indicates that the relative solution error is reduced successively as we increase the number of iterations per time step. We notice that the iterative ADI method does not provide better results at each spatial location. Instead, it reduces the maximum solution error, which corresponds to the infinite norm of the solution error of [11]. Furthermore, the reduction of solution error tends to level off around the skin depth of the lossy media, which is 5 cm (or 0.16 ) in this example. In the second example, we calculate the electric field distribution of a 7.0-T magnetic resonance imaging (MRI) coil system. The coil is a shielded and linearly polarized 16-strut birdcage coil [9] operating at 300 MHz. The head/coil system is illustrated in Fig. 3, where the head model is adapted from the Brooks’ man model.2 The diameter of the coil is 28.8 cm and that of the shield is 31.2 cm. The coil is modeled as 16 electric current sources surrounding the head. The magnitude of the current follows a cosine distribution in the angular direction [9]. The shield is modeled as copper with . The constitutive properties of some tissues in the head model are listed in Table I. 2[Online].

Available: http://www.brooks.af.mil

WANG AND DUYN: SPLIT-FIELD ITERATIVE ADI METHOD FOR SIMULATING TM WAVES IN LOSSY MEDIA

2201

TABLE I CONSTITUTIVE PROPERTIES OF THE HEAD MODEL AT 300 MHZ

Fig. 5. Relative error of E -field in the head model along the central vertical line.

TABLE II CPU TIME COMPARISON

Fig. 4. Comparison of E -field distribution in the head model along the central vertical line.

A uniform mesh was constructed with 2-mm cells, which corresponds to 500 PPW at 300 MHz in free space. Again, Yee’s FDTD method is used to provide the reference solution. The proposed ADI method was tested with and a different number of iterations at each time step. The time-step size of Yee’s method is 4.72 ps and that of the proposed ADI method is 236 ps. From Fig. 1, the corresponding numerical dispersion error is approximately . Since the largest electrical size of this example is 0.36 , it is not considered as an electrically large one and the numerical dispersion is not a special concern. Fig. 4 illustrates the computed -field distribution in the head along the central vertical line (the -direction), where the traditional ADI method shows large error. By using the proposed iterative ADI method with three iterations per time step, the accuracy is greatly improved. Fig. 5 shows the relative error of different schemes, where the effect of the number of iterations is clearly indicated. According to (16), the splitting error is a function of the spatial variation rate of field in the -direction (the direction along which the observations are). From Fig. 4, there exists large field variation in the middle of the head. Thus, the splitting error is

relatively more prominent in that region, as shown in Fig. 5. We notice that electric current sources are positioned next to the head along the -direction. Due to the singularity of near-field current sources, the splitting error is also large on the air/tissue interface, as shown in Figs. 4 and 5. Finally, a comparison of the CPU time is provided in Table II, where I-ADI denotes the proposed iterative ADI method, the first number in the parenthesis is the CFL number, and the second number in the parenthesis is the number of iterations per time step. It is clear that the proposed iterative ADI method is more efficient. As an iterative solver, the actual performance of the proposed method depends on the accuracy requirement. Table II and Fig. 5 can be used as a guideline for other applications. VI. CONCLUSIONS We have presented an iterative ADI method with special treatment of conductive medium for TM waves. The proposed method splits the electric field with respect to the two magnetic field components. Stability and the numerical dispersion error were also examined analytically. Its effectiveness and efficiency were demonstrated by simulating a line source in lossy media and a 16-strut loaded MRI coil system. Future research priority will be given to the implementation of the iterative ADI method in 3-D lossy media. REFERENCES [1] T. Namiki, “A new FDTD algorithm based on alternating-direction implicit method,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2003–2007, Oct. 1999.

2202

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

[2] F. Zheng and Z. Chen, “Numerical dispersion analysis of the unconditionally stable 3-D ADI–FDTD method,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 1006–1009, May 2001. [3] S. Wang, F. L. Teixeira, and J. Chen, “An iterative ADI–FDTD with reduced splitting error,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 92–94, Feb. 2005. [4] S. Wang and J. Chen, “Pre-iterative ADI–FDTD method for conductive medium,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 1913–1918, Jun. 2005. [5] ——, “A multigrid ADI method for two-dimensional electromagnetic simulations,” IEEE Trans. Antennas Propag., vol. 54, no. 2, pp. 715–720, Feb. 2006. [6] S. Wang and F. L. Teixeira, “An efficient PML implementation for the ADI–FDTD method,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 2, pp. 72–74, Feb. 2003. [7] A. Taflove, Ed., Advances in Computational Electrodynamics: The Finite-Difference Time-Domain Method. Boston, MA: Artech House, 1998. [8] J. W. Thomas, Numerical Partial Differential Equations: Finite Difference Methods. Berlin, Germany: Springer-Verlag, 1995. [9] J. M. Jin, Electromagnetic Analysis and Design in Magnetic Resonance Imaging. Boca Raton, FL: CRC, 1998. [10] J. P. Berenger, “A perfectly matched layer for the absorption of electromagnetic waves,” J. Comput. Phys., vol. 114, no. 10, pp. 185–200, 1994. [11] G. H. Golub and C. F. Van Loan, Matrix Computations, 3rd ed. Baltimore, MD: The John Hopkins Univ. Press, 1996.

Shumin Wang received the B.S. degree in physics from Qingdao University, Qingdao, China, in 1995, the M.S. degree in electronics from Peking University, Beijing, China, in 1998, and the Ph.D. degree in electrical engineering from The Ohio State University, Columbus, in 2003. He is currently a Staff Scientist with the National Institutes of Health (NIH), Bethesda, MD. His research interests include time-domain differential-equation-based methods, integral-equation methods, high-frequency asymptotic methods and their applications to biomedical problems, very large scale integration (VLSI) packaging, geo-electromagnetics, and electromagnetic scattering.

Jeff H. Duyn received the M.S. and Ph.D. degrees from Delft University of Technology, Delft, The Netherlands, in 1984 and 1988, respectively, both in physics. In 1989, he was a Post-Doctoral Fellow with the Atomic Physics Department, University of Trento, Trento, Italy, where he performed positron lifetime measurements. From 1991 to 1992, he was a Post-Doctoral Fellow with the University of California at San Francisco, where he was involved with magnetic resonance spectroscopy. In 1992, he joined the National Institutes of Health (NIH), Bethesda, MD, where he is involved with the development of MRI and spectroscopy, initially as a Research Fellow, and currently as an Independent Investigator. His laboratory specializes in the improvement of sensitivity and image resolution through the use of multichannel detectors and high magnetic field scanners.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

2203

Analysis and Modeling of Layout Scaling in Silicon Integrated Stacked Transformers Tonio Biondi, Member, IEEE, Angelo Scuderi, Student Member, IEEE, Egidio Ragonese, Member, IEEE, and Giuseppe Palmisano

Abstract—The analysis and modeling of monolithic stacked transformers fabricated in a high-speed silicon bipolar technology is addressed. On-wafer experimental measurements are employed to investigate the effect of layout scaling on transformer performance parameters (i.e., self-resonance frequency, magnetic coupling coefficient, and insertion loss). Based on this analysis, a wideband lumped model is developed, whose parameters are related to layout and technological data through closed-form expressions. Model accuracy is demonstrated by comparing simulated and measured -parameters, coil inductance, magnetic coupling coefficient, and maximum available gain of several transformers with scaled layout geometry. The self-resonance frequency is also employed as a figure-of-merit to demonstrate model accuracy at very high frequency. Index Terms—Integrated transformers, layout scaling, lumped modeling, on-wafer measurements, RF integrated circuits (ICs).

I. INTRODUCTION N RECENT years, the increasing demand for higher integration levels and lower fabrication costs has continued to foster the use of monolithic passive components in RF integrated circuits (ICs), promoting both layout and technology advances. On-chip transformers are widely used to implement functions such as impedance conversion, resonant load, low-noise feedback, bandwidth enhancement, and differential-to-single conversion [1]–[11]. Since the amount of silicon area occupied by transformers can be a limiting factor in most applications, interleaved or tapped structures are often replaced by stacked configurations, which offer higher magnetic coupling and area efficiency, albeit at the expense of increased parasitic capacitances. Patterned ground shields can be profitably exploited in all transformer configurations to reduce losses caused by eddy currents flowing into the substrate [12]. Although many advances have been made in the fabrication of monolithic transformers, few significant results have been reported in the area of lumped circuit modeling. In most cases, measurements or electromagnetic (EM) simulations of a single transformer are employed to extract model parameters

I

Manuscript received July 16, 2005; revised November 9, 2005. This work was supported by the European Commission under the Fifth Framework Programme IST-2000-30132 Project PERLA. T. Biondi is with the Computer-Aided Design and Design Solutions Group, STMicroelectronics s.r.l., Catania I-95121, Italy (e-mail: [email protected]). A. Scuderi, E. Ragonese, and G. Palmisano are with the Facoltà di Ingegneria, Dipartimento di Ingegneria Elettrica Elettronica e dei Sistemi, Università di Catania, Catania I-95125, Italy (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872788

through fitting techniques [13]–[15]. Layout scaling of model parameters is usually neglected, except in a very few cases. An analytical model employing closed-form expressions was reported in [16]. Simulation accuracy was verified by comparison with experimental measurements of a 20-nH patterned ground shield stacked transformer whose coils were shifted (both laterally and diagonally) with respect to each other. A uniform compact model for inductors and transformers was presented in [17]. Equivalent circuit components were calculated using numerical methods. Simulations were found to be in close agreement with measured data over a wide frequency range. In this paper, the analysis and modeling of monolithic stacked transformers on silicon is addressed. The effect of layout scaling on self-resonance frequency, magnetic coupling coefficient, and insertion loss is explored through on-wafer experimental measurements of several transformers with different geometries. A wideband lumped scalable model of integrated stacked transformers is also reported. Model components are calculated with closed-form expressions that make use of geometrical and technological data. Excellent agreement was found between simulated and measured -parameters, coil inductance, magnetic coupling coefficient, and maximum available gain (MAG) over a wide range of layout geometries, establishing a significant advance with respect to previously published papers. The soundness of the proposed model and its accuracy at very high frequency is further demonstrated using the self-resonance frequency as a figure-of-merit. II. TRANSFORMER CHARACTERIZATION AND ANALYSIS Transformers were fabricated using a high-speed silicon bipolar technology supplied by STMicroelectronics, Catania, Italy. The third (top) and second metal layers were employed for the primary and secondary coils, respectively, whereas the first metal layer was used for both the underpass and ground plane. A scanning electron microscopy (SEM) cross section of the process metal stack is shown in Fig. 1. The third, second, and first AlSiCu metal layers have thickness equal to 3, 1, and 0.5 m, respectively. The choice of a proper substrate arrangement is of the utmost importance to optimize the performance of integrated passive components. Both electric and magnetic coupling contribute to worsen transformer performance; the former is responsible for capacitive parasitics and displacement currents, whereas the latter produces magnetically induced currents into the substrate. Electric coupling can only be reduced by increasing the oxide thickness; however, techniques for minimizing the losses caused by substrate magnetic coupling have been demonstrated [12]. In standard silicon bipolar technologies, the highly doped

0018-9480/$20.00 © 2006 IEEE

2204

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 1. SEM cross section of the process metal stack.

Fig. 3. Measured self-resonance frequency versus low-frequency inductance of integrated transformers.

Fig. 2. Microphotograph of a buried layer RPGS stacked transformer.

Fig. 4. Magnetic coupling coefficient as a function of frequency for different metal widths (D = 100 m, n = 2:5).

n buried layer is especially detrimental because it allows large parasitic currents to flow. A feasible solution exploiting the oxide trench process step to create a buried layer radial patterned ground shield (RPGS) beneath transformer coils was reported in [18]. The RPGS geometry and the distance between spirals and ground plane were set by exploiting EM simulations. A number of circular sectors equal to 20 represents a good tradeoff between reducing eddy currents in the buried layer and minimizing the return path impedance to the ground plane. The amount of silicon area occupied by transformers can be minimized by reducing the ground-plane distance. A good compromise between area and performance was found by setting it to 50 m. The buried layer RPGS configuration was thus employed in the fabrication of several monolithic stacked transformers with different layout parameters. Transformers have metal widths from 6 to 20 m, inner diameters from 50 to 150 m, and turn numbers from 1.5 to 5.5. The inter-metal spacing was set to 4 m. Deembedding structures were also fabricated and measured to improve the accuracy of high-frequency experimental data. A microphotograph of an integrated transformer is shown in Fig. 2. Fig. 3 depicts the measured self-resonance frequency of the primary and secondary coils as a function of the low-frequency inductance. Since the secondary coil was fabricated using the

second metal layer (only 1.8- m far from the substrate), the maximum self-resonance frequency does not exceeds 35 GHz. This is considerably lower than that of inductors reported in [19] that were fabricated using the third metal layer of the same technology (3.55- m far from the substrate). Indeed, owing to the high magnetic coupling coefficient , the substrate parasitic capacitance associated with the second metal layer is transferred almost entirely to the primary coil that resonates at the same frequency as the secondary one, the turn ratio being approximately equal to unity. Fig. 4 shows the magnetic coupling coefficient as a function of frequency for different values of the metal width. Besides the increase with frequency, which is determined by the electric coupling between coils, raising the metal width causes magnetic coupling to increase. A similar behavior was also observed for increasing turn number, as observed in Fig. 5. Indeed, as the area of the coils becomes larger, a greater percentage of the magnetic flux generated by one coil concatenates the other one, enhancing the coupling between them. This is further demonstrated in Fig. 6, where the magnetic coupling coefficient improves for increasing outer diameters . Moreover, for a given outer diameter, higher values of are attained for smaller inner diameters (i.e., filled spirals). Based on these considerations, it can be concluded that magnetic coupling between the

BIONDI et al.: ANALYSIS AND MODELING OF LAYOUT SCALING IN SILICON INTEGRATED STACKED TRANSFORMERS

Fig. 5. Magnetic coupling coefficient as a function of frequency for different turn numbers (W = 6 m, D = 150 m).

2205

Fig. 7. Maximum magnitude of S as a function of the outer diameter for different inner diameters (W = 6 m).

Fig. 8. Wideband lumped model for RPGS stacked transformers.

Fig. 6. Magnetic coupling coefficient as a function of the outer diameter for different inner diameters (W = 6 m).

coils of stacked transformers can be enhanced by increasing the ratio . In spirals, larger outer diameters can be achieved by increasing the length of the conductor that requires raising the turn number (indeed the effect of the metal width and inter-metal spacing is of much less importance). Since in longer conductors both the series resistance and substrate capacitance are higher, more energy is dissipated along the metal trace or injected into the substrate. As a consequence, the overall loss of the transformer is increased, although the magnetic coupling coefficient is higher. This can be observed from Fig. 7, where the maximum value of the magnitude of (in decibels) decreases monotonically for increasing outer diameters. This effect is more pronounced in transformers with higher inner diameters in which adding one turn provides a higher relative increase of conductor length. III. MODEL DESCRIPTION The proposed model is sketched in Fig. 8. It represents a profitable tradeoff between classical lumped topologies, i.e., those obtained by applying a -network to both the primary and secondary coils, and distributed models [20]. Indeed, traditional topologies are easy to manage, but can hardly be employed to

model monolithic transformers at relatively high frequencies and over a wide range of geometries. On the other hand, distributed networks reflect the real physical nature of the device at the expense of increased complexity. The series impedances of the primary and secondary windings are split into three branches (with ) that account for the inductance and resistance contributions of the inner, middle, and outer turns of the spirals. Indeed, owing to both the different length of each turn and current crowding effects, the series impedance of the spiral is not uniformly distributed along the length of the conductor. Since current crowding is greater in transformers with many inner turns, the values of the splitting factors , , and depend on the geometrical parameters (especially on the fill factor). However, the experimental analysis of more than 50 structures with a different layout revealed that this dependence is actually quite weak. As a consequence, layout-independent coefficients were preferred for the sake of simplicity. In the proposed model, the total inductance of the primary and secondary coils was calculated using the current sheet expression for circular spirals according to the following: (1) where

is the fill factor [21].

2206

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 9. Comparison between measurements of the magnetic coupling coefficient and the monomial expression.

Owing to both skin and proximity effects, at a given frequency, the current density inside the section of a conductor is not uniformly distributed, but tends to crowd toward its outer surface. The cumulative effect of both these phenomena produces a frequency-dependent series resistance that is difficult to predict using physics-based expressions, especially in transformers where it is further enhanced by the close proximity between windings [13], [17], [22], [23]. In the proposed model, the total series resistance of the spirals ( and ) takes both effects into account according to the following: (2) and are the ac and dc series resistances where of the primary (subscript 1) and secondary (subscript 2) coils, respectively, and is the frequency (expressed in gigahertz). In stacked transformers, the amount of magnetic flux that couples one coil to the other depends on the geometrical parameters of the spirals (see Figs. 4–6). Indeed, the magnetic coupling coefficient of investigated transformers varies from 0.7 to 0.92 as a result of the different layout. Owing to this wide range of values, the geometry dependence of this parameter was explicitly taken into account in the proposed model. A closed-form expression that relates the transformer mutual inductance to layout parameters has only been developed for square geometries [24]. On the other hand, the use of a constant magnetic coupling coefficient, commonly adopted in the literature, leads to systematic errors as large as 13%. In the equivalent circuit of Fig. 8, each branch of the primary coil is magnetically coupled to its respective branch of the secondary coil through the coefficient . The dependence of on the layout of the coils was taken into account using a monomial expression, as reported in the following:

Fig. 10. Magnitude and phase of the simulated and measured S -parameters (n = 5:5, W = 6 m, D = 50 m, self resonance frequency = 8 GHz).

0

coupling coefficients of transformers with different geometrical layout parameters as a function of the outer diameter. Maximum and average errors of (3) with respect to measurements are around 1% and 0.01%, respectively. Substrate effects were taken into account by means of oxide capacitances and RC networks, which model the RPGS. The port-to-port and port-to-substrate capacitances that arise from both area and perimeter contributions were calculated using the following:

(4) where and are the oxide dielectric constant and thickness, respectively, is the per-unit-length specific capacitance, is the area of the spirals, and is the length of the inner and outer circumferences of the coils. The impedance of the RPGS was modeled through and , whose values were determined using expressions reported in [19]. IV. EXPERIMENTAL VALIDATION

(3) where , , , and are coefficients determined by least square fitting (3) to measured data. Their values were set to 0.46, 0.06, 0.03, and 0.10, respectively. Geometrical parameters of transformers employed for this purpose are the same as reported in Section II. The accuracy of (3) is demonstrated in Fig. 9 that compares the measured and calculated magnetic

The geometrical scalability of the model and its accuracy up to the transformer self-resonance frequency are demonstrated by comparison with experimental measurements in Figs. 10–18. Displayed data cover a wide range of layout geometries and coil inductances. The magnitude and phase of the simulated and measured -parameters of four transformers with different turn number, metal width, and inner diameter are compared in Figs. 10–13 as

BIONDI et al.: ANALYSIS AND MODELING OF LAYOUT SCALING IN SILICON INTEGRATED STACKED TRANSFORMERS

2207

Fig. 11. Magnitude and phase of the simulated and measured S -parameters (n = 4:5, W = 10 m, D = 100 m, self resonance frequency = 5:5 GHz).

Fig. 13. Magnitude and phase of the simulated and measured S -parameters (n = 2:5, W = 20 m, D = 150 m, self resonance frequency = 7 GHz).

Fig. 12. Magnitude and phase of the simulated and measured S -parameters (n = 3:5, W = 18 m, D = 50 m, self resonance frequency = 8 GHz).

Fig. 14. Simulated and measured primary coil inductance, k , and MAG as a function of frequency (n = 3:5, W = 10 m, D = 150 m).

a function of frequency. Displayed results reveal that the proposed model is in excellent agreement with measured data over

a wide frequency range. This demonstrates that the topology adopted, despite its reduced complexity, provides a very good

0

0

0

2208

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 15. Simulated and measured primary coil inductance, k , and MAG as a function of frequency (n = 4:5, W = 10 m, D = 150 m).

Fig. 17. Simulated and measured primary coil inductance, k , and MAG as a function of frequency (n = 2:5, W = 18 m, D = 150 m).

Fig. 18. Simulated and measured self-resonance frequency.

Fig. 16. Simulated and measured primary coil inductance, k , and MAG as a function of frequency (n = 3:5, W = 14 m, D = 50 m).

approximation of the distributed structure of transformers. Moreover, since the reported results involve devices with very

different geometries, they prove the scalability of the model and confirm that it can be employed to predict the performance of monolithic stacked transformers over a wide range of layout parameters. To compare the capabilities of the proposed model with others referenced in the literature, some of the traditional figures-ofmerit usually employed in the design of RF ICs were also reported. Figs. 14–17 display the simulated and measured primary coil inductance, magnetic coupling coefficient ( ) and MAG [12] as a function of frequency up to the self-resonance frequency. The high degree of accuracy and geometrical scalability further demonstrate that the proposed solution can be profitably exploited in the design and optimization of RF circuit blocks.

BIONDI et al.: ANALYSIS AND MODELING OF LAYOUT SCALING IN SILICON INTEGRATED STACKED TRANSFORMERS

2209

TABLE I GEOMETRICAL AND CIRCUIT PARAMETERS OF THE TRANSFORMER MODEL

The self-resonance frequency is also employed as a useful figure-of-merit to identify the bandwidth limitations introduced by inductive components in ICs. Indeed, it provides a direct estimation of the parasitic capacitances, which are of the utmost importance to predict the high-frequency dynamics of both inductors and transformers. This parameter is especially important in silicon technologies because the flow of currents into the semiconductive substrate causes much more frequency constrains than in GaAs- or silicon-on-insulator (SOI)-based ICs [25], [26]. Hence, accurate estimation of the self-resonance frequency is a basic prerequisite of lumped scalable models. Fig. 18 reports the simulated and measured self-resonance frequency of transformers with different geometries as a function of the outer diameter. The very close agreement between simulations and measurements further demonstrates the suitability of the expressions used for capacitance calculations and the soundness of model topology. Finally, geometrical and circuit model parameters related to ten different transformer structures are summarized in Table I. V. CONCLUSION The effect of layout parameters on the performance of monolithic stacked transformers was investigated through on-wafer experimental measurements of several structures fabricated in a high-speed silicon bipolar technology. Based on experimental results, increasing transformer area can be exploited to improve magnetic coupling between coils; however, a tradeoff must be accomplished to minimize the overall transformer losses. The capabilities of a wideband lumped scalable model were also demonstrated. Thanks to the soundness of the proposed topology and closed-form expressions, the -parameters, coil inductance, magnetic coupling coefficient, and MAG of several transformers with different layout geometries were predicted over a wide frequency range. Model accuracy at very high frequencies was further verified by comparing the simulated and measured self-resonance frequency of transformers with different layout parameters.

The proposed approach combines the high degree of accuracy of single-device models reported in the literature and the flexibility of a lumped topology with geometry-scaled parameters. REFERENCES [1] A. M. Niknejad and R. G. Meyer, “Analysis, design, and optimization of spiral inductors and transformers for Si RF IC’s,” IEEE J. Solid-State Circuits, vol. 33, no. 10, pp. 1470–1481, Oct. 1998. [2] J. J. Zhou and D. J. Allstot, “Monolithic transformers and their applications in a differential CMOS RF low-noise amplifier,” IEEE J. Solid-State Circuits, vol. 32, no. 12, pp. 2020–2027, Dec. 1998. [3] D. J. Cassan and J. R. Long, “A 1-V transformer-feedback low-noise amplifier for 5-GHz wireless LAN in 0.18- m CMOS,” IEEE J. SolidState Circuits, vol. 38, no. 3, pp. 427–435, Mar. 2003. [4] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [5] ——, “A low-voltage 5.1–5.8-GHz image-reject downconverter RF IC,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1320–1328, Sep. 2000. [6] J. P. Maligeorgos and J. R. Long, “A low-voltage 5.1–5.8-GHz imagereject receiver with wide dynamic range,” IEEE J. Solid-State Circuits, vol. 35, no. 12, pp. 1917–1926, Dec. 2000. [7] A. Zolfaghari, A. Chan, and B. Razavi, “Stacked inductors and transformers in CMOS technology,” IEEE J. Solid-State Circuits, vol. 36, no. 4, pp. 620–628, Apr. 2001. [8] W. Bakalski, W. Simbürger, R. Thüringer, A. Vasylyev, and A. L. Scholtz, “A fully integrated 5.3-GHz 2.4-V 0.3-W SiGe bipolar power amplifier with 50- output,” IEEE J. Solid-State Circuits, vol. 39, no. 7, pp. 1006–1014, Jul. 2004. [9] I. Bhatti, R. Roufoogaran, and J. Castaneda, “A fully integrated transformer-based front-end architecture for wireless transceivers,” in IEEE Int. Solid-State Circuits Tech. Dig. Conf., San Francisco, CA, Feb. 2005, pp. 106–107. [10] T. Copani, S. A. Smerzi, G. Girlando, and G. Palmisano, “A 12-GHz silicon bipolar dual-conversion receiver for digital satellite applications,” IEEE J. Solid-State Circuits, vol. 40, no. 6, pp. 1278–1287, Jun. 2005. [11] A. Italia, L. La Paglia, A. Scuderi, F. Carrara, E. Ragonese, and G. Palmisano, “A silicon bipolar transmitter front-end for 802.11a and HIPERLAN2 wireless LANs,” IEEE J. Solid-State Circuits, vol. 40, no. 7, pp. 1451–1459, Jul. 2005. [12] K. T. Ng, B. Rejaei, and J. N. Burghartz, “Substrate effects in monolithic RF transformers on silicon,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 377–383, Jan. 2002. [13] Y. Mayevskiy, A. Watson, P. Francis, K. Hwang, and A. Weisshaar, “A new compact model for monolithic transformers in silicon-based RFICs,” IEEE Microw. Wireless Compon. Lett, vol. 15, no. 6, pp. 419–421, Jun. 2005.

2210

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

[14] A. H. Aly and B. Elsharawy, “Modeling and measurements of novel high k monolithic transformers,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1247–1250. [15] T. Kamgaing, M. Petras, and M. Miller, “Broadband compact model for transformers integrated on conductive silicon substrates,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 457–460. [16] S. S. Mohan, C. P. Yue, M. del Mar Hershenson, S. S. Wong, and T. H. Lee, “Modeling and characterization of on-chip transformers,” in IEEE Int. Electron Device Meeting Tech. Dig., Washington, DC, Dec. 1998, pp. 531–534. [17] J. R. Long and M. Danesh, “A uniform compact model for planar RF/MMIC interconnects, inductors and transformers,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Minneapolis, MN, Sep. 2001, pp. 167–170. [18] A. Italia, F. Carrara, T. Biondi, A. Scuderi, E. Ragonese, and G. Palmisano, “The transformer characteristic resistance and its application to the performance analysis of silicon integrated transformers,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Long Beach, CA, Jun. 2005, pp. 597–600. [19] A. Scuderi, T. Biondi, E. Ragonese, and G. Palmisano, “A lumped scalable model for silicon integrated spiral inductors,” IEEE Trans. Circuits Syst.—Part I: Fund. Theory Applicat., vol. 51, no. 6, pp. 1203–1209, Jun. 2004. [20] T. Biondi, A. Scuderi, E. Ragonese, and G. Palmisano, “Wideband lumped scalable modeling of monolithic stacked transformers on silicon,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Montreal, QC, Canada, Sep. 2004, pp. 265–268. [21] S. S. Mohan, M. del Mar Hershenson, S. P. Boyd, and T. H. Lee, “Simple accurate expression for planar spiral inductances,” IEEE J. Solid-State Circuits, vol. 34, no. 10, pp. 1419–1424, Oct. 1999. [22] W. B. Kuhn and N. M. Ibrahim, “Analysis of current crowding effects in multiturn spiral inductors,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 31–38, Jan. 2001. [23] F. Rotella, B. K. Bhattacharya, V. Blaschke, M. Matloubian, A. Brotman, Y. Cheng, R. Divecha, D. Howard, K. Lampaert, P. Miliozzi, M. Racanelli, P. Singh, and P. J. Zampardi, “A broadband lumped element analytic model incorporating skin effect and substrate loss for inductors and inductor like components for silicon technology performance assessment and RFIC design,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1429–1441, Jul. 2005. [24] H.-M. Hsu, “Implementation of high-coupling and broadband transformer in RFCMOS technology,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1410–1414, Jul. 2005. [25] I. J. Bahl, “High-performance inductors,” IEEE Trans. Microw. Theory Tech, vol. 49, no. 4, pp. 654–664, Apr. 2001. [26] D. Kelly and F. Wright, “Improvements to performance of spiral inductors on insulator,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, pp. 541–543. Tonio Biondi (S’03–M’04) received the Laurea degree in electronics engineering (magna cum laude) and Ph.D. degree in electronics and automation engineering from the University of Catania, Catania, Italy, in 2000 and 2004, respectively. Since 2000, he cooperates with the Radio Frequency Advanced Design Center (RF-ADC), a joint research group supported by the University of Catania and STMicroelectronics, where he is involved in the characterization and modeling of active and passive silicon integrated electron devices for wireless applications. In 2002, he joined the Integrated Circuit Characterization and Diagnosis Group, STMicroelectronics, Crolles, France, where he was involved with large-signal load–pull characterization and nonlinear modeling of high-efficiency RF Si and SiGe power bipolar transistors. Since 2004, he has been with the Computer-Aided Design and Design Solutions Group, STMicroelectronics s.r.l., Catania, Italy. His current research interests include

characterization and physics-based modeling of active and passive electron devices for wireless applications, distributed and behavioral modeling of discrete silicon power devices for power switching applications, and techniques and algorithms for multiobjective optimization.

Angelo Scuderi (S’03) received the Laurea degree in electronics engineering (magna cum laude) from the University of Catania, Catania, Italy, in 2002, and is currently working toward the Ph.D. degree in electronics and automation engineering at the University of Catania. Since 2002, he has been with the Radio Frequency Advanced Design Center (RF-ADC), a joint research group supported by the University of Catania and STMicroelectronics, where he is involved in the design and development of millimeter-wave circuits for wireless systems with particular emphasis on low-noise voltage-controlled oscillators (VCOs). During 2004, he joined the RF Design Group, STMicroelectronics, Tours, France, where he was involved with the design of multichip modules (MCMs) for high-frequency applications. His current research interests include characterization and modeling of passive silicon integrated devices for wireless communication systems.

Egidio Ragonese (M’03) was born in Catania, Italy, in 1974. He received the Laurea degree in electronics engineering (magna cum laude) and Ph.D. degree in electronics and automation engineering from the University of Catania, Catania, Italy, in 1999 and 2003, respectively. Since 1999, he has been with the Radio Frequency Advanced Design Center (RF-ADC), a joint research group supported by the University of Catania and STMicroelectronics, where he is involved in the design and development of RF circuits for 5-GHz wireless local area network (WLAN) applications. He is currently a Research Associate with the University of Catania. His research interests include RF down- and up-converter design, modeling of passive silicon integrated devices, and monolithic filtering techniques for wireless communications.

Giuseppe Palmisano received the Laurea degree in electronics engineering from the University of Pavia, Pavia, Italy, in 1982. From 1983 to 1991, he was a Researcher with the Department of Electronics, University of Pavia, where he was involved in CMOS and BiCMOS analog IC design. In 1992, he was a Visiting Professor with the Universidad Autonoma Metropolitana (UAM), Mexico City, Mexico, where he taught a course in microelectronics for Ph.D. students. In 1993 and 2000, he was with the Faculty of Engineering, University of Catania, as an Associate Professor and a Full Professor, respectively, where he taught a course in microelectronics. Since 1999, he has led the Radio Frequency Advanced Design Center (RF-ADC), a joint research group supported by the University of Catania and STMicroelectronics (Catania site). He has designed several innovative analog ICs within the framework of national and European research projects and in collaboration with electronics industries. He has coauthored over 150 papers in international journals and conference proceedings and a book on current operational amplifiers. He holds several international patents. His current research interest is the design of RF ICs for portable communications equipment.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

2211

Finite-Element Modeling of Low-Stress Suspension Structures and Applications in RF MEMS Parallel-Plate Variable Capacitors Amro M. Elshurafa, Student Member, IEEE, and Ezz I. El-Masry, Senior Member, IEEE

Abstract—This paper presents a complete structure and model of a microelectromechanical-system variable capacitor that is able to achieve a theoretically infinite tuning range. For the first time, both stress and residual stress issues are treated simultaneously. Two capacitors were fabricated where actuation voltages of 4.5 and 9 V (that correspond to a tuning range of 3:1 and 3.4:1, respectively) were acquired. Simulation and measurements verify that the proposed variable capacitors possess higher performance and tuning ranges when compared with the same class varactors fabricated using the same process. Further, a finite-element model based on electrostatic-structural coupling is presented. Index Terms—Finite-element model (FEM), microelectromechanical systems (MEMS), multiphysics coupling, variable capacitors.

I. INTRODUCTION ICROELECTROMECHANICAL systems (MEMS) technology has received a great deal of attention in the past decade since MEMS devices, particularly for RF applications, have proven to be excellent candidates in replacing off-chip components. MEMS technology has been used in manufacturing variable capacitors, inductors, voltage-controlled oscillators (VCOs), switches, and filters [1]–[4]. Although obtaining electronically active-variable inductors and capacitors is possible (see, for example, [5] and [6]), such components generate considerable noise [7] and possess relatively high resistive losses when exploited in high-frequency ranges [8]. Components possessing high , low harmonic distortion, and low insertion loss [9] are among the key advantages of using MEMS devices for RF applications. When comparing MEMS’ design techniques to those of CMOS integrated circuits, it is safe to say that the latter are well-established in terms of modeling, availability of software simulation packages, and testing. Generally, the measured performance conforms to the simulated results rather well. On the other hand, modeling of MEMS devices is very difficult due to their dynamic nature. They often contain movable elements as a result of coupling between two or more fields.

M

Manuscript received July 25, 2005; revised December 21, 2006. This work was supported by grants from the Natural Sciences and Engineering Research Council of Canada, by The Canadian Network of Centers of Excellence in Microelectronics, and by the Canadian Microelectronic Corporation (CMC Microsystems). The authors are with the Department of Electrical and Computer Engineering, Dalhousie University, Halifax, NS, Canada B3J 2X4 (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872787

Most of the currently designed MEMS chips rely heavily on experiments (trial and error). A prototype of a proposed device is fabricated, tested, and continuously modified until the desired performance is acquired. Modeling the devices and anticipating its performance will save time, effort, and money. Although MEMS devise are being actively developed for various applications in a broad spectrum of industries, the design tools are not flourishing as fast [13]. On a macro-scale, it is somewhat easier to model and simulate the performance of moving structures; however, on a micro-scale, the modeling becomes more challenging. Several ways can be utilized to achieve actuation in variable capacitors. For example, electro-thermal actuation has been employed [11], however, it is not a desired technique for RF applications where fast response and tuning is essential. The most commonly employed approach is electrostatic actuation because of the high-energy densities and large forces that can be generated on a micro-scale [12]. Limited work has been reported on modeling electrostatically actuated variable capacitors. Further, early models were very simple where many aspects of the design were not considered. For example, the authors in [14] performed capacitance extraction and simulation without treating the various change in the air-gap distance as a result of the change in the dc applied voltage. The authors in [15] modeled the capacitor mathematically as a dynamic electromechanical system only. In addition, little attention was paid to the mechanical structure of the capacitor. The structure of the capacitor plays an important role in predicting accurate capacitance, reducing the voltage requirement (which is a vital characteristic in today’s RF circuitry), enhancing the quality factor, and reducing the residual stress. This paper presents a new variable capacitor and suspension configuration that possesses desirable characteristics, including low stress, low-voltage actuation requirement, and minimal warped area. Further, a finite-element model (FEM) is introduced. The modeling of the varactor depends upon electrostatic and structural coupling. The analysis presented in this paper is comprehensive so that RF design engineers would have a good understanding of the nonelectrical issues associated with the design of the variable capacitor. Furthermore, it does not dwell on the non-RF issues to an extent that will be difficult to interpret for people with no civil or mechanical engineering backgrounds.

0018-9480/$20.00 © 2006 IEEE

2212

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

MUMPS is essentially a standard surface micromachining process that utilizes polysilicon as the structural material. Oxide is the material used to create the sacrificial layers and is etched eventually via hydrogen fluoride. A layer of gold is deposited above the top polysilicon layer to decrease the series resistance. III. PROBLEM DESCRIPTION

Fig. 1. Model of the parallel-plate capacitor.

Observing the conceptual model of the variable capacitor in Fig. 1, we can use Hooke’s Law and basic physics principles to write an equilibrium expression between the electrostatic force and the forces in the springs as follows:

(1a) (1b)

is the force in the suspension, is the electrostatic where force, is the total equivalent spring constant, is the permitis the relative permittivity of air, is tivity of free space, is the dc voltage the effective area of the capacitor plates, applied between the plates, is the initial distance between the plates, is the resulting displacement taking place due to the voltage applied, and the minus sign indicates the opposite directions of the forces. Another representation that can describe the behavior of a parallel-plate capacitor is possible, dynamically, via a secondorder differential equation as proposed in [18]

(2) Fig. 2. MUMPS layers along with their typical thicknesses and resistances.

II. MEMS VARIABLE CAPACITORS A. Variable Capacitors Variable capacitors in the MEMS technology can be fabricated in many configurations. This paper will concentrate on the parallel-plate type, which consists essentially of a fixed plate and a movable (suspended) plate, as shown in Fig. 1. The electrostatic actuation depends upon the electrostatic force that is produced between the two plates when a dc voltage is applied across them. The suspended plate will move toward the fixed plate until an equilibrium state is reached (i.e., when the force in the suspension is equal in magnitude and opposite in direction to the electrostatic force). B. Fabrication The multiuser MEMS process (MUMPS) is a widely used fabrication technology for MEMS devices. Fig. 2 summarizes the MUMPS layers along with their thicknesses and typical resistances [17].

is the mass of the suspended plate, is the damping where is the coefficient, is the stiffness of the spring, and capacitance. Equation (2) contains two parameters: and that are not known initially and depend upon each other. If were known, could be calculated by direct substitution. However, calculating is not a straightforward procedure for various reasons. First, the top (suspended) plate is comprised of two layers, which are a polysilicon layer and a gold layer. Obviously, the Young’s Modulus of polysilicon is different than that of gold. Second, the polysilicon must enclose the gold layer by at least 3 m [17]. Third, if the suspensions are relatively wide, holes must be present in order to ensure complete release of the oxide. The latter two conditions are dictated by the fabrication and design rules of MUMPS. Thus, obtaining a closed-form expression for the calculation of is difficult. In [15], was calculated without considering these factors and with several assumptions made to facilitate the calculation. The theoretical results differed from the measured results significantly. If the capacitor’s plate experiences warping of any kind (which is usually the case), then the electric field becomes nonuniform and the expression of calculating the capacitance

ELSHURAFA AND EL-MASRY: FINITE-ELEMENT MODELING IN RF MEMS VARIABLE CAPACITORS

Fig. 3. Simulation results of a deflected plate illustrating nonuniform deflection. The maximum deflection appears at the middle of the plate and is 0.21 m.

(i.e., ) becomes invalid. As a result, it becomes difficult to analytically determine the capacitance, and, therefore, one resorts to numerical methods. ANSYS is a finite-element analysis program that is capable of analyzing several engineering problems such as structural, thermal, fluid-flow, and electromagnetic and coupling these fields together. In our specific case, ANSYS will enable us to find the resulting deflection of the top plate due to the applied dc voltage, extract the resulting capacitance after deflection, and extract the equivalent total force(s). As a final note, it is worth mentioning that, with the aid of a finite-element simulation tool, calculating theoretically is not required. By observing the deflection, can be calculated empirically from the simulation results by simply using Hooke’s Law. In the following, we will briefly discuss the most dominant effects that result in degrading the performance of the RF variable capacitor.1 A. Top Plate’s Warping Fig. 3 shows an isometric view of a deflected top plate that has four suspensions via nodal solution. The suspensions used in Fig. 3 are common in the design of variable capacitors (see, for example, [4], [14], [15], and [20]) and is referred to as a T-suspension. It is clear from the figure that the deflection is not uniform throughout the plate with maximum deflection occurring in the middle section. Some papers [1], [9] proposed other types of suspension referred to as C-suspension structures to decrease the effect of warping. Fig. 4 illustrates a simulated plate that utilizes the C-suspension and its deflection. The dimensions of the plate and the pressure applied (one unit pressure) are identical to those in Fig. 3. Comparing Figs. 3 and 4, it can be seen that the C-suspension configuration experiences uniform deflection throughout the plate, whereas the T-suspension configuration does not. Other types of deflection might occur; for example, a deflection known as the tip-in deflection was studied in [19]. 1Familiarity with finite-element modeling and structural analysis is important in the remainder of this paper. For more information about ANSYS and its capabilities, visit www.ansys.com

2213

Fig. 4. Simulation results of a deflected plate with a C-suspension configuration. The deflection here is uniform, but the plate deflects a smaller distance, i.e., 0.18 m.

Fig. 5. Von Mises stress of the T-suspension structure at the section where the top-plate suspension meets the top plate. Note that all four suspensions experience the same stress due to symmetry.

B. Stress in Top Plate’s Suspension Due to Deflection This factor causes structural fatigue and, eventually, a structure failure; therefore, it is desirable to have structures with reduced stress to ensure longer life. Note that, because of the fine mechanical properties of polysilicon, it has been chosen over aluminum, for example, which has better electrical properties [23]. Fig. 5 shows the Von Mises stress simulation results of the top plate at the section where the suspension meets the top plate for the T-suspension case in Fig. 3. Generally, the Von Mises stress criterion, which is also known as the distortion energy criterion, is accepted to be the best criterion to judge failure [22]. Due to symmetry, all of the stress should be the same at the four suspensions. Thus, showing the stress distribution for one suspension is adequate. Maximum stress appears where the suspension meets the plate and where the suspension meets the pad. The maximum stress in Fig. 5 measures around 8.5 MPa. Stress simulation results of the C-suspension configuration are close to the T-suspension results as well.

2214

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 6. Proposed structure for a variable capacitor utilizing several layers of polysilicon. Fig. 7. Top view of the proposed suspension structure.

C. Voltage Actuation Requirement In today’s RF communication circuitry, low-voltage and lowpower designs are attractive and perhaps essential to ensure reasonable battery life and weight for wireless portable devices. The MEMS variable capacitors that were presented in the literature all require relatively high dc voltages to achieve the desired actuation. These voltages are not compatible with current communication circuits that operate at voltages as low as 1.8 V [10]. Intuitively, reducing the number of suspensions will provide reduction in the dc requirement [1], but will be at the expense of a less robust mechanical performance. D. Tuning-Range Limitation The theoretical tuning range, which is defined to be the ratio between the maximum capacitance that can be obtained to the minimum or initial capacitance, of a parallel-plate capacitor is 50%. However, several techniques have been developed in order to circumvent this limitation and increase the theoretical tuning range to infinity [16]. E. Effective Capacitance In previous work done on parallel-plate variable capacitors, measuring the capacitance only depended upon the assumption that the capacitance only exists between the plates and not the suspensions. This assumption is not very accurate since the input signal passes through the suspensions. For layout purposes, the bottom (fixed) plate should follow the top plate’s suspension. Clearly, the effective area of the capacitance is not only the plate area; the suspensions also contribute to the capacitance (which is an increasing contribution since the area is increasing). After presenting the problems that currently exist in parallelplate variable capacitors, Section IV proposes a variable capacitor configuration that addresses all of the previous degrading factors. IV. STRUCTURE OF THE PROPOSED CAPACITOR Fig. 6 illustrates a simplified cross section of the variablecapacitor structure [1]. It should be noted that the actuation electrodes are different from the bottom plate of the capacitor, which increases the theoretical tuning range to infinity.

The pull-in effect takes place when the distance between the top plate and the actuation plate becomes one third of the original distance [16]. The distance between the POLY1 layer and the POLY2 layers is 0.75 m, whereas the distance between the POLY0 layer and the POLY2 layer is 2.75 m. Clearly, (1/3)(2.75) m 0.75 m, that is, the pull-in effect will not take place and thus the tuning range becomes, theoretically, infinity. Note that it is preferable (perhaps even mandatory) to have symmetrical actuation electrode placement in order to ensure that the top plate will encounter evenly distributed forces throughout the plate to prevent undesired warping or tilting. After treating the tuning range of the capacitor, the remaining degrading factors need to be treated. Note that factors A, B, and C mentioned in Section III rely heavily on the suspension design. Appropriate suspension design can help in reducing the warping of the top plate, reducing the voltage actuation requirement, and/or reducing the residual stress. However, the true challenge is coming up with a suspension design that can reduce these effects simultaneously. A proposed suspension structure is shown in Fig. 7. In order to explain how we arrived at the suspension structure, the following rationale is given. Generally, when any structure has more axes of symmetry, the stress tends to distribute evenly throughout the structure and thus reduces it. Hence, the smaller stress magnitude will enable the suspensions to handle a larger deflection. In Fig. 7, it is apparent that there are two axes of symmetry whereas the suspension in Fig. 4 does not. Another important observation is the length of the suspensions; the C-suspensions are longer than the T-suspensions, which means that C-suspensions are not as stiff. Hence, we should expect that the C-suspensions travel a larger distance when compared to T-suspensions if the same load is applied. In order to compare the structural performance of the proposed suspension configuration, we dictate the plate to undergo the same exact conditions that were applied upon the previous two structures. The stress and displacement simulation results are shown in Figs. 8 and 9, respectively. As can be seen, the stress measures to only 5 MPa, and the deflection of the plate is uniform

ELSHURAFA AND EL-MASRY: FINITE-ELEMENT MODELING IN RF MEMS VARIABLE CAPACITORS

2215

TABLE I STRUCTURE COMPARISON

Fig. 8. Stress simulation results of the proposed suspension structure.

Fig. 10. Top view of the bottom plate of the variable capacitor along with the actuation electrodes.

Fig. 9. Simulation results of the proposed structure with respect to the displacement. Clearly, the deflection is uniform, and the plate travels a distance of 0.23 m.

as expected. However, it is interesting to observe that the distance that the plate travels is larger than the distances traveled by both structures discussed initially; this is an important result and could be interpreted in two different ways. First, for the same force applied (or, equivalently, voltage applied), the proposed structure will travel a larger distance than the other two structures discussed [14] and [15]. Second, in order for both plates to deflect a specific distance, the proposed structure requires less voltage to achieve the actuation. Under the same conditions, the proposed suspension’s deflection was more by 22%. It is important to note that the dimensions of the plate were 185 m 185 m. Simulation results show if the plate is larger in area (and, as a result, the suspensions will have to be larger accordingly), the deflection will be more by 30% 40%. In other words, the increase in deflection means increase in tuning range. Notice how, with careful suspension design, several limitations were treated; the stress decreased, warping of the plate is essentially nonexistent, and the voltage requirement decreased. Table I summarizes the above results. Some important observations can be made from Table I. First, note that, although the T-suspension is stiffer than the asym-

metric C-suspension, it still travels a larger distance. Second, although the T-suspension travels a larger distance, the simulated stress in both the T-suspension and asymmetric C-suspension is the same. Again, it is the symmetry in the T-suspensions that causes the reduction in stress and, subsequently, a larger deflection. Among the three types, the symmetric C-suspensions are the least stiff and, thus, travel the largest distance and still have low stress. A final consideration to be taken into account is the configuration of the bottom plate. As mentioned earlier, the actuation electrodes are separated from the bottom plate (effective area) of the capacitor (Fig. 10). It is clear how the middle section is not in contact with the actuation electrodes. The actuation electrodes are symmetrical to ensure uniform deflection of the plate. The small “connection” between the two electrodes is present to ensure that the potential is the same throughout the electrodes; it is not under the top plate and thus it does not contribute to actuation to ensure symmetry of forces. The actuation electrode is not surrounding the middle plate completely, because a connection is needed to perform the measurements. The complete isometric view of the capacitor (showing the etching holes) is shown in Fig. 11. The suspensions are anchored at four pads. V. MODELING OF THE PROPOSED CAPACITOR A. Solid (Structural) Modeling In ANSYS, there are several elements that could be used to divide (mesh) a structure. A tetrahedral structural solid element seems to be an appropriate element, since the geometry of the

2216

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

size of the elements could be controlled and known before hand, that is, all of the elements in all volumes could be of the same size. Moreover, brick elements allow us to divide lines and specify exactly how many elements are required per division, and, as a result, we ensure that the number of elements does not become large, thus causing an increase in the solution time. B. Electrostatic Modeling

Fig. 11. Isometric view of the proposed variable capacitor.

Fig. 12. (a) Single tetrahedral element shown along with the surfaces and nodes that define it. (b) Single solid brick element along with its surfaces and nodes that define it.

structure appears to be irregular. However, tetrahedral meshing produces a large number of elements and a large number of nodes as well. A tetrahedral element is defined by ten nodes, as shown in Fig. 12(a) [24]. In order to reduce the number of elements and to get accurate results, the brick structural solid element is used. The elements generated are brick-like elements and are all consistent in terms of aspect ratios and angles. The solid brick element is defined by eight nodes, as shown in Fig. 12(b) [24]. Both the tetrahedral and solid elements’ nodes have three degrees of freedom, i.e., translation in the nodal -, -, and -directions. Brick elements offer two important advantages. First, the number of nodes would be reduced substantially. Second, the

The applied dc voltage between the top plate and the bottom actuation electrode(s) causes the plates to attract. In order to couple both the electrostatic domain and the structural domains, the air between the plates will be meshed. One approach is to mesh the air gap with a solid element and specify an appropriate elastic modulus. However, due to several factors (e.g., humidity, dust, and temperature), it is difficult to assume an accurate modulus for air and rely on it to acquire reliable results. Thus, meshing the air will be done via an electromechanical transducer element (EMT) that is capable of storing electrostatic energy, converting electrostatic energy into mechanical energy, and vice versa [21]. Two sets of EMT elements were created. The first set (EMT-1) has an initial length of 0.75 m and is distributed at the middle of the top plate. The voltage boundary conditions are assumed to be zero, and the displacement boundary conditions of the nodes that are attached to the bottom plate would be zero along the -axis. It should be noted that EMT-1 does not contribute to the electrostatic actuation. The second set of EMTs (EMT-2) has an initial length of 2.75 m and is going to be formed around the middle part of the top plate; this set is responsible for the electrostatic actuation. The measured capacitance would be the summation of the capacitances stored in EMT-1. Further, since the proposed suspension design resulted in minimal warping at the middle of the top plate, the capacitance is expected to be very close to . Fig. 13 shows the complete meshing of the proposed top plate. It was not required to refine the mesh because the structure is a relatively simple one. For simplicity, the etching holes were not modeled in this study because they only decrease the capacitance by 0.03 pF (100 square holes with 5- m sides). The etching holes were accounted for using an effective Young’s Modulus [25]. VI. SIMULATION RESULTS Fig. 14 shows the simulation results of the top plate. Note that, because the forces applied are not acting on the complete plate, the warping in the top plate is more evident. However, the warping has little effect on the capacitance since only the middle section is used for the effective capacitance. The dimensions of the top plate are 475 m 475 m, and the effective capacitance area in the middle of the plate is 325 m 325 m, which corresponds to a capacitance of 1.25 pF. Simulation results show that the required voltage in order for the plate to travel the full distance is 2.8 V. The extracted total force was 1.34 N. In order to obtain a reasonable result for the extracted capacitance, it is assumed that the minimum distance between the plates is 0.15 m. The required voltage to travel this latter distance (i.e., 0.6 m) is 2.5 V and the extracted capacitance is

ELSHURAFA AND EL-MASRY: FINITE-ELEMENT MODELING IN RF MEMS VARIABLE CAPACITORS

2217

Fig. 15. Simulation results of stress for the proposed plate.

Fig. 13. (a) Complete meshed top plate of the capacitor. (b) Close-up showing the POLY1 layer, METAL layer, and the electromechanical elements that represent the meshing of the air. Fig. 16.

S

-measurement results of one of the small capacitors at 0 V.

pensions very close to the pads. The measured stress is 4 MPa at the section where the suspensions meet the plate. As a final test for our proposed suspension, a smaller capacitor that has the same suspension was created 205 m 205 m . Simulation results show that the required voltage for the suggested suspension is 1.8 V and a tuning range of 4.6:1, with a minimum capacitance of 0.35 pF. VII. MEASUREMENT RESULTS A. Basic Measurements

Fig. 14. Top view of the deflected top plate with a supply voltage of 2.8 V.

6.11 pF, which corresponds to a tuning range of 4.9:1. As for the stress performance, stress simulations are shown in Fig. 15. The performance of the top plate with respect to stress is exceptionally superior. The measured maximum stress is close to 5 MPa, which appears at an extremely small section in the sus-

A layout of the suggested capacitor was created using MEMSPRO, and the chip was fabricated in MUMPS through the Canadian Microelectronic Corporation. The measurements were done on a loose die in order to reduce parasitics associated with packaging. -measurements were performed to extract the capacitance using the Agilent 8722ES VNA and a ground–signal–ground (GSG) coplanar probe. Fig. 16 depicts the performance of the bigger capacitor at 1 GHz. The capacitance is varied from 2.55 pF at 0 V to 7.51 pF at an applied dc voltage of 4.5 V, which corresponds to a tuning

2218

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 17. Tuning characteristics of the varactor in Fig. 16.

Fig. 19. Tuning characteristics of the varactor in Fig. 18.

Fig. 20. Equivalent circuit illustrating what the capacitance measured represents.

Fig. 18.

S

-measurement results of one of the other varactors at 0 V.

range of 3:1. The is approximately 3.5 and the resonant frequency is approximately 3.8 GHz. Fig. 17 plots the voltage applied versus the capacitance of the varactor in Fig. 16. Fig. 18 shows the measurements for the other varactor at 1 GHz. The capacitance varies from 3.56 to 12.16 pF at 9 V. Hence, the tuning range is 3.4:1. The is approximately 3, the resonant frequency is 4 GHz, and the tuning characteristic is plotted in Fig. 19. Note that the operating frequencies are much higher than the mechanical resonant frequencies, which are in the kilohertz range [15]. B. Analysis and Discussion As a first observation, the ’s acquired for both capacitors are relatively low compared to those for other varactors fabricated in the same process. Low (high loss) is attributed to three main reasons, which are: 1) the small width of the suspensions which increases the series resistance; 2) parasitic capacitances from the substrate and the pads; and 3) the calibration procedure performed. Short-open-load (SOL) calibration was performed because line-reflect-match (LRM) calibration is not possible with the VNA available; the latter gives better results [26]. Fig. 20 shows an equivalent circuit for the measured capacitance.

Fig. 21. Fabricated capacitor, showing how the suspensions overcome the residual stress effect.

In Fig. 20, is the desired variable capacitance, is the parasitic capacitance of the varactor, is the capacitance of the pad, and and represent the connection from the varactor to the pad. The voltage requirements acquired by ANSYS were different from the measurement results. The layer models in ANSYS were created perfectly planar, while in reality they are not. Additionally, in the model created, the top plane of POLY2 has the same coordinates as the bottom plane of METAL, which is not the case. Results are usually more accurate when one layer is modeled; it is difficult to account for the interaction between two layers. Note also the high capacitance measured in the second bigger capacitor. Careful suspension design enables the top plate to come very close to the bottom plate and provide such a high capacitance. Finally, Fig. 21 shows a photograph of the fabricated capacitor. Note how the light intensity on the top plate is uniform; no

ELSHURAFA AND EL-MASRY: FINITE-ELEMENT MODELING IN RF MEMS VARIABLE CAPACITORS

fringes (shadows) exist, which means that the suspensions resist the residual stress effect and, hence, the top plate undergoes no warping. VIII. CONCLUSION This paper demonstrates that proper suspension design can enhance the performance of MEMS varactors substantially. A finite-element model of MEMS varactors is introduced. Two variable capacitors were fabricated in the MUMPS process. For the first time, both stress and residual stress issues are treated in order to enhance the performance of the varactors. High tuning ranges were acquired when compared to other varactors fabricated with the same process and in the same class. ACKNOWLEDGMENT The authors would like to thank Dr. T. Hubbard, M. Jarosz, and N. Cheraghi for their valuable discussions and advice. REFERENCES [1] T. Tsang and M. El-Gamal, “Very wide tuning range micro-electromechanical capacitors in the MUMPS process for RF applications,” in VLSI Circuits Symp. Tech. Dig., Jun. 2003, pp. 33–36. [2] C. Nguyen, “Micromechanical circuits for communication transceivers,” in Proc. Bipolar/BiCMOS Circuits Technol. Meeting, Sep. 2000, pp. 142–149. [3] K. Lee, R. Liu, and B. Kim, “Double beam RF MEMS switches for wireless applications,” Electron. Lett., vol. 39, no. 6, pp. 532–533, Mar. 2003. [4] A. Dec and K. Suyama, “A 1.9 GHz CMOS VCO with micromachined electromechanically tunable capacitors,” IEEE J. Solid-State Circuits, vol. 35, no. 8, pp. 1231–1237, Aug. 2000. [5] S. Pipilos, Y. Tsividis, J. Fenk, and Y. Papananos, “An Si 1.8 GHz RLC filter with tunable center frequency and quality factor,” IEEE J. Solid-State Circuits, vol. 31, no. 10, pp. 1517–1525, Oct. 1996. [6] G. F. Zhang and J. L. Gautier, “Broad-band lossless monolithic microwave active floating inductor,” IEEE Microw. Guided Wave Lett., vol. 3, no. 4, pp. 98–100, Apr. 1993. [7] A. Dec and K. Suyama, “Electro-mechanical properties of a micromachined varactor with a wide tuning range,” in Proc. IEEE Int. Circuits Syst. Symp., Jun. 1998, vol. 4, pp. 369–372. [8] J. Y. Park, Y. U. Yee, H. J. Nam, and J. U. Bu, “Micromachined RF MEMS tunable capacitors using piezoelectric actuators,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, vol. 3, pp. 2111–2114. [9] T. Tsang and M. El-Gamal, “Micro-electromechanical capacitors for RF applications,” in Proc. 45th Midwest Symp. Circuits Syst., Aug. 2002, vol. 1, pp. 25–28. [10] X. Zhang, W. Mekawi, and E. El-Masry, “Leap-frog-based synthesis of CMOS micropower nth-order log-domain filters,” in Proc. Northwest Workshop Circuits Syst., Jun. 2004, pp. 305–308. [11] Z. Feng, W. Zhang, B. Su, K. Harsh, K. Gupta, V. Bright, and Y. Lee, “Design and modeling of RF MEMS tunable capacitors using electrothermal actuators,” in Int. Microw. Symp. Dig., June 1999, vol. 4, pp. 1507–1510. [12] E. Hung and S. Senturia, “Extending the travel range of analog-tuned electrostatic actuators,” J. Microelectromech. Syst., vol. 8, no. 4, pp. 497–505, Dec. 1999. [13] M. Younis, E. Abdel-Rahman, and A. Nayfeh, “A reduced order model for electrically actuated microbeam-based MEMS,” J. Microelectromech. Syst., vol. 12, no. 5, pp. 672–680, Oct. 2003. [14] M. Kassem and R. Mansour, “Two movable-plate nitride-loaded MEMS variable capacitor,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 831–837, Mar. 2004. [15] A. Dec and K. Suyama, “Micromachined electro-mechanically tunable capacitors and their applications to RF IC’s,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2587–2596, Dec. 1998. [16] J. Zou, C. Liu, J. Schutt-Aine, J. Chen, and S. Kang, “Development of a wide tuning range MEMS tunable capacitor for wireless communications systems,” in Int. Electron Device Meeting Tech. Dig., Dec. 2000, pp. 403–406. [17] “MUMPS Design Handbook, Rev. 10.0,” MEMSCAP, Bernin, France, 2003, p. 17.

2219

[18] W. Ye, X. Wang, W. Hemmert, D. Freeman, and J. White, “Air damping in laterally oscillating microresonators: A numerical and experimental study,” J. Microelectromech. Syst., vol. 12, no. 5, pp. 557–566, Oct. 2003. [19] J. Seeger and B. Boser, “Charge control of parallel-plate, electrostatic actuators and the tip-in instability,” J. Microelectromech. Syst., vol. 12, no. 5, pp. 656–671, Oct. 2003. [20] A. Dec and K. Suyama, “RF micromachined varactors with wide tuning range,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, vol. 1, pp. 357–360. [21] M. Gyimisi and D. Ostergaard, “Triangle transducer for micro electro mechanical systems (MEMS) simulation in ASYS finite element program.” [Online]. Available: http://www.cr.org/publications/MSM2002/pdf/157.pdf [22] W. Bickford, Mechanics of Solids: Concepts and Applications, 1st ed. Homewood, IL: Irwin, 1993. [23] K. Petersen, “Silicon as a mechanical material,” Proc. IEEE, vol. 70, no. 5, pp. 420–456, May 1982. [24] ANSYS [Online]. Available: http://www.ansys.com [25] W. Sharpe, R. Vaidyanathan, B. Yuan, and G. Bao, “Effects of etch holes on the mechanical properties of polysilsion,” J. Vac. Sci. Technol., vol. 15, no. 5, pp. 1599–1603, Oct. 1997. [26] “A guide to better vector network analyzer calibrations for probe-tip measurements,” Agilent, Palo Alto, CA, Tech.Brief. [Online]. Available: http://www.home.agilent.com/upload/cmc_upload/All/TECHBRIEF4.pdf Amro M. Elshurafa (S’01) received the B.Eng. degree (with distinction) and M.A.Sc. degree in electrical engineering from Dalhousie University, Halifax, NS, Canada, in 2002 and 2005, respectively, and is currently working toward the Ph.D. degree in electrical engineering at Dalhousie University. He is currently a Research and Teaching Assistant with the Electrical and Computer Engineering Department, Dalhousie University. His research interests include finite-element modeling of RF MEMS devices and circuits. Ezz I. El-Masry (M’78–SM’83) received the B.Sc. (Eng.) degree (first-class honors) and M.A.Sc. (Eng.) degree in electrical engineering from Alexandria University, Alexandria, Egypt, in 1967 and 1972, respectively, and the Ph.D. degree in electrical engineering from the University of Manitoba, Winnipeg, MB, Canada, in 1977. He was a Member of the Scientific Staff with the National Research Council (NRC) of Canada, Ottawa, ON, Canada. In 1978, he joined the Department of Electrical Engineering and the Coordinated Science Laboratory, University of Illinois at Urbana–Champaign. In 1983, he joined the Department of Electrical and Computer Engineering, DalTech, Dalhousie University (formerly the Technical University of Nova Scotia), Halifax, NS, Canada, where he is currently a Professor and Department Head. He was a Visiting Professor with the University of Kuwait in 1989–1990 and with KFUPM in Saudi Arabia in 1997–1998. He is the founder and President of EEC Engineering Consultant, Dartmouth, NS, Canada. He has served as a consultant to industries, research laboratories (in the U.S., Canada, and overseas) and the United Nations’ UNESCO office. His current research interests are in the areas of low-voltage and low-power analog integrated circuits, current mode and log-domain signal processing, and switched-capacitor circuits. He has been a Member and Principal Investigator of the Canadian Network of Centers of excellence on microelectronic (MICRONET) since 1989. He is a member of the Grant Selection Committee of the Natural Sciences and Engineering Research Council (NSERC) of Canada and serves as a Scientific Liaison Officer for NSERC. He has authored or coauthored over 100 papers and book chapters. Dr. El-Masry is a member of the Technical Program Committee of IEEE International Symposium on Circuits and Systems, the Midwest Symposium on Circuits and Systems, and the IEEE Northeast Workshop on Circuits and Systems. He was the chairman of the Technical Program Committee of the 1984 IEEE International Conference System Man and Cybernetics. He has been a member of the Steering Committee of the Midwest Symposium on Circuits and Systems since 1989. He was the recipient of the Myril B. Reed Best Paper Award for papers presented at the 27th and 28th Midwest Symposia on Circuits and Systems.

2220

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Design and Optimization of Broadband Asymmetrical Multisection Wilkinson Power Divider Homayoon Oraizi, Senior Member, IEEE, and Ali-Reza Sharifi

Abstract—In this paper, a numerical algorithm based on the method of least squares (MLS) is presented for the design and optimization of a Wilkinson power divider for arbitrary power division between its outputs in a specified frequency bandwidth incorporating source and load impedance matching. At first, its transmission, admittance, and scattering matrices are determined successively by considering the dispersion and dissipation models of substrate and metallic strips. An error function is then constructed for the desired output power division, maximum level of isolation between them, and the least amount of reflected power. Minimization of the error function with respect to the widths and lengths of strips and values of resistors provides their optimum values. A procedure is provided for the initial design and determination of initial values of parameters of a Wilkinson power divider based on the method of even- and odd-mode analysis. The optimum design of the Wilkinson power divider by the proposed MLS procedure is validated by available full-wave analysis software and fabrication and measurement of two samples. Index Terms—Computer-aided design (CAD), method of least squares (MLS), microwave components, optimization, power divider, Wilkinson power divider.

I. INTRODUCTION OWER dividers vary widely with particular advantages and disadvantages. The Wilkinson power divider was invented in 1960 [1] and has completely matched output ports with sufficiently high isolation between them. This device is also potentially lossless provided that no reflected power from output ports enters into it. This divider has wide applications in microwave circuits and antenna feeds, but it has a narrow bandwidth, which has the best performance at a center frequency. Several schemes have been devised to increase its bandwidth. The main proposal was made in 1968 [2], which used series connection of several sections having considerably increased bandwidth and high isolation between outputs for equal power division. A device for unequal power division between outputs was proposed in 1965 [3]. The even- and odd-mode analysis was employed for a single-section Wilkinson power divider. A procedure based on the even- and odd-mode analysis was used for the design of a three-port multisection power divider with unequal output powers in 1971 [4]. It should be noted that the even- and odd-mode method of analysis is useful for axially symmetric circuits. It is not applicable to asymmetrical circuits, which are tackled in this paper. However, the method devised for determination of scattering matrix elements was

P

Manuscript received August 22, 2005; revised December 13, 2005. The authors are with the Department of Electrical Engineering, Iran University of Science and Technology, Narmak, Tehran 16844, Iran (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872786

applicable for the case of neglecting dispersion and dissipation effects. In recent years, several schemes have been proposed to decrease the size of transmission lines in order to facilitate their application in microwave integrated circuits (MICs) and monolithic microwave integrated circuits (MMICs) [5], [6]. A power divider was proposed and fabricated using lumped components and compensation capacitors for increasing frequency bandwidths [7]. In this paper, a design and optimization procedure based on the method of least squares (MLS) is proposed to increase the bandwidth of a Wilkinson power divider. First, the even- and odd-mode analysis is used to determine the initial element sizes (namely, the widths and lengths of metallic strips and values of resistors) of a multisection Wilkinson power divider for arbitrary power division together with source and load impedance matching in a specified frequency bandwidth. Second, the design optimization for the asymmetrical Wilkinson power divider is achieved by a proposed procedure based on the MLS. The dispersion and dissipation models of microstrips are also incorporated into the proposed procedure. In Section II, the Wilkinson power divider is divided into two networks. First, their transmission and admittance matrices are determined, and then the scattering matrix of the device is calculated. Finally, an error function is constructed over the required frequency bandwidth to provide the required power division between output ports and minimize the reflected power from the input port and maximize the isolation among output ports. The error function depends on the metallic strip widths and lengths and values of resistors. Minimization of the error determines their optimum design values. However, any minimization routine requires initial values of variables to begin the algorithm. Procedures for evaluation of initial values of metallic strip widths (or their characteristic impedances) and their lengths and the values of resistors are provided in Section III. These procedures may be considered as an approximate design method for the Wilkinson power divider. In Section IV, the computer implementation of the proposed MLS design and optimization method is described. Several design examples are presented, together with the description of input parameters and output values and diagrams. In Section V, the MLS optimum design, simulation by Microwave Office, fabrication, and measurements of two samples of Wilkinson power dividers are described. The performance of the dividers by the three methods (i.e., MLS, software, and measurements) are compared, which indicate the efficacy of the proposed design and optimization method of least squares. In Section VI, the conclusions of this paper are presented. An abstract of the MLS algorithm for design and optimization of Wilkinson power dividers is described in [8].

0018-9480/$20.00 © 2006 IEEE

ORAIZI AND SHARIFI: DESIGN AND OPTIMIZATION OF BROADBAND ASYMMETRICAL MULTISECTION WILKINSON POWER DIVIDER

Fig. 1. Multisection Wilkinson power divider.

It should be noted that the available commercial microwave software packages such as HFSS and Microwave Office are mainly devised for the analysis of microwave structures. Their applications for design and synthesis in combination with optimization routines (such as the Genetic Algorithm) are, at best, a blind process and very time-consuming with respect to machine CPU time and skilled manpower effort. Design tasks that are time-consuming may disrupt the overall schedule of a project. The design and optimization of microwave circuits and components are too complicated and involved to be set aside in favor of the commercial analysis software. Development of effective design and optimization procedures for microwave circuits and components are desirable and required now, as they were before the availability of commercial software packages. The discipline of optimization is of serious interest in other fields of science and engineering. The proposed procedure based on the MLS designs and optimizes an asymmetrical multisection Wilkinson power divider and determines its configuration for any specification of arbitrary power division ratio between its outputs and complex impedance matching among its input and output ports (namely, source and load impedances) in a specified frequency bandwidth. Therefore, the significance of the design method is the provision of arbitrary power division, impedance matching, and the realization of the broadest possible bandwidth. Furthermore, the available design methods for the Wilkinson power divider are not developed to minimize insertion loss, realization of arbitrary phase difference between output ports, and complex impedance matching among input and output ports in a specified frequency bandwidth. Such specifications may be readily incorporated into the design procedure by the MLS. II. DESIGN AND OPTIMIZATION PROCEDURE FOR A WILKINSON POWER DIVIDER Here, an error function is constructed based on the MLS for the optimum design of an asymmetrical multisection Wilkinson power divider. A. Determination of Scattering Matrix Consider a multisection Wilkinson power divider shown in Fig. 1, which is to provide an arbitrary power division between its outputs over a specified frequency bandwidth with different source and load impedances connected to its ports. In this paper, and denote the characteristic impedance and admittance of the th section of the upper transmission line, respec-

2221

Fig. 2. Converting the three-port network in Fig. 1 to a four-port network.

Fig. 3. Two parallel transmission lines.

Fig. 4. Resistor connected to parallel transmission lines on both sides.

tively, and and denote those of the th section of the denotes the conlower transmission line, respectively. Also, ductance inserted between the th section of the upper and lower transmission lines of the divider. The optimum design procedure requires determination of the widths and lengths of metallic strips and values of resistors of the divider. An error function is constructed according to the specifications, which depends on the geometrical configuration and above parameters of the divider, and its minimization determines their optimum values. Now, the power divider is separated into two networks, called A and B, depicted in Fig. 1. The three-port network A is shown as a four-port network in Fig. 2, which is a tandem connection of network blocks consisting of two adjacent transmission lines shown in Fig. 3 in series with shunt conductances shown in Fig. 4. Consequently, the transmission matrix of the two adjacent lines “as a four-port network” in Fig. 3 is stated in (1), shown at the bottom of the following page, where subscript indicates the th discrete frequency in the bandwidth, and are the propagation constants of the upper and lower transmission lines of the th section, respectively, and and are the lengths of upper and lower transmission lines of the th section, respectively. The transmission matrix of th section in (1) is denoted by . The transmission matrix of the shunt conductance of the fourport network in Fig. 4 is determined as follows:

(2)

2222

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Its transmission matrix in (2) is denoted by . Consequently, the matrix of the four-port network A in Fig. 2 is [9]–[11]

(3) and its corresponding transmission equation may be written as follows:

Fig. 5.

N -section Wilkinson power divider.

and the input current into node 1 of network A in Fig. 1 is equal to the sum of input currents into input ports 1 and 2 in Fig. 2

(8) Therefore, the admittance matrix of the three-port network A in Fig. 1 may be obtained from the admittance matrix of the four-port network in (6) as (4) matrices are defined above. where the block Now, the admittance matrix of the four-port network A in Fig. 2 may be written as

(9)

The transmission matrix of network B in Fig. 1 is

(5) are defined where the block admittance matrices above. The admittance matrix may then be expressed in terms of the transmission matrices [12]

(10)

The input node of network A in Fig. 1 is at the same potential as input ports 1 and 4 in Fig. 2

Now, by combining (9) and (10), the overall transmission matrix of network in Fig. 1 may be derived in (11), shown at the bottom of the following page, since for reciprocal systems. , , , and are defined by (10). Finally, the scattering matrix of the divider may be obtained from its admittance matrix as [12]

(7)

(12)

(6)

(1)

ORAIZI AND SHARIFI: DESIGN AND OPTIMIZATION OF BROADBAND ASYMMETRICAL MULTISECTION WILKINSON POWER DIVIDER

2223

Fig. 6. Equivalent circuit of Fig. 5 when it is excited from port 1.

Fig. 7. Equivalent circuit of Fig. 6.

where is defined in (11) and matrix defined as follows:

is the load admittance

Fig. 8. Upper half of the circuit in Fig. 5 when excited from the output port.

It is worth noting that the above analysis may consider any asymmetrical network in which the upper section is different from its lower section. Similarly, the above analysis may proceed by considering network B consisting of the three-port network from to .

Fig. 9. Network of Fig. 8 when

N = 3.

B. Construction of the Error Function Assume that the input power at port 1 should be divided between ports 2 and 3 in the ratio of , where the input reflection coefficient should be as low as possible and the isolation among its output ports should be as high as possible. The error function may be constructed by Fig. 10. Single-ended low-pass filter of order 3.

where the reflected power from input port 1 and transmitted powers from output ports 2 and 3 at the th frequency are (13) , , , and are weighting functions which where may, in general, be functions of frequency in the specified bandwidth. Some of the weighting factors may be set equal to zero, depending on the requirements and convergence of the problem. The total output power from the divider ports is defined by

(14)

(15)

The coding of computer programs for the above formulation of the MLS design and optimization procedure is quite simple and straightforward, despite its seemingly complex appearance.

(11)

2224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE I INPUT VALUES AND RESULTS OF THE COMPUTER PROGRAM FOR THE FIRST EXAMPLE

TABLE II INPUT VALUES AND RESULTS OF THE COMPUTER PROGRAM FOR SECOND EXAMPLE

III. DETERMINATION OF INITIAL VALUES OF DIVIDER PARAMETERS Any minimization routine for the error function requires initial values of its variables, which here are the geometrical dimensions of metallic strips (the width and length of each section) and values of resistors. A. Initial Values of Metallic Strip Widths Here, we use the even- and odd-mode analysis to provide an initial design for the Wilkinson power divider and thus obtain the initial values of its configuration. In order to minimize the power loss in resistors of the Wilkinson power divider, we try as far as possible to make the voltages on the upper and lower transmission lines equal (see Fig. 5). Since the power in upper branch is times that in the lower one, the admittances in the upper branch are selected equal to times that in the lower one. Since, in general, the load admittance of port 2 is not proportional to that of port 3 in the ratio of , the characteristic admittance of the output line sections are chosen in such a way that the admittances seen from points E and F in Fig. 5 be in the ratio of [3].

Consequently, in the general case that , the initial characteristic admittance of output line sections are selected as

When the divider is excited at its input port 1, the points on the upper and lower branches at equal distances from its input should be at equal potentials, and the two terminals of resistors would be at the same potentials; they could be short circuited. Therefore, the upper and lower branches will be parallel, and the equivalent circuit will be as in Fig. 6. Assuming that the admittances of the lower branch are times the upper one, their parallel combination is

or (16) Consequently, the equivalent circuit of Fig. 7 results.

ORAIZI AND SHARIFI: DESIGN AND OPTIMIZATION OF BROADBAND ASYMMETRICAL MULTISECTION WILKINSON POWER DIVIDER

(a)

(b)

(c)

(d)

2225

Fig. 11. (a) Output powers of a four-section 1.7-dB power divider with unequal impedances. (b) Isolation between output ports. (c) Phase difference between outputs. (d) Input VSWR.

A simple impedance-matching problem then appears, where the input impedance should be matched to the output impedance through the transmission line. There are many selections of the step characteristic impedances depending on the desired accuracy. However, here we select an approximate value for all of the sections, which is equal to the average value of , . Having determined the characteristic impedances of steps in the equivalent circuit in Fig. 7, the initial values for the widths of the step lines of the upper and lower branches may be calculated by closed formulas. The characteristic impedances of the th section in the upper branch is selected as and that in the lower branch is selected to match to .

is the where is the speed of light, in free space, and effective dielectric constant at . For the MLS design and optimization algorithm, the step lengths on the upper and lower branches may be kept independent, and their resulting values may become quite different. This situation may cause problems in fabrication and applications and may increase power loss in the resistors and may introduce considerable phase difference between the upper and lower branches of the divider. For such reasons, it is advisable to keep their lengths equal by imposing constraints on their lengths.

B. Initial Values of the Strip Lengths

Suppose that the output ports of the power divider are excited by an odd mode. The divider may then be divided into two parts along its electrical axis, where the voltage is zero. The upper part is shown in Fig. 8. The initial values of characteristic impedances of transmission lines were estimated in Section III-B. For the case of and odd-mode excitation of output ports (e.g., as in Fig. 8), the initial values of resistors may be selected in such a way that the input impedance at port 2 is equal to , at least at the center frequency [13].

at the center freThe best solution of strip length is quency. However, to keep the length of the divider short, the initial length of the strip sections in the upper and lower branches may be taken equal to at the center frequency or (17)

C. Initial Values for Resistors

2226

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

(a)

(b)

(c)

(d)

Fig. 12. (a) Output powers for a six-section power divider with equal. power division and equal impedances. (b) Isolation between output ports. (c) Phase difference between outputs. (d) Input VSWR.

For example, consider a three-section divider. The impedances and admittances are normalized with respect to and , respectively. The lines are specified by their characteristic admittances, as shown in Fig. 9. If the lengths of transmission lines are equal to , then the input admittance at port 2 is

where the characteristic admittances of lines are , , and , which were calculated earlier. Now, the value of , , and should be determined so that the normalized input impedance at port 2 is approximately equal to 1. The above expression is in the form of continued fractions. On the other hand, a single-port low-pass filter of order 3 has a configuration similar to that in Fig. 10 [14], where the series elements are denoted by impedances and the shunt elements are denoted by admittances. Therefore, the input impedance is

(18) (20) and the input impedance is

(19)

which should be equal to 1 for impedance matching. This expression is also in the form of continued fractions. There are tables in references [14], which provide the values of , , and for filters of different orders. In order to determine the values of elements , , and , for example, the expressions

ORAIZI AND SHARIFI: DESIGN AND OPTIMIZATION OF BROADBAND ASYMMETRICAL MULTISECTION WILKINSON POWER DIVIDER

2227

TABLE III INPUT VALUES AND RESULTS OF THE COMPUTER PROGRAM FOR THE FIRST FABRICATED SAMPLE

in (19) and (20) are equated. For the example of values of are obtained as

, the

(21)

Fig. 13. Computed output power curves of fabricated sample 1.

Consequently, a short computer program may be written to calculate the values of resistors for a power divider having sections. Similar operation may be done for . IV. COMPUTER PROGRAM IMPLEMENTATION Here, the computer program and some sample examples are described. The “Fmincon” function of MATLAB software is used for optimization of the error function [15]. Fmincon uses a sequential quadratic programming (SQP) method. In this method, a QP subproblem is solved at each iteration. Fmincon, uses the Broyden, Fletcher, Goldfarb, and Shanno (BFGS) quasiNewton method with a mixed quadratic and cubic line search procedure. There is a class of algorithms that is based on Newton’s method, but which does not require calculation of second derivatives. These are called quasi-Newton (or secant) methods. They update an approximate Hessian matrix at each iteration of the algorithm. The update is computed as a function of the gradient. The quasi-Newton method that has been most successful in published studies is the BFGS update. Conjugate gradient methods and quasi-Newton methods are of the best favored methods that use gradient information. The BFGS quasi-Newton method requires more computation in each iteration and more storage than the conjugate gradient methods, although it generally converges in fewer iterations.

Fig. 14. Computed isolation before and after optimization for sample 1.

Input data such as substrate parameters, required frequency bandwidth, power division ratio, and source and load impedances of Wilkinson power divider are entered and written in printouts. The initial values of the divider configuration are then calculated by the computer program and are printed in the initial values column of output tables. The lengths of the strips are kept fixed, one step of the optimization is performed on the widths of the strips, and the resulting values are printed in the second column of the output tables entitled “values before optimization.” Curves for output powers and isolation between output ports are also plotted and denoted by “Bop.” The value of the

2228

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE IV INPUT VALUES AND RESULTS OF THE COMPUTER PROGRAM FOR SECOND FABRICATED EXAMPLE

Fig. 15. Computed output power curves of fabricated sample 2. Fig. 17. (left) Fabricated power divider in example 2. (right) Fabricated power divider in example 1.

Tables I and II and Figs. 11 and 12 show results of the executed computer programs for two sample examples. In all of the tables, the input parameters are written as “input values” as follows:

Loss tan fL K Fig. 16. Isolation before and after optimization for fabricated sample 2.

error function in this step is also printed. After this step, optimization is performed on all of the element values such as lengths and widths of strips and resistors, and their optimum values are obtained. They are printed in the third column of computer printout entitled “values after optimization” and are plotted with the notation “Aop.” In mass production, standard resistors in chip form should be used. Since the calculated resistors may not be equal to standard values, they are replaced with their nearest standard values, and error function is optimized again with respect to strip widths and lengths.

fu C

Sigma Select

dielectric constant; substrate thickness in millimeters; substrate loss tangent; lower bound of the frequency bandwidth; number of divisions of the frequency bandwidth; upper bound of the frequency bandwidth; the amount of power which is transferred to port 2 in decibels; Impedance of port 1 in ohms; Impedance of port 2 in ohms; Impedance of port 3 in ohms; conductivity of strips; number of sections of divider; the model of dispersion selected; if Select , then no dispersion is considered, and, if Select , then the Kirschning and Jansen model is adopted [16];

ORAIZI AND SHARIFI: DESIGN AND OPTIMIZATION OF BROADBAND ASYMMETRICAL MULTISECTION WILKINSON POWER DIVIDER

(a)

2229

(b)

Fig. 18. (a) Comparison of output power at port 2 for sample 1, as obtained by Microwave Office software, measurements, and MLS design algorithm. (b) Comparison of output power at port 3 for sample 1, as obtained by Microwave Office software, measurements and MLS design algorithm.

MinW Error-Bopt

minimum of strip widths in millimeters; value of error function before optimization;

Error-Aopt value of error function after optimization. In the “input values” column, the initial values of widths and lengths of strips (in mm) and conductance of resistors in siemens are shown. In the column “values before optimization”, the program output after one step of optimization is shown while the transmission-line lengths are kept fixed. In the column “values after optimization”, after several steps of optimization, the strip widths, lengths, and resistor values are shown. For the first example, we consider a four-section Wilkinson power divider with an unequal power-division ratio of and unequal source and load impedances of , , and , respectively in the frequency band 1–5 GHz. Fig.11 (a) and (b) shows the power outputs and isolation between output ports, before and after optimization, respectively. Fig. 11 (c) and (d) shows the phase difference between output ports and VSWR at the input port, after optimization, respectively. Table I shows the input values and the results of the computer program for this example. This foursection divider (for unequal power division and unequal source and load impedances) has a usable bandwidth of , VSWR and isolation dB. In comparison, a similar four section hybrid designed in [2] (for equal power division and equal source and load impedances) has a bandwidth of , VSWR and isolation dB. For the second example, we consider a six-section Wilkinson power divider with equal power division ratio and equal source and load impedances of , in the frequency band 1–18 GHz. The initial values for the configuration of the Wilkinson power divider may be selected in a quite arbitrary manner, so that the performance of the MLS design and optimization procedure may be highlighted. Therefore, for the case of equal power division between outputs (for the ratio ) in this example, the initial values for WD and WU are not selected equal to show the capabilities of the design procedure.

Fig. 19. Comparison among measurement results, as obtained by Microwave Office software, and MLS algorithm for isolation for sample 1.

Fig. 12(a) and (b) shows the output powers and isolation between output ports before and after optimization, respectively. Fig. 12(c) and (d) shows the phase difference between output ports and VSWR at the input port, respectively. Table II shows the input values and the results of computer program for this example. V. FABRICATION AND MEASURMENTS Two samples of Wilkinson power divider are designed, fabricated, and measured. In the MLS design and optimization computer program, the minimum microstrip widths are specified here as 100 m for ease of fabrication, and some restrictions are imposed on consecutive microstrip widths to decrease the effects of discontinuities and phase difference between output ports [17]. The first sample is a three-section power divider with equal power division ratio and source and load impedances of , , and , in the -band for the frequency range of 1–2 GHz. The MLS design and optimization

2230

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

(a)

(b)

Fig. 20. (a) Comparison among measurement results, as obtained by Microwave Office software, and MLS algorithm for output power at port 2 for sample 2. (b) Comparison among measurement results, as obtained by Microwave Office software, and MLS algorithm for output power at port 3 for sample 2.

provides the printouts shown in Table III, and Fig. 13 shows the output powers before and after optimization. The isolation between output ports before and after optimization is shown in Fig. 14. However, the resistor values of , , and determined by the MLS algorithm are not standard values. They should be replaced by their nearest standard values. Consequently, the following standard resistor values are selected: , , and . (Of course, resistor tolerance values should be considered.) Actual values of sample resistors to be used in fabrication may be measured. The widths and lengths of strips are then obtained by the algorithm for fixed standard resistors. The second sample is a three-section divider with an unequal power division ratio of , and equal source and load impedances of , in the -band. The MLS design and optimization are similar to those of the first sample. Table IV provides the relevant data for the second sample. The resistor values are obtained as , , and . They are replaced by the nearest standard values of , , and . The above-mentioned procedure of optimization is repeated. Figs. 15 and 16 show the divider output powers and isolation between output ports for the second sample, respectively. Pictures of the two fabricated power dividers are shown in Fig. 17. Both fabricated samples are tested by the network analyzer (HP-8510). These dividers are also analyzed by the Microwave Office software. The results of MLS design and optimization, Microwave Office software simulation, and measurements of the first fabricated sample for output power at ports 2 and 3 are shown in Fig. 18(a) and (b), respectively. The isolation between output ports 2 and 3 as obtained by the MLS algorithm, software, and measurements are shown in Fig. 19. It is seen that the proposed method of least squares for the design and optimization of the Wilkinson power divider is very well verified by Microwave Office and fabrication and measurements. The results of the second fabricated sample for output powers from ports 1 and 2 and isolation between them as computed by

Fig. 21. Comparison of isolation among measurement results, as obtained by Microwave Office software, and MLS algorithm for sample 2.

the MLS design algorithm and Microwave Office software and measurements are depicted in Figs. 20 and 21, respectively. The magnitude of ripples in the measured output power values is at most 0.4 dB, which is quite small. However, the difference between the computer and measured values of the power-divider characteristics may be attributable to the approximations made in the theoretical analysis and simulation and to the isolation resistors and fabrication of the divider and the measurement setup (such as connectors). Furthermore, the effects of step discontinuities on the metal strips of the microstrip are reduced by imposing some constraints on the relative variations of strip widths. VI. CONCLUSION A design and optimization procedure based on the MLS is introduced for a multisection Wilkinson power divider for any power division ratio, broadband frequency bandwidth, and incorporation of impedance matching, namely arbitrary source and load impedances. The method of design may be applied to any asymmetric divider. The design method proceeds by dividing the input port into two ports and considering the divider

ORAIZI AND SHARIFI: DESIGN AND OPTIMIZATION OF BROADBAND ASYMMETRICAL MULTISECTION WILKINSON POWER DIVIDER

as a series connection of sections of two parallel transmission lines and conductances. The transmission matrix of the divider is then obtained which leads to its scattering matrix. An error function is then constructed on the desired frequency bandwidth in terms of the required output powers at the ports and isolation between output ports. This error function depends on the geometry of the divider (namely, widths and lengths) of strips and values of resistors. The minimization of the error provides the optimum design of the divider in terms of the values of widths and lengths of strips and resistor values. The length of transmission-line sections in the upper and lower sections of the divider may be considered unequal. The applicability of the proposed MLS design procedure for Wilkinson power divider is verified by comparison with full-wave analysis software such as Microwave Office (which is not suitable or adequate for design and synthesis) and actual fabrication and measurements. An advantage of the proposed design and optimization method for the Wilkinson power divider is that a specification for an arbitrary phase difference between its outputs in a frequency bandwidth and minimization of the insertion loss may be incorporated into the expression of the error function.

N

REFERENCES

[1] E. Wilkinson, “An -way hybrid power divider,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 1, pp. 116–118, Jan. 1960. [2] S. B. Cohn, “A class of broadband three port TEM-mode hybrids,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 2, pp. 110–116, Feb, 1968. [3] Parad and Moynihan, “Split-tee power divider,” IRE Trans. Microw. Theory Tech., pp. 91–95, Jan. 1965. [4] R. B. Eking, “A new method of synthesizing matched broad-band TEM-mode three-ports,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 1, pp. 81–88, Jan. 1971. [5] M. C. Scardelletti, G. E. Ponchak, and T. M. Weller, “Miniaturized Wilkinson power dividers utilizing capacitive loading,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 1, pp. 6–8, Jan. 2002. [6] Y.-S. Lee, I. Park, and C.-C. Shin, “A miniaturized Wilkinson power divider,” in Proc. APMC2001, Taipei, Taiwan, R.O.C., pp. 37–40. [7] M. Kawashima, H. Hayashi, T. Nakagawa, K. Nishikawa, and K. Araki, “A 0.9–2.6 GHz broadband RF front-end for direct conversion transceivers,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, pp. 927–930, Paper WE3B-1. [8] H. Oraizi and A. R. Sharifi, “Optimum design of wideband multisection Wilkinson power divider with arbitrary power division and impedance matching,” in Proc. Mediterranean Microw. Symp., Marseille, France, Jun. 1–3, 2004, p. 111. [9] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [10] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. Piscataway, NJ: IEEE Press, 2000. [11] J. Reed and G. J. Wheeler, “A method of analysis of symmetrical fourport networks,” IRE Trans. Microw. Theory Tech., vol. MTT-4, pp. 245–252, Oct. 1956. [12] T. T. Ha, Solid State Microwave Amplifier Design. New York: Wiley, 1981.

2231

[13] S. W. Lee, C. S. Kim, and K. S. Choi, “A general design formula of multi-section power divider based on singly terminated filter design theory,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 1297–1300. [14] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters Impedance Matching Networks and Coupling Structures. Norwell, MA: Artech House, 1980, pp. 104–108. [15] “Optimization Toolbox of MATLAB 6.5 Software,” MathWork Inc., Natick, MA, 2002. [16] K. C. Gupta, Microstrip Lines and Slot Lines, 2nd ed. Norwell, MA: Artech House, 1996. [17] T. C. Edwards and M. B. Steer, Foundation of Interconnect and Microstrip Design, 3rd ed. New York: Wiley, 2000. Homayoon Oraizi (SM’98) was born on April 24, 1942, in Isfahan, Iran. He received the B.E.E. degree from the American University of Beirut, Beirut, Lebanon, in 1967, and the M.Sc. and Ph.D. degrees in electrical engineering from Syracuse University, Syracuse, NY, in 1969 and 1973, respectively. From 1973 to 1974, he taught at Khajeh-Nassir Tousi University of Technology, Tehran, Iran. From 1974 to 1985, he was with the Communication Division, Iran Electronics Industries, Shiraz, Iran, as a Systems Engineer, Supervisor, and Head of the Systems Engineering Department, where he was engaged in various aspects of technology transfer mainly in the field of HF/VHF/UHF communication systems. In 1985, he joined the Department of Electrical Engineering, Iran University of Science and Technology, Tehran, Iran, as an Assistant Professor. In 1994, he was promoted to Associate Professor and, in 1999, to Professor of electrical engineering. He teaches various courses in electromagnetics engineering, supervises B.Sc., M.Sc., and Ph.D. theses and dissertations and has served as the Head of the Communication Groups for one two-year term. He also spent a six-month sabbatical leave at Tsukuba University, Ibaraki, Japan. from August 2004 to February 2005. He has written and translated several text books in Farsi such as Electromagnetics (Iran Univ. Sci. Technol., vol. 1, “Electrostatics,” 2nd ed., 2001; vol. 2, “Magnetostatics,” 2nd ed., 2005), Fields and Waves (Iran Univ. Sci. Technol., 2nd ed., 2006), Antenna Analysis and Design (Iran Univ. Sci. Technol., 3rd ed., 2005) (which was recognized as the book of the year 1996 in Iran), and Time Harmonic Electromagnetic Fields (Iran Univ. Sci. Technol., 1st ed., 1999). His research interests are in the area of numerical methods for antennas, microwave devices, and radio wave propagation. He has conducted and completed many projects in the industry and university, He has also authored or coauthored over 100 papers in international journals and conferences. He was listed in Who’s Who in the World in 1999. Prof. Oraizi was the recipient of a fellowship presented by the Japan Society for the Promotion of Science to spend a two-month term from July to August 2003 at Tsukuba University. Ali-Reza Sharifi was born in Iran, in 1979. He received the B.Sc. degree from Shahid Beheshti University, Tehran, Iran, in 2001, the M.Sc. degree from Iran University of Science and Technology, Tehran, Iran, in 2004, and is currently working toward the Ph.D. degree at Iran University of Science and Technology, all in electrical engineering. His research interests are in various areas of electrical engineering. such as high-frequency circuit design and the application of numerical methods in electromagnetic, antennas, microwave circuits, and photonics. He has completed several projects on electronic and communication circuits.

2232

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fast and Direct Coupled-Microstrip Interconnect Reduced-Order Modeling Based on the Finite-Element Method Se-Ho You, Member, IEEE, and Edward F. Kuester, Fellow, IEEE

Abstract—Coupled-microstrip interconnect modeling including frequency-dependent effects (such as skin and proximity effects) is very important in high-speed digital circuits and microwave electronics systems. Two novel methods to model the coupled microstrip with a lumped equivalent circuit are proposed. The circuit model is obtained without using normal mode decomposition. Therefore, the circuit can be used in any excitation. We briefly review the stored energy equation for the inductance and power dissipation for the resistance when the interconnect consists of more than one signal conductor. In the first method, the rational function for impedance has common poles. Thus, an equivalentcircuit model is obtained in a fast and systematic way. In the second method, a more direct way of evaluating series impedance is proposed. Here, a more complicated method of pole selection leads to better accuracy in the frequency domain. A lumped-element equivalent circuit is obtained, which contains only series resistors, series inductors, and shunt capacitors. Hence, the circuit model should be very fast in transient simulation. Three examples are presented to demonstrate and validate the proposed methods. Index Terms—Circuit synthesis, coupled mode analysis, eddy currents, finite-element methods (FEMs), reduced-order system, transient analysis, transmission lines.

I. INTRODUCTION S MULTICHIP modules (MCMs), monolithic microwave integrated circuits (MMICs), printed circuit boards (PCBs), and printed circuit conductors can be found at various levels of the design hierarchy of high-speed electronics, it is essential to model them from the perspective of signal integrity. One of the most important problems in electromagnetic modeling is that of the interconnect including frequency-dependent effects. The ultimate goal of interconnect modeling should be that the designer can change drivers, loads, and other (nonlinear) circuit elements in complicated electronics circuits at will while the interconnects remain the same. It is possible to achieve this goal by extracting a lumped-element equivalent circuit for the interconnect [1]. We can then predict the important signal integrity issues [2]: ringing, signal delay, distortion, reflections, and crosstalk with the extracted models. The conventional lumped segmentation of uniform transmission lines may lead to a large number of circuit elements [3]. In

A

Manuscript received June 24, 2005; revised December 30, 2005. S.-H. You is with the Interconnect Product and Technology Team, System Large-Scale Integration Division, Samsung Electronics Corporation, YonginCity, Gyeonggi-Do 446-711, Korea (e-mail: [email protected]). E. F. Kuester is with the Department of Electrical and Computer Engineering, University of Colorado at Boulder, Boulder, CO 80309 USA. Digital Object Identifier 10.1109/TMTT.2006.873635

addition to many elements generated, a lumped-element equivalent modeling approach may lose some accuracy which can be overcome by a cascade of short uniform sections (see [4] for additional discussion). However, the authors believe that the recent increases in computational power, as well as model-order reduction (MOR) techniques, make a lumped-element equivalent circuit approach viable (at least when MOR is applied to coupled-microstrip interconnect). The technique proposed in [5] and [6] extracts a line delay prior to performing the rational function approximation. The pure delay part can be modeled with a smaller number of elements or lossless transmission lines. The techniques in [7]–[9] can provide waveforms of the transmission-line signals. However, these techniques require transmission-line parameters from a commercial electromagnetic solver. Moreover, it is very difficult to include frequencydependent effects with these techniques. Hence, the goal of our study has been to cover the whole process from the solution of the Maxwell’s equations to the extraction of a lumped-element circuit. (The inputs to this process are layout dimensions and material parameters of the transmission lines). At present, a popular approach for extracting the lumpedequivalent circuit is to first calculate the uniform transmissionline parameters and one frequency at a time using the finite-element method (FEM), and then obtain rational functions of frequency by way of fitting [10]–[13]. In addition to slow FEM computations, the problem is that we are often left with the feeling that “we should have done better with a smaller number of poles and obtained better accuracy.” A considerable amount of effort in the vector fitting algorithm [10], [13] is devoted to building well-conditioned matrices for finding the poles and residues of impedance . Also note that the brute-force fitting algorithm can generate complex poles, which leads to a less intuitive circuit topology. Vu Dinh et al. [14], Pasha et al. [15] and Mei and Ismail [16] have proposed an approach for interconnect modeling with a pair of circuits. The fact that all methods in [14]–[16] used a filament approach to construct the per-unit-length (p-u-l) impedance complicates the problem due to the large number of elements and mutual inductances. Aware of this problem, Mei and Ismail [16] proposed a reduced circuit, which is too rule based (i.e., it is difficult to apply the method systematically). Finally, a state–space realization by a rational function (so-called “macromodeling”) representing the impedance is obtained. The authors of [11] and [17] extracted an equivalent-circuit network consisting of passive elements and controlled voltages and current sources. However, we have proposed a method for extracting a lumped-circuit

0018-9480/$20.00 © 2006 IEEE

YOU AND KUESTER: FAST AND DIRECT COUPLED-MICROSTRIP INTERCONNECT REDUCED-ORDER MODELING BASED ON FEM

model without any controlled sources, which should be faster in SPICE simulation. The authors have published a series of papers [4], [18], [19] for the efficient and fast extraction of the lumped-element circuit. An important feature is that we use “exact” real poles of the finite-element solution so that it is not necessary to guess or calculate poles from a Padé technique. In [19], we extended the algorithm in [4] for a coupled-microstrip transmission line using normal mode decompositions. This paper is concerned with the development of fast coupledmicrostrip modeling in a more general sense using the so-called conductor circuit model [20], [21]. With such a capability of extracting a lumped-element equivalent circuit in a fast and systematic way, “impedance control” [22], [23] of the interconnect can be done more precisely. After a review of the formulations of multiconductor transmission lines (MTLs) in Section II, we will derive a specific formulation for and matrices from [4] and [18] respectively.

2233

Fig. 1. Complex power carried through the cross section S of a waveguide.

where

(8)

II. INDUCTANCE AND RESISTANCE FOR MTLS A review of the transmission-line parameter extraction formulation for MTLs is presented here. Although in [24]–[26] explicit expressions for , , , and were derived, there are no explicit formulations for MTLs. This section is essential to understand how the derivation of [4] and [18] can be extended to the multiconductor case. The telegrapher’s equations in the Laplace domain are expressed as

and denotes cross section of , is the normal outward vector to the surface, superscript denotes the complex conjugate, and the transverse fields have the following modal solutions:

(1)

Power flow through , which is equivalent to that from the circuit in (3) is then given by

(2) , , and are the p-u-l line parameter matrices, and are voltage and current vectors of the transmission lines, respectively, and the complex power is expressed in terms of the voltage and current vectors as follows: where

,

(3)

(9) (10)

(11) where is the cross section at of the waveguide. Note that at . On the other hand, we can evaluate (6) explicitly using Poynting’s theorem as follows [27, Ch. 1]:

denotes complex conjugate transpose. The where superscript rate of change of the complex power at a cross section as shown in Fig. 1 is then given by (4)

(5) The complex power flow through the closed surface bounding a “slice” of waveguide, as shown in Fig. 1, is given by

(12)

(13) After carrying out the integration in (13), we can obtain the following result from (11):

(6) (14) (7)

2234

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

or

(24)

Fig. 2. Injected currents. (a) Injected currents for conductor #1 and ground. (b) Injected currents for conductor #2 and ground.

where subscripts indicate in which trace currents are flowing. Equations (17) and (18) can then be expressed in matrix and vector notations as (25)

Similar to (3), the rate of change of the complex power then becomes

(26) where

(15) (27) (28) (16) (29)

Therefore, we have the following relation after comparison between (5) and (16):

(30) (17) (18) (19)

, Note that it is now obvious that the p-u-l line parameters , , and can be calculated separately [28], [30], [29]. Moreover, for typical interconnect problems, the quasi-TEM assumption will be accurate at frequencies up to 10–20 GHz [2], [30], which is more than adequate for current practical applications with the conductance ignored [2]. However, this does not yet clearly show how to compute the right-hand sides of (17) and (18). Let the magnetic fields and total current density in (17) and (18) be a linear combination of those arising from currents injected into one of the trace conductors and returned through the ground, as shown in Fig. 2 [31], [32]. Thus, (20) (21) where and are arbitrary complex constants and the superscript denotes which trace the current injection was made into. The total currents on each conductor can be expressed as

The relations in (25) and (26) are always true for any complex coefficient vector . Note that is the identity matrix due to our use of a one-step method [31]. Note also that and as long as the materials obey reciprocity. Finally, for the multiconductor lines and ground with finite conductivity, the matrices and are given by (31) (32) III. FORMULATIONS A. Novel Moment-Matching Approach (NMA) for MTLs Here, we will derive the mutual inductance , the mutual resistance , and, hence, the mutual impedance . The general case can then be easily derived by inspection. The FEM equations are [18] (33) (34) and are independent excitation vecwhere tors for conductor #1 and conductor #2, and and are the corresponding vector potentials. The vector potentials are then expressed approximately as

(22) (23)

(35)

YOU AND KUESTER: FAST AND DIRECT COUPLED-MICROSTRIP INTERCONNECT REDUCED-ORDER MODELING BASED ON FEM

(36)

2235

where and are defined in (36) and (38), respectively. The final form of resistance is expressed as

(37) (38) (49) for and are where the moments calculated using the method in [18]. The moment , for example, denotes that it is the second moment for conductor #1. The inductance is given by (28) and (30) in terms of the vector potential and finite-element matrix. Due to the reciprocity, the inductances expressions are as follows:

where

(50) (51)

(39) (40) from which follows the identity

(41)

. What (50) means is that however far where away two transmission lines are located, they share the ground resistance if frequency is low enough [26]. Remember that we forced all currents to return through the ground due to the charge conservation law. As pointed out in [18], the order of numerator in (49) is less than that of denominator. This is because the resistance should not increase too fast. The p-u-l mutual impedance is given by

for any and (here, superscript denotes the matrix or vector transpose). Thus, the final form of inductance is given by

(52) where

(42) (43)

(53) (54)

where

even

where for is determined by polynomial division. With and , the impedance should have only stable poles even though and may have unstable poles. Therefore, it is possible to do a partial fraction expansion with only negative poles of (54) to translate it into parallel circuits (i.e., a Foster circuit of order [33]). Thus,

(45) (46)

(55)

(44) and, generally,

for

for

odd

and for where . It is again clear that mutual inductance is an even function of and is guaranteed to be real when . The mutual resistance is given by

where the residues

for

are found by

(47)

(56)

(48)

For the rest of the elements in (27) and (28) (i.e., , , , and ), the same method can be applied. It is important to note

2236

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

As before, we will show only the mutual inductance and reshould sistance explicitly. The rest of the elements in be easy to derive. The inductance is obtained by substituting (57) and (58) into (39) or (40), giving

Fig. 3. Conductor circuit model for the coupled-microstrip transmission lines [20], [21]. (Note that the subscript High should replace q for the NMA.

(65) where we put parenthesis in the subscript to indicate the EMA parameters and

that poles are common for all elements of impedance. Note also that the formulation derived thus far can be easily extended to any number of conductors. We can extract a Foster form of a lumped equivalent circuit from the impedance derived with the so-called conductor circuit model [20], [21] shown in Fig. 3. This model without any elements other than RLC should be fast. Similarly, the mutual resistance is obtained by substituting (57) and (58) into (47) and (48) from which

B. Eigenmode Approach (EMA) for MTLs The goal here is to give a formulation for MTLs from [4]. Following the system in (33) and (34), the vector potentials can be expressed as

(57) (66)

(58) where where

(67) (68)

(59) (60)

and the definition for dc resistance is given by (49). becomes

then

and are current inand the excitation vectors jections for conductor #1 and conductor #2 with ground as a return path, as shown in Fig. 2. Finally, scalars are defined by

(69)

(61) (62)

The final and reduced form of the mutual impedance

is

(63) (70) Note that we need to solve the following eigenvalue problem only once:

(64)

where

(71)

YOU AND KUESTER: FAST AND DIRECT COUPLED-MICROSTRIP INTERCONNECT REDUCED-ORDER MODELING BASED ON FEM

2237

Fig. 4. Self-inductance and mutual inductance for different q for the MTLs.

Fig. 6. Self-inductance and mutual inductance for different q for the MTLs.

Fig. 5. Self-inductance and mutual resistance for different q for the MTLs.

Fig. 7. Self-inductance and mutual resistance for different q for the MTLs. The bottom figure also shows layout dimensions and material property.

(72)

poles for different elements. In other words, importance criteria for , , and can be defined, respectively, by

(73) The impedance coefficients ( for ) are not always positive (nor are they required to be [34, Sec. 5.11]). Unlike the single transmission lines in [4], which guarantee passivity by assuring all elements are positive, due to the way the conductor circuit model is constructed, some of the equivalent-circuit model elements may be negative. C. Importance Criterion for EMA for MTLs The NMA has common poles for every element because of the way it calculates these poles. We have a potential problem with the EMA. The problem is that we may have different sets of

for

(74)

for

(75)

for

(76)

It seems clear that each of these importance functions will, in general, select a different set of poles for the reduced-order model. Note that even the symmetrical case does not guarantee that and generate the same sets of poles due to the nonsymmetric mesh. We tried several selection methods, which were: 1) we took every pole selected by , , and ;

2238

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE I CONTRIBUTIONS TO THE CPU TIME (n = 3007 AND n = 1317)

Fig. 9. p-u-l [L(f )] and [R(f )] from EMA for different number of poles. (a) Self-inductance and mutual inductance. (b) Self-inductance and mutual resistance.

Fig. 8. p-u-l [L(f )] and [R(f )] from NMA for different number of poles. (a) Self-inductance and mutual inductance. (b) Self-inductance and mutual resistance.

2) we calculated the matrix norm and took the highest norms of

for

best with the poles chosen based on its own importance criterion. As we noted in Section III-B, the impedance coefficients in (73) are not always positive. For the general topology of the two-conductor case with the Foster circuit of Fig. 3, impedances are given by

poles with

(77)

and 3) we used different poles for different elements and de, , and as the numbers of poles chosen based noted on importance criteria. After trying each method, we decided to use the third scheme. It was clear after these trials that results for each element of impedance in the frequency domain were

(78) where is the number of poles shared by and , is the number of poles of not shared by , and is the number of poles of not shared by . Line #2 is modeled in a similar way. Therefore, we can construct

YOU AND KUESTER: FAST AND DIRECT COUPLED-MICROSTRIP INTERCONNECT REDUCED-ORDER MODELING BASED ON FEM

2239

Fig. 10. Ansoft SPICElink [38] setup for time-domain simulation of the coupled-microstrip line. Source and termination resistances are 50 and 100 , respectively. The entire transmission line is 5 mm. The shunt capacitance jC j is 8. 98 pF/m and the self-capacitance (C + C = C + C ) is 108 pF/m.

Fig. 12. Transient results with lumped-equivalent circuit extracted by EMA. The input signal is step pulse with rise time 50 ps (T = 50 ps). (a) Voltage at the source end (V in Fig. 10). (b) Voltage at the load end (V in Fig. 10).

IV. RESULTS A. Example 1

Fig. 11. Transient results with lumped-equivalent circuit extracted by NMA. The input signal is step pulse with rise time 50 ps (T = 50 ps). (a) Voltage at the source end (V in Fig. 10). (b) Voltage at the load end (V in Fig. 10).

equivalent circuits for #1 and #2 and ground lines in the most systematic way. Note that usually , , and are relatively small numbers.

As the first example, we choose the layout from Sarkar and Djordjevic´ [35, Fig. 6]. They proposed two methods based on integral equations (a volume current formulation (VCF) for lowfrequency range and a surface current formulation (SCF) for medium and high-frequency ranges) for analysis of arrays of infinitely long parallel conductors. Figs. 4 and 5 show self-inductance and mutual inductance and resistances from both the NMA and [35]. For the inductances, our proposed method shows satisfactory results. For the self-resistance, as expected, neither the NMA method, nor the VCF follows the well at high frequencies. Note that the NMA behavior is similar to the VCF, which means that a finer mesh is necessary in both for better accuracy. For the mutual resistance, it is easily seen that the rational function has a difficulty in tracking the oscillatory behavior shown by the SCF result.

2240

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 13. Cross section (shown half-plane due to symmetry) of coupled microstrip [39]. The copper traces and ground plane ( ) are 3-mil thick; the dielectric ( = 5) is 30-mil thick. Traces are 8-mil wide and 15 mil apart. The shunt capacitance jC j is 16.4 pF/m and the self-capacitance (C + C = C + C ) is 108 pF/m.

Figs. 6 and 7 show self-inductance and mutual inductance and resistances for both the EMA and [35]. The inductances are not very different from those of the NMA, whereas the resistance shows better results as expected. Nevertheless, the mutual resistance approximated by the rational function still does not follow the oscillatory variation above 1 GHz. It is not understood why the mutual resistance decreases and eventually becomes negative. Nonetheless, there is experimental evidence that can be negative for high enough frequency in [36, Fig. 4]. B. Example 2 Here, we choose an example based on the layout in [19]. In [19], we proposed a method for extracting a lumped-element equivalent circuit based on even and odd excitations. Even though the model based on this method guarantees passivity, it is limited to those modes. With the approaches proposed in this paper, we will show the time-domain response to general input signals. All the computations are performed with MATLAB [37] on a Pentium 4 (2.8-GHz CPU and 768-MB RAM) computer. Table I reports all the significant contributions to the CPU time in seconds. Readers should keep in mind that time moment generation takes are dependent on the number of poles used. Figs. 8 and 9 show the results from the NMA and EMA. Note that the self-resistance from the NMA increases even faster than the “exact” value (from Ansoft’s Maxwell two-dimensional (2-D) program). Therefore, we use to ensure that is still valid. As we did in [19], we simulated the transmission line in the time domain with a different numbers of segments . The results are compared with those of Ansoft’s program, as shown in Fig. 10. An important thing to note in Figs. 11 and 12 is that although there are ripples when few segments are used (i.e., or ), the ripples tend to disappear at the load-ends. For an EMA with , line #1 and line #2 have 73 and 76 pairs (denoted as in Fig. 12) resulting from (78), respectively.

Fig. 14. Transient results of 16-in-long coupled-transmission line. The lumped-equivalent circuit extracted by NMA (q = 17) correlates well with those of commercial software and measurement. The input signal is ramp pulse with a rise time of 1.5 ns (T = 1:5 ns). (a) Voltages at the source end (V and V ). (b) Voltages at the source end (V and V ).

C. Example 3 This example compares simulation results from Griffith and Pan [39] and measurement results from Feller et al. [40]. In [39], a method was proposed that gives a time-domain response based on scattering parameters ( -parameters) either from simulations or measurements. Note that the -parameters are numerically fitted to find rational polynomials [39], [41]. The example transmission line is shown in Fig. 13. As shown in Fig. 14, the simulation results from Griffith and Pan’s, Ansoft’s [38], and the proposed method are well agreed. The three simulation results correlate well with Feller’s measurement. V. CONCLUSION As a modeling tool for a coupled-transmission line, two lumped-circuit extraction methods have been proposed. The conductor circuit model uses only series resistors and series

YOU AND KUESTER: FAST AND DIRECT COUPLED-MICROSTRIP INTERCONNECT REDUCED-ORDER MODELING BASED ON FEM

inductors (forming a Foster circuit) and shunt capacitance. Hence, the model extracted such should be faster than the models that use controlled sources and transformers. In the first proposed method, i.e., NMA, the impedance extracted has common poles for all elements. It makes lumped-element extraction systematic. In the second proposed method, i.e., EMA, due to the way the impedance is constructed, better frequency-domain results are obtained. We have also proposed pole-selection criteria based on our trial-and-error experience. Through three examples, our proposed methods have been demonstrated and validated. Future work should investigate how the passivity of the circuit is guaranteed and how the delay extraction [5], [6] mentioned in Section I has been imposed. ACKNOWLEDGMENT The authors wish to thank Dr. J. M. Griffith, E & H Resources, Inc., Phoenix, AZ, for providing the numerical data in Example 3. REFERENCES [1] A. R. Djordjevic´ , T. K. Sarkar, and R. F. Harrington, “Time-domain response of multiconductor transmission lines,” Proc. IEEE, vol. 75, no. 6, pp. 743–764, Jun. 1987. [2] A. Deutsch, “Electrical characteristics of interconnections for high-performance systems,” Proc. IEEE, vol. 86, no. 2, pp. 315–355, Feb. 1998. [3] A. Dounavis, X. Li, M. S. Nakhla, and R. Achar, “Passive closed-form transmission-line model for general-purpose circuit simulators,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2450–2459, Dec. 1999. [4] S.-H. You and E. F. Kuester, “Guaranteed passive direct lumped-element modeling of transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2826–2834, Sep. 2005. [5] A. Dounavis, N. Nakhla, R. Achar, and M. Nakhla, “Delay extraction and passive macromodeling of lossy coupled transmission lines,” in Proc. IEEE 12th Top. Elect. Perform. Electron. Packag. Meeting, Oct. 2003, pp. 295–298. [6] S. Grivet-Talocia, H.-M. Huang, A. E. Ruehli, F. Canavero, and I. M. Elfadel, “Transient analysis of lossy transmission lines: An efficient approach based on the method of characteristics,” IEEE Trans. Adv. Packag., vol. 27, no. 1, pp. 45–56, Feb. 2004. [7] F.-Y. Chang, “Waveform relaxation analysis of RLCG transmission lines,” IEEE Trans. Circuits Syst., vol. 37, no. 11, pp. 1394–1415, Nov. 1990. [8] T. K. Tang, M. S. Nakhla, and R. Griffith, “Analysis of lossy multiconductor transmission lines using asymptotic waveform evaluation technique,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2107–2116, Dec. 1991. [9] Y. Eo, S. Shin, W. R. Eisenstadt, and J. Shim, “A decoupling technique for efficient timing analysis of VLSI interconnects with dynamic circuit switching,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 23, no. 9, pp. 1321–1337, Sep. 2004. [10] B. Gustavsen and A. Semlyen, “Rational approximation of frequency domain responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [11] R. Neumayer, A. Stelzer, F. Haslinger, and R. Weigel, “On the synthesis of equivalent-circuit models for multiports characterized by frequency-dependent parameters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2789–2796, Dec. 2002. [12] G. Antonini, “SPICE equivalent circuits of frequency-dominant responses,” IEEE Trans. Electromagn. Compat., vol. 45, no. 3, pp. 502–512, Aug. 2003. [13] D. Saraswat, R. Achar, and M. S. Nakhla, “A fast algorithm and practical considerations for passive macromodeling of measured/simulated data,” IEEE Trans. Adv. Packag., vol. 27, no. 1, pp. 57–70, Feb. 2004. [14] T. Vu Dinh, B. Cabon, and J. Chilo, “New skin-effect equivalent circuit,” Electron. Lett., vol. 26, no. 19, pp. 1582–1584, Sep. 1990. [15] S. Pasha, A. C. Cangellaris, and J. L. Prince, “An all-purpose dispersive multiconductor interconnect model compatible with PRIMA,” IEEE Trans. Adv. Packag., vol. 24, no. 2, pp. 126–131, May 2001.

2241

[16] S. Mei and Y. I. Ismail, “Modeling skin and proximity effects with reduced realizable RL circuits,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 4, pp. 437–447, Apr. 2004. [17] V. K. Tripathi and A. Hill, “Equivalent circuit modeling of losses and dispersion in single and coupled lines for microwave and millimeterwave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 256–262, Feb. 1998. [18] S.-H. You and E. F. Kuester, “Fast and efficient interconnect modeling using finite element method and model order reduction,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1377–1380. [19] ——, “Guaranteed passive coupled-microstrip interconnect modeling using finite element method,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, 4 pages [CD ROM]. [20] R. B. Marks and D. F. Williams, “A general waveguide circuit theory,” J. Res. Nat. Inst. Stand. Technol., vol. 97, pp. 533–561, Sep.–Oct. 1992. [21] D. F. Williams, “Multiconductor transmission line characterization,” IEEE Trans. Compon., Packag., Manuf. Technol. B, vol. 20, no. 2, pp. 129–132, May 1997. [22] A. Balakrishnan and C. M. Carpenter, “Analysis and design of head-preamplifier connections in read–write channels for magnetic rigid-disk drives,” IEEE Trans. Magn., vol. 34, no. 1, pp. 24–29, Jan. 1998. [23] K. B. Klassen, J. T. Contreas, and J. C. L. van Peppen, “Read/write electronics front-end systems for hard disk drives,” IEEE Trans. Magn., vol. 40, no. 1, pp. 263–268, Jan. 2004. [24] J. R. Brews, “Transmission line models for lossy waveguide interconnections in VLSI,” IEEE Trans. Electron Devices, vol. ED-33, no. 9, pp. 1356–1365, Sep. 1986. [25] D. F. Williams and R. B. Marks, “Accurate transmission line characterization,” IEEE Microw. Guided Wave Lett., vol. 3, no. 8, pp. 247–249, Aug. 1993. [26] C. R. Paul, Analysis of Multiconductor Transmission Lines. New York: Wiley, 1994. [27] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [28] C. Snow, “Alternating current distribution in cylindrical conductors,” Sci. Papers Bur. Stds. (USA), vol. 20, no. S509, pp. 277–338, Jul. 1925. [29] C. Snow, “Alternating current distribution in cylindrical conductors,” in Proceedings of the International Mathematical Congress, 11–16 Aug. 1924, Toronto, J. C. Fields, Ed. Toronto, ON, Canada: Univ. Toronto Press, 1928, vol. 2, pp. 157–218. [30] E. Grotelüschen, L. Dutta, and S. Zaage, “Full-wave analysis and analytical formulas for the line parameters of transmission lines on semiconductor substrates,” Integr. VLSI J., vol. 16, pp. 33–58, 1993. [31] A. Konrad, “The numerical solution of steady-state skin effect problems—An integrodifferential approach,” IEEE Trans. Magn., vol. MAG-17, no. 1, pp. 1148–1152, Jan. 1981. [32] S. Alfonzetti, G. Borzì, and N. Salerno, “A fast solving strategy for two-dimensional skin effect problems,” IEEE Trans. Magn., vol. 39, no. 3, pp. 1119–1122, May 2003. [33] A. Semlyen and F. D. León, “Eddy current add-on for frequency dependent representation of winding losses in transformer models used in computing electromagnetic transients,” Proc. Inst. Elect. Eng.—Gen. Trans. Distr., vol. 141, no. 3, pp. 209–214, May 1994. [34] C. G. Montgometry, R. H. Dicke, and E. M. Purcell, Eds., Principles of Microwave Circuits. New York: Dover, 1965. [35] T. K. Sarkar and A. R. Djordjevic´ , “Wideband electromagnetic analysis of finite-conductivity cylinders,” Prog. Electromagn. Res., vol. PIER 16, pp. 153–173, 1997. [36] D. F. Williams, J. E. Rogers, and C. L. Holloway, “Multiconductor transmission-line characterization: Representations, approximations, and accuracy,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 403–409, Apr. 1999. [37] MATLAB ver. 6.5, The Mathworks Inc., Natick, MA. [Online]. Available: www.mathworks.com [38] Maxwell SPICElink Ansoft Corporation, Pittsburgh, PA. [Online]. Available: www.ansoft.com [39] J. M. Griffith and G. W. Pan, “Applied time-domain network characterization and simulation,” IEEE Trans. Magn., vol. 40, no. 1, pp. 78–84, Jan. 2004. [40] A. Feller, H. Kaupp, and J. J. Digiacomo, “Crosstalk and reflections in high-speed digital systems,” in Proc. Fall Joint Comput. Conf., 1965, pp. 511–525. [41] J. M. Griffith and M. V. Toupikov, “Time-domain modeling from S parameters: Applicable to hard disk drives,” IEEE Trans. Magn., vol. 39, no. 6, pp. 3581–3586, Nov. 2003.

2242

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Se-Ho You (S’05–M’06) received the B.S. degree from Sung Kyun Kwan University, Suwon, Korea, in 1997, the M.S. degree in biomedical engineering from Case Western Reserve University, Cleveland, OH, in 2000, and the Ph.D. degree in electrical engineering from the University of Colorado at Boulder, in 2005. From 2000 to 2002, he was a Research Assistant/Intern with Maxtor, Longmont, CO, where his responsibilities included writing MATLAB programs, measurement on the test coupons using time-domain reflectometry (TDR) and vector network analyzers (VNAs), and extraction of time-domain circuit models. During Summer 2003, he was with Seagate Technology, Longmont, CO. His responsibilities included interconnect modeling and time-domain analysis with S -parameters. He was briefly with the Radio Technology Group, Electronics and Telecommunications Research Institute (ETRI), Daejeon Korea, where he was a Senior Researcher. Since 2005, he has been with the Interconnect Product and Technology (IPT) Team, System Large-Scale Integration (LSI) Division, Samsung Electronics Corporation (SEC), Yongin-City, Gyeonggi-Do, Korea.

Edward F. Kuester (S’73–M’73–SM’95–F’98) was born in St. Louis, MO, on June 21, 1950. He received the B.S. degree from Michigan State University, East Lansing, in 1971, and the M.S. and Ph.D. degrees from the University of Colorado, Boulder, in 1974 and 1976, respectively, all in electrical engineering. Since 1976, he has been with the Department of Electrical and Computer Engineering, University of Colorado at Boulder, where he is currently a Professor. In 1979, he was a Summer Faculty Fellow with the Jet Propulsion Laboratory, Pasadena, CA. From 1981 to 1982, he was a Visiting Professor with the Technische Hogeschool, Delft, The Netherlands. From 1992 to 1993, he was professeur invité with the École Polytechnique Fédérale de Lausanne, Lausanne, Switzerland. In 2002, he was a Visiting Scientist with the National Institute of Standards and Technology (NIST), Boulder, CO. His research interests include the modeling of electromagnetic phenomena of guiding and radiating structures, applied mathematics, and applied physics. Dr. Kuester is a member of the Society for Industrial and Applied Mathematics and Commissions B and D of the International Union of Radio Science.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

2243

Resonant Spherical Hole in a High Loss Liquid at Millimeter Wavelengths Zoya E. Eremenko, Member, IEEE, and El M. Ganapolskii

Abstract—The resonant properties of a quasi-optical spherical hole in a high loss liquid were studied theoretically and experimentally at millimeter wavelengths. The rigorous solutions of Maxwell equations for TE and TM oscillations were obtained as characteristic equations. The numerical solutions of these equations for eigencomplex frequencies and electromagnetic field distribution were found. We were the first who found out that a dielectric spherical hole in a high loss liquid possesses features of a metal cavity resonator. We carried out the experiment to excite TE and TM oscillations in the spherical hole as a resonator into the water. The experimental results agree well with our numerical data for the resonator’s eigenfrequencies. Noneigen surface oscillations similar to the oscillations in the surface Zenneck’s wave are excited in such a resonator. The resonant properties of the dielectric quasi-optical spherical hole in a high loss liquid could be used for measuring the permittivity of liquid. Index Terms—Characteristic equation, dielectric quasi-optical spherical hole, electromagnetic field distribution, high loss liquid, millimeter wavelengths, permittivity, surface Zenneck’s wave, volume and surface oscillations.

I. INTRODUCTION T IS well known that there is the possibility of excitation of high-quality microwave oscillations in an empty metal spherical cavity resonator based on good electromagnetic wave reflection off the metal surface. Therefore, a metal spherical ( is an electromagnetic wavecavity with the radius length in the open air) has well-defined resonant properties and a discrete spectrum of eigenoscillations. A good electromagnetic wave reflection can be provided not only off the metal–air interface, but off the high loss liquid–air interface as well. The liquid must have enough high (much more than one) real and imaginary parts of the complex permittivity (CP) , when liquid is sufficiently small. Such a liquid, for impedance example, is the water. The water’s real and imaginary parts of the permittivity are of the same order and are much more than one at microwave wavelengths. For example, the water has [1]–[3], and the impedance the permittivity at a frequency of 10 GHz, 20 C. Hence, the microwave electromagnetic waves are well reflected off the water to the open air. It leads to the effect of electromagnetic field extrusion out of the water that was recently detected in [4].

I

Manuscript received June 15, 2005; revised December 21, 2005. The authors are with the Institute for Radiophysics and Electronics, National Academy of Sciences of Ukraine, 61085 Kharkov, Ukraine (e-mail: zoya@ic. kharkov.ua). Digital Object Identifier 10.1109/TMTT.2006.872785

The effect is seen in the following way. Quite high -factor oscillations are observed in a spherical dielectric resonator having a central spherical cavity filled with water. Here, the resonator spectral characteristics depend on the dielectric properties of the liquid. On this basis, in [4] we proposed a new effective method to measure the CP of a high loss liquid in a small volume on microwave. The study of electromagnetic microwave oscillations in a small loss dielectric hole into a high loss liquid is directly relevant to the surface Zenneck’s wave problem that was discussed in literature a long time ago (see [5]). The main feature of Zenneck’s wave is a strong dependence of the absorption factor and phase velocity on the permittivity of a conductive surface that the wave propagates over. Recently experiments were carried out to detect Zenneck’s wave in the laboratory [6]. However, in [6], there is no confirmation that the velocity of a monitored surface wave and its absorption essentially depend on the dielectric properties of the medium. Therefore, the obtained data are poor to identify the observed wave as Zenneck’s wave. Since Zenneck’s wave is not an eigenwave [7], [8], the volume wave component is excited simultaneously with the surface one and the volume wave masks the latter. Thus, the Zenneck’s wave detection problem is still open. The electromagnetic microwave oscillations of a dielectric quasi-optical spherical hole (the quasi-optical parameter is , where is the wavenumber in vacuum, is is the permittivity of a hole dielectric the hole radius, and material) in a high loss liquid practically exist in the similar conditions as Zenneck’s wave propagation over the conducting ground or the sea surface. Therefore, the detection of the surface oscillations depending on the dielectric properties of the high loss liquid can indicate the workable possibility to excite the surface Zenneck’s wave. The aim of this paper is the theoretical and experimental study of electromagnetic microwave oscillations of a spherical dielectric hole in a high loss liquid. Our task is to prove that such a hole is similar to a metal spherical cavity resonator from the electrodynamics point-of-view. The surface oscillations similar to the oscillations in the surface Zenneck’s wave are realized in such a hole resonator. For that purpose, we obtained the spectrum of eigenfrequencies in this resonator for TE and TM modes at millimeter wavelengths and built the electromagnetic field distribution of these modes. We found out that the field distribution contains the volume and surface components, and the resonator spectral characteristics essentially depend on dielectric properties of the high loss liquid outside of the hole.

0018-9480/$20.00 © 2006 IEEE

2244

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 1. Dielectric quasi-optical ball (spherical hole) in a high loss liquid.

II. THEORETICAL STUDY Let us consider microwave resonant properties of a dielectric spherical hole into a high loss liquid. The hole is filled with an isotropic small loss dielectric medium. The real CP part of this medium is much smaller than the real CP part of a liquid. Such a hole can be described as a dielectric ball resonator (BDR) immersed into a high loss liquid (Fig. 1). Owing to spherical symmetry, the Maxwell equations describing the electromagnetic oscillations in this structure, as known, have two separate solutions: for TE and TM modes [9], [10]. Using the technique described in [4], we obtained the characteristic equations for eigen complex frequencies of our BDR. The characteristic equation are as follows for the TM modes:

(1) and as follows for TE modes:

(2) where and are complex permittivities of the spherical ball and liquid accordingly, is the ball radius, is the wavenumber in vacuum, is a polar oscillation index, and the prime denotes the argument derivative. , , , are cylinder Bessel functions of the first and and third kinds in of the fractional order. The and modes of the oscillations in a ball are characterized by three indices, which are: 1) ; 2) ; and 3) , i.e., the polar, azimuthal, and radial indices, respectively. For the outer resonator area , determination of the solution of the wave equation as a radius function is quite complicated because of the peculiarities of wave propagation in the high loss medium (the monitored liquid). At that, there is a certain arbitrariness to choose the function, i.e., there is a possibility to take the Bessel function of the third order [the Hankel function: ] or the modified Bessel function of the ]. Usually for third order [the Macdonald function: the outer spherical area with small losses for whispering gallery modes [10], the solution is chosen as the Hankel function. In

Fig. 2. Resonant cells to excite the surface Zenneck’s oscillations. (a) For TM mode. (b) For TE mode. 1 denotes the ball (TM mode) or semiball (TE mode) resonator. 2 and 3 are the input and output dipole antennas. 4 and 5 are the input and output waveguides. 6 is the copper cup. 7 is a high loss liquid.

the case of high loss medium, as our further experimental study has shown, the best agreement between theory and experiment gives the selection of the Hankel function as a solution of a wave equation out of the ball. Equations (1) and (2) are complex owing to and . Besides, we cannot pick out a small parameter to obtain an analytical solution. The special computer software application with comfortable graphical user interface was designed in Borland C Builder 6.0 to solve these equations numerically. To correlate with our experiment that will be described below, we study the solutions of (1) and (2) at millimeter wavelengths. III. EXPERIMENT AND DISCUSSION The distilled water and various water–alcohol solutions were chosen as high loss liquids. We selected Teflon as a material for BDR because of its small dielectric losses and also its chemical stability to various high loss liquids. Fig. 2 shows the devices to excite the surface Zenneck’s oscillations at an 8-mm waveband. We designed two different resonator cells for TM [see Fig. 2(a)] and TE [see Fig. 2(b)] oscillations. To excite and receive the TM modes, we used the Teflon ball (1) with two equal magnetic-dipole antennas (2 and 3). Each of them was a coupling loop placed in the ball and powered by standard rectangular waveguides (4 and 5). The copper cup (6) with the ball was filled up with liquid (7). The magnetic-dipole antenna well excites the TM mode in the BDR because the single magnetic field component of this mode is placed transversely to the equator plane of the ball. The detection of the resonator spectrum was carried out using “on pass” operation. We used the frequency-sweeping signal from 30 to 36 GHz. The resonator

EREMENKO AND GANAPOLSKII: RESONANT SPHERICAL HOLE IN HIGH LOSS LIQUID AT MILLIMETER WAVELENGTHS

2245

The numerical simulation of the experiment was made using all eigenresonant frequencies in the 25–37-GHz frequency range. i.e., there are 56 eigenresonant frequencies for the TE mode and 54 ones for the TM mode. The numerical simulation technique is as follows. A. Numerical Simulation We have to handle the excitation problem using the already solved task for eigenvalues described above for the BDR. It is assumed that the magnetic current of the origin is equal to zero, and the electrical current of the origin (an electrical dipole is placed on the equatorial flat in the point along the coordinate) for TE modes is taken as

(3) where

Fig. 3. Normalized amplitude-frequency dependence. (a) For TM mode. (b) For TE mode. Curve 1 is the experimental spectrum, curve 2 is the numerical simulation. The permittivity of the high loss liquid (water) was taken as " i at 18 C [1]–[3].

is a radius vector, is a Delta function, and is the electrical force in the point ( mm, the radius of the ball is 27.5 mm). Owing to the BDR having spherical symmetry, we will receive the resonance response in the opposite point from the excitation one. We have found the solutions of nonhomogeneous Maxwell equations as the sum of eigenfunctions of the BDR for the electrical field component as follows:

= 17 + 26

(4) spectrum was registered with a square-law detector measuring the amplitude-frequency dependence of the signal. The excitation task of the TE modes in the BDR into the high loss liquid is more complicate than for the TM modes. If the magnetic-dipole antennas are simply replaced by the electricdipole ones together with the TE modes, TM ones are excited. Therefore, to excite pure TE modes, we used another way that is as follows. We took a Teflon semiball (1) placed on a metal flat [see Fig. 2(b)]. In such a resonator, pure TE modes can be easily excited [4]. The electric-dipole antenna (2) excites well the TE modes in the semiball because the single electric field component of this mode is placed transversely to the equator plane of the ball, similarly to the magnetic component of TM mode excitation. The semiball is placed into the copper cup (6) filled with liquid (7). The base of the pure TE modes excitation in the semiball is as follows. The tangential component of the electric field is equal to zero in the ball equatorial cross section. Thus, the metal flat placed in this cross section does not insert disturbance in the electromagnetic field structure of the ball resonator. Thus, for the semiball resonator, the characteristic equations, space field distribution, and eigenfrequencies coincide with the appropriate ones of the BDR. The characteristic equations [see (1) and (2)] for the BDR can be used to study the oscillations in the semiball resonator. The spectrum measurement and numerical simulation of the experiment with water are shown in Fig. 3. The TM and the TE spectra contains three resonance lines, each at the selected frequency bands in Fig. 3(a) and (b).

is an electrical field vector component of th reswhere onance. is a coefficient depending on each eigen complex resonant frequency ; is the permittivity of the appropriate resonator media. To excite TM modes with a magnetic dipole, we used analogical relations as shown in (3) and (4). To calculate this sum (4), we took the definite number of modes that exist at the considered waveband and built the amplitude-frequency dependence for the component of the electromagnetic field (Fig. 3). The peculiarity of the neighboring resonant frequencies of our resonator is that their factors are small enough ( – ). Thus, we can see that the neighboring mode resonances are overlapped due to their small factor. There is also a difference between TE and TM factors for eigenresonant frequencies. The TE modes with high polar indices (whispering-gallery modes, – and in the considered waveband) have practically the same factor ( – ) and neighboring eigenresonant frequencies (with ) as well. The TM modes with high polar indices ( – and ) have a smaller factor ( – ). It is necessary to add that TM modes with have – . However, the neighboring eigenresonant frequencies with smaller and have a higher factor ( – ). Thus, Fig. 3 shows that, for the chosen point of excitation , we have separate frequency resonances for only TE whispering-gallery modes and these modes with smaller polar indices do not have enough of an effect on the amplitude-frequency dependence of the resonator. Here we have a good agreement of theory and experiment. The electric field

2246

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 5. Dependence of the slowing-down  of oscillations in the BDR in the water on the polar index n for TM modes: curve 1 is for l = 1, 2 is for l = 2; for TE modes: curve 3 is for l = 1, 4 is for l = 2.

Fig. 4. Normalized electromagnetic field radial distributions for the electric E and magnetic H components in the Teflon ball resonant structure, TE mode, n = 19, l = 1. (a) Metal outside of the ball. (b) Water outside of the ball. The dotted line denotes the interface between the ball and outer area.

of the TM whispering-gallery modes occupies the essentially bigger volume inside of the liquid with high dielectric losses than the TE one. Therefore, the dielectric losses in the BDR are bigger for the TM modes. B. Electromagnetic Field Distributions The electromagnetic field distributions for electric and magnetic components for the metal spherical cavity and for the BDR in the water are shown in Fig. 4. The electromagnetic field of the oscillations in the spherical area inside of the metal sphere is concentrated nearby the spherical surface, i.e., in the inner caustic ball interface (the area of the complete outer reflection [11]). The maximum of the magnetic field component is on the dielectric–metal interface. The maximum of the electric field component is displaced inside of the ball and is equal zero on the interface [see Fig. 4(a)]. In the case of the water, both the magnetic field component and electric one have the volume component inside of the BDR. The magnetic field component has quite a high peak on the interface that is falling down on the both sides of the dielectric–water interface (the surface part of the oscillations) [see Fig. 4(b)]. The electric field component has no strongly marked surface part. C. Slowing-Down Effect The existence of the high loss liquid outside of the resonator leads to the decrease of the eigenfrequency in comparison with the ideal metal . The following relation is true for all resonant frequencies in the BDR, where and are the eigenfrequencies of the BDR in metal and liquid accordingly.

Fig. 6. (a) Dependence of the resonant frequencies and (b) Q factor of the water–alcohol solution on its concentration. The black circles are experimental data. Line 1 is for n = 8, l = 5; 2 is for n = 14, l = 3; 3 is for n = 11, l = 4; 4 is for n = 6, l = 6; 5 is for n = 18, l = 2; 6 is for n = 4, l = 7; 7 is for n = 9, l = 5; 8 is for n = 2, l = 8.

is smaller than , and it can be explained as the slowing down of the corresponding wave that forms the field of oscillations in the resonator; in case of metal, the slowing down does not exist [10]. This slowing down has average values (using several resonant frequencies) of 2% for TM modes and 0.5% for TE ones. The data for different frequencies (polar indices ) and oscillation modes of the BDR in water are shown in Fig. 5. The slowing-down effect can be easily understood as follows. At the wave propagation along the spherical resonator surface, the major part of its electromagnetic energy is placed in the dielectric ball, the other one (the smaller one) is in the liquid, and its phase velocity is weighted as an average one. The surface nature of the oscillations in the BDR and the slowing-down effect due to the high loss liquid are the properties of the electromagnetic surface Zenneck’s wave. We have to point out that the con-

EREMENKO AND GANAPOLSKII: RESONANT SPHERICAL HOLE IN HIGH LOSS LIQUID AT MILLIMETER WAVELENGTHS

2247

electric field distribution. However, the factor of this mode is the smallest among the eigen adjacent frequencies. Thus, TM resonances are formed by a group of eigenfrequencies near the chosen whispering-gallery mode as was mentioned above. Fig. 6 shows rather good agreement between experimental and numerical results. The electric field distribution of the nearest frequencies to the selected whispering-gallery mode is shown in Fig. 7. IV. CONCLUSION

Fig. 7. Normalized electromagnetic field radial distributions for the electric E component in the ball resonant structure, TM mode. (a) n = 6, l = 6 (corresponds to line 4 in Fig. 6). (b) n = 18, l = 2 (corresponds to line 5 in Fig. 6). The dotted line denotes the interface between the ball and outer area.

sidered oscillations have properties similar to the surface wave along a metal wire with finite conductivity [10]. Such a wave usually is identified with Zenneck’s wave propagating over the surface flat with the high loss liquid. It is necessary to emphasis that the characteristics of the considered oscillations (the resonant frequency and factor) as Zenneck’s wave characteristics strongly depend on electric properties of the liquid surrounded BDR. It is the base to name the oscillations in the quasi-optical BDR as the surface Zenneck’s oscillations. It is significant that both the surface component of oscillations and the volume one are excited in the BDR. Thus, without a volume component of oscillations, the surface one does not exist. Therefore, the surface component of the oscillations in the BDR, as well as the oscillations in the surface Zenneck’s wave are not eigen ones. D. Dependence of Resonant Frequencies on the Properties of Liquid To study the influence of the properties of the surrounding medium of our ball resonator, we measured resonance frequencies and the factor of the BDR immersed into various water–alcohol solutions as high loss liquids. We took the data of the CP of appropriate water–alcohol solutions from [12] and [13]. As an example, we used the designed resonator cell for TM modes [see Fig. 2(a)]. Fig. 6 shows the experimental results and numerical data for eigenfrequencies that exist near the selected resonance (31.5 GHz) in our experiment. As we can see in Fig. 6, the selected resonance frequency is formed with the whispering-gallery mode because the point of excitation is near the edge of the ball where the whispering-gallery modes have a maximum of magnetic and

We were the first to carry out theoretical and experimental study on the resonant properties of a hole filled with a dielectric medium in a high loss liquid at millimeter wavelengths. The study has shown that this hole has properties of the microwave metal spherical cavity resonator. The eigenfrequencies and factor of the resonator oscillations are calculated numerically and measured experimentally. The obtained experimental data have good agreement with the theory. The studied TE and TM oscillations have both the volume components and surface ones. The surface component of these oscillations is similar to the oscillations presented in the noneigen surface Zenneck’s wave. It provides the reason to refer to the studied surface oscillations as Zenneck’s ones. The spectral characteristics such as resonant frequency and the factor strongly depend on the CP of the liquid outside of the resonator. It gives the opportunity to use the oscillations in the ball resonator in high loss liquid to measure the CP of the liquid at millimeter wavelengths. These CP data were obtained using the measured resonant frequencies and factor. We can point to another practical usage of this resonant hole to put a lot of these holes in a water layer. Owing to interaction between holes, it is possible to strongly reduce the attenuation of the wave passing though the water layer. REFERENCES [1] Y. Y. Ahadov, Dielectric Properties of Pure Liquids. Moscow, Russia: Izdatelstvo Standartov, 1972, pp. 162–177. [2] ——, Dielectric Properties of Binary Solutions. Moscow, Russia: Izdatelstvo Standartov, 1971, pp. 152–155. [3] W. J. Ellison, K. Lamkaourchi, and M. J. Moreau, “Water: A dielectric reference,” J. Molec. Liquids, vol. 68, pp. 171–279, 1996. [4] Z. E. Eremenko and E. M. Ganapolskii, “Method of microwave measurement of dielectric permittivity in a small volume of high loss liquid,” Meas. Sci. Technol., vol. 14, pp. 2096–2103, 2003. [5] J. R. Wait, “The ancient and modern history of EM ground-wave propagation,” IEEE Antennas Propag. Mag., vol. 40, no. 5, pp. 7–24, Oct. 1998. [6] V. I. Baybakov, V. N. Dazhko, and Y. V. Kistovich, “Experimental detection of surface electromagnetic Zenneck waves,” Usp. Phis. Nayk, vol. 157, no. 4, p. 722, 1989. [7] IEEE Standard Definition of Terms for Radio Wave Propagation. Piscataway, NJ: IEEE Press, 1997, Std.211-1090 (R1997). [8] L. A. Mandelshtam and N. D. Papalexi, “Interference methods of radiowave propagation study,” in Sbornik: Newest Study of Radiowave Propagation. Moscow–Leningrad, Russia: Gostexizdat, 1945, pp. 10–50. [9] J. A. Stratton, Electromagnetic Theory. New York: McGraw-Hill, 1941. [10] L. A. Vainshtein, Electromagnitnie Volni, 2nd ed. Moscow, Russia: Sovetskoe Radio, 1989, pp. 85–140. [11] A. N. Oraevski, “Waves of whispering gallery,” Quantum Electron., vol. 32, no. 5, pp. 377–400, 2002. [12] V. V. Miriakri and M. P. Parchomenko, “The usage the dielectric waveguide for measurement water concentration in alcohol,” Electromagn. Waves Electromagn. Syst., vol. 5, no. 1, pp. 32–40. [13] S. Mashinino and S. Kuwabara, J. Chem. Phys., vol. 90, no. 6, 1989.

2248

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Zoya E. Eremenko (M’05) was born in 1960. She received the Engineer in Radio Technology diploma (M.S. degree) (with honors) (with a specialization in radio engineering and applied and computing mathematics) from the Kharkov Aviation Institute, Kharkov, Ukraine, in 1984, and the Ph.D. degree from the Institute for Radiophysics and Electronics, Kharkov, Ukraine, in 1996. Since 1981, she has been with the Institute for Radiophysics and Electronics, National Academy of Sciences (NAS) of Ukraine, Kharkov, Ukraine. She performs computer experiments for wave propagation study in confined anisotropic layered solid-state structures (rods, spheres), chaos phenomena study in Bunimovich billiards, and numerical solutions of complex implicit dispersion equations for such structures. Her recent studies include quantum chaos problems by modeling of resonators with randomly located inhomogeneities of millimeter wavebands and the development of new methods for permittivity measurement of high loss liquids. Ms. Eremenko was the recipient of an International Science Foundation Grant (1994–1995), a State Committee on Science and Technology of Ukraine Scholarship (1995), and an American Physical Society Grant (1994). She was also the recipient of the NAS of Ukraine Davidov’s Prize in theoretical and experimental physics for the series of papers “Stochastic Phenomena in Quasi-optical Microwave Systems in Millimeter Wave Band” (2004).

El M. Ganapolskii was born in 1930. He received the M.S. degree from Kharkov State University, Kharkov, Ukraine, in 1953, and the Ph.D. degree and Dr. of Science in physics and mathematics from Kasan State University, Kasan, Russia, in 1965 and 1975, respectively. Since 1987, he has been an Associated Member with the National Academy of Sciences (NAS) of Ukraine, Kharkov, Ukraine. Since 1956, he has been with the Institute for Radiophysics and Electronics, NAS of Ukraine. From 1956 to 1961, he studied and developed microwave generators, receivers, and masers. Since 1962, he has studied hypersonic (microwave ultrasonic) acoustics. He proposed and implemented a new method of hypersonic waves excitation and receiving by the surface slowed-down electromagnetic microwave. In 1970, he first recorded 7- GHz hypersonic waves in piezocrystal at low temperature. Since 1964, he has studied quantum acoustics at hypersonic waves. In 1973, he was the first to detect and study acoustic paramagnetic resonance at hypersonic waves of paramagnetic impurity centers with high electron–phonon interaction in semiconductors (dynamic Jahn–Teller effect). In 1973, he was the first to develop the quantum generator of monochromatic hypersonics (acoustic microwave analog of lasers). Since 1962, he has been involved with the development of hypersonic delay lines for radar signal processing. He has recently been involved with the study of quantum chaos problems by the modeling of resonators with randomly located inhomogeneities of the millimeter waveband and develops new methods for permittivity measurement of high loss liquids. Dr. Ganapolskii was the recipient of the State Prize of Ukraine in Science (1986) and the Davidov’s Prize of the NAS of Ukraine in Science (2004).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

2249

CAD Equivalent-Circuit Modeling of Attenuation and Cross-Coupling for Edge-Suspended Coplanar Waveguides on Lossy Silicon Substrate Lydia L. W. Leung, Member, IEEE, and Kevin J. Chen, Member, IEEE

Abstract—In this paper, a compact computer-aided design (CAD)-oriented frequency-independent equivalent-circuit model, taking the skin effect, proximity effect, and substrate effect into consideration, is presented for the edge-suspended coplanar waveguide (ESCPW) on lossy silicon substrate. The ESCPWs exhibit the benefit of reduced loss, while avoid the reliability issues that are associated with the suspended coplanar waveguides. The model shows good agreement with the measured insertion loss and the line parameters up to 25 GHz. With the model, extracted the relationship between physical perimeters of the ESCPWs and the electrical characteristics is also investigated. Moreover, cross-coupling between adjacent ESCPWs with common ground is characterized and modeled. Index Terms—Cross-coupling, edge-suspended coplanar waveguide (ESCPW), equivalent-circuit model, inductively coupled plasma deep reactive ion etching (ICP-DRIE), micromachining.

I. INTRODUCTION ITH THE rapid development in wireless and portable communication, there is an increasing demand for a higher level of integration of the on-chip interconnects with the active circuits. In addition, coplanar waveguides (CPWs) can be used as on-chip inductive elements in some wideband RF devices such as traveling-wave amplifiers [1]. While the active transistors have experienced steady enhancement in device performance as a result of the advancing CMOS technology, it is still challenging to realize low-loss transmission lines on standard CMOS-grade silicon substrates, which normally have low resistivity in the range of 1–20 cm. Significant loss can be generated at microwave frequencies as a result of the signal coupling into the low-resistivity silicon substrate. In order to overcome this dominant loss factor in silicon-based microwave passive components, different approaches have been investigated, including the use of high-resistivity silicon (HRS) [2], [3] [ cm ] and inserting a low-loss low- dielectric layer [4]–[7] between the interconnects and the lossy silicon

W

Manuscript received April 13, 2005; revised December 29, 2005. This work was supported in part by the Hong Kong Government Research Grant Council under Grant HKUST6173/02E and in part by the Institute of Integrated MicroSystems under Grant I2MS01/02.EG05. L. L. W. Leung was with the Department of Electrical and Electronic Engineering, Hong Kong University of Science and Technology, Hong Kong. She is now with the Hong Kong Applied Science and Technology Research Institute, Shatin, Hong Kong (e-mail: [email protected]). K. J. Chen is with the Department of Electrical and Electronic Engineering, Hong Kong University of Science and Technology, Hong Kong. Digital Object Identifier 10.1109/TMTT.2006.873636

Fig. 1. Cross section of the ESCPW with common ground.

substrate. Another widely investigated approach is to apply micromachining techniques to remove the silicon substrate underneath (or around) the signal line to reduce the substrate loss [8]–[11]. However, the CPWs fabricated on the suspended membrane require sophisticated backside processing and their mechanical strength is also questionable, especially for long CPWs. Herrick et al. [12] implemented CPWs with etched grooves around the signal line in a CPW to reduce the line capacitance, and the approach is CMOS compatible. Nevertheless, the shape, depth, and lateral undercut of the grooves are limited by the choices of the wet etching solution, the layout orientation, and the signal-to-ground spacing. The grooves would be especially very shallow for CPWs with small signal-to-ground spacing. A CMOS-compatible micromachined edge-suspended coplanar waveguide (ESCPW) [13] was recently proposed to compromise mechanical reliability and performance. With the partial removal of the silicon using inductively coupled plasma deep reactive ion etching (ICP-DRIE) and anisotropic etching, an ESCPW, as shown in Fig. 1, with an insertion loss of 0.5 dB/mm and 3 dB at 40 GHz has been achieved. As the substrate effect dominates the overall loss in transmission lines on a lossy substrate, the line parameters become frequency dependent. Nonetheless, frequency-dependent parameters are not currently supported in most of the transient circuit simulators. It is important to derive a compact equivalent circuit, which models the frequency-dependent effects that must be taken into consideration during the design process. In this paper, a compact frequency-independent equivalent-circuit model that accurately captures the frequency-dependent extracted line parameters and insertion loss is proposed. In addition, for high-density and high-speed circuit designs, interconnects are closely packed. Hence, the crosstalk between the adjacent CPWs is of great importance. Recently, Papapolymerou et al. investigated the crosstalk between finite

0018-9480/$20.00 © 2006 IEEE

2250

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

TABLE I PHYSICAL DIMENSIONS OF VARIOUS CPW DESIGNS

Fig. 2. Adjacent ESCPW’s cross-coupling measurement setup using SGS probes. TABLE II SUMMARY OF THE DIMENSIONS OF THE TRENCHES AND UNDERCUT CREATED AFTER 15-m ICP-DRIE AND DIFFERENT AMOUNT OF TMAH ANISOTROPIC WET ETCHING

Fig. 3.

ground CPWs on high- and low-resistivity silicon with a polyimide overlay [14]. The measurement results of the crosstalk are verified by electromagnetic (EM) simulation. However, a compact model for the crosstalk is lacking. In our study, by adding mutual inductances that account for both low-frequency negative coupling and high-frequency positive coupling in our compact ESCPW equivalent-circuit model, cross-coupling mechanisms between adjacent edge-suspended CPWs with a common ground are successfully modeled. The model is verified with measured cross-coupling results up to 25 GHz. The model can be incorporated into common circuit simulators and microwave simulation tools such as Agilent ADS. Moreover, the relationship between physical parameters of the ESCPWs (i.e., the amount of lateral undercut and the depth of the vertical trenches) and the substrate effects (coupling capacitance and resistance) is analyzed for the first time based on the values used in the compact model. II. FABRICATION AND CHARACTERIZATION OF THE ESCPWS CPWs with a nominal characteristics impedance of 50 with different linewidth and spacing have been designed, with design parameters given in Table I, and fabricated on a CMOS-grade substrate with a substrate resistivity of 20 cm. 2- m metal is sputtered and patterned using a standard CMOS process. 1 m of silicon oxide is then used as the mask to protect both the metal and other devices. The initial vertical etching trenches were created by ICP-DRIE to either 15 or 30 m in our experiments. CPWs with a different lateral undercut were then created by controlling the time of metal ions free tetramethyl ammonium hydroxide (TMAH) anisotropic etching. The depth of the trenches around the metal is equal to that created by ICP-DRIE and anisotropic etching, as shown in Fig. 1. In addition, as the metal is protected by 1- m silicon oxide, the lateral undercut underneath the metal is 1 m less than that created by the anisotropic etching. Undercuts of 0, 5, 8, 11, and 14 m were obtained, and Table II summarizes the dimensions of the trenches and undercuts created. On-wafer -parameter was measured using an Agilent 8722E network analyzer and Cascade microwave

RLGC equivalent-circuit schematic of CPW interconnects.

ground–signal–ground (GSG) probes from 0.1 to 25 GHz. The pads-only characteristics were measured on the “open” pad patterns to extract the pads’ parasitics. The pads’ parasitics were then deembedded from the overall CPW characteristics by subtracting the -parameter of the “open” pads from the -parameter of the overall CPW. As ground is shared by adjacent edge-suspended CPWs, as shown in Fig. 2, signal–ground–signal (SGS) probes were used to characterize the cross-coupling between adjacent 6000- m-long edge-suspended CPWs. The cross- coupling measurement setup using SGS probes is shown in Fig. 2: 50- loads are connected to ports 2 and 4 and forward coupling is measured across ports 1 and 3. III. MODELING OF THE ESCPW A typical equivalent circuit of CPW lines is shown in Fig. 3. The series impedance of the CPW is modeled by series resistance and inductance. To analyze the CPW on a lossy substrate, several modifications need to be made to achieve high accuracy in modeling. First, as 2- m Al was used in the fabrication of the CPWs in this study, the skin effect has to be taken into consideration at high frequencies. Hence, a compact ladder model [15], as shown in Fig. 4, is used to model the frequency-dependent effect. and represent the resistance and inductance of the conductor at high-frequency respectively. Second, the substrate coupling [16]–[18] is modeled by the coupling capacitor from the CPW to the silicon substrate , which consists of and . models the capacitance of the oxide layer. models the coupling from the CPW to the silicon substrate through air and the supporting substrate. The value of depends on the amount of lateral undercut of the edge-suspended CPW. The substrate resistor models the current flows from the signal lines to the ground through the low-resistivity substrate. The relationship between the amount of lateral undercut and and will be investigated in Section III-A. As the 6-mm-long edge-suspended CPW is electrically “long” with respect to the signal wavelength at high

LEUNG AND CHEN: CAD EQUIVALENT-CIRCUIT MODELING OF ATTENUATION AND CROSS-COUPLING FOR ESCPWs ON LOSSY SILICON SUBSTRATE

2251

TABLE III PARAMETERS USED IN THE LADDER COMPACT MODEL IN EACH 1-mm UNIT CELL

TABLE IV PARAMETERS USED IN EACH 1-MM UNIT CELL OF THE EQUIVALENT  -MODEL OF THE ESCPWs WITH 15-m ICP-DRIE AND DIFFERENT AMOUNTS OF UNDERCUT

TABLE V PARAMETERS USED IN THE EQUIVALENT  -MODEL OF THE ESCPWs WITH DIFFERENT VERTICAL TRENCH DEPTH CREATED BY ICP-DRIE ONLY

Fig. 4. Equivalent-circuit model used in each unit cell.

frequencies, the lumped-element circuit model was cascaded to model the distributive substrate effect. Each unit cell is represented by the equivalent-circuit model shown in Fig. 4. The higher the upper operating frequency limit, the greater the number of unit cells needed to be cascaded to model the distributive substrate effect. The parameters are best fitted by cascading at least two unit cells per of interconnect, where , is the wavelength in free space, is the dielectric constant of the substrate, and each unit-cell models of an interconnect. For example, by cascading six unit cells, the parameters of the 6-mm interconnect are well fitted up to 10 GHz and each unit cell represents a 1-mm interconnect, while by cascading 14 unit cells, the parameter can be well fitted up to 25 GHz and each unit cell represents a 6/14-mm interconnect. A. Determination of the Model Parameters All the parameters used in the model discussed in above can be extracted from the measurement results. First, the values of the resistors and inductors used in the compact ladder model were determined using the equations stated in [15]. The resistance and inductance extracted from the measured -parameter of the narrowest CPW at 100 MHz are used as and , respectively. The maximum frequency of interest is chosen

to be 25 GHz and the thickness of the Al metal used is 2 m. The values used in the ladder model of the narrowest CPW (CPW1) with 15- m lateral undercut are calculated and summarized in Table III. As the resistance and inductance of the ESCPWs depend on their corresponding physical dimensions, as listed in Table I, and the amount of undercut, and used in the model of the ESCPWs with different dimensions were fine tuned by factors and , respectively. The actual values used in different ESCPWs are and and the corresponding values , , , , and used in the ladder model are scaled accordingly. The external inductance was estimated from the extracted inductance at 10 GHz. At low frequencies, the signal is coupled through , , and to ground. As a result, the extracted shunt capacitance at low frequencies is equal to and . As is fixed, can be estimated. At high frequencies, the signal is coupled through , , and to ground since , , and are in series and , , and are at high frequencies. All values extracted and used in the 1-mm unit cell are summarized in Tables IV and V. As discussed above, in order to have a better fit of the parameters at high frequency, for a fixed-length interconnect, more unit cells are cascaded. The 6-mm interconnect is subdivided into 14 unit cells. Each unit cell represents a 6/14-mm interconnect and all parameters used in each 1-mm unit cell, as listed in Tables III–V, are scaled by a factor of 6/14.

2252

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 5, MAY 2006

Fig. 6. Comparison of the measured and modeled insertion loss of the CPWs with different amount of undercut (line: model; symbol: measured).

Fig. 5. Comparison of the simulation results obtained by the proposed model and the measurement results. (a) Resistance: R(f ). Conductance: G(f ). (b) Inductance: L(f ). Capacitance: C (f ) (line: model; symbol: measured).

B. Model Verification Line Parameters: In order to verify the proposed a) model, the circuit shown in Fig. 4 is built and simulated in the circuit simulator Agilent ADS, and the -parameters are calculated. The line parameters , , , and extracted from the simulated and measured -parameters [19] are compared to verify the accuracy of the model. Fig. 5 illustrates the comparison for a narrow edge-suspended CPW (CPW1) with a 6- m lateral undercut. The line parameters are best fitted up to 25 GHz. b) Insertion Loss: The measured and modeled insertion loss of the ESCPW with a different amount of lateral undercut and depth of trenches are compared in Figs. 6 and 7. The model exhibits excellent agreement with the measured insertion loss of the CPWs with a different amount of lateral undercut up to 25 GHz. It is obvious that the greater the amount of substrate removed, the better the measured result will be fitted. When there is no undercut, substrate coupling becomes significant and the loss is the highest. IV. CROSSTALK MODELING BETWEEN ADJACENT ESCPWS The proposed model can be used to investigate crosscoupling between adjacent edge-suspended CPWs by simply adding constant mutual inductance , , and between the inductors in each unit cell of the adjacent ESCPWs, as shown in Fig. 8. , , and are used to capture the

Fig. 7. Comparison of the measured and modeled insertion loss of the CPWs with different amount of lateral undercut UC and trench depth DT (line: model; symbol: measured).

coupling between the adjacent ESCPWs at different frequencies. models the cross-coupling at high frequencies between of the signal lines of the adjacent ESCPWs, while and model the coupling at low frequencies. At low frequencies, signals are coupled to the adjacent signal lines through the shared ground, as demonstrated in Fig. 9(a). The current flowing in the common ground sandwiched between the signal lines is in opposite direction to that flowing in the signal lines. Hence, at low frequencies, current magnetically coupled to the adjacent signal lines is opposite to that flow in the original signal line, which gives rise to the negative mutual inductance. As frequency increases, current crowding occurs and most current flows along the edge close to the primary signal line. The effect of negative mutual coupling diminishes. This phenomenon is modeled by our proposed

LEUNG AND CHEN: CAD EQUIVALENT-CIRCUIT MODELING OF ATTENUATION AND CROSS-COUPLING FOR ESCPWs ON LOSSY SILICON SUBSTRATE

Fig. 8. Modification to the external inductors and compact ladder circuit models shown in Fig. 4 for crosstalk modeling between adjacent ESCPWs on lossy silicon substrate.

model successfully as less current flows through the inductors in the compact ladder model at high frequencies ( 1 GHz) and the effect of the mutual coupling introduced by the negative mutual inductance and decreases. On the other hand, at high frequencies, mutual coupling from the primary signal line to the adjacent one (positive mutual coupling), as shown Fig. 9(b), dominates. The positive mutual coupling is modeled by the mutual inductance between the external inductors where current passes through at high frequencies. The values used in modeling the crosstalk between ESCPWs with different physical dimensions and 11- m lateral undercut are summarized in Table VI. It can be revealed that for wide ESCPWs (CPW4), the negative mutual coupling ( and ) is weaker. This is due to the fact that the signal lines of wide ESCPWs are further away from the shared ground. The trend reverses at high frequencies, as shown in the values used in , due to the change in the dominating coupling mechanism. At high frequencies, signals coupled directly to the adjacent signal lines dominate. Besides, the wider is the ESCPWs, the wider the spreading of the field [7] and, hence, the stronger the coupling effect from the primary signal line to the adjacent signal lines. As a result, positive mutual coupling is stronger in wide ESCPWs. The measured and modeled cross-coupling results of two different sets of ESCPWs: narrow ( m and m) and wide ( m and m) with

2253

Fig. 9. Cross-coupling mechanisms between adjacent ESCPWs with shared ground. (a) Negative mutual coupling at low frequencies (