IEEE MTT-V054-I06A (2006-06A) [54, 6A ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 01643552......Page 1
020 - 01643553......Page 3
030 - 01643554......Page 4
040 - [email protected] 7
050 - [email protected] 16
060 - [email protected] 27
070 - [email protected] 36
080 - [email protected] 44
090 - [email protected] 51
100 - [email protected] 61
110 - [email protected] 71
120 - [email protected] 82
130 - [email protected] 91
140 - [email protected] 98
150 - [email protected] 106
160 - [email protected] 113
170 - [email protected] 125
180 - [email protected] 137
190 - [email protected] 146
200 - [email protected] 154
210 - [email protected] 162
220 - [email protected] 168
230 - [email protected] 180
240 - [email protected] 186
250 - [email protected] 193
260 - [email protected] 202
270 - [email protected] 212
280 - [email protected] 218
290 - [email protected] 231
300 - [email protected] 242
310 - [email protected] 249
320 - [email protected] 258
330 - [email protected] 267
340 - 01643585......Page 279
350 - 01643586......Page 280

Citation preview

JUNE 2006

VOLUME 54

NUMBER 6

IETMAB

(ISSN 0018-9480)

PART I OF TWO PARTS

EDITORIAL

This TRANSACTIONS in Transition ........ ......... ........ ......... ......... ........ ......... ......... ........ ......... . M. Steer

2289

PAPERS

Design and Characterization of Half Maxwell Fish-Eye Lens Antennas in Millimeter Waves ... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ..... B. Fuchs, O. Lafond, S. Rondineau, and M. Himdi Development of Integrated HEB/MMIC Receivers for Near-Range Terahertz Imaging . ......... ........ ......... ......... .. .. .. F. Rodriguez-Morales, K. S. Yngvesson, R. Zannoni, E. Gerecht, D. Gu, X. Zhao, N. Wadefalk, and J. J. Nicholson Multiple Time Constant Modeling of Dispersion Dynamics in Hetero Field-Effect Transistors . ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .... I. Kallfass, H. Schumacher, and T. J. Brazil Dual-Bandpass Filters With Serial Configuration Using LTCC Technology ..... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .... K.-C. Lin, C.-F. Chang, M.-C. Wu, and S.-J. Chung Magnetically Tunable Filters for Cellular Communication Terminals ... . J. Krupka, A. Abramowicz, and K. Derzakowski Measurement and Modeling Errors in Noise Parameters of Scaled-CMOS Devices ..... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... . G. Banerjee, K. Soumyanath, and D. J. Allstot Synthesis of Capacitive-Coupled Dual-Behavior Resonator (CCDBR) Filters .. ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ....... A. Manchec, C. Quendo, J.-F. Favennec, E. Rius, and C. Person Advanced Digital Signal Processing Techniques for Compensation of Nonlinear Distortion in Wideband Multicarrier Radio Receivers ........ ........ ......... ......... .... M. Valkama, A. Shahed Hagh Ghadam, L. Anttila, and M. Renfors A Compact and Selective Low-Pass Filter With Reduced Spurious Responses, Based on CPW Tapered Periodic Structures ...... .. D. Kaddour, E. Pistono, J.-M. Duchamp, J.-D. Arnould, H. Eusèbe, P. Ferrari, and R. G. Harrison Novel RF Switch Concepts for Differential Wireless Communications Frontends ....... .. H. Erkens and H. Heuermann Design of Tunable Phase Shifters by the Image-Parameters Method ...... .... A. Ocera, R. Sorrentino, and P. Mezzanotte

2292 2301 2312 2321 2329 2336 2346 2356 2367 2376 2383

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Phase-Hologram-Based Compact RCS Test Range at 310 GHz for Scale Models ....... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .... A. Lönnqvist, J. Mallat, and A. V. Räisänen State-Space Dynamic Neural Network Technique for High-Speed IC Applications: Modeling and Stability Analysis .. .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ....... Y. Cao, R. Ding, and Q.-J. Zhang Space-Mapping-Based Interpolation for Engineering Optimization ...... ........ S. Koziel, J. W. Bandler, and K. Madsen Low Conversion Loss and High LO-RF Isolation 94-GHz Active Down Converter ..... ......... ........ ......... ......... .. .. ........ ......... ..... B.-H. Lee, D. An, M.-K. Lee, B.-O. Lim, J.-H. Oh, S.-D. Kim, J.-K. Rhee, J.-D. Park, and S.-Y. Yi Electronic Beam-Steering Design for UWB Phased Array ....... ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ... M. Y.-W. Chia, T.-H. Lim, J.-K. Yin, P.-Y. Chee, S.-W. Leong, and C.-K. Sim Quasi-Planar High- Millimeter-Wave Resonators ...... ......... ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ..... K. J. Vanhille, D. L. Fontaine, C. Nichols, D. S. Filipovic´ , and Z. Popovic´ A Reflectometer Calibration Method Resistant to Waveguide Flange Misalignment .... ....... Z. Liu and R. M. Weikle II (S)PEEC: Time- and Frequency-Domain Surface Formulation for Modeling Conductors and Dielectrics in Combined Circuit Electromagnetic Simulations .. ......... ........ ......... ...... D. Gope, A. E. Ruehli, C. Yang, and V. Jandhyala Coupled Electrothermal, Electromagnetic, and Physical Modeling of Microwave Power FETs . ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .... D. Denis, C. M. Snowden, and I. C. Hunter – -Mode Conversion in Rectangular Waveguides .... ......... ......... .. Nonsymmetrical -Plane Corners for .. ........ ......... ......... ........ ......... ......... ........ ......... ......... A. A. Kirilenko, L. A. Rud, and V. I. Tkachenko A New Class of Wideband Multisection 180 Hybrid Rings Using Vertically Installed Planar Couplers ........ ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ...... C.-H. Chi and C.-Y. Chang Design and Analysis of a 44-GHz MMIC Low-Loss Built-In Linearizer for High-Linearity Medium Power Amplifiers .. .. ........ ......... ......... ........ ......... ....... J.-H. Tsai, H.-Y. Chang, P.-S. Wu, Y.-L. Lee, T.-W. Huang, and H. Wang Compact Second Harmonic-Suppressed Bandstop and Bandpass Filters Using Open Stubs ..... . W.-H. Tu and K. Chang Bandstop Filters With Extended Upper Passbands ....... ......... ......... ........ ........ R. Levy, R. V. Snyder, and S. Shin Accurate Modeling, Wave Mechanisms, and Design Considerations of a Substrate Integrated Waveguide ...... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ........ D. Deslandes and K. Wu -Band Applications ..... ........ ......... ......... .. A New Broadband Monolithic Passive Differential Coupler for .. ........ ......... ......... ........ ......... ......... ........ ......... ... K. W. Hamed, A. P. Freundorfer, and Y. M. M. Antar Interactions Between 60-GHz Millimeter Waves and Artificial Biological Membranes: Dependence on Radiation Parameters ..... ......... ..... .... ......... .... M. Zhadobov, R. Sauleau, V. Vié, M. Himdi, L. Le Coq, and D. Thouroude Tapped Marchand Baluns for Matching Applications .... ......... ......... ........ ........ W. M. Fathelbab and M. B. Steer Conditions for Generation of Stable and Accurate Hybrid TD–FD MoM Solutions ..... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ... M. Yuan, A. De, T. K. Sarkar, J. Koh, and B. H. Jung

Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

2391 2398 2410 2422 2431 2439 2447 2453 2465 2471 2478 2487 2497 2503 2516 2527 2534 2543 2552

2564

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $16.00 per year for electronic media only or $32.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE K. VARIAN, President S. M. EL-GHAZALY J. HAUSNER K. ITOH M. HARRIS D. HARVEY

J. S. KENNEY, President Elect L. KATEHI N. KOLIAS J. S. KENNEY T. LEE

W. H. CANTRELL, Secretary J. LIN V. J. NAIR J. MODELSKI B. PERLMAN A. MORTAZAWI

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

D. RUTLEDGE W. SHIROMA

N. KOLIAS, Treasurer R. WEIGEL R. SNYDER K. WU K. VARIAN R. YORK

Distinguished Lecturers

K. TOMIYASU L. YOUNG

W. HOEFER T. ITOH B. KIM J. LASKAR

G. BOECK L. E. DAVIS W. GWAREK W. HEINRICH

V. LUBECKE J. C. RAUTIO D. ROOT D. RYTTING

Past Presidents M. SHUR P. SIEGEL A. SUAREZ R. J. TREW

K. C. GUPTA (2005) R. J. TREW (2004) F. SCHINDLER (2003)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: A. D. BROWN Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: C. SEABURY Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: K. ALVAI Central & South Italy: R. TIBERIO Central No. Carolina: T. IVANOV Chicago: Z. LUBIN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. D. BALUSEK Dayton: A. TERZOULI, JR. Denver: K. BOIS Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: S. O’CONNOR

Foothill: C. ANTONIAK France: O. PICON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: S. E. WHEATLEY Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: P. WAHID Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poloand: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/ J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorad: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: J. J. SOWERS Seattle: K. POULSON Seoul Coucil: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH

Editor-In-Chief MICHAEL STEER North Carolina State Univ. Raleigh, NC 27695-7911 USA Phone: +1 919 515 5191 Fax: +1 919 513 1979 email: [email protected]

Singapore: O. B. LEONG South Africa: P. W. VAN DER WALT South Australia: H. HANSEN South Brazil: L. C. KRETLEY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A.. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI Ukraine West: M. I. ANDRIYCHUK UK/RI: I. ISAYEV Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: E. I. VELIEV Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKAMATOVSKI Yugoslavia: B. MILOVANOVIC

Associate Editors

ANDREAS CANGELLARIS RUEY-BEEI WU ZOYA POPOVIC Univ. of Illinois, Urbana Champaign Univ. of Colorado, Boulder National Taiwan Univ. USA USA Taiwan, R.O.C. email:[email protected] email: [email protected] email: [email protected] AMIR MORTAZAWI DYLAN F. WILLIAMS ALESSANDRO CIDRONALI Univ. of Michigan, Ann Arbor NIST Univ. of Florence USA USA Italy email: [email protected] email: [email protected] email: [email protected] YOSHIO NIKAWA KENJI ITOH STEVEN MARSH Mitsubishi Electronics Midas Consulting Kokushikan Univ. Japan U.K. Japan email: [email protected] email: [email protected] email: [email protected] DAVID LINTON TADEUSZ WYSOCKI JOSÉ PEDRO Queen’s Univ. Belfast Univ. of Wollongong Univ. of Aveiro Northern Ireland Australia Portugal email: [email protected] email: [email protected] email: jcp.mtted.av.it.pt M. GOLIO, Editor, IEEE Microwave Magazine G. PONCHAK, Editor, IEEE Microwave and Wireless Component Letters

MANH ANH DO Nanyang Technological Univ. Singapore email: [email protected] VITTORIO RIZZOLI Univ. of Bologna Italy email: [email protected] SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers SAIFUR RAHMAN, Vice President, Publication Services and Products MICHAEL R. LIGHTNER, President and CEO LEAH H. JAMIESON, President-Elect PEDRO RAY, Vice President, Regional Activities J. ROBERTO DE MARCA, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association JOSEPH V. LILLIE, Treasurer CELIA L. DESMOND, Vice President, Technical Activities W. CLEON ANDERSON, Past President RALPH W. WYNDRUM, JR., President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $77.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2006 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188.

Digital Object Identifier 10.1109/TMTT.2006.878202

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2289

This TRANSACTIONS in Transition HIS ISSUE marks the end of my tenure as Editor-in-Chief of this TRANSACTIONS. My first issue was the July 2003 issue and, in the mean time, this TRANSACTIONS has seen unprecedented growth. When I started, this TRANSACTIONS was receiving 11 submissions per week, and at the end of my tenure, the average submission rate was 22 submissions per week, a growth of 100% in three years. My proudest achievement was the timeliness of publication achieved. The turnaround time from submission of a manuscript to its publication was reduced from an average of 55.3 weeks, or 12.7 months, for the six years prior to my term, to an average of 8.6 months during my term. The median was 8.4 months, meaning that half the papers went from submission to appearing in print in less than 8.4 months. A more detailed documentation is given in Fig. 1. The peak experienced in 2005 was due to a growing backlog, a result of the unprecedented increase in submissions. Something that could not have been predicted. The IEEE Microwave Theory and Techniques Society (IEEE MTT-S) has been active in its support of this TRANSACTIONS, increasing the page budget when required, but even then the growth could never be properly anticipated. The growth in part reflects the growth of the microwave industry in Asia and Europe. However, authors desire rapid dissemination of their research and the reduction in turnaround time drew papers from authors whose manuscripts could just as easily have been submitted elsewhere. In 2005, this TRANSACTIONS published 425 papers, the most we have ever published. Our papers are widely read and the number of downloads of this TRANSACTIONS’ papers is the second highest in electrotechnology. In 2005, the most heavily downloaded paper was downloaded 5682 times! Quite remarkable. Below is an unordered list of the top 50 downloaded papers from 2005. • K. Ioakeimidi, R. F. Leheny, S. Gradinaru, P. R. Bolton, R. Aldana, K. Ma, J. E. Clendenin, and R. F. W. Pease, “Photoelectronic analog-to-digital conversion: Sampling and quantizing at 100 Gs/s,” Jan. 2005, pp. 336–342. • R. Mukhopadhyay, Y. Park, P. Sen, N. Srirattana, J. Lee, C.-H. Lee, S. Nuttinck, A. Joseph, J. D. Cressler, and J. Laskar, “Reconfigurable RFICs in Si-based technologies for a compact intelligent RF front-end,” Jan. 2005, pp. 81–93. • T. O. Dickson, M. A. LaCroix, S. Boret, D. Gloria, R. Beerkens, and S. P. Voinigscu, “30–100-GHz inductors and transformers for millimeter-wave (Bi)CMOS integrated circuits,” Jan. 2005, pp. 123–133. • L. Yang, M. Fan, F. Chen, J. She, and Z. Feng, “A novel compact electromagnetic-bandgap (EBG) structure and its applications for microwave circuits,” Jan. 2005, pp. 183–190. • S. Lim, C. Caloz, and T. Itoh, “Metamaterial-based electronically controlled transmission-line structure as a novel

T

Digital Object Identifier 10.1109/TMTT.2006.875793

Fig. 1. Publication delay in months for the six-month periods beginning with the first half of 2003 (2003/1). The delay is from submission of a manuscript to publication.



















leaky-wave antenna with tunable radiation angle and beamwidth,” Jan. 2005, pp. 161–173. T.-P. Hung, A. G. Metzger, P. J. Zampardi, M. Iwamoto, and P. M. Asbeck, “Design of high-efficiency current-mode class-D amplifiers for wireless handsets,” Jan. 2005, pp. 144–151. T.-K. Nguyen, N.-J. Oh, C.-Y. Cha, Y.-H. Oh, G.-J. Ihm, and S.-G. Lee, “Image-rejection CMOS low-noise amplifier design optimization techniques,” Feb. 2005, pp. 538–547. H. Shigematsu, T. Hirose, F. Brewer, and M. Rodwell, “Millimeter-wave CMOS circuit design,” Feb. 2005, pp. 472–477. S. J. Pang, A. Bellaour, S. T. Lee, and D. S. Allstot, “An image-rejection down-converter for low-IF receivers,” Feb. 2005, pp. 478–487. J. Deng, P. S. Gudem, L. E. Larson, and P. M. Asbeck, “A high average-efficiency SiGe HBT power amplifier for WCDMA handset applications,” Feb. 2005, pp. 529–537. Y.-J. Kim, T.-S. Son, V. N. Parkhomenko, I.-C. Huang, J.-K. Nah, and B.-H. Park, “A GSM/EGSM/DCS/PCS direct conversion receiver with integrated synthesizer,” Feb. 2005, pp. 606–613. C. Hermann, M. Tiebout, and H. Klar, “A 0.6-V 1.6-mW transformer-based 2.5-GHz downconversion mixer with 5.4-dB gain and 2.8-dBm IIP3 in 0.13- mCMOS,” Feb. 2005, pp. 488–495. C.-Y. Lee, T.-S. Chen, J. D.-S. Deng, and C.-H. Kao, “A simple systematic spiral inductor design with perfected improvement for CMOS RFIC application,” Feb. 2005, pp. 523–528. E. Semouchkina, A. Baker, G. B. Semouchin, M. Lanagan, and R. Mittra, “New approaches for designing microstrip filters utilizing mixed dielectrics,” Feb. 2005, pp. 644–652.

0018-9480/$20.00 © 2006 IEEE

2290

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

• N. Srirattana, A. Raghavan, D. Heo, P. Allen, and J. Laskar, “Analysis and design of a high-efficiency multistage Doherty power amplifier for wireless communications,” Mar. 2005, pp. 852–860. • M. Brandolini, P. Rossi, D. Manstretta, and F. Svelto, “Toward multistandard mobile terminals—fully integrated receivers requirements and architectures,” Mar. 2005, pp. 1026–1038. • H.-W. Chu, S.-S. Lu, and Y.-S. Lin, “A 2.17-dB NF 5-GHz-band monolithic CMOS LNA with 10-mW DC power consumption,” Mar. 2005, pp. 813–824. • G. C. Tavik, C. L. Hilterbrick, J. B. Evins, J. J. Alter, J. G. Crnkovich, Jr., J. W. DeGraaf, W. Habicht II, G. P. Hrin, S. A. Lessin, D. C. Wu, and S. M. Hagewood, “The advanced multifunction RF concept,” Mar. 2005, pp. 1009–1020. • D. F. Williams, “Editorial: How to get your manuscript published in this TRANSACTIONS in six months or less,” Mar. 2005, pp. 797–798. • S.-F. R. Chang, W.-L. Chen, S.-C. Chang, C.-K. Tu, C.-L. Wei, C.-H. Chien, C.-H. Tsai, J. Chen, and A. Chen, “A dual-band RF transceiver for multistandard WLAN applications,” Mar. 2005, pp. 1048–1055. • C.-Y. Cha and S.-G. Lee, “A complementary Colpitts oscillator in CMOS technology,” Mar. 2005, pp. 881–887. • K. M. Shum, T. T. Mo, Q. Xue, and C. H. Chan, “A compact bandpass filter with two tuning transmission zeros using a CMRC resonator,” Mar. 2005, pp. 895–900. • K. Entesari and G. M. Rebeiz, “A differential 4-bit 6.5–10-GHz RF MEMS tunable filter,” Mar. 2005, pp. 1103–1110. • W. M. Wathelbab and M. B. Steer, “A reconfigurable bandpass filter for RF/microwave multifunctional systems,” Mar. 2005, pp. 1111–1116. • T. M. Hancock and G. M. Rebeiz, “A 12-GHz SiGe phase shifter with integrated LNA,” Mar. 2005, pp. 977–983. • B. A. Floyd, S. K. Reynolds, T. Zwick, L. Khuon, T. Beukema, and U. R. Pfeiffer, “WCDMA direct-conversion receiver front-end comparison in RF-CMOS and SiGe BiCMOS,” Apr. 2005, pp. 1181–1188. • N. Engheta and R. W. Ziolkowski, “A positive future for double-negative metamaterials,” Apr. 2005, pp. 1535–1556. • J.-T. Kuo, T.-H. Yen, and C.-C. Yeh, “Design of microstrip bandpass filters with a dual-passband response,” Apr. 2005, pp. 1331–1337. • I. Nam, B. Kim, K. Lee, “CMOS RF amplifier and mixer circuits utilizing complementary characteristics of parallel combined nMOS and pMOS devices,” May 2005, pp. 1662–1671. • J. Schoebel, T. Buck, M. Reimann, M. Ulm, M. Schneider, A. Jourdain, G. J. Carshon, and H. A. C. Tilmans, “Design considerations and technology assessment of phased-array antenna systems with RF MEMS for automotive radar applications,” Jun. 2005, pp. 1968–1975. • H. A. Ghali and T. A. Moselhy, “Broad-band and circularly polarized space-filling-based slot antennas,” Jun. 2005, pp. 1946–1950.

• J. Garcia-Garcia, F. Martin, F. Falcone, J. Bonache, J. D. Baena, I. Gill, E. Amat, T. Lopetegi, M. A. G. Laso, J. A. M. Iturmendi, M. Sorolla, and R. Marques, “Microwave filters with improved stopband based on sub-wavelength resonators,” Jun. 2005, pp. 1997–2006. • Y. S. Lin, C.-H. Wang, C.-H. Wu, and C. H. Chen, “Novel compact parallel-coupled microstrip bandpass filters with lumped-element -inverters,” Jul. 2005, pp. 2324–2328. • M. Helaoui, S. Boumaiza, A. Ghazel, and F. M. Ghannouchi, “On the RF/DSP design for efficiency of OFDM transmitters,” Jul. 2005, pp. 2355–2361. • J.-S. Lim, C.-S. Kim, D. Ahn, Y-.C. Jeong, and S. Nam, “Design of low-pass filters using defected ground structure,” Aug. 2005, pp. 2539–2545. • J. Nam, J.-H. Shin, and B. Kim, “A Handset power amplifier with high efficiency at a low level using load-modulation technique,” Aug. 2005, pp. 2639–2644. • A. N. Deleniv and S. Gevorgian, “Open resonator technique for measuring multilayered dielectric plates,” Sep. 2005, pp. 2908–2916. • G. DeVita and G. Iannaccone, “Design criteria for the RF section of UHF and microwave passive RFID transponders,” Sep. 2005, pp. 2978–2990. • P. V. Nikitin, K. V. S. Rao, S. F. Lam, V. Pillai, R. Martinez, and H. Heinrich, “Power reflection coefficient analysis for complex impedances in RFID tag design,” Sep. 2005, pp. 2721–2725. • T. M. Grzegorzyk, C. D. Moss, J. Lu, X. Chen, J. Pacheco, Jr., and J. A. Kong, “Properties of left-handed metamaterials: Transmission, backward phase, negative refraction, and focusing,” Sep. 2005, pp. 2956–2967. • M. Sun and Y. P. Zhang, “Performance of inter-chip RF-interconnect using CPW, capacitive coupler, and UWB transceiver,” Sep. 2005, pp. 2650–2655. • S. Y. Huang and Y. H. Lee, “Tapered dual-plane compact electromagnetic bandgap microstrip filter structures,” Sep. 2005, pp. 2656–2664. • H.-M. Lee and C.-M. Tsai, “Improved coupled-microstrip filter design using effective even-mode and odd-mode characteristic impedances,” Sep. 2005, pp. 2812–2818. • J. Nath, D. Ghosh, J.-P. Maria, A. I. Kingon, W. Fathelbab, P. D. Franzon, and M. B. Steer, “An electronically tunable microstrip bandpass filter using thin-film barium–strontium–titanate (BST) varactors,” Sep. 2005, pp. 2707–2712. • P.-S. Wu, C.-H. Wang, T.-W. Huang, and H. Wang, “Compact and broadband millimeter-wave monolithic transformer balanced mixers,” Oct. 2005, pp. 3106–3114. • R. Gomez-Garcia, J. I. Alonso, and D. Amor-Martin, “Using the branch-line directional coupler in the design of microwave bandpass filters,” Oct. 2005, pp. 3221–3229. • M. L. Psiaki, S. P. Powell, H. Jung, and P. M. Kintner, “Design and practical implementation of multifrequency RF front ends using direct RF sampling,” Oct. 2005, pp. 3082–3089. • G. Macchiarella and S. Tamiazzo, “Design techniques for dual-passband filters,” Nov. 2005, pp. 3265–3271. • R. J. Cameron, M. Yu, and Y. Wang, “Direct-coupled microwave filters with single and dual stopbands,” Nov. 2005, pp. 3288–3297.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

• H. Zhang, H. Guo, and G. P. Li, “Broad-band power amplifier with a novel tunable output matching network,” Nov. 2007, pp. 3606–3614. This TRANSACTIONS publishes a number of Special Issues devoted to topical areas and to conferences. Upcoming Special Issues are as follows. 1) Mini-Special Issue on the 2005 Asia–Pacific Microwave Conference. Scheduled publication date: August 2006. 2) Special Issue on the 2006 Radio and Wireless Symposium. Scheduled publication date: September 2006. 3) Mini-Special Issue on Measurements for Large-Signal Characterization and Modeling of Nonlinear Analog Devices, Circuits, and Systems. Scheduled publication date: September 2006. 4) Special Issue on the 2006 IEEE MTT-S International Microwave Symposium. Scheduled publication date: November 2006. 5) Special Issue on Applications of Ferroelectrics in Microwave Technology. Scheduled publication date: January 2007. More information about these Special Issues can be obtained from this TRANSACTIONS’ web-site: http://www.mtt.org Publications Transactions. Here authors can also find an author checklist required for submission of papers. Recent published Special Issues are as follows. 1) Special Issue on Microwave Photonics. Publication date: February 2006. 2) Special Issue on Ultra-Wideband. Publication date: April 2006. 3) Special Issue on the 35th (2005) European Microwave Conference. Published as Part II of this June issue.

2291

I am honored to have been your Editor-In-Chief and also look forward to returning to some normalcy. The job of Editor-In-Chief in the coming years will be shared by two Co-Editors-In-Chief: Dr. Amir Mortazawi and Dr. Dylan Williams. They can be reached using the e-mail address [email protected]. Again, thank you for entrusting me with the most important technical position in the IEEE MTT-S. I thank authors and readers for the wonderful letters of support I have received over the years. I also thank the Associate Editors who have worked with me over the past three years and through their dedication and tireless efforts are just as responsible for our achievements. I thank Drs. Steven Marsh, Andreas Cangellaris, Manh Anh Do, Kenji Itoh, David Linton, Yoshio Nikawa, José Carlos Pedro, Sanjay Raman, Vittorio Rizzoli, Zoya Popovic´ , Alessandro Cidronelli, Alexander Yakovlev, Amir Mortazawi, Dylan Williams, Ruey-Beei Wu, Tadeusz Wysocki, Antti Raisnen, Baumann Kim, Wolfgang Menzel, Peter Russer, and Randy Lehmann. Please thank them for their dedication when you see them. I also thank the Guest Editors of the Special Issues published during my tenure. Everyone contributed to a very timely publication with the highest standards. I leave the post with great satisfaction and wish the new team every success.

MICHAEL STEER, Editor in Chief Department of Electrical and Computer Engineering North Carolina State University Raleigh, NC 27695-7914 USA

2292

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Design and Characterization of Half Maxwell Fish-Eye Lens Antennas in Millimeter Waves Benjamin Fuchs, Olivier Lafond, Sébastien Rondineau, Member, IEEE, and Mohamed Himdi

Abstract—This paper presents the performance of multilayered half Maxwell fish-eye (HMFE) lenses fed by aperture-coupled microstrip patch antennas. Manufacturing techniques are reviewed and the shell technique is retained. Many lens configurations are investigated and compared using a full-wave electromagnetic software at 50 GHz. We report the effects of the number of shells, diameter of the lens, and distance between the primary source and the lens on the input impedance, broadside directivity, and aperture efficiency. Thus, we show that aperture efficiencies up to 95% can be obtained for a one-wavelength-diameter lens with only three shells, justifying the interest in such lenses. An analytical optimization method is also proposed and detailed to choose the thickness and permittivity of a three-shell HMFE lens to approach the radial permittivity law as well as possible. Simulations of lens antennas whose shell characteristics are determined by various ways show that the optimized lens is the one that provides the highest broadside directivities. Finally, measurements done with a three-shell four-wavelength diameter lens fed by a 2 2 patch antenna array show the validity of these simulations. To our knowledge, this represents the first layered HMFE lens carried out in the millimeter-wave frequency range.

Fig. 1. Index distribution along the normalized lens radius in the case of: Maxwell fish-eye ( ), Eaton ( ), Eaton–Lippman ( ), and Luneburg ( ).

0

3

Index Terms—High directivity, lens antennas, millimeter-wave antenna, optimization.

I. INTRODUCTION UE TO the congestion of the frequency spectrum, the operating frequencies of wireless communication systems have increased significantly in the last decades. This has lead to renewed interest in a category of dielectric antennas: lens antennas. At millimeter-wave frequencies, dielectric lens antennas exhibit reduced weight and size as compared to conventional antennas. These properties are very attractive for embedded systems such as automotive radars [1], multimedia satellites, and wireless communication systems [2], [3]. Although homogeneous lenses are the most widespread structure for millimeter-wave applications, lens antennas can be built from homogeneous or inhomogeneous materials. This paper focuses on an inhomogeneous lens antenna: the half Maxwell fish-eye (HMFE) lens antenna.

D

Manuscript received October 7, 2005; revised February 23, 2006. This work was supported by the Institute of Electronics and Telecommunications of Rennes. B. Fuchs, O. Lafond, and M. Himdi are with the Institute of Electronics and Telecommunications of Rennes, Unité Mixte de Recherche, Center National de la Recherche Scientifique 6164, University of Rennes I, Rennes Cedex 35042, France (e-mail: [email protected]; [email protected]; [email protected]). S. Rondineau is with the Department of Electrical and Computer Engineering, University of Colorado at Boulder, Boulder CO 80309-0425 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875255

Among the inhomogeneous lenses, we can distinguish between the multimaterial lens and the gradient-index lens. For multimaterial lenses, the most popular structure is the Fresnel zone plate lens [4], which is constructed with stacked parallelplate waveguides of various lengths. In contrast, gradient index lenses are spherical or hemispherical lenses whose index of refraction varies from the center to the surface of the lens according to a radial refractive index. The most famous laws are shown in Fig. 1. They are the Luneburg [5], Maxwell fish-eye [6], Eaton [7], and Eaton-Lippman lenses [7]. The original designs of these lenses are based on geometrical optics and, more specifically, Fermat’s principle [5]. Extensive studies were conducted from the 1950s to the 1970s to understand the electromagnetic properties of inhomogeneous lenses. These led to a variety of modifications and generalizations in the design [7]–[9]. After that, exact electromagnetic methods were developed to analyze continuous [10], [11] and multilayered lenses, e.g., modematching techniques [12]–[14]. However, these methods do not account for the metallic part of the source. Therefore, to be as close as possible to the real lens antenna, the commercial software CST Microwave Studio was used to compute the far-field radiation patterns and reflection coefficient of the HMFE lens (Section IV). This code, a finite integration technique, is based on a discretized solution of the integral formulation of Maxwell’s equations. To the best of our knowledge, multilayered HMFE lens antennas have not been previously investigated. Since our aim was to realize a prototype, we focused our attention on the ease of manufacturing, as it is a crucial issue for the gradient-index lens.

0018-9480/$20.00 © 2006 IEEE

FUCHS et al.: DESIGN AND CHARACTERIZATION OF HMFE LENS ANTENNAS IN MILLIMETER WAVES

2293

Fig. 2. Focusing properties shown with an unit incoming plane wave of an HMFE lens seen from: (a) geometrical optics ray tracing and (b) electric field computed by CST Microwave Studio. The electromagnetic simulation shows a blurred focus region instead of a perfect focus point, as predicted by geometrical optics.

Section II shows the theoretical properties of the HMFE lens and discusses different manufacturing options. This leads to a hemispherical layered lens for which the permittivity and thickness of each shell are investigated in Section III. Overall performance (reflection coefficient and radiation patterns) obtained by simulations and measurements are presented in Section IV. Conclusions and perspectives are then drawn in Section V. II. THEORETICAL PROPERTIES AND MANUFACTURING TECHNIQUES OF THE HMFE LENSES Although the optical and electromagnetic properties of ideal inhomogeneous lenses have been well known for a few decades, the fabrication of devices, having smoothly varying dielectric constants, has proven to be quite difficult. Therefore, we briefly review the characteristics of the ideal HMFE lens and then focus on how to manufacture such a lens. A. Theoretical Properties of Maxwell Fish-Eye Lenses Through a Maxwell fish-eye lens, the energy of a point source, placed at one side of the lens, converges into a focus point on the diametrically opposite side of the lens. Due to the symmetry of the structure, a spherical wave at the surface of the lens is converted into a local plane wave at the center of the lens and reemerges as a spherical wave at the surface on the opposite side. Thus, the radiation pattern of a point source through the Maxwell fish-eye lens is nearly omni-directional and a point source on the HMFE lens is highly directive. Geometrical optics predicts that the HMFE lens transforms a point source into a plane wave. By reciprocity, a beam of parallel rays incident upon the flat side of the HMFE lens is focused on a point as represented in Fig. 2(a). To illustrate, Fig. 2(b) shows the magnitude of the electric field over the - and -plane of a 10- -diameter HMFE lens illuminated by a plane wave. Greenwood and Jian-Ming [6] present the same lens excited by a dipole. The behavior of the lens can be adjusted by modifying the index profile. The Maxwell fish-eye lens can focus from one arbitrary point source to another, as shown in [15] and [16] for the Luneburg lens. Therefore, the HMFE lens can have a focal point outside the lens on the axis of revolution. This can be very useful because a point source on the surface of a lens is very difficult to obtain.

B. Lens Manufacturing Fabricating spherical gradient index lenses poses several practical limitations such as obtaining the desired variable dielectric-constant characteristics. As we have not found any prototype of the Maxwell fish-eye lens in the literature, we will briefly review the primary methods for manufacturing Luneburg lenses that can be applied to the HMFE. The most common method is the shell technique, also known as the onion model method. The lens is fabricated from a finite number of concentric homogeneous dielectric shells [17]. The main drawback is the difficulty of maintaining the dimensional accuracy of the shells and the concentricity of the layers. This can produce inter-shell air gaps that alter the performances of the lens. To avoid this problem of curvature, Zimmerman et al. [18] proposed the tapered holes approach. It consists of drilling radial holes in a homogeneous dielectric sphere in such a way that their radii extend from the center to the surface of the lens to alter its dielectric constant. However, this manufacturing process requires a tool that can drill in three dimensions and make tapered holes. This has led to the slice technique [19]. The lens is approximated by a pile of homogeneous dielectric coaxial cylinders and the gradient index is obtained by varying the hole density. As a result, a large number of different pieces must be machined, which results in a long manufacturing time. A steeper gradient requires a smaller hole radii, which increases the number of holes and slices. Consequently, the HMFE distribution, whose index gradient is steeper than the Luneburg distribution, would require higher accuracy and increased fabrication time. This makes the slice technique less appropriate than the concentric shells to build an HMFE lens. In view of the methods employed for the Luneburg lens, the shell technique [20] is the most appropriate for fabricating the HMFE lens. With this technique, we add concentric homogeneous layers of decreasing dielectric constant, which approximate the desired radially varying dielectric constant. Accordingly, the lens is called a discrete HMFE lens. III. OPTIMIZED DISCRETIZATION TECHNIQUES The discrete HMFE lens is constructed as a multishell hemispherical lens. Some parameters, namely, the number of shells, thickness, and permittivity of each shell, need to be chosen before manufacturing the lens.

2294

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 3. (a) Exploded three-dimensional (3-D) view of a three-shell HMFE lens. (b) Top view of a quarter of a lens with the six parameters to be found.

A. Number of Shells

and the integration is then with respect to . Since is a piecewise constant function of the radial position , i.e., for , where – , in (1) can be rewritten

comes

In general, a higher number of shells more closely approximates the ideal lens and, therefore, performs better. Thus, to attain a given performance, there exists a minimum number of shells for a lens with a given size and source. As we focus our attention on the ease of manufacturing, we choose to use only three shells for the lens [see Fig. 3(a)]. We will prove in Section IV-B why this choice is a good one. B. Choice of Layer Thickness and Relative Permittivity of Each Shell Instead of varying from two to one, as in the Luneburg case, the relative permittivity ranges from four at the center to one at the surface for the Maxwell fish-eye distribution. It is, therefore, even more important to carefully choose the thickness and the relative permittivity value of each shell. Various choices for these parameters have already been proposed for the discrete Luneburg lenses, but no adequate justifications have been given. Peeler and Coleman [21] used an equal dielectric constant step and chose step positions at the radii of the mean permittivity in the ideal lens. Schrank and Sanford [22] chose the radius of each shell such that the projected area of the shells is equal. More recently, nonuniform Luneburg lens antennas have been synthesized by genetic algorithms [23]. We propose a method that relies on the minimization of an approximation criterion. Since the lens is embedded in air, we consider that the three-shell lens has one more outer shell composed of air, which will be taken into account to approximate the law. We denote the outer radius of this pseudoshell with the variable . The geometry of the problem is presented in Fig. 3(b). The variable is the outer radius of the th shell. Note that , , and . The number of degrees of freedom is then six, namely, and (i.e., the relative permittivity and normalized outer radii of the three real shells). We propose to minimize the following criterion with respect to these parameters: (1)

denotes the theoretical relative permittivity law and represents the reconstructed relative permittivity that will be optimized. Due to the hemispherical symmetry of the lens, be-

(2) In this expression, we will consider three values for the exponent , namely, and . For , we penalize the absolute value of the discrepancy , the case corresponds to the standard least squares approach. For , one defines , i.e., over each interval (shell), we minimize the maximum of the discrepancy. In our case, is the Maxwell fish-eye law and equals [6] (3) To simplify the presentation, we use the normalized radii for and . While varies from 0 to 1, ranges from 4 to 1. To perform the optimization, we divide the unknowns into and . We choose a reasonable initial point for the radii , e.g., and take the minimum of with respect to to get . We then minimize with respect to to yield , etc., i.e., we proceed by minimizing alternatively with respect to and until a stopping criterion is satisfied. For the three values of , the details of the analytical calculations are given in the Appendix. Since the relative permittivity of materials is usually given with a precision on the order of 1%, we perform the optimization up to three digits and fix the stopping criterion accordingly. We observe that the algorithm converges rapidly and the optimum is independent of the initial point; this is so because is monotonically decreasing. Therefore, the optimization method can be applied to any given law provided it is monotonic and generalized to any number of shells. IV. PERFORMANCE OF THE DISCRETE HMFE LENS Here, we first investigate the performance of various HMFE lenses fed by an aperture-coupled microstrip (ACM) patch antenna. We then compare methods for choosing the lens parameters. Finally, experimental results of a three-shell HMFE lens

FUCHS et al.: DESIGN AND CHARACTERIZATION OF HMFE LENS ANTENNAS IN MILLIMETER WAVES

Fig. 4. (a) Cross-sectional view of the

2295

N -shell HMFE lens antenna. Top view of the primary sources: (b) an ACM single and (c) 2 2 2 patch antenna array.

TABLE I CHARACTERISTICS OF THE PRIMARY SOURCES

fed by an ACM 2 2 patch antenna array are given and compared to simulations. A. Antenna Geometry The geometry of the lens antennas and primary sources is shown in Fig. 4. denotes the outer radius of the th shell whose relative permittivity is . Thus, is the outer radius of the -shell lens. The diameter of the lens is labeled and denotes the distance between the source and lens. The operating frequency of the two primary sources is 50 GHz. Most of the simulations (Sections IV-B and IV-C) were done with the ACM single-patch antenna to minimize the computing time. As we wanted to have a more significant directivity to reduce diffraction effects at the edges, an ACM 2 2 patch antenna array was realized, measured, and compared to simulations. The dimensions and substrate characteristics of both sources are given in Table I. In order to investigate the properties and influence of the various lens parameters, we consider a uniform HMFE lens. This means that each shell has the same thickness and its permittivity is such that (4)

B. Study of the Uniform HMFE Lens To understand the influence of the number of shells constituting the lens, let us consider the following configuration: ACM

single-patch source and . Fig. 5(a) shows the variations of the reflection coefficient as a function of the number of shells. Increasing the number of shells improves the match and smooths the change in permittivity between the different layers. Note that, with only three shells, the matching is already good ( 20 dB). Thus, for these lens antennas, the design of the source can be done independently of the lens. Variations of the maximum directivity in the broadside direction and the aperture efficiency are represented in Fig. 5(b) as a function of the number of shells. The aperture efficiency is defined by , where is the directivity of a constant field circular aperture of the same diameter [24]. Note that, for the patch alone, we have dB. For a given lens diameter, increasing the number of shells above three does not result in a significant increase in directivity. Let us now see the influence of the diameter of the lens in Fig. 6 by considering the following configuration: an ACM single-patch source, a three-shell uniform HMFE lens, and . Increasing the diameter of the lens enhances the directivity of the lens antenna. However, this leads to a larger radiating aperture and, thus, is accompanied by a reduction of aperture efficiency. While retaining a uniform lens and , one can exploit the distance between the primary source and the lens to improve the performance of the lens antenna. Fig. 7 shows the influence of this distance for a three-, five-, and ten-shell lens fed by an ACM single patch. The relative variation of the broadside directivity is defined as . By sorting the three lens antennas by increasing , we get the three-, five-, and ten-shell lens fed by the ACM patch. Thus, the patch with the three-shell lens is the configuration that is the least sensitive, in terms of directivity, to the distance . The influence of this distance, although difficult to quantify, can be explained qualitatively in the following way: the closer to the ideal lens, the smaller the focal zone. This is evidenced in Fig. 8, which shows the magnitude of the electric field over the - and -plane of a three-shell -diameter HMFE lens illuminated by a plane wave. We notice that the focal zone is relatively wide and blurred. Moreover, simulations performed with a dipole in-

2296

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 5. Influence of the number of shell: (a) on the return loss and (b) on the broadside directivity D .

Fig. 6. Variation of the broadside directivity D and the aperture efficiency  of the three-shell uniform HMFE lens as a function of the lens diameter 8.

stead of a patch show that is then even more important. As the electrical size of the antenna decreases, the lens antenna performance becomes more sensitive to the distance .

Directivity of the primary source.

Fig. 7. Influence of the distance h on the broadside directivity D for three uniform HMFE lens fed by an ACM patch: a three-shell lens ( ), five-shell lens ( ), and ten-shell lens ( ).

C. Comparison Between Methods for Choosing the Lens Parameters It is important to know how to choose the lens parameters in order to maximize the directivity of the lens antenna. For that purpose, we consider three-shell lenses whose permittivity and shell thickness are chosen by five different methods, which are: three optimized lenses (with and ); the uniform lens; and the equal area lens, for which for and . The parameters of these lenses are detailed in Table II. The broadside directivities of these five three-shell 4- -diameter lenses, fed by the ACM patch, are investigated as a function of the distance , as shown in Fig. 9. The antenna feed has a non-zero finite electrical length. Its main effect is that the thickness of the air shell predicted by optimization is different from the distance . We notice that the lens optimized with leads to the highest directivities—a result that validates the choice of this

Fig. 8. Electric field distribution, computed by CST Microwave Studio, in the neighborhood of a 4 -diameter three-shell uniform HMFE lens excited by a unit incoming plane wave. The electromagnetic simulation shows a blurred focus region at the bottom of the lens.

approximation criterion (see Section III-B for the definition). The radii and permittivities are chosen such that, everywhere in the lens, the difference between the approximated permittivity

FUCHS et al.: DESIGN AND CHARACTERIZATION OF HMFE LENS ANTENNAS IN MILLIMETER WAVES

2297

TABLE II CHARACTERISTICS OF THE SIMULATED LENSES

Fig. 10. Top view of the three-shell HMFE lens and its foam support.

Fig. 9. Comparison of the directivity D as a function of the distance h for ( ), with five three-shell 4- diameter lenses: the optimized lens with q = q = 2 ( ), with q = 1 ( ), the uniform lens ( )0, and the equal area lens ( ).

3

2

1

and the theoretical permittivity is as small as possible. This is called a minmax optimization; with respect to all the parameters, the maximum discrepancy over the entire domain is minimized. The corresponding optimal parameter values are trivial to obtain and do not require a lengthy optimization algorithm, as is shown in Appendix I. D. Experimental Results The primary source is the 2 2 patch array in Fig. 4(c) printed on a 256- m-thick RT 6006 Duroid substrate whose relative permittivity has been characterized to 7.0 at 50 GHz. A low loss and low dielectric foam support (Eccostock SH-2 with ) is used to maintain the distance between the lens and the primary source. The lens has an outer diameter of 24 mm, i.e., at 50 GHz. The three shells of the lens have relative dielectric constants and with boundaries at and mm, respectively. They are made by Emerson & Cuming, Meudon la Foret, France (Eccostock LoK K-1.5, Eccostock HiK500F and ). A top view of this lens antenna is shown in Fig. 10. The primary source is located at the distance from the lens in order to maximize the directivity of the lens antenna. Fig. 11 compares the simulated and measured far-field radiation patterns, at 48.5 GHz, of the primary source alone and with the three-shell HMFE lens. At this frequency, the measured gain is maximal and reaches 16.4 dB. The total efficiency of the lens antenna is then . The aperture efficiency has been previously defined, and is the efficiency due to the losses of the lens antenna that can be calculated via .

For a computed directivity dB, the aperture efficiency of the system is and the efficiency due to the lens antenna losses is . This results in the overall efficiency . The measurements and simulations agree quite well, which validates the simulations previously shown. The main beam and peak positions are well predicted. The differences in the sidelobe levels are due to the diffraction effects of the ground plane and the V-connector. This is particularly visible in the -plane pattern of the primary source without the lens. The disagreements concerning the main beam width may be due to an error in the positioning of the source since the device used to fix the lens does not make it possible to have a precision of higher than 0.1 mm. V. CONCLUSION This paper presented an investigation on the HMFE lens in the millimeter-wave domain. Manufacturing techniques were reviewed, yielding the shell technique as a viable option. An optimization method to choose the thickness and permittivity of each shell was described for a three-layered HMFE lens. This method depends upon a parameter we have denoted , and it is easily applied to any number of shells and other monotonic laws. The highest directivity is obtained for , which corresponds to a minmax optimization. Many configurations of multishell HMFE lenses fed by an ACM patch have been computed and compared showing that the limitation to three shells is a good compromise between ease of manufacturing and performance in terms of directivity. A prototype of this lens was fabricated and associated with an ACM 2 2 patch array. Comparison between the measured and computed patterns at 48.5 GHz validates the simulation results and the interest in such a lens. Moreover, the results regarding the input impedance of the lens antenna show that the presence of the lens does not disturb the source matching. Thus, its design can be made by considering the primary source alone. Since the lens can operate over a broad frequency band, a frequency offset can be applied. The directivity and aperture efficiency for the HMFE lens antenna make it especially suitable for embedded communication systems in millimeter-wave frequencies. For that purpose, we

2298

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 11. Comparison between simulated (---) and measured [co-pol (—) and cross-pol (1 1 1)] both (a) and (b) the primary source alone and (c) and (d) with the three-shell HMFE lens, respectively.

E - and H -plane radiated far-field pattern at 48.7 GHz for:

are currently investigating a 77-GHz HMFE lens antenna for automotive radar applications. APPENDIX II. ANALYTICAL AND IMPLEMENTATION CONSIDERATIONS OF THE OPTIMIZATION ALGORITHM Detailed here is the optimization algorithm presented in Section III-B. The following expression has to be minimized:

(5)

for and . The implemented algorithm optimizes both the layer thickness and relative permittivity of each lens shell, as shown in Fig. 12. The notations are defined in Fig. 13. Note that, in the sequel, to perform the integrations involving the theoretical relative permittivity , we approximate it by an order 3 polynomial found by the least squares approach. We thus replace by . This allows analytical integration.

Fig. 12. Chart of the optimization algorithm.

FUCHS et al.: DESIGN AND CHARACTERIZATION OF HMFE LENS ANTENNAS IN MILLIMETER WAVES

2299

, one observes that since is monoFor tonic, the maximum of over each interval is obtained at one of the two boundaries of the interval. For fixed ’s, the optimum in step 1 is then simply attained when , i.e., when the discrepancy is the same at both ends of each interval. Thus, the first iteration gives , . The second step then consists of the optimization of (9) with respect to the ’s

Fig. 13. Representation of the theoretical (—) and reconstructed (---) permittivity laws and notations used for the normalized radial dimensions and relative permittivities.

For

, we have

(6)

(9)

This leads to an easy-to-solve linear system that successively yields , , and finally, from which one deduces the optimal ’s. The optimization in the case can be done analytically and needs no iterative algorithm. REFERENCES

so that Let us introduce the intermediate variables . Such an always exists and is unique since is a monotonic function. Therefore, the absolute values can be dropped, which eases the calculations of the derivatives. We then obtain

(7) Step 1, the optimization with respect to , yields and it follows that , . Step 2, the optimization with respect to , leads to , from which 1 deduces ’s since is monotonically decreasing. As the unique function has no analytical inverse, the evaluation of the ’s requires the implementation of a local and trivial search algorithm. One then alternates steps 1 and 2 until the stopping criterion is satisfied. For , we have

(8)

Nulling the derivatives with respect to the ’s, step 1 , . While the yields step 2 local optimization with respect to the ’s leads to , . We proceed to obtain the ’s. as for

[1] B. Schoenlinner, X. Wu, J. P. Ebling, G. V. Eleftheriades, and G. M. Rebeiz, “Wide-scan spherical-lens antennas for automotive radars,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2166–2175, Sep. 2002. [2] X. Wu, G. V. Eleftheriades, and T. E. van Deventer-Perkins, “Design and characterization of a single- and multiple-beam millimeter-wave circularly polarized substrate lens antennas for wireless communications,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 732–737, Jun. 1999. [3] C. A. Fernandes and J. G. Fernandes, “Performance of lens antennas in wireless indoor millimeter-wave application,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 431–441, Mar. 2001. [4] F. Gallée, G. Landrac, and M. M. Ney, “Artificial lens for third-generation automotive radar antenna at millimeter-wave frequencies,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 150, no. 6, pp. 470–476, Dec. 2003. [5] R. K. Luneburg, Mathematical Theory of Optics. Providence, Rhode Island: Brown Univ. Press, 1944. [6] A. D. Greenwood and J. Jian-Ming, “A field picture PF wave propagation in inhomogeneous dielectric lenses,” IEEE Antennas Propag. Mag., vol. 41, no. 5, pp. 9–18, Oct. 1999. [7] J. E. Eaton, “On spherically symmetric lenses,” IRE Trans. Antennas Propag., vol. AP-4, no. 1, pp. 66–71, Dec. 1952. [8] S. P. Morgan, “Generalizations of spherically symmetric lenses,” IRE Trans. Antennas Propag., vol. AP-7, no. 4, pp. 342–345, Oct. 1959. [9] A. Kay, “Spherically symmetric lenses,” IRE Trans. Antennas Propag., vol. AP-7, no. 1, pp. 32–38, Jan. 1959. [10] P. Rozenfeld, “The electromagnetic theory of three-dimensional inhomogeneous lenses,” IEEE Trans. Antennas Propag., vol. AP-24, no. 3, pp. 365–370, May 1976. [11] H. Rosu and M. Reyes, “Electromagnetic modes of the Maxwell fisheye lens,” Atomic, Molecul., Opt. Phys., Apr. 1996. [12] H. Mieras, “Radiation pattern computation of a spherical lens using Mie series,” IEEE Trans. Antennas Propag., vol. AP-30, no. 6, pp. 1221–1224, Nov. 1982. [13] J. Sanford, “Scattering by spherically stratified microwave lens antennas,” IEEE Trans. Antennas Propag., vol. 42, no. 5, pp. 690–698, May 1994. [14] S. Rondineau, “Modélisation de lentilles sphériques à gradient d’indice et sources conformes associées,” Ph.D. dissertation, Dept. Signal Process. Telecommun., Univ. Rennes 1, Rennes, France, 2002. [15] S. P. Morgan, “General solution of the Luneburg lens problem,” J. Appl. Phys., vol. 29, pp. 1358–1368, 1958. [16] D. K. Cheng, “Modified Luneberg lens for defocused source,” IRE Trans. Antennas Propag., vol. 8, no. 1, pp. 110–111, Jan. 1960.

2300

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[17] Emerson and Cuming, “Stepped-index Luneberg lenses: Antennas and reflective devices,” Electron. Des., 1960. [18] K. A. Zimmerman, “Luneberg lens and method of constructing same,” U.S. Patent 5 677 796, Oct. 14, 1997. [19] S. Rondineau, M. Himdi, and J. Sorieux, “A sliced spherical Luneburg lens,” IEEE Antennas Wireless Propag. Lett., vol. 2, pp. 163–166, 2003. [20] O. Lafond, M. Himdi, S. Rondineau, and B. Fuchs, “Lentilles inhomogénes à gradient d’indice de type Oeil de Poisson de Maxwell, systéme d’antenne et applications correspondants,” French Patent Req. 0507188, Jul. 5, 2005. [21] G. Peeler and H. Coleman, “Microwave stepped-index Luneberg lenses,” IEEE Trans. Antennas Propag., vol. AP-6, no. 2, pp. 202–207, Apr. 1958. [22] H. Schrank and J. Sanford, “A Luneberg-lens update,” IEEE Antennas Propag. Mag., vol. 37, no. 1, pp. 76–79, Feb. 1995. [23] H. Mosallaei and Y. Rahmat-Samii, “Non-uniform Luneburg and 2-shell lens antennas: Radiation characteristics and design optimization,” IEEE Trans. Antennas Propag., vol. 49, no. 1, pp. 60–69, Jan. 2001. [24] C. A. Balanis, Antenna Theory-Analysis and Design, 2nd ed. New York: Wiley, 1996, pp. 609–609.

Benjamin Fuchs was born May 28, 1981. He received the Electronics Engineering degree and French DEA (Masters) degree in electronics from the Institut National des Sciences Appliquées (INSA), Rennes, France, in 2004, and is currently working toward the Ph.D. degree in signal processing and telecommunications at the Institut d’Electronique et de Télécommunications de Rennes (IETR), University of Rennes 1, Rennes, France. His research interests are millimeter-wave focusing and multibeam devices. His focus is on inhomogeneous lenses.

Olivier Lafond received the French DEA (Masters) degree in radar and telecommunications from the University of Rennes, Rennes, France, in 1996, and the Ph.D. degree in signal processing and telecommunications from the University of Rennes 1, Rennes, France, in 2000. Since October 2002, he has been an Associate Professor with the Institute of Electronics and Telecommunications of Rennes (IETR), University of Rennes 1. His research interests are passive and active millimeter-wave antennas and circuits, multibeam antennas, inhomogeneous lenses, and substrate characterization techniques for millimeter-wave applications.

Sébastien Rondineau (M’04) was born in Paimboeuf, France, in 1975. He received the Diplôme d’Ingénieur en Informatique et télécommunications (a postgraduate degree in signal processing and telecommunications) and Ph.D. degree from the University of Rennes 1, France, Rennes, France, in 1999 and 2002, respectively. He is currently a Research Assistant Professor with the Microwave and Active Antenna Laboratory, Electrical and Computer Engineering Department, University of Colorado at Boulder. His research interests include the method of analytical regularization in computational electromagnetics, mode matching, conformal mapping, microscale interconnects, propagation and scattering of waves, homogeneous and inhomogenous dielectric lenses, discrete lens arrays and antennas.

Mohamed Himdi received the Ph.D. degree in signal processing and telecommunications from the University of Rennes 1, Rennes, France, in 1990. Since 2003, he has been a Professor with the University of Rennes 1, and is currently the Head of the High Frequency and Antenna Department, Institut d’Electronique et Télécommunications de Rennes (IETR), Unité Mixte de Recherche, Center National de la Recherche Scientifique. He has authored or coauthored 36 journal papers and over 120 papers in conference proceedings. He has also authored/coauthored two book chapters. He holds eight patents in the area of antennas. His research activities concern passive and active millimeter-wave antennas. His research interests also include theoretical and applied computational electromagnetics, development of new architectures of printed antenna arrays, and new three-dimensional (3-D) antenna technologies. Prof. Himdi was the recipient of the 1992 International Symposium on Antennas and Propagation (ISAP) Conference Young Researcher Scientist Fellowship (Japan) and a 1995 award presented by the International Union of Radio Scientists (Russia). He was Laureat of the Second National Competition for the Creation of Enterprises in Innovative Technologies in 2000 (Ministry of Industry and Education, France).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2301

Development of Integrated HEB/MMIC Receivers for Near-Range Terahertz Imaging Fernando Rodriguez-Morales, Student Member, IEEE, K. Sigfrid Yngvesson, Life Fellow, IEEE, Richard Zannoni, Eyal Gerecht, Member, IEEE, Dazhen Gu, Student Member, IEEE, Xin Zhao, Niklas Wadefalk, and John J. Nicholson

Abstract—We present measurement results for a new type of integrated terahertz receiver, as an extension to previous work by the authors. The receiver we developed integrates quasi-optically coupled phonon-cooled NbN hot electron bolometric (HEB) mixers in close proximity with InP monolithic microwave integrated circuit (MMIC) intermediate-frequency (IF) amplifiers. We have measured antenna radiation pattern, receiver noise temperature, and bandwidth, as well as short-term stability of the integrated receivers. The measurements were performed at 1.6 and 2.5 THz over a very broadband IF frequency range. We have been able to extend the effective bandwidth of these receivers up to 5 GHz, the widest reported for any integrated configuration operating above 1 THz. The suitability of the HEB/MMIC approach for imaging applications has been confirmed through the development of a prototype system for near-range scanning. The results presented here are very promising for the future development of heterodyne focal plane arrays for space-based receivers, medical applications, and surveillance. Index Terms—Focal plane arrays, hot electron bolometric (HEB) mixers, imaging, integrated terahertz receivers, monolithic microwave integrated circit (MMIC) low-noise amplifiers (LNAs).

I. INTRODUCTION HE terahertz frequency range is loosely defined as the region of frequencies between 300 GHz and 3 THz. This part of the electromagnetic spectrum has traditionally found various important applications for high-resolution spectroscopy. These applications include earth, planetary, and space science. More recently, medical and surveillance imaging systems have been under development [1]. A number of coherent detector technologies has been developed over the past two decades to fulfill the requirements for low-noise receivers operating in the terahertz regime. Among these technologies we encounter Schottky diode mixers, superconducting-insulating-superconducting (SIS) junctions, and superconducting hot electron bolometric (HEB) mixers. Each of these technologies has inherent advantages and shortcomings.

T

Manuscript received October 8, 2005; revised February 20, 2006. This work was supported by NASA through the Langley Research Center under Contract NAS1-01058 and by CONACyT, Mexico. F. Rodriguez-Morales, K. S. Yngvesson, R. Zannoni, E. Gerecht, D. Gu, X. Zhao, and J. J. Nicholson are with the Department of Electrical and Computer Engineering, University of Massachusetts at Amherst, Amherst, MA 01002 USA. N. Wadefalk was with the RF and Microwave Group, California Institute of Technology, Pasadena, CA 91125 USA. He is now with the Microwave Electronics Laboratory Group, Chalmers University of Technology, SE-412 96 Göteborg, Sweden. Digital Object Identifier 10.1109/TMTT.2006.875257

For example, Schottky diode mixers are inexpensive to fabricate and do not necessarily require operation in a cryogenic environment; however, they present a high local oscillator (LO) power consumption (on the order of 1 mW) and a limited noise performance. SIS mixers have a remarkable noise performance below 1.2 THz, but their sensitivity degrades very quickly beyond that frequency due to fundamental limitations. HEB mixers have the lowest noise performance for frequencies above 1 THz, in addition to having low LO power consumption (on the order of 1 W), but the models that describe their behavior are yet to be completed. Still, HEB mixers represent the most promising technology for heterodyne detection beyond 1 THz. In its most general configuration, a terahertz heterodyne receiver is composed of an active mixing element in cascade with a cryogenic low-noise amplifier (LNA) for the intermediate-frequency (IF) output. The available technologies for IF LNAs have evolved over time from GaAs field-effect transistors to high electron mobility transistors (HEMTs) [2], the current workhorse devices of the microwave/millimeter-wave world [3]–[5]. Moreover, advances in fabrication technologies and circuit modeling have made possible the advent of monolithic microwave integrated circuit (MMIC) LNAs. HEMT MMIC LNAs provide outstanding noise performance and very low dc-power consumption, with the additional advantage of reduced physical size [6]–[8]. In the aforementioned receiver configuration, the mixing element and the IF LNA (whether MMIC or not) are typically independent units connected by means of a coaxial transmission line. A cryogenic isolator is often added to the receiver chain in order to minimize standing waves between the mixer and the IF LNA and to guarantee the unconditional stability of the IF amplifier [Fig. 1(a)]. Currently available isolators occupy considerable physical space, increase the thermal load, and limit the receiver IF bandwidth to not more than an octave. The receiver arrangement as illustrated in Fig. 1(a) works well in most cases; in fact, several instruments designed for astrophysical observations are based on this configuration [9], [10]. Nevertheless, the development of terahertz heterodyne detectors has long called for receiver integration (and thus size reduction), a trend shared by most modern electronic circuits. Receiver miniaturization represents a significant advantage, especially for multiple receiver systems such as focal plane arrays (FPAs). Significant progress has been made in this quest for compactness in submillimeter-wave receivers. One of the earliest conceptual arrays that suggested the use of terahertz detectors in close proximity with LNAs was described in [11]. The first integrated receiver based on an SIS junction and an HEMT IF

0018-9480/$20.00 © 2006 IEEE

2302

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 1. Quasi-optical terahertz receivers: (a) traditional single-element receiver configuration and (b) integrated single-element receiver configuration.

amplifier was reported for 270 GHz in [12]. Later, a fully integrated array based on SIS mixers, superconducting quantum interference device (SQUID) IF amplifiers, and a superconducting Josephson-type LO was demonstrated at 500 GHz in [13]. The integration of HEB mixers and (GaAs) MMIC IF amplifiers was first proposed in [14], but it was not until several years later that such integration was actually implemented for a 1.6 THz focal plane array, using NbN HEBs and InP MMIC LNAs [15], [16]. In this paper, we extend [15] and [16] by presenting an extensive set of measurements performed on several NbN mixers, using the HEB/MMIC integrated approach. We have characterized antenna radiation pattern, optical coupling efficiency, receiver noise temperature, receiver IF bandwidth, and gain stability. Some of the measurements were performed for more than one local oscillator frequency. Furthermore, we describe the implementation of a prototype scanning system for near-range terahertz imaging. A similar imaging system has been recently reported for 640 GHz, using Schottky diode mixers [17]. The system we present operates at 1.6 THz and utilizes an HEB/ MMIC receiver. The prototype is in the development stage and will undergo several improvements; however, preliminary results are in conformity with our design considerations. This paper is organized as follows. Section II provides an overview of the design details for the integrated HEB/MMIC configuration. Section III describes the parameters measured and their importance for the optimum receiver operation. An outline of the laboratory setups and the experimental results are presented in Section IV. Section V discusses the implementation of the prototype scanning imager. A brief comparison of HEB/MMIC receivers with competing direct detectors is also given in Section V. Section VI addresses some considerations for the construction of large HEB/MMIC arrays, including issues pertaining to field deployment. II. HEB/MMIC RECEIVER OVERVIEW The configuration for the integrated receiver we have developed is shown in Fig. 1(b). The active mixer elements are phonon-cooled NbN HEB mixers fabricated on silicon substrates. The device chip size is mm mm m. Device dimensions are typically 4 m wide by 0.5 m long. The core of the HEB devices we use is the thin NbN superconducting film

produced at Moscow State Pedagogical University, Moscow, Russia. The device fabrication was performed through collaboration with the National Institute of Standards and Technology at Boulder, CO, and Chalmers University of Technology, Göteborg, Sweden. The HEB mixers are integrated with planar twin-slot antennas or log-periodic antennas. Twin-slot antennas are narrow bandwidth and linearly polarized. Log-periodic antennas are broadband and the angle of polarization that yields the best response varies with frequency, depending upon the geometry of the teeth. The design center frequency of the two types of antennas we use throughout this paper is 1.6 THz. However, as will be shown, this technology can operate at significantly higher terahertz frequencies by properly scaling the antenna dimensions. We have chosen a quasi-optical scheme for radiation coupling, in which a 4-mm-diameter silicon elliptical lens is used in conjunction with the monolithic antenna. The IF chain of the integrated receiver is composed of an InP MMIC LNA and additional microwave circuitry. The MMIC chips we use (size 0.75 2 mm) have been developed by Weinreb and Wadefalk at the Jet Propulsion Laboratory, California Institute of Technology [18], [19]. The chips were originally intended for use on the Allen telescope array [20]. These amplifiers have three 0.1 m InP HEMT stages. They provide a high gain (up to 32 dB), remarkably low noise (less than 8 K over the band with a minimum of 3.5 K), and very broadband performance (0.5–11 GHz). An important feature of these chips is the possibility of adjusting the bias settings to minimize dc-power utilization. This adjustment can be made without significantly affecting the overall amplifier performance. The best noise conditions are obtained with 20 mW of power dissipation. The best tradeoff among sufficient gain, low power, and low-noise performance is achieved with only about 5 mW (Fig. 2). Low power consumption is particularly important for multipixel receiver systems. In order to minimize the noise figure of the integrated receiver, the matching between the HEB mixer output and the MMIC input had to be optimized. This optimization required a knowledge of the HEB IF impedance as well as the optimal source impedance needed for the low-noise operation of the MMIC. The optimal source impedance of the amplifier was obtained using computer simulations and measurements. In

RODRIGUEZ-MORALES et al.: DEVELOPMENT OF INTEGRATED HEB/MMIC RECEIVERS FOR NEAR-RANGE TERAHERTZ IMAGING

2303

Fig. 3. Inside view of the integrated quasi-optical HEB/MMIC receiver. The antenna shown in this picture corresponds to a twin-slot design.

Fig. 2. Measured performance of the MMIC low-noise amplifier used in our receivers. A microstrip matching transformer was used at the input of the MMIC in order to yield minimum noise figure. The measurements were performed at an operating temperature of 11 K.

regards to the HEB mixer impedance, careful measurements have been carried out on custom-made NbN devices [16], [21]. These studies showed that the appropriate combination of LO power and dc bias leads to a mixer impedance dominated by the real part and close to the dc differential resistance . The foregoing approximation holds for operating points near the optimum (lowest noise) and for frequencies below the IF noise bandwidth of the HEB mixer.1 The imaginary part of the HEB impedance has been taken into account in our computer simulations as a small (though not negligible) reactance. With these considerations, it has been possible to accomplish a broadband coupling between the MMIC LNA and the HEB. This was achieved by employing a multisection microstrip matching transformer. This circuit provides a near-optimum reflection coefficient to the input of the MMIC while presenting an impedance close to 50 as seen at the HEB terminals. Optimal noise operation of the MMIC is thus achieved when the dc differential resistance of the HEB is adjusted to be 50 . However, can be as high as 95 at the optimum operating point for the mixer, in which case the noise temperature of the LNA will still be sufficiently low. The microstrip matching transformer also eliminates the need for an isolator (which drastically reduces the size). All the required dc-bias networks are built on separate circuit boards and placed inside the integrated receiver module. The MMIC amplifier is enclosed in a narrow rectangular cavity in order to suppress undesired waveguide modes that could drive it into oscillation. The cavity is designed to have a cutoff frequency of 75 GHz, which is well above the maximum frequency of operation of the MMIC. A photograph of the HEB/MMIC integrated receiver is shown in Fig. 3. A simplified circuit diagram of the 1The IF noise bandwidth is defined as the frequency at which the receiver noise temperature doubles with respect to the low-frequency value. This parameter will be later denoted as B . A well-known feature of phonon-cooled HEBs is that B is typically (two times) wider than the conversion gain bandwidth [14].

Fig. 4. Simplified circuit diagram of the integrated HEB/MMIC receiver. The MMIC LNA is biased with a common gate and a common drain voltage for all stages.

integrated receiver is shown in Fig. 4. C1 and L1 form a bias-tee with broadband performance for the HEB mixer. The integrated receiver is operated at 4 K, inside a liquid helium (LHe) cryostat. The LO source we use is a laserpumped far infrared (FIR) gaseous laser system. Although solidstate sources with sufficiently high power have become recently available [22]–[24], an FIR laser system is very well suited for extensive laboratory testing. Our system produces stable continuous-wave (CW) terahertz radiation with an output power up to about 100 mW. The LO laser can be readily tuned to different frequencies by changing the gas in the FIR tube. A mylar beam splitter with a thickness of 6 m is used as diplexer between the LO and the signal beam. Two different LO laser frequencies are used for this paper: 1.63 and 2.5 THz. The 1.63 THz laser line runs on difluoromethane gas while the 2.5 THz line runs on methanol gas. III. RECEIVER CHARACTERIZATION As a first step for the validation of the HEB/MMIC approach, we conducted a variety of tests on different NbN mixer devices. A description of the different parameters that were measured and the relevance they have to this investigation is outlined next.

2304

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

A. Antenna Radiation Pattern

C. Stability

For a linearly polarized antenna, performance is often described in terms of its principal - and -plane patterns [25]. The quality of the beam pattern is thus an important parameter to describe the performance of the integrated receiver from the quasi- optical point of view. The far-field pattern of the integrated antenna is primarily determined by the aperture of the elliptical lens [26]. Knowing the antenna radiation pattern profile is essential to achieve an efficient use of the focal plane space with which the antennas sample the incoming radiation [11]. The lens/antenna combination we use is capable of coupling over 90% of the radiation pattern to a single Gaussian mode [26].

Another parameter that can be adjusted in (1) to minimize , is the integration time . Ideally, one would like to integrate for long periods of time . However, cannot be made indefinitely large, lest the signal-to-noise ratio be reduced [30]. The upper limit for is constrained by the short-term gain stability of the system. Gain fluctuations determine the second term to in (1) and must be considered carefully in the design of a terahertz receiver system. A statistical parameter called Allan variance is a practical benchmark for assessing the contribution of different noise types and drift in system gain to the overall receiver stability. This parameter is a two-sample variance taken on the variable . Each value of in a set has been averaged over an interval , and the samples of are taken in an adjacent series, namely, [31]

B. Receiver Noise Temperature and Effective Bandwidth The root mean square (rms) fluctuations in the measured radiation temperature of a coherent detector system are given by the basic radiometer formula

(1) where is the system noise temperature, is the receiver bandwidth, is the integration time, and represents the change (rms) in the system gain .2 In order to achieve the maximum receiver sensitivity, needs to be minimized. Therefore, our integrated receiver system benefits from a large bandwidth and low noise temperature. The bandwidth of the integrated receiver is thus an important parameter that needs to be defined. For this purpose, two important figures of merit are used: the IF noise bandwidth and the effective receiver bandwidth . Both figures of merit are closely related to the measured noise performance. has been introduced in Section II and can be obtained by inspection from the experimental noise data. The use of is most appropriate to estimate the bandwidth of astronomy receivers that detect narrow spectral lines within a given spectral window [29]. When using a terahertz receiver to detect broadband thermal radiation, though, it is best to use to quantify the usable bandwidth [ in (1)]. The effective receiver bandwidth can be obtained based on the measured variation of the receiver noise temperature with IF frequency. We define by integrating the expression for the inverse of versus IF frequency

(4) In our case, the variable is the instantaneous IF output power of the receiver. A log–log plot of the Allan variance versus integration time is commonly referred to as an Allan plot. According to (4), for the ideal case of having totally uncorrelated (Gaussian) noise, the Allan plot should be a straight line with a slope 1 . In a real sensitive receiver, however, this holds true only for sufficiently small values of . As the integration time increases, the contribution to the receiver output power made by the low frequency drift and 1 noise becomes significant. This causes the Allan plot to diverge from the ideal behavior predicted by the first term in the radiometry equation. The Allan time is thus defined as the largest possible integration time for which the 1 noise and gain fluctuations can be neglected. For , the integration efficiency is substantially reduced. The 1 regime in the Allan plot is characterized by a nearly constant variance. For times much longer than , the slow drift in system gain starts to dominate and the Allan variance increases with integration time . When one compares the Allan times ( and ) measured at two different bandwidths ( and ), the following relationship has been proposed [32]: (5) transitions from Here, is a parameter that is one if being proportional to 1 to a 1 noise region and two if the transition is to .

(2) (3) where is the lowest frequency at which the MMIC amplifier produces a low noise temperature (0.5 GHz in our case) and is a polynomial fit to the measured noise temperature response. 2It is assumed that fluctuations in the receiver output due to gain variations are independent of the fluctuations resulting from system noise [27], [28].

IV. RESULTS AND DISCUSSION The HEB devices under test were designated A–E. Mixers A, B, and E were integrated with log-periodic antennas. Devices C and D had twin-slot antennas. For each specimen, the corresponding elliptical lens was attached to the back of the device chip using purified bee’s wax. The precise alignment between the antenna and the center of the lens was done manually under a high magnification optical microscope. Photolithographically patterned marks on the back of the mixer chip were used as a reference for the alignment. With this method we can achieve a device/lens registration accuracy of at least 100 m [14].

RODRIGUEZ-MORALES et al.: DEVELOPMENT OF INTEGRATED HEB/MMIC RECEIVERS FOR NEAR-RANGE TERAHERTZ IMAGING

A. Antenna Radiation Pattern These measurements were completed at 1.6 THz and only for twin-slot antenna devices. Results for the log-periodic version have been reported in earlier work by Ji [33]. The HEBs were operated as direct detectors for these measurements. We required the use of a special fixture instead of the integrated mixer block. This is justified since the quasi-optical part of the receiver remained unchanged after the optical test. The operating temperature of the device under test was increased to 8 K (just below the critical temperature of the superconducting NbN film) using a power resistor heater. This step was done in order to achieve maximum sensitivity. The laser beam was used as an input source and scanned vertically (for the -plane) and horizontally (for the -plane). The output voltage (after preamplification) was continually recorded from a lock-in amplifier using its general-purpose interface bus (GPIB) port and a LabView program. To accomplish the beam scanning, we used a rotating mirror mounted on a special translation unit. The mirror steered the beam with small angular increments while keeping it focused in the aperture plane of the elliptical lens. The FIR beam was chopped by means of an acoustooptical modulator, placed at the output of the laser beam. A chopping frequency of 100 Hz was used. The distance between the FIR beam and the antenna was about 1 m. Absorbing material was placed around the liquid helium dewar and nearby metallic surfaces to reduce unwanted beam reflections as much as possible. Fig. 5 shows the antenna pattern for device D, obtained for both horizontal and vertical planes. The theoretical predictions were obtained using a ray-tracing/field-integration approach [26]. The full-width at half-maximum (FWHM) beamwidth was determined to be close to 3.5 , in agreement with design considerations. The asymmetry in the radiation pattern plots reflects a slight misalignment (off-axis mismatch) between the HEB device and the elliptical lens. The misalignment was estimated by using a data fitting method, in which two parameters (magnitude and angle of the off-axis mismatch) were adjusted to fit the experimental data to the numerical predictions. The magnitude component of the mismatch is measured from the center of the lens while the angular component is measured with respect to the horizontal plane ( -plane) of the antenna. The off-axis mismatch was thus found to be 94 m at an angle of 13 . These fitting parameters are within the accuracy expected from our assembly method (100 m). A global agreement between theoretical calculations and measured results has been obtained for all devices [34]. For a large imaging array, it is desirable to minimize the spacing between adjacent pixels in order to enhance the spatial resolution. Our measurements of the antenna pattern confirm that the optimum interelement spacing is close to the diameter of the lenses (4 mm in this case), as also demonstrated for a similar optical configuration in [13]. These results are also consistent with the optical coupling measurements reported in [15]. B. Receiver Noise Temperature and Effective Bandwidth In order to obtain , we measured the double-sideband noise temperature as a function of frequency for all devices. We used the standard -factor method, in which a hot/cold blackbody radiation source is inserted into the signal beam path, while

2305

Fig. 5. Antenna radiation pattern for device D. (a) E -plane. (b) H -plane.

TABLE I OPTIMUM DC OPERATING CONDITIONS

LNA bias settings: V = 1:1 V, I = 16 mA.

the change in IF output power is recorded. We have a tunable IF back-end (with a 200 MHz bandwidth) that enables us to perform broadband noise measurements. All five HEB devices (A through E) were tested with the same block in order to perform direct comparisons. The twin-slot antenna devices were tested only at 1.6 THz. Devices A, B, and E were tested at both 1.6 and 2.5 THz. In all measurements, both the MMIC and the HEB under test were biased for lowest noise performance. The optimum dc operating conditions used for each device are summarized in Table I. The parameter denotes the critical current of the superconducting film. The value of was obtained from a polynomial fit to the – curve, evaluated at the optimum point.

2306

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 6. Noise performance for different NbN devices measured at 1.6 THz using the HEB/MMIC approach.

TABLE II SUMMARIZED NOISE AND IF BANDWIDTH PERFORMANCE

Fig. 6 shows the measured noise response for detectors A through E, all measured at 1.6 THz. We have deliberately included all responses in the same graph in order to point out the differences in performance. In the first place, one can see the dissimilarities in the magnitude of the noise temperature for low IF frequencies, which are in fact in agreement with our expectations. These discrepancies are mainly due to the unequal – characteristics of the HEB mixers [15]. A noticeable difference in the smoothness of the noise response for different devices is also apparent from Fig. 6. The dotted lines (devices D and E) indicate measurements in which some of the components of the receiver exhibited poor performance at high IF frequencies. In particular, the microwave properties of the bias resistor for the first HEMT’s gate of the MMIC (Rg1 in Fig. 4) as well as the spiral inductor (L1) used in the integrated mixer bias-tee had a strong impact on the LNA noise temperature. A significant improvement was achieved for devices A, B, and C, for which we used components with higher self-resonant frequency. Thus, we conclude that the quality of the components used in the IF circuitry plays a major role in the performance of the integrated receiver. Table II presents a summary of important results obtained for the devices measured in the integrated mixer block. The table includes the receiver double-sideband noise temperature measured at the lowest IF frequency (1 GHz), the IF noise bandwidth, and the effective bandwidth. The latter has been calculated through numerical integration, using (3). The HEB/

p

Fig. 7. Measured Allan deviation (square root of the Allan variance) for device B. The dotted line indicates the ideal response of the receiver (slope 1=  ).

MMIC combination using device A presents the best overall performance (low noise, widest bandwidth). This mixer was ultimately chosen to be used in the prototype imaging system that will be described in Section V. In HEB/MMIC receivers, the effective bandwidth is predominantly constrained by a nontrivial interaction between the mixer and the LNA. Modeling this interaction both accurately and rigorously is a major challenge, mostly because currently available models for HEBs lack completeness [35]–[38]. Aggravating the matter, the noise parameters of the amplifier change when the source impedance is not equal to 50 but instead equal to the IF output impedance of the phonon-cooled mixer [39]. Having achieved effective bandwidths of more than 4 GHz using standard model calculations is therefore a significant accomplishment. Two variables that strongly influence the profile of the HEB impedance, and therefore the bandwidth of the receiver, are the electron temperature relaxation time and the resistance change as a function of absorbed power . is a thermal time constant that is in itself a complicated function of other parameters such as the electron–phonon interaction time , the ratio of the specific heats , the electron–electron interaction time , and the phonon escape time from the NbN film to the substrate [40]. C. Stability The Allan variance measurements were completed using the two LO laser lines indicated in Section II. The bias settings were adjusted for lowest noise operation. The IF output power was then continuously recorded using an Agilent E4418B power meter. An 80 MHz bandwidth (centered at 1.9 GHz) was used. The data were synchronously acquired from the instrument through its GPIB interface and processed by means of LabView software. Fig. 7 shows a plot of the Allan deviation (square root of the Allan variance) as a function of integration time for device B. Our measured value for the Allan time is nearly 1 s for both 1.6 and 2.5 THz. Allan times measured for other devices were also in the order of 1 s, in agreement with the results reported by other research groups for HEB devices of comparable size [41], [42].

RODRIGUEZ-MORALES et al.: DEVELOPMENT OF INTEGRATED HEB/MMIC RECEIVERS FOR NEAR-RANGE TERAHERTZ IMAGING

2307

output power level is further increased by a broadband amplifier operating at room temperature. A low-pass filter (LPF) with a cutoff frequency of 4 GHz is placed in cascade to limit the bandwidth to the effective bandwidth of the receiver as determined in Section IV-B. The output of the LPF is connected to a standard microwave detector in order to produce a rectified voltage. The detected signal is averaged and displayed on a digitizing oscilloscope. This technique allows us to obtain a linear image of one line in the target [50]. The system can in principle be extended to obtain two-dimensional imagery of an object. This can be achieved, for example, via controlled motion of the scan target in the direction perpendicular to the scanning plane. Fig. 8. Optical diagram for the prototype imager.

B. Results V. IMAGING USING AN INTEGRATED HEB/MMIC RECEIVER Imaging can be considered to be the process of measuring the radiation arriving from different directions [43]. Millimeterwave imaging systems have so far been demonstrated at frequencies close to 100 GHz [44], [45]. These systems have primarily been coherent and employed HEMT amplifiers used as preamplifiers to ensure high sensitivity. A competing approach employs direct Nb detectors but requires active illumination to realize sufficient sensitivity [46]. For passive detection, as considered here, our terahertz system is about three orders of magnitude more sensitive. In order to compete with a heterodyne system, direct detectors would be required to also be cooled. A Nb detector cooled to 4.2 K with improved sensitivity was recently demonstrated in the laboratory [47]. No direct detector systems cooled to 4.2 K presently exist that can compete with our heterodyne system, though. We will present a brief quantitative performance evaluation in Section V-C to back up this claim. Direct detector systems designed for use in astronomy can be more sensitive but require sub-kelvin cooling, which makes them impractical for most other applications. Even for astronomy, heterodyne detectors are superior in high-resolution spectroscopy applications [48]. In this paper, we desire to evaluate the new HEB detector array systems primarily for nonastronomy terahertz imaging applications. Examples of such systems include standoff security scanning systems and terahertz imaging systems used in biology and medicine [49]. Hence, we have developed a prototype system capable of scanning thermal radiation from a nearby laboratory target that uses the single element heterodyne mixer described earlier in this paper as detector. The system will be discussed in this section. A. Description of the Prototype Imaging System The system we developed utilizes an oscillating plane mirror as scanning reflector. The radiation emitted by the target is collected by this mirror and focused through two offset-axis paraboloid (OAP) mirrors onto the MMIC/HEB detector. The scanning mirror is located at about 5 cm from the target area. Fig. 8 shows the optical diagram for the prototype scanning system. The plane mirror rotates by approximately 30 at a rate of 8 Hz, driven by a standard electromagnetic actuator. The actuator is in turn excited by a triangle wave. The receiver IF

Using the method outlined in the previous section, we have recorded the image of a step from a room temperature load temperature load (77 K), (280 K) to a liquid nitrogen as shown in Fig. 9(a). These measurements were performed at 1.6 THz using detector A (discussed in Section IV-B). The step was located approximately in the center of the scanned length. The measured noise temperature at the image was about 3000 K. The effective integration time on a pixel was 200 ms, which was obtained based on the scan rate and the size of the target. The image records a peak-to-peak level of 43 mV for a of about 200 K. From this, a responsivity of 0.2 mV K is inferred. Fig. 9(b) shows an image obtained in a similar fashion for a steel bar in thermal equilibrium with a THz absorber background. The absorber was cooled to a temperature (280 K)3 slightly below that of the surroundings. The peak-to-peak level obtained in this case is 3 mV, which translates to a of approximately 15 K. The steel bar is essentially a perfect reflector ( 99%) of the ambient thermal radiation, which was at about 295 K. The 15 K signal obtained from the steel bar is consistent with these facts. The noise in this image is less than 0.3 mV rms. Hence, the fluctuation level at the system input is equivalent to a thermal signal of less than 1.5 K rms. This value is far greater than what would be expected from the radiometry formula, ignoring the contribution of gain fluctuations (0.1 K). Theory predicts that for white noise, the Allan time varies inversely proportional to the bandwidth, which could explain why is larger than the first term in (1).4 No measurements have been published that support this prediction for HEB receivers, however. Our own recent measurements actually show about the same Allan time for MHz, 3 GHz, and 4 GHz. Moreover, for terrestrial terahertz imaging systems, a typical integration time per pixel may be about 10 ms, so the most important range in the Allan variance diagram is for such short times, well below the typical value for in HEBs. We are presently performing additional Allan time measurements for different bandwidths and the results will be published in a future paper. Our results also show some effects due to 60 Hz, but these are traceable to the bias power supplies and will be eliminated as our system is further 3The temperature of the background absorber was measured using a calibrated thermometer. 4The Allan time of 1 s as obtained in Section IV-C was measured for a bandwidth of 80 MHz. However, our imaging system uses the effective bandwidth almost entirely (4 GHz).

2308

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 9. Test recordings from the prototype line imager: (a) step from a load at 280 K to a LN temperature load and (b) steel bar over a background consisting of a load at 280 K.

developed. In spite of the present limitations, the capability of this technology for obtaining imagery using scanning has been shown. We fully expect that we can reach the theoretical sensitivity predicted from the radiometer formula with our heterodyne detector arrays.

VI. CONSIDERATIONS FOR FUTURE HEB ARRAYS A. Array Size

C. Comparison With Direct Detectors We finally ask how the performance of the HEB/MMIC detectors compares with that of direct detector arrays. The sensitivity of direct detectors is characterized by their noise equivalent power (NEP) in units of WHz . The Hz dependence , where is the arises from the postdetection bandwidth integration time that we used to evaluate the heterodyne detecfor a heterodyne system, as tors above. We can convert given by (1), to NEP as follows: NEP

derived from their simplicity in comparison with heterodyne systems.

(6)

is Boltzmann’s constant. For our prototype system, where WHz while an optimized we find NEP could achieve HEB detector system WHz . The room-temperature direct deNEP WHz tector system in [51] has an NEP of 5 10 and K, compared with 16 mK for the optimized HEB heterodyne system, making heterodyne detector arrays three orders of magnitude more sensitive. The lowest NEP reached in a 4.2 K direct detector implemented in an imaging WHz [52]. This results in a system so far is 2 10 mK, assuming a 200 GHz bandwidth, roughly equivalent to a typical atmospheric absorption “window.” The optimized heterodyne system is thus about a factor of 50 more sensitive at the present time. While direct detector systems may still improve, they are clearly not as well developed at terahertz frequencies as the heterodyne system we describe, which has already been demonstrated up to 2.5 THz. We also note that the 4.2 K direct detector system will require integrated SQUID amplifiers to achieve its optimum sensitivity. This fact cancels much of the attraction of room-temperature direct detectors

The desired characteristics of a terahertz imaging system for modern security applications impose certain specifications that need to be met. These requirements can be summarized as follows: • spatial resolution of 1 cm across a 2 m 1 m target at a distance of 25 m; • temperature resolution of 1 K (rms); • acquisition time of a full image compatible with video rates, in the range 30–100 ms. These specifications are taken from DARPA solicitation BAA-04-07, “Terahertz Imaging Focal Plane Array Technology (TIFT)” issued in December 2003, and can be considered typical. With our presently available HEB/MMIC detectors, developing an array that provides the required spatial resolution would necessitate 2 10 elements. This number of pixels is beyond means and unfeasible to obtain at this stage. However, for future HEB array development, it would be possible to meet the above requirements by using a combination of scanning and a focal plane array with a reduced number of detectors. To accomplish the required temperature resolution of 1 K K and GHz, for using a receiver with example, the radiometer equation gives an integration time of 288 s. For a frame rate of 60 ms, it is possible to produce images by raster scanning. In order to produce a total of 2 10 pixels, the array needs to elements. A square FPA with 100 (10 have 10) elements will produce the required K with some margin for downtime during scanning operations. The cross-sectional size of the square array will be very compact, about 6.5 cm by the side, considering that each element occupies an area of 6.5 mm 6.5 mm. The required array can be

RODRIGUEZ-MORALES et al.: DEVELOPMENT OF INTEGRATED HEB/MMIC RECEIVERS FOR NEAR-RANGE TERAHERTZ IMAGING

reduced to a smaller size linear configuration with scanning if the total target area is decreased and/or the special resolution (or frame time) requirements are relaxed. For security applications, a better tradoff between small array size and sufficiently small is expected for frequencies slightly lower than 1 THz, considering that the attenuation of typical clothing materials is lower in that region of the spectrum [53]. B. Cooling and Field Operation At the moment, terahertz HEB receivers are all operated in cryogenic dewars that must be refilled with liquid helium about once every 24 h (see, for example, [9]). This is appropriate for astronomical receivers, but HEB receiver systems for other applications need to be integrated in a closed-cycle refrigerator system. There are refrigerator systems available for laboratory use from several companies. These are still bulky and require large amounts of electrical power and often cooling water for the compressor unit, but could be used in medical/biological imaging applications, for example. A portable terahertz camera can potentially be developed based on cooling systems under development for space applications [54], [55]. Such coolers would need extensive (and expensive) further development in order to minimize power consumption, size, and weight for a portable application. Nonetheless, they could be realized if a sufficiently attractive application arises. VII. CONCLUSION A new type of integrated receiver for terahertz frequencies based on NbN HEB mixers and InP MMIC IF amplifiers has been presented and extensively characterized. Measurement results are reported for 1.6 and 2.5 THz over a very broadband IF frequency range. The noise performance of the integrated receivers is in the range of 10–20 . Effective receiver bandwidths up to 5 GHz can be achieved using this technology. The integrated quasi-optical detectors provide an important advantage for multiple receiver systems such as focal plane arrays. In fact, a prototype FPA that makes use of this type of integrated detectors has been previously demonstrated [15]. We have also presented a prototype imaging system that utilizes an HEB/MMIC receiver. At this stage, the features of the imaging system are limited. However, the capability for producing images through scanning has been demonstrated. The imaging system is undergoing several improvements while a more complete system, also based on HEB/MMIC detectors, is being built. It is expected that presently available solid-state LO sources will help further reduce the overall system size. Potential uses for the HEB/MMIC receivers range from astrophysics to medical diagnostics and surveillance. ACKNOWLEDGMENT Grateful acknowledgement is made to Dr. S. Weinreb, Jet Propulsion Laboratory, Pasadena, CA, for supplying the MMIC chips as well as Dr. P. Khosropanah, Chalmers University of Technology, Göteborg, Sweden, for device fabrication. The authors are also grateful to V. Fath, University of Massachusetts at Amherst, for his help on the receiver block assembly.

2309

REFERENCES [1] P. H. Siegel, “Terahertz technology,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 910–928, Mar. 2002. [2] T. Mimura, “The early history of the high electron mobility transistor (HEMT),” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 780–782, Mar. 2002. [3] M. Pospieszalski and E. Wollack, “Ultra-low-noise, InP field effect transistor radio astronomy receivers: State-of-the-art,” in Proc. 13th Int. Conf. Microw.s, Radar Wireless Commun (MIKON-2000), May 2000, vol. 3, pp. 23–32. [4] N. Wadefalk, A. Mellberg, I. Angelov, M. Barsky, S. Bui, E. Choumas, R. Grundbacher, E. Kollberg, R. Lai, N. Rorsman, P. Starski, J. Stenarson, D. Streit, and H. Zirath, “Cryogenic wide-band ultra-low-noise IF amplifiers operating at ultra-low dc power,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1705–1711, Jun. 2003. [5] A. Tessmann, “220-GHz metamorphic HEMT amplifier MMICs for high-resolution imaging applications,” IEEE J. Solid-State Circuits, vol. 40, no. 10, pp. 2070–2076, Oct. 2005. [6] S. Weinreb, R. Lai, N. Erickson, T. Gaier, and J. Wielgus, “W-band InP wideband MMIC LNA with 30 K noise temperature,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, vol. 1, pp. 101–104. [7] J. Hacker, J. Bergman, G. Nagy, G. Sullivan, C. Kadow, H. Lin, A. Gossard, M. Rodwell, and B. Brar, “An ultra-low power InAs/AlSb HEMT Ka-band low-noise amplifier,” IEEE Microw. Compon. Lett., vol. 14, no. 4, pp. 156–158, Apr. 2004. [8] D. Dawson, L. Samoska, A. Fung, K. Lee, R. L. R. G. P. Liu, and R. Raja, “Beyond -band: A 235 GHz InP MMIC amplifier,” IEEE Microw. Compon. Lett., vol. 15, no. 12, pp. 874–876, Dec. 2005. [9] E. Gerecht, S. Yngvesson, J. Nicholson, Y. Zhuang, F. RodriguezMorales, X. Zhao, D. Gu, R. Zannoni, M. Coulombe, J. Dickinson, T. Goyette, W. Gorveatt, J. Waldman, P. Khosropanah, C. Groppi, A. Hedden, D. Golish, C. Walker, J. Kooi, R. Chamberlin, A. Stark, C. Martin, R. Stupak, N. Tothill, and A. Lane, “Deployment of TREND—A low noise receiver user instrument at 1.25 THz to 1.5 THz for AST/RO at the South Pole,” in Proc. 14th Int. Space Terahertz Technol. Symp., Tucson, AZ, Apr. 2003, pp. 179–188. [10] S. Cherednichenko, M. Kroug, H. Merkel, P. Khosropanah, A. Adam, E. Kollberg, D. Loudkov, G. Goltsman, B. Voronov, H. Richter, and H.-W. Huebers, “1.6 THz heterodyne receiver for the far infrared space telescope,” Phys. C, vol. 372–376, pp. 427–431, 2002. [11] T. H. Buttgenbach, “An improved solution for integrated array optics in quasi-optical mm and submm receiver: The hybrid antenna,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 10, pp. 1750–1760, Oct. 1993. [12] S. Padin, D. Woody, J. A. Stern, H. LeDuc, R. Blundell, C. Tong, and M. Pospieszalski, “An integrated SIS mixer and HEMT IF amplifier,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 6, pp. 987–990, Jun. 1996. [13] V. P. Koshelets and S. V. Shitov, “Integrated superconducting receivers,” Supercond. Sci. Technol., vol. 13, pp. R53–R69, 2000. [14] E. Gerecht, C. Musante, Y. Zhuang, K. Yngvesson, T. Goyette, J. Dickinson, J. Waldman, P. Yagoubov, G. Gol’tsman, B. Voronov, and E. Gershenzon, “NbN hot electron bolometric mixers, a new technology for low-noise THz receivers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2519–2527, Dec. 1999. [15] F. Rodriguez-Morales, K. S. Yngvesson, E. Gerecht, N. Wadefalk, J. Nicholson, D. Gu, X. Zhao, T. Goyette, and J. Waldman, “A terahertz focal plane array using HEB superconducting mixers and MMIC IF amplifiers,” IEEE Microw. Compon. Lett., vol. 15, no. 4, pp. 199–201, Apr. 2005. [16] F. Rodriguez-Morales and K. Yngvesson, “Integrated THz receivers based on NbN HEB mixers and InP MMIC IF amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 435–438. [17] R. Dengler, A. Skalare, and P. Siegel, “Passive and active imaging of humans for contraband detection at 640 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 1591–1594. [18] S. Weinreb and N. Wadefalk, 2005, private communication. [19] N. Wadefalk and S. Weinreb, “Very low noise amplifiers for very large arrays,” presented at the IEEE MTT-S Int. Microw. Symp./Very Large Microw. Arrays Radio Astron. Space Commun. Workshop, Long Beach, CA, Jun. 2005. [20] D. DeBoer and D.-J. Bock, “The Allen telescope array: Splitting the aperture,” IEEE Micro, vol. 5, pp. 46–53, Jun. 2004. [21] F. Rodriguez-Morales and K. Yngvesson, “Impedance and bandwidth characterization of NbN hot electron bolometric mixers,” in Proc. 14th Int. Symp. Space Terahertz Tech., Tucson, AZ, Apr. 2003, pp. 431–438.

G

2310

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[22] A. Maestrini, J. Ward, H. Javadi, E. Schlecht, G. Chattopadhyay, F. Maiwald, N. Erickson, and I. Mehdi, “A 1.7–1.9 THz local oscillator source,” IEEE Microw. Compon. Lett., vol. 14, no. 6, pp. 253–255, Jun. 2004. [23] J. Hesler, D. Porterfield, W. Bishop, T. Crowe, A. Baryshev, R. Hesper, and J. Baselmans, “Development and characterization of an easy-to-use THz source,” in Proc. 16th Int. Space Terahertz Technol. Symp., Göteborg, Sweden, May 2005, pp. 378–381. [24] A. Maestrini, J. Ward, H. Javadi, C. Tripon-Canseliet, J. Gill, G. Chattopadhyay, E. Schlecht, and I. Mehdi, “Local oscillator chain for 1.55 to 1.75 THz with 100- peak power,” IEEE Microw. Compon. Lett., vol. 15, no. 12, pp. 871–873, Dec. 2005. [25] C. Balanis, Antenna Theory: Analysis and Design. New York: Wiley, 1997. [26] D. F. Filipovic, S. S. Gearhart, and G. M. Rebeiz, “Double-slot antennas on extended hemispherical and elliptical silicon dielectric lenses,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 10, pp. 1738–1749, Oct. 1993. [27] J. D. Krauss, Radio Astronomy. Powell, OH: Cygnus-Quasar Books, 1986. [28] F. T. Ulaby, R. K. Moore, and A. K. Fung, Microwave Remote Sensing: Active and Passive, Vol. I—Microwave Remote Sensing Fundamentals and Radiometry. Reading, MA: Addison-Wesley, 1981. [29] T. de Graauw, E. Caux, R. Gusten, W. Jellema, W. Luinge, J. Pearson, T. Phillips, R. Schieder, J. Stutzki, K. Wafelbakker, N. Whybom, and K. Wildeman, “The Herschel-Heterodyne Instrument for the far-infrared (HIFI),” in Proc. Joint 29th Int. Conf. IR MM Waves 12th Int. Conf. Terahertz Electron., 2004, pp. 579–580. [30] J. Kooi, G. Chattopadhyay, M. Thielman, T. Phillips, and R. Schieder, “Noise stability of SIS receivers,” Int. J. Infrared Millim. Waves, vol. 21, no. 5, pp. 698–716, May 2000. [31] D. Allan, “Should the classical variance be used as a basic measure in standards metrology?,” IEEE Trans. Instrum. Meas., vol. IM-36, no. 3, pp. 646–654, Jun. 1987. [32] J. Kooi, J. Baselmans, A. Baryshev, R. S. M. Hajenius, J. Gao, T. Klapwijk, B. Voronov, and G. Gol’tsman, “Stability of heterodyne terahertz receivers,” J. Appl. Phys., 2005, submitted for publication. [33] M. Ji, “Lens coupled printed antenna characterization,” M.S. thesis, Univ. Massachusetts at Amherst, Amherst, MA, 2001. [34] X. Zhao, “Integrated antennas for THz hot electron bolometer mixers,” M.S. thesis, Univ. Massachusetts at Amherst, Amherst, MA, 2005. [35] H. Ekstroem, B. Karasik, E. Kollberg, and K. Yngvesson, “Conversion gain and noise of niobium superconducting hot-electron mixers,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 938–947, Apr. 1995. [36] M. Kroug, “NbN hot electron bolometer mixers for a quasi-optical THz receiver,” Ph.D. dissertation, Chalmers Univ. Technol., Göteborg, Sweden, 2001. [37] H. Merkel, P. Khosropanah, P. Yagoubov, and E. Kollberg, “A hot-spot mixer model for phonon-cooled NbN hot electron bolometric mixers,” IEEE Trans. Appl. Superconduct., vol. 9, no. 6, pp. 4201–4204, Jun. 1999. [38] H. Merkel, P. Khosropanah, S. Yngvesson, S. Cherednichenko, M. Kroug, A. Adam, and E. Kollberg, “An active zone small signal model for hot electron bolometric mixers,” in Proc. 12th Int. Space Terahertz Technol. Symp., San Diego, CA, Feb. 2001, pp. 67–74. [39] R. Hu and S. Weinreb, “A novel wide-band noise parameter measurement method and its cryogenic application,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1498–1507, May 2004. [40] S. Cherednichenko, M. Kroug, H. Merkel, E. Kollberg, K. Yngvesson, B. Voronov, and G. Gol’tsman, “IF bandwidth of phonon cooled HEB mixers made from NbN films on MgO substrates,” in Proc. 11th Int. Space Terahertz Technol. Symp., Ann Arbor, MI, May 2000, pp. 219–227. [41] T. Berg, S. Cherednichenko, V. Drakinskiy, H. Merkel, E. Kollberg, and J. Kooi, “Stability measurements of NbN HEB receiver at THz frequencies,” in Proc. 15th Int. Symp. Space Terahertz Technol., Northampton, MA, Apr. 2004, pp. 25–32. [42] J. Baselmans, M. Hajenius, J. Gao, A. Baryshev, J. Kooi, T. Klapwijk, B. Voronov, P. deKorte, and G. Gol’tsman, “NbN hot electron bolometer mixers: Sensitivity, LO power, direct detection and stability,” IEEE Trans. Appl. Superconduct., vol. 15, no. 6, pp. 485–489, Jun. 2005. [43] P. Goldsmith, C.-T. Hsieh, G. Huguenin, J. Kapitzky, and E. Moore, “Focal plane imaging systems for millimeter wavelengths,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 10, pp. 1664–1675, Oct. 1993.

W

[44] D. C. W. Lo, L. Yujiri, G. Dow, T. Ton, M. Mussetto, and B. Allen, “A W -band direct-detection radiometric imaging array,” in IEEE 1994 Microw. MM-Wave Monolithic Circuits Symp., 1994, pp. 41–44. [45] L. Yujiri, M. Shoucri, and P. Moffa, “Passive millimeter wave imaging,” IEEE Micro, vol. 4, no. 3, pp. 39–50, Sep. 2003. [46] E. N. Grossman, A. Luukanen, and A. J. Miller, “Terahertz active direct detection imagers,” in Proc. SPIE , 2004, vol. 5411, pp. 68–77. [47] A. Luukanen and J. P. Pekola, “A superconducting antenna-coupled hot-spot microbolometer,” Appl. Phys. Lett., vol. 82, pp. 3970–3972, Jun. 2003. [48] J. Stutzki, U. Graf, C. Honingh, K. Jacobs, R. Schieder, and Siebertz, “Terahertz receivers for astronomy,” in Proc. Joint 30th Int. Conf. IR MM Waves 13th Int. Conf. Terahertz Electron., Sep. 2005, vol. 2, pp. 403–404. [49] P. H. Siegel, “Terahertz technology in biology and medicine,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 10, pp. 2438–2447, Oct. 2004. [50] R. Zannoni, S. Yngvesson, F. Rodriguez-Morales, J. Nicholson, D. Gu, and E. Gerecht, “A prototype terrestrial terahertz imaging system,” in Proc. 16th Int. Symp. Space Terahertz Tech., Göteborg, Sweden, pp. 93–97. [51] A. Luukanen, A. J. Miller, and E. N. Grossman, “Active millimeter-wave video rate imaging with staring 120-element microbolometer array,” Proc. SPIE, vol. 5411, pp. 195–201, 2004. [52] ——, “Passive hyperspectral terahertz imagery for security screening using a cryogenic microbolometer,” Proc. SPIE, vol. 5789, pp. 125–134, 2004. [53] J. E. Bjarnason, T. L. J. Chan, A. W. M. Lee, M. A. Celis, and E. R. Brown, “Millimeter-wave, terahertz, and mid-infrared transmission through common clothing,” Appl. Phys. Lett., vol. 85, no. 4, pp. 519–521, Jul. 2004. [54] D. Glaister, W. Gully, R. Ross, R. Stack, and E. Marquardt, “Ball aerospace 4–10 K space cryocoolers,” Proc. SPIE, vol. 5498, pp. 768–774, Oct. 2004. [55] R. Ross, Cryocoolers 13. Berlin, Germany: Springer-Verlag, 2005.

Fernando Rodriguez-Morales (S’00) received the B.S. degree in electronics engineering (cum laude) from Universidad Autónoma Metropolitana, México, in 1999, the M.Sc. degree in electrical and computer engineering from the University of Massachusetts at Amherst, in 2003, and is currently working toward the Ph.D. degree at the University of Massachusetts at Amherst. From 2000 to 2001, he was with the Department of Physics and Astronomy, University of Massachusetts at Amherst, where he collaborated in the development of single electron transistor devices as well as semiconductor bolometer arrays. His research interests include the development of highly integrated HEB focal plane arrays for terahertz frequencies, as well as microwave and millimeter-wave circuit design and characterization.

K. Sigfrid Yngvesson (M’62–SM’92–F’98–LF’02) was born in Lidkoping, Sweden, in 1936. He received the Civilingenjor, Tekn.Lic., and Tekn.Dr. degrees in electrical engineering from Chalmers University of Technology, Göteborg, Sweden, in 1958, 1965, and 1968, respectively. He was a Postdoctoral Fellow with the Department of Physics, University of California, Berkeley, in the 1960s. He has been with the Department of Electrical and Computer Engineering, University of Massachusetts at Amherst, since 1970, where he became a full Professor in 1978. He has worked on quantum electronic low-noise receivers for radio astronomy and on integrated arrays of antenna elements and active devices with applications to imaging and power combining. His present main research interests are in the areas of quasi-optical terahertz low-noise devices and applications. He is the author of Microwave Semiconductor Devices (Kluwer, 1991).

RODRIGUEZ-MORALES et al.: DEVELOPMENT OF INTEGRATED HEB/MMIC RECEIVERS FOR NEAR-RANGE TERAHERTZ IMAGING

Richard Zannoni received the B.S. degree in electrical engineering and mathematics from the University of Massachusetts at Amherst, in 2004, where he is currently working toward the M.S. degree in electrical engineering. His current research focuses on improving hot electron bolometer systems for high-speed imaging.

Eyal Gerecht (S’88–M’97) received the B.S.E.E. degree in electrical engineering with a minor in solid-state physics (magna cum laude) from the University of Houston, Houston, TX, in 1990, and the M.S.E.C.E. and Ph.D. degrees in electrical and computer engineering from the University of Massachusetts at Amherst, in 1994 and 1998, respectively. In 1998, he joined the Department of Physics and Astronomy, University of Massachusetts at Amherst, as a Senior Postdoctoral Research Associate. Since 2000, he has been a Physicist with the Electromagnetic Division, National Institute of Standards and Technology, Boulder, CO, developing a number of terahertz-related technologies. His interests also include the development of receivers for millimeter and submillimeter applications. Dr. Gerecht is a member of Tau Beta Pi and Eta Kappa Nu.

Dazhen Gu (S’01) received the B.S. degree in physics from Nanjing University, Nanjing, China, in 1999 and the M.S. degree in electrical engineering from the University of Massachusetts at Amherst, in 2004, where he is currently working toward the Ph.D. degree in electrical engineering. From 1999 to 2001, he was a Research Assistant with the Superconductor Electronics Laboratory, Nanjing University, where he worked on the three-terminal device employing high Tc superconductor, ferroelectric, and ferromagnetic materials. Since 2001, he has been involved in the design and fabrication of NbN hot electron bolometric mixers for terahertz receivers. Since November 2003, he has been with the Electromagnetics Division, National Institute of Standards and Technology, Boulder, CO, where he is engaged in the development of terahertz imaging systems and characterization of low-noise amplifiers. His research interest includes nanofabrication, quantum electronics, and RF circuit design.

2311

Xin Zhao received the B.S. degree in physics from the University of Science and Technology, China, in 2000, and the M.S. degree in electrical and computer engineering from the University of Massachusetts at Amherst, in 2005. From 2002 to 2005, he was a Graduate Research Assistant with the Terahertz Laboratory, University of Massachusetts at Amherst, where his work focused on antenna design and numerical electromagnetic analysis and simulations, as well as measurements in the terahertz frequency range. Since 2005, he has been with Mathworks Inc, Natick, MA, developing hardware connectivity and data analysis software for test and measurement applications.

Niklas Wadefalk was born in Göteborg, Sweden, on May 14, 1973. He received the B.Sc. degree in electrical engineering from the Chalmers University of Technology, Göteborg, Sweden, in 1994. Since 1995, he has been with the Microwave Electronics Laboratory Group, Chalmers University of Technology, except from 2001 to 2005, when he was with the RF and Microwave Group, California Institute of Technology, Pasadena, where he developed cryogenic MMIC amplifiers for various projects in the radio astronomy field such as the Allen Telescope array. His current research interests are broadband MMIC LNAs, microwave differential amplifiers, FET characterization, and noise-measurement techniques.

John J. Nicholson received the B.S. degree in marine biology and the associate degree in industrial technology/electronics and engineering science from Southeastern Massachusetts University of North Dartmouth (presently University of Massachusetts at Amherst) in 1976, 1984, and 1989, respectively. From 1987 to 1996, he was with Millitech Corporation working on millimeter-wave and submillimeter-wave whisker contacted multipliers before becoming a semiconductor process engineer. He continued this work at Millivision, LLC, and became Lab Manager of the semiconductor fabrication facility. He joined the TREND group as a Research Engineer for the Department of Electrical and Computer Engineering, University of Massachusetts at Amherst, in May 2001, where he is also a Lab Instructor for the semiconductor fabrication course.

2312

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Multiple Time Constant Modeling of Dispersion Dynamics in Hetero Field-Effect Transistors Ingmar Kallfass, Hermann Schumacher, Member, IEEE, and Thomas J. Brazil, Fellow, IEEE

Abstract—A new approach to the modeling of frequency dispersion effects encountered in the drain current characteristics of state-of-the-art hetero field-effect transistors is presented. The empirical, equivalent-circuit based model is dedicated to efficient microwave circuit design and allows for the inclusion of individual dispersion effects, taking into account their respective time constants. The proposed topology allows for small- and large-signal analysis in both the time and frequency domains. Parameter extraction and verification of the model is carried out using pulsed-I–V and dc measurements as well as microwave frequency -parameter characterization of both a GaAs pseudomorphic high electron mobility transistor (pHEMT) and an InP pHEMT technology. Finally, the model is employed in the design and realization of a GaAs pHEMT traveling-wave monolithic microwave integrated circuit. Simulation results are compared to measurements with a focus on figures of merit which are affected by frequency dispersion. Index Terms—Integrated circuit modeling, MODFETs, semiconductor device modeling.

I. INTRODUCTION ODAY’s high-performance hetero field-effect transistor (HFET) technologies show significant frequency-dispersive effects, mainly affecting the device’s current–voltage (IV) characteristics by introducing a frequency and time dependence to drain current. Dispersion is observed in classical GaAsand InP-based technologies as well as in the more recent GaN HFETs. Among the physical effects responsible for dispersion are thermal- or self-heating effects, predominantly in GaAs-based devices due to the substrate’s low thermal conductivity. Trapping and detrapping of carriers and occupation of interface states are present in all HFET types and depend on layer and interface quality. Impact ionization, predominant in small bandgap devices like InGaAs/InP, but also occurring in other HFETs at high drain potentials, also introduces dispersive dynamic behavior. All of the above effects may empirically be described by their quantitative impact on device characteristics and an associated time constant or corner frequency.

T

Manuscript received October 12, 2005; revised February 13, 2006. The work of T. J. Brazil was supported by Science Foundation Ireland. I. Kallfass was with the Department of Electron Devices and Circuits, University of Ulm, 89081 Ulm, Germany. He is now with the Fraunhofer Institute for Applied Solid-State Physics, 79108 Freiburg, Germany (e-mail: [email protected]). H. Schumacher is with the Department of Electron Devices and Circuits, University of Ulm, 89081 Ulm, Germany (e-mail: hermann.schumacher@uni-ulm. de). T. J. Brazil is with the Department of Electronic and Electrical Engineering, University College Dublin, Dublin, Ireland (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875264

State-of-the-art modeling of frequency dispersion is generally focused on the physical description of one particular effect while neglecting the others. Since the impact of any of the above mentioned dispersion effects is most pronounced on output conductance, earlier dispersion models concentrated on the characteristics [1], [2]. Thermal models incorporate channel temperature as a variable of a thermal subcircuit [3]. An empirical circuit topology often encountered combines a static and a dynamic current source via a dc-blocking capacitor [4], [5]. Another empirical approach to modeling dispersion is the use of equivalent voltage sources in the FET circuit topology [6]. More recently, GaN-based devices have gained high importance due to their power capabilities at microwave frequencies. Frequency dispersion in these devices lead to the so-called radio-frequency (RF) current collapse [7]. Thermal and trap-related modeling of dispersion has been carried out, e.g., for GaN metal–semiconductor FET devices [8]. If at all, commercial models offer simple methods to include frequency dispersion, such as single time constant thermal subcircuits [9]. On the system level, frequency dispersion is responsible for memory effects and treated by behavioral modeling [10], [11]. Here, we describe an empirical, equivalent-circuit-based dispersion model dedicated to the efficient use in microwave circuit design. The new dispersion circuit topology offers accurate description of devices exhibiting different dispersion effects, taking into account their respective time constants and impact on IV characteristics. After introducing the modeling approach, the dispersion model is described in terms of smalland large-signal topologies and parameters. The model is then applied to a 0.15- m AlGaAs/InGaAs/GaAs pHEMT technology [12] with a transit frequency of GHz as well as to a 0.2- m InP–InGaAs–InP pHEMT [13] with an of 120 GHz. Although not discussed in this paper, the same dispersion modeling technique has been found to be applicable to a Si-based technology, namely, a 0.1— m strained-Si/SiGe MODFET [14]. The model is verified and shown to accurately predict dispersion dynamics observed in: • the devices’ -parameters from 50 MHz to 50 GHz in the microwave regime; • the time-domain large-signal transient drain current observed in pulsed-IV measurements. This paper offers the possibility to include different frequency dispersion effects in a universal, efficient large-signal simulation model. In contrast to most other approaches, the transition between different dispersion states is accurately modeled, offering true broadband description of dispersive devices. The dispersion part is incorporated in a full HFET equivalent circuit containing nonlinear gate current and capacitance characteristics. Being fully implemented into a circuit simulation environment,

0018-9480/$20.00 © 2006 IEEE

KALLFASS et al.: MULTIPLE TIME CONSTANT MODELING OF DISPERSION DYNAMICS IN HFETs

2313

the model is then employed in the design and realization of a GaAs pHEMT distributed monolithic microwave integrated circuit (MMIC). The comparison of measured and simulated circuit performance further validates the model. II. DISPERSION MODEL A. Single Dispersion Source Approach The basis of the dispersion model topology discussed here is the assumption that individual dispersion effects introduce an exponentially decaying time-domain characteristic to the free carrier density and, due to the proportionality between carrier density and current, to the resulting drain-source current . Applying a step change in either one of the controlling voltages and at time will result in a step response of the carrier density and the drain current of the form

Fig. 1. Large-signal equivalent circuit of a single dispersion effect.

(1) where is the time constant associated with one particular dispersion effect, is the current flowing immediately after the voltage change when a particular physical condition responsible for dispersion, e.g., channel temperature, trap occupation etc., is still in the state of condition . is the drain current under the new voltage conditions when the dispersion effect has adapted to the new voltage regime. The approach of (1) implicitly assumes a constant, i.e., time and voltage independent, time constant . The assumption of an exponentially decaying step response due to a single dispersion effect is well founded in the case of thermal dispersion as well as trapping/detrapping effects. The frequency dependence introduced by impact ionization to the small-signal characteristics of InP-based HFETs has been shown to obey the same principle [15]. Introducing the Heaviside function , the step response of the drain current can be written as (2) Equation (2) has the well-known form of a single-pole or first-order system transfer function. Since drain current is a nonlinear function of two independent controlling voltages and , the step response to a change in one of the controlling voltages is found by partial differentiation of (2), yielding and . Differentiation in time-domain results in the pulse responses and . Making use of the Dirac pulse function and its sifting property, they can be written as (3) (4) The proposed equivalent circuit used to obtain the above dispersive drain current characteristics is shown in Fig. 1. In parallel to the static current source , the dispersion current is formed by a voltage-controlled current source ,

Fig. 2. Linearization of the dispersion model (dispersion source only).

which translates a nonlinear voltage , obtained from a parallel L-R circuit, into current. The total drain current of the proposed topology can be written as (5) are controlled by two voltages: , . The dispersion current source is set to . The linearized small-signal representation of the dispersion model is shown in Fig. 2. Output related -parameters of the circuit are found to be where

and

(6)

(7) where and are the partial derivatives of the current source against and , respectively. Equation (6) neglects the transit time , which only has an impact at millimeterwave frequencies. The parameters and are related by the integrability condition [16], since they derive from a common current function . The right terms in (6) and (7) can easily be transformed into time domain using Fourier transformation and the Dirac pulse function . The resulting pulse responses and of the linearized drain current due to a change in controlling voltages are (8) (9)

2314

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 3. Incorporation of several dispersion sources within the large-signal model topology.

The equivalent circuit satisfies the initial assumption of a single-pole circuit response, i.e., (8) and (9) equal (3) and (4) for , , , , and , where can be defined as the corner frequency of the dispersion effect. The dispersion time constant can therefore be . controlled by an appropriate choice of B. Multiple Dispersion Sources Individual dispersion effects with different time constants can now be incorporated in the model by using several dynamic sources in parallel (Fig. 3). The total drain current now is (10) where

is the number of dispersion sources and (11)

lead to is the current attributed to dispersion source . the respective time constants of dispersion source . The parallel placement of several dispersion sources and the current definition of (11) will lead to a total drain current in the frequency domain of for , where are the corner frequencies of the individual dispersion sources as deduced above. The dispersion sources are numbered with increasing corner frequency , that means . Small-signal conductances of the circuit result accordingly. III. EXTRACTION PROCEDURE In addition to an accurate drain current model, the complete transistor model will also have to describe reactive effects in the device, e.g., nonlinear gate capacitance. In the case of transistors fabricated with a Schottky contact, nonlinear gate current will be included. The dispersion model therefore is embedded into a full large-signal FET equivalent circuit [17]. Here, we concentrate on the extraction of the dispersive drain current model. Many different model equations have been developed for description of nonlinear drain current characteristics in HFETs. Among the most popular analytical expressions are the Curtice–Ettenberg [18], Angelov/Chalmers [19], and Tajima [20] models. The dispersion model approach described here is not

restricted to a particular current equation. In fact, different expressions could be employed for the nonlinear current characteristics, provided simulation convergence is met for their combination. Here we use a modified COBRA model [21] and extract parameter sets for all drain current characteristics required in the dispersion model. This compact and efficient expression has been proven to be well suited to describe drain current nonlinearities in HFETs [17] as well as in RF metal–oxide–semiconductor FETs [22], [23]. The static model is derived from dc drain current measurements. In terms of dispersion, the drain current under all control voltage conditions reaches the value where all dynamic current contributions have disappeared: channel temperature adjusts to static power, trap occupation settles at an equilibrium between caption and re-emission, interface states reach an equilibrium occupation, and impact ionization can build up for high enough field strengths. In the model, all dispersion voltages become zero. Depending on the technology and its effective thermal conduction, static characteristics experience self-heating to a more or less pronounced extend. In the GaAs pHEMT under static and very low frequency conditions, the approach taken in [24] is adopted. A. Dynamic IV From Pulsed-IV Measurements Originating from a quiescent point , short pulses to a voltage condition with pulse length and period are applied to the device for measuring the current at . Thus, when sweeping over the whole IV plane and providing a large enough for the device to regain its static condition after each pulse, one obtains dynamic IV characteristics, which will be a function of the pulse width and the quiescent condition . Dispersion effects with time constants larger than the pulse width will not adapt rapidly enough to the new voltage conditions and are therefore eliminated from the resulting IV characteristics. The measurement system used here is an Accent Diva D225 pulsed IV analyzer, capable of delivering pulses as short as s to the device. Plotting the drain current in a certain voltage point versus pulse width yields the transient pulse response of the device (see Fig. 7). One observes a current response corresponding to two exponentially decaying functions with time constants s and s. The function used to describe this behavior is (12)

KALLFASS et al.: MULTIPLE TIME CONSTANT MODELING OF DISPERSION DYNAMICS IN HFETs

2315

2

Fig. 4. Modeled dynamic IV characteristics of a 2 20 m GaAs pHEMT based on pulsed measurements with different pulse widths.

Applying this function over the whole IV plane results in two nonlinear dynamic current sources and . Trapping and detrapping effects are mainly associated with the short time constant dispersion, whereas the large time constant effect is usually associated with thermal dispersion. Since the two time constants are separated by about two orders of magnitude, it is also possible for to be attributed to the pulsed IV characteristic obtained with medium pulse widths, where the dispersion effect(s) responsible for the fast change in current have adapted and allowed the current to settle at the intermediate value before the final change due to the dispersion effect with a larger time constant. In the case of the GaAs pHEMT, this is true for pulse widths of s s

(13)

The second dispersion source describes the current characteristics obtained with minimum pulse widths s

(14)

The model is extracted from and will be valid under a “hot,” i.e., conducting and saturated, quiescent condition, corresponding to a class A operation of the device. The resulting IV characteristics for and of a 2 20 m GaAs device are shown in Fig. 4 together with the static curves. The dependence of pulsed-IV characteristics on the choice of the quiescent point constitutes a limitation to the validity of the model, in the same manner as a conventional nondispersive model based purely on pulsed-IV data. Dedicated models have to be extracted for the simulation of e.g., startup transients and pulsed amplifiers. B. Dynamic IV From Trans- and Output Conductance The use of pulsed IV measurements allows for the exclusion of dispersion effects with time constants larger than the minimum pulse length. Some dispersion effects, however, like impact ionization current, show time constants orders of magnitude smaller, with corresponding cutoff frequencies lying in the upper megahertz range. Another method for obtaining dynamic IV characteristics is the use of small-signal transconductance and output

Fig. 5. Dynamic IV obtained from numerical integration of multibias transconductance and output conductance information for frequencies beyond 5 GHz. (Top) GaAs pHEMT. (Bottom) InP pHEMT. Comparison to pulsed-IV with 0.1 s pulse width (dotted lines).

conductance , obtained from multibias -parameter measurements at microwave frequencies [25], [26]. Many excellent models have been built using table-based splines [27]. Since, even at microwave frequencies, and should result from linearization of a nonlinear drain-source current in the transistor, it makes sense to represent this current by the same analytical expression used for modeling static and pulsed-I–V characteristics, i.e., the COBRA model. One therefore uses numerical integration of the small-signal information to obtain dynamic IV (15) and have been obtained independently from Since individual small-signal measurements, a path dependence of the numerical integration and with it a quantifiable error to the resulting dynamic IV behavior is introduced. The integration path and quiescent current at point for integration are chosen in order to minimize the global relative deviation between the measured and and the partial derivatives of the dynamic current. In practice, the integration error increases towards the boundaries, notably in the linear and subthreshold operating regimes. Global validity is therefore limited. This method leads to the IV characteristics shown in Fig. 5. For the InP device, the optimum quiescent point for integration was below threshold and at V. The integration path used alternating steps in and direction on the measured voltage grid. For the GaAs device, integration originated

2316

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 6. “Hot” quiescent condition for numerical integration introduces essentially a shift in threshold voltage to the IV characteristics, shown here for the GaAs device.

at subthreshold and V with alternating steps on the voltage grid. Fig. 6 shows the IV characteristics obtained for the GaAs device when integration originates in a “hot” quiescent condition with an integration path which first follows constant , then constant on the measured voltage grid. The result is essentially a shift of the threshold voltage. In both the GaAs and the InP device, a significant difference between the dynamic IV obtained from pulsed measurements with minimum pulse width and those obtained from numerical integration is observed. In the GaAs pHEMT, the main effect can clearly be linked to the onset of impact ionization at high drain potentials, which has disappeared in the numerically integrated characteristics. The InP device also shows reduced output conductance in the numerically integrated characteristics. However, here the physical effect responsible might be impact ionization or the formation of parasitic channels. Being of empirical nature, the presented modeling method allows for physical interpretation only in the sense of interpreting the impact of dispersion effects with different time constants on drain current characteristics, e.g., the reduction of output conductance. The physical nature of individual dispersion effects is not considered. IV. MODEL VERIFICATION Model implementation is straightforward, since the equivalent circuit consists of purely electrical elements, mainly voltage-controlled current sources. At present, the model is fully implemented into the Agilent ADS design environment and can be used for small- and large-signal simulations in the frequency and time domain. As mentioned before, the full model contains all typical HFET elements, mainly the nonlinear gate-source and gate-drain capacitance and gate diodes. In terms of dispersion, three dispersion circuit branches are included. A. Time Domain First, the model is used in a transient analysis to simulate the step response of the drain current. The result is shown together with measured values in Fig. 7 for the GaAs-based device. As derived in the modeling approach, the model answers with exponentially decaying current characteristics in the time domain, of which two are visible on the microsecond time scale. Comparison to the measured values shows excellent agreement. In qualitative

Fig. 7. Transient step response of drain current when stepping from Q = A1 = (0:8 V; 3:5 V) and A2 = (0:2 V; 0:6 V). Measurement (dots) and modeled values (lines). (0 V; 2 V) to

terms, this confirms the modeling approach and the assumption of exponential dispersion characteristics. In quantitative terms, the agreement between measurement and model confirms the suitability of the employed nonlinear current expression. B. Frequency Domain The model is also used for simulating -parameters and comparing them to measurements. For both the GaAs- and the InPbased device, bias conditions are chosen where the impact of frequency dispersion is important. Dispersion effects show up noticeably in and . Fig. 8 shows the GaAs pHEMT biased in V V . Fig. 9 is for the InP device biased in a more typical point V V , which corresponds to maximum gain in this technology. In its implemented form, the model allows one to disable the dispersion part and to attribute purely static or purely dynamic characteristics to the remaining (nondispersive) current source . These nondispersive characteristics are also included in the graphs. The comparison of simulated and measured data therefore both validates the model and allows one to investigate the influence of dispersion on the device and circuit level (see Section V). The -parameter characteristics, when translated into -parameters, correspond to the frequency response derived in (6) and (7), confirming the exponential nature even of those dispersion effects with very small time constants. The qualitative difference stems from the fact that a linearized large-signal model will never be as accurate as the corresponding small-signal model in a particular bias point. In fact, using the small-signal model, one can perfectly match the model to the measured -parameters. The time constant/corner frequency of the third

KALLFASS et al.: MULTIPLE TIME CONSTANT MODELING OF DISPERSION DYNAMICS IN HFETs

Fig. 8. Measured and modeled S -parameters (50 MHz–50 GHz) of the GaAs pHEMT under bias conditions Q = (0:2 V; 3:9 V). Effects of dispersion on S and S are enlarged and compared to models based on purely static and purely dynamic drain current characteristics. (Bottom) Real parts of output related Y -parameters in the lower frequency range.

dispersion source which describes the microwave frequency IV characteristics is derived from the -parameters and found to be MHz MHz

(16) (17)

At moderate frequencies, trans- and output conductance are derived from the real parts of and , respectively. These data, together with the comparison to the full dispersion model and nondispersive models, are shown in Figs. 8 and 9 for both devices. Below , the nondispersive dynamic model deviates in output conductance by up to 42% for the GaAs device and 48% for the InP device, while transconductance is not significantly altered by this high-frequency dispersion effect. V. VALIDATION IN MMIC PERFORMANCE Based on the model, a traveling-wave MMIC has been designed and fabricated [28] using a power variant of the

2317

Fig. 9. S -parameters (50 MHz–50 GHz) of the InP pHEMT under bias conditions Q = (0:1 V; 2:8 V) in a comparison to models based on dispersive as well as purely static and purely dynamic drain current characteristics. (Bottom) Real parts of output related Y -parameters in the lower frequency range.

GaAs pHEMT technology whose active devices have an of 75 GHz. The MMIC has dual functionality: it can serve as ultrabroadband mixer or variable gain amplifier (VGA). The analysis of both operation types requires a nonlinear model. The dispersion part of the model uses two dynamic sources reflecting multiple pulse-width IV characteristics of the transistors, in addition to the static one. This ensures maximum global validity, since dynamic IV from numerical integration contains inaccuracies in the linear and subthreshold regimes, as explained in Section III-B. A. MMIC Design and Realization Cascode amplifier cells with variable current-voltage feedback, realized by an FET biased in its linear operating region, are used in an eight-stage distributed circuit configuration (Fig. 10). Input and output of the cascodes as well as the feedback transistor gate are embedded into inductive microstrip lines, forming artificial 50- transmission lines and leading to the well-known bandwidth enhancement of traveling-wave circuits.

2318

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 10. Distributed circuit topology for mixer and VGA operation. Eight cascode stages with resistive source feedback are embedded into inductive transmission lines.

By applying a local oscillator drive to the feedback line the device may be operated as a mixer, exhibiting measured conversion loss of 2 dB in a bandwidth exceeding 50 GHz. Variable gain amplification is obtained by applying a controlling bias to the feedback line. The gain can be controlled between 5–12 dB with a near-constant bandwidth of up to 43 GHz. The current–voltage feedback topology is particularly challenging for the model quality in terms of frequency dispersion. It uses a scaled transistor (T3) biased in its linear operating region to obtain the feedback effect. Here, dispersion effects show significant differences between static and dynamic IV characteristics. For small-signal analysis, an accurate prediction of the bias situation is required in order to linearize the device in a region where both its trans- and output conductance are highly nonlinear and dispersive. Under large-signal conditions, e.g., for mixer operation, the feedback FET is driven between linear, knee, and saturated regimes. B. Circuit Performance The model has been shown to predict amplifier gain and bandwidth and, more importantly, mixer conversion gain to a high degree of accuracy [29]. It was also shown that the model allows to correctly analyze power-added effiency (PAE) due to its inherent capability of predicting dynamic gain and gain compression together with static power consumption. However, the error introduced to PAE by purely static or purely dynamic IV models is not very pronounced, since the MMIC, designed for high-frequency operation, uses small gate width devices whose IV characteristics show only a moderate impact of, e.g., self-heating. However, a significant impact on circuit simulation using nondispersive models is observed in the gain versus control voltage (gate bias of feedback transistor T3) characteristics. Fig. 11 shows small-signal gain versus control voltage at 25 GHz . Bias conditions for maximum gain are V (drain supply), V (gate voltage of transistor T1), V, and V. T3 therefore operates

Fig. 11. Amplifier gain versus control voltage at 25 GHz. Only the dispersion model is capable of predicting correct gain in different bias regimes.

in its linear region. The total supply current is 134 mA (model prediction is 131 mA). The purely dynamic model’s prediction deviates from measurements when T3 is biased in regions of large differences between static and dynamic characteristics. The static model correctly describes gain reduction but deviates in total gain since it undervalues the feedback effect resulting from the output conductance of T3. VI. CONCLUSION The presented nonlinear frequency dispersion model is developed for the efficient simulation of MMIC systems under broadband linear as well as nonlinear operating conditions. It is universally applicable to dispersive HEMT technologies, enabling the inclusion of individual dispersion effects with different time constants. The model extraction procedure is based on data from standard measurement techniques. The compact dispersion model is integrated into a standard nonlinear FET equivalent circuit. Being fully implemented into a circuit simulation environment, it is found to accurately predict device behavior and full MMIC characteristics from dc up to microwave frequencies, including the transition between individual dispersion states.

KALLFASS et al.: MULTIPLE TIME CONSTANT MODELING OF DISPERSION DYNAMICS IN HFETs

ACKNOWLEDGMENT The authors express their gratitude to Innovative Processing AG and United Monolithic Semiconductors for providing the transistor samples of their HEMT processes as well as for MMIC production. REFERENCES [1] J. Conger, A. Peczalski, and M. S. Shur, “Modeling frequency dependence of GaAs MESFET characteristics,” IEEE J. Solid-State Circuits, vol. 29, no. 1, pp. 71–76, Jan. 1994. [2] S. Choi and M. B. Das, “Origin and modeling of the frequency dependent output conductance in microwave GaAs MESFET’s with buried p layer,” IEEE Trans. Electron Devices, vol. 41, no. 10, pp. 1725–1733, Oct. 1994. [3] C. J. Wei, Y. A. Tkachenko, and D. Bartle, “Table-based Dynamic FET model assembled from small-signal models,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 700–705, Jun. 1999. [4] J. W. Lee and K. J. Webb, “A temperature-dependent nonlinear analytic model for AlGaN-GaN HEMTs on SiC,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 2–9, Jan. 2004. [5] J. M. Golio, M. G. Miller, G. N. Maracas, and D. A. Johnson, “Frequency-dependent electrical characteristics of GaAs MESFETs,” IEEE Trans. Electron Devices, vol. 37, no. 5, pp. 1217–1227, May 1990. [6] A. Santarelli, G. Zucchelli, R. Paganelli, G. Vannini, and F. Filicori, “Equivalent-voltage description of low-frequency dispersive effects in large-signal FET models,” in Proc. Eur. GaAs Rel. III-V Compounds Applicat. Symp., London, Sep. 2001, pp. 45–48. [7] G. Simin, M. A. Khan, M. S. Shur, and R. Gaska, “Insulated gate III-N heterostructure field-effect transistors,” Int. J. High-Speed Electron. Syst., vol. 14, no. 1, pp. 197–224, 2004. [8] S. S. Islam and A. F. M. Anwar, “Self-heating and trapping effects on the RF performance of GaN MESFETs,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1229–1236, Apr. 2004. [9] M. Schroter, HICUM: A scalable physics-based compact bipolar transistor model—Description of model version 2.1 Dec. 2000 [Online]. Available: www.iee.et.tu-dresden.de [10] V. Rizzoli, D. Masotti, and F. Mastri, “Behavioural modelling of thermally induced distortion in RF/microwave nonlinear subsystems,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, Sep. 2004, pp. 845–848. [11] H. Ku and J. S. Kenney, “Behavioral modeling of nonlinear RF power amplifiers considering memory effects,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2495–2504, Dec. 2003. [12] “United Monolithic Semiconductors,” [Online]. Available: www.umsgaas.com [13] D. Keiper, P. Velling, A. Brennemann, M. Agethen, C. van den Berg, and R. M. Bertenburg, “MOVPE growth of InAlAs using TBAs and DitBuSi for HEMT applications,” J. Cryst. Growth, vol. 248, pp. 153–157, Feb. 2003. [14] I. Kallfass, T. J. Brazil, B. OhAnnaidh, P. Abele, T. Hackbarth, M. Zeuner, U. König, and H. Schumacher, “Large signal modelling including low-frequency dispersion of N-channel SiGe MODFETs and MMIC applications,” Solid-State Electron., vol. 48, no. 8, pp. 1433–1441, Aug. 2004. [15] R. Reuter, M. Agethen, U. Auer, S. van Waasen, D. Peters, W. Brockerhoff, and F. J. Tegude, “Investigation and modeling of impact ionization with regard to the RF and noise behaviour of HFET,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 6, pp. 977–983, Jun. 1997. [16] A. D. Snider and P. Wilson, “A generalized Dirichlet principle for smoothing small-signal measurements,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 5, pp. 636–639, May 1999. [17] I. Kallfass, C. Schick, H. Schumacher, and T. J. Brazil, “A universal large-signal model for hetero field-effect transistors,” in Proc. 12th GaAs Symp. Eur. Microw. Week, Amsterdam, The Netherlands, Oct. 2004, pp. 55–58. [18] W. R. Curtice and M. Ettenberg, “A nonlinear GaAsFET model for use in the design of output circuits for power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 12, pp. 1383–1394, Dec. 1985. [19] I. Angelov, H. Zirath, and N. Rorsman, “A new empirical nonlinear model for HEMT and MESFET devices,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2258–2266, Dec. 1992. [20] Y. Tajima and P. D. Miller, “Design of broadband power GaAs FET amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 3, pp. 261–267, Mar. 1984.

2319

[21] V. I. Cojocaru and T. J. Brazil, “A scalable general-purpose model for microwave FET’s including DC/AC dispersion effects,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2248–2255, Dec. 1997. [22] B. OhAnnaidh and T. J. Brazil, “A globally-continuous, charge-conservative, nonlinear equivalent circuit model for RF MOSFETs,” in Proc. 11th GaAs Symp. Eur. Microw. Week, Munich, Germany, Sep. 2003, pp. 65–68. [23] T. J. Brazil, I. Kallfass, B. OhAnnaidh, and M. Wren, “Nonlinear device modelling of Si CMOS, SiGe HFET and GaAs pHEMT,” in IEEE MTT-S Int. Microw. Symp. Workshop, Fort Worth, Jun. 2004. [24] P. C. Canfield, S. C. F. Lam, and D. J. Allstot, “Modelling of frequency and temperature effects in GaAs MESFETs,” IEEE J. Solid-State Circuits, vol. 25, no. 2, pp. 299–306, Feb. 1990, CD ROM. [25] M. Berroth and R. Bosch, “High-frequency equivalent circuit of GaAs FET’s for large-signal applications,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 224–229, Feb. 1991. [26] G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 7, pp. 1151–1159, Jul. 1988. [27] K. Koh, H. M. Park, and S. Hong, “A spline large-signal FET model based on bias-dependent pulsed I-V measurement,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2598–2603, Nov. 2002. [28] I. Kallfass, H. Schumacher, T. Purtova, A. Brokmeier, and W. Ludwig, “One single travelling-wave MMIC for highly linear broadband mixers and variable gain amplifiers,” in IEEE MTT-S Int. Microw. Symp., Long Beach, CA, Jun. 2005, CD ROM. [29] I. Kallfass, C. Zhang, J. Grünenpütt, C. Teyssandier, and H. Schumacher, “Verification of a frequency dispersion model in the performance of a GaAs pHEMT travelling-wave MMIC,” in Proc. Eur. Gallium Arsenide Other Compound Semiconductors Applicat. Symp., Paris, Oct. 2005, pp. 381–384.

Ingmar Kallfass was born in Stuttgart, Germany, on September 9, 1973. He received the Dipl.-Ing. degree in electrical engineering from Stuttgart University, Stuttgart, Germany, in 2000. In 2001, he was with the National University of Ireland, Dublin, in the framework of the European Commission’s Training and Mobility of Researchers Programme, with a focus on nonlinear modeling of SiGe HFET devices. In 2002, he joined the Department of Electron Devices and Circuits, University of Ulm, Ulm, Germany, as a Research and Teaching Assistant. Since 2006, he has been with the Fraunhofer Institute for Applied SolidState Physics, Freiburg, Germany. His research interests are in the field of millimeter-wave circuit design as well as transistor modeling.

Hermann Schumacher (M’93) was born in Siegen, Germany, on May 5, 1957. He received the Dipl.-Ing. and Doktor-Ing. degrees from Aachen University of Technology (RWTH Aachen), Aachen, Germany, in 1982 and 1986, respectively. In 1986, he joined Bell Communications Research (Bellcore), Red Bank, NJ, as a Member of Technical Staff, working on InP-based optoelectronic and electronic devices and on wide-band circuit design. In 1990, he joined the University of Ulm, Ulm, Germany, as a Professor in the Department of Electron Devices and Circuits. In 2001, he founded the Competence Center for Integrated Circuits in Communications, a public/private partnership dedicated to research and development in RF and wideband optoelectronic integrated circuits. There, he and his group work predominantly on heterojunction bipolar transistors in the Si/SiGe and AlGaAs/GaAs material systems and their applications in high-speed (mainly wireless) circuits, on improved passive circuit elements on silicon, and on frequency- and time-domain characterization techniques. He is also Director of the International Master Program on Communications Technology, University of Ulm. From 2001 to 2003, he was Vice President for Research with the University of Ulm and is currently heading a committee on internationalization there. He was a Visiting Professor at the University of Wales, Cardiff, U.K., in summer 1991 and Oregon State University, Corvallis, in 1997. In 1996, he spent three months with Bellcore, Red Bank, NJ, as a Consultant on InP HBT technology. He is an author or coauthor of more than 150 publications and conference contributions.

2320

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Thomas J. Brazil (F’04) was born in County Offaly, Ireland. He received the B.E. degree in electrical engineering from University College Dublin (UCD), Dublin, Ireland, in 1973 and the Ph.D. degree from the National University of Ireland in 1977. He subsequently worked on microwave subsystem development at Plessey Research (Caswell), U.K., from 1977 to 1979. After a year as a Lecturer in the Department of Electronic Engineering, University of Birmingham, U.K., he returned to UCD in 1980, where he is now a Professor in the Department of Electronic and Electrical Engineering, holds the Chair of Electronic Engineering, and is Head of the department. He has worked in several areas of science policy, both nationally and on behalf of the European Union. Between

1996 and 1998, he was Coordinator of the European EDGE project, which was the major EU Framework IV (ESPRIT) project in the area of high-frequency computer-aided design (CAD). His research interests are in the fields of nonlinear modeling and device characterization techniques, with particular emphasis on applications to microwave transistor devices such as GaAs FET, HEMT, BJT, and HBT. He also has interests in convolution-based CAD simulation techniques and microwave subsystem design. Prof. Brazil is a Fellow of IEI and a Member of Royal Irish Academy. From 1998 to 2001, he was an IEEE Microwave Theory and Techniques Society (MTT-S) Worldwide Distinguished Lecturer in High-Frequency Applied to Wireless Systems. He is currently a member of the IEEE MTT-1 Technical Committee on CAD.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2321

Dual-Bandpass Filters With Serial Configuration Using LTCC Technology Ke-Chiang Lin, Chun-Fu Chang, Min-Chung Wu, and Shyh-Jong Chung, Senior Member, IEEE

Abstract—A dual-bandpass filter that utilizes a serial configuration of the inductive coupled-line (ICL) filter and the capacitive coupled-line (CCL) filter is investigated in this study. Numerical analysis is presented for the difference between the ICL and CCL filters. The dual-bandpass filter has a similar behavior to the individual single-band filter around the corresponding passband. An extra transmission zero can be generated between the two passbands of the dual-bandpass filter in that the ICL and CCL filters exhibit an out-of-phase response. Four architectures of dual-bandpass filters are proposed for the 2.4/5-GHz systems. Two of them are demonstrated by the low-temperature co-fired ceramic multilayer technology. Measurements results agree quite well with the simulation ones. Index Terms—Bandpass filter, dual-band, low-temperature co-fired ceramic (LTCC), wireless local area network (WLAN).

I. INTRODUCTION ITH THE development of wireless local area networks (WLANs) rapidly growing, many products have been implemented in personal computers and peripheral to them, in addition to the mobile communication and consuming electronics. The IEEE 802.11 group established the WLAN standards including the 802.11 a/b/g. The 802.11 g uses the frequency from 2.4 to 2.4835 GHz and the orthogonal frequency division multiplexing (OFDM) modulation with a data rate up to 54 Mb/s. The 802.11 a, covering the frequency range from 5.15 to 5.35 GHz (lower and middle U-NII bands) and from 5.725 to 5.825 GHz (upper U-NII band), utilizes the OFDM modulation with a data rate up to 54 Mb/s. Another standard, 802.11 j, adds channels in the frequency band of 4.9 to 5.0 GHz in Japan. Having 11–14 operation channels with 20-MHz bandwidth each, the 802.11 g only offers three nonoverlapped channels, whereas the 802.11 a provides more than ten nonoverlapped channels. To enhance the communication capacity of a unit cell, a dual-band system for the 802.11 a/g standard has been developed to take advantage of these two specifications in the coming years. Fig. 1(a) shows a typical architecture of an RF dual-band front-end module. The module includes two diversity antennas, a double-pole-double-throw (DPDT) antenna and T/R switch, two diplexers, two low-pass filters, and two bandpass filters. In

W

Manuscript received September 26, 2005; revised February 24, 2006. This work was supported in part by the National Science Council, R.O.C., under Contract NSC 94-2752-E009-003-PAE and in part by the MOE ATU Program. K.-C. Lin, C.-F. Chang, and S.-J. Chung are with the Department of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan 30050, R.O.C. (e-mail: [email protected]). M.-C. Wu was with Department of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan 30050, R.O.C. He is now with the Yuen Foong Yu Group, Taipei, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2006.875267

front of the low-pass filters are the 2.4/5-GHz power amplifiers (PAs), and following the bandpass filters are the low-noise amplifiers (LNAs). In this front-end module, there are six passive components used, which may occupy too much circuitry space. To reduce the size and also the cost of the module, the four single-band filters can be merged into two dual-band filters, as shown in Fig. 1(b). Each dual-band filter passes the signals of 2.4 and 5 GHz, and has a similar size to the single-band filters. Some dual-band components have been proposed such as a dual-band LNA [1], a dual-band RF front-end [2], and several dual-bandpass filters [3]–[8]. In [3], a dual-band-rejection filter was designed by using frequency-variable transformations. In [4], a dual-bandpass filter was implemented using three parallel open-ended stubs with one for a wide passband and the other two for the stopbands. A microstrip dual-bandpass filter [5] used stepped-impedance resonators (SIRs) in parallel-coupled and vertical-stacked configurations, and another dual-bandpass filter [6] utilized a wide bandpass filter in cascaded with a bandstop filter. Most of these designs need quite a bit of space to implement. In this paper, motivated from the various designs of singleband filters [9]–[14], we introduce a new structure of bandpass filter, and, with its configuration, propose a new dual-bandpass filter. For size minimization, the filter is designed and demonstrated using low temperature co-fired ceramic (LTCC) multilayer technology.

II. BANDPASS FILTER CONFIGURATION WITH CAPACITIVE COUPLED LINE (CCL) A conventional bandpass filter with an inductive coupled line (ICL) is shown in Fig. 2(a). The filter has two capacitors, i.e., and , for dc blocking and impedance matching, as well as two resonators to form two poles in the passband. Each resonator consists of a capacitor in parallel with a stripline . From the network analysis, the scattering parameter of the filter can be expressed as [15] (1)

is the characteristic impedance of the where the constant I/O ports, and , , , and , and the functions of are the transmission matrix elements. The frequency of the transmission zero is determined by setting or, from (1), making , , , or approach infinity. For simplifying the design, assume and .

0018-9480/$20.00 © 2006 IEEE

2322

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 1. (a) Conventional architecture of RF dual-band front-end module. (b) New architecture of RF dual-band front-end module with two dual-bandpass filters.

(2b)

(2c) where and . and are the characteristic impedances of even and odd modes, respectively, and is the electrical length of the coupled line. The frequencies of the transmission zeros derived from (2a)–(2c) by setting , , , and approach infinity are

Fig. 2. (a) Conventional bandpass filter structure with an ICL. (b) New bandpass filter structure with a CCL.

By cascading the ments , , be easily derived as

matrices, the transmission matrix ele, and of the whole structure can

(2a)

and

(3)

where is the velocity of propagation in the coupled line, is the length of the coupled line, and is a nonnegative integer. This paper presents a new bandpass filter structure with a CCL, as shown in Fig. 2(b). The configuration is similar to the conventional ICL one, but with the orientation of one of the striplines opposed. The transmission matrix elements

LIN et al.: DUAL-BANDPASS FILTERS WITH SERIAL CONFIGURATION USING LTCC TECHNOLOGY

2323

Fig. 3. Magnitude responses of the transmission coefficient (S ) for the ICL and CCL filters designed at 2.4 GHz under the condition of equalizing all circuit parameters.

,

,

, and

of this new structure are derived

as Fig. 4. (a) Block diagram of the proposed dual-bandpass filter. (b) Circuit of the upper network (ICL filter) of the block diagram in (a). (c) Circuit of the lower network (CCL filter) of the block diagram in (a).

(4a)

(4b)

have the same performance at the lower frequency and the passband, but with differences at the higher frequency range caused by the transmission zeros. The additional zero for the conventional ICL filter at the frequency of sharpens the high skirt of the passband. Besides, due to the reverse connection at the output port, the ICL and CCL filters exhibit an out-of-phase response at the frequency range before the first zero. This property benefits the design of the dual-bandpass filters, as will be demonstrated below. III. NEW DUAL-BANDPASS FILTER CONFIGURATIONS A. Circuit Model

(4c)

and the corresponding frequencies of transmission zeros are

(5)

Comparing (5) and (3), the number of transmission zeros for the new CCL filter is half that of the ICL one. Fig. 3 shows the magnitude responses of transmission coefficient for the ICL and CCL filters designed at 2.4 GHz under the condition of equalizing all circuit parameters. It is seen that the two filters

The structure of the proposed dual-bandpass filter consists of the ICL and CCL filters with a serial configuration. Fig. 4(a) shows the block diagram of the dual-bandpass filter where the upper network (Network 1) is responsible for the low passband and the lower network (Network 2) is responsible for the high passband. The circuits of the upper and lower networks are depicted, as examples, in Fig. 4(b) and (c). Here, the dual-bandpass filter with the ICL configuration at low band (2.4 GHz) and the CCL at high band (5 GHz) is illustrated. The dc block capacitors of the lower network in Fig. 4(c) are left out for simplification. With a serial configuration, the total impedance could be written as

(6)

where is the dual-bandpass filter impedance matrix and and are the impedance matrices of the upper [see Fig. 4(b)]

2324

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 6. Four architectures of the proposed dual-bandpass filter with: (a) ICL for low band and CCL for high band (2I5C), (b) CCL for low band and ICL for high band (2C5I), (c) ICL for both bands (2I5I), and (d) CCL for both bands (2C5C). Fig. 5. Magnitude responses of: (a) the trans-impedance Z impedance Z for the ICL and CCL filters in Fig. 4.

and (b) the selfTABLE I COUPLED-LINE TYPES IN NETWORKS 1 AND 2, AND THE CORRESPONDING DESIGN PARAMETERS FOR THE DUAL-BANDPASS FILTERS

and lower [see Fig. 4(c)] networks, respectively. Fig. 5(a) and (b) shows the magnitudes, as functions of frequency, of the trans-impedance and self-impedance , respectively, for the individual single-band filters. The low-band filter (ICL filter) possesses two resonance poles at 2.3 and 2.7 GHz, while the high-band filter (CCL filter) has poles at 5.7 and 7.7 GHz. Note that the magnitudes of the impedances ( and ) of the high-band filter are negligible around the low passband, as compared to those ( and ) of the low-band filter, and vice versa. This implies that the series-connected dual-bandpass filter has about the same impedance values as the low-band filter around the low passband and as the high-band filter near the high passband. Thus, by the conversion of the transmission coefficient expressed as a function of the corresponding impedance matrix elements as [15]

(7)

the transmission coefficient of the dual-bandpass filter has approximately the same behavior as the individual single-band filter around the corresponding passband. It should be noticed from Fig. 5(b) that the low-band filter has a stronger loading effect on the high-band one so that, as can be seen later, the

(The unit for capacitors is pF and that for transmission line is mm.)

two high-band poles will be shifted a little toward the lower frequencies (5.2 and 5.8 GHz) when the two single-band filters are stacked up. The frequencies of transmission zeros are determined by setting or, from (7), . As the ICL and CCL filters perform opposite phase characteristics, the trans-impedance can generate zero with and canceling each other at the frequency of equal magnitudes. From Fig. 5(a), the magnitudes are equal at the frequencies near 3.4 and 1 GHz. This means that the total trans-impedance of the dual-bandpass filter would sum to zero, thus producing transmission zeros at these frequencies. In this paper, four architectures, as shown in Fig. 6, of the proposed dual-bandpass filter are designed and compared. Fig. 6(a) comprises the ICL filter at the low band and the CCL filter at the high band (called “2I5C” in this paper) and Fig. 6(b) conversely sets CCL filter at the low band and the ICL filter at the high band (2C5I). Fig. 6(c) utilizes two ICL filters in low and high

LIN et al.: DUAL-BANDPASS FILTERS WITH SERIAL CONFIGURATION USING LTCC TECHNOLOGY

2325

TABLE II PHYSICAL LAYOUT PARAMETERS ACCORDING TO FIG. 7 (2I5C)

bands (2I5I) and Fig. 6(d) uses two CCL filters in both two bands (2C5C). Table I summarizes the coupled-line types in Network 1 and Network 2 and also shows the design parameters for the four filters. B. Transformation of Circuit Model to Physical Layout The proposed filter architectures are composed of capacitors and coupled transmission lines. To implement a capacitor in the LTCC substrate, the parallel-plate capacitance formula is used, where is the dielectric constant of the ceramic material, is the overlap area between the two parallel plates, and is the distance between the two parallel plates. For considering the fabrication tolerance, one of the two plates is designed larger than it is supposed to be so that the capacitance would not vary much while one of these plates is shifted due to process inaccuracy. Actually the obtained sizes of the plates need to be fine tuned in the electromagnetic (EM) simulation by taking the cause of the fringing field into account. After the capacitors are done, we then design the transmission lines based on the parameters from the circuit simulation. Notably, the mutual coupling of the metal plates in this compact multilayer structure would result in different simulation responses from the circuit simulator so we have to adjust them to reduce the parasitic effects as best we can in order to minimize the difference between the full-wave simulation and circuit simulation. Due to the process limit, the minimum linewidth, minimum spacing between lines, and minimum via diameter are 0.1, 0.075, and 0.1 mm, respectively. By the restriction of the spacing between lines, the coupling is not strong enough to make a wide passband at 5 GHz, even though the minimum spacing has been applied. Therefore, the broadside coupled line is utilized at 5 GHz to derive enough coupling. The edge coupled line at 2 GHz supports satisfactory bandwidth with the minimum line spacing of 0.075 mm. Table II depicts the LTCC layout parameters according to Fig. 7. C. LTCC Layout and EM Simulation The four dual-bandpass filters have the same size of 2.5 mm 2.0 mm 0.94 mm and are all designed using the 16 LTCC layers. The thickness of each layer is 0.035 mm, except Layer 3, which is 0.07 mm. The material of the LTCC used here is CT2000, which has a dielectric constant of 9.1, loss tangent of 0.002, and metal thickness of 0.012 mm. As an example, Fig. 7

2

Fig. 7. Layout of the 2I5C dual-bandpass filter with size of 2.5 mm 2.0 mm 0.94 mm.

2

illustrates the layout of the 2I5C dual-bandpass filter. The LTCC has three ground layers on Layer 1, Layer 3, and Layer 16, and the locations and metal sizes of other elements are marked as shown in Fig. 7. For convenience, the elements are laid out symmetrically, except the CCL of 5 GHz. Port 1 and Port 2 are on Layer 11 and the dc block capacitors ( and ) are produced by the metals on Layer 10 and Layer 11, respectively. Since the resonator capacitors ( and ) of 2.4 GHz are too large to be made by two layers, we use several parallel metals to form the capacitors from Layers 7 to 10. The metals on Layers 7 and 9 have equal potential by a via (which is electrically connected with electric circuit layers), as do the metals on Layer 8 and Layer 10. The other resonator capacitors ( and ) of 5 GHz are made by the metals on Layer 7 and ground Layers 1 and 3. Ground Layer 3 is used to provide sufficient capacitance for and because the distance between Layer 1 and Layer 7 is too large. The ICL of 2.4 GHz on layer 9 uses the edge coupling and the CCL of 5 GHz on Layers 2 and 3 uses the broadside coupling that can provide much coupling to produce a wide passband. Ground Layer 1 is slotted to decrease the parasitic effect between Layer 1 and the CCL on Layer 2. Similarly, the bottom ground Layer 16 is designed to avoid the undesired capacitors associated with the metal on Layer 11. The ideal circuit simulations by the circuit simulator Microwave Office [16] and EM simulations by the full-wave commercial package HFSS [17] are presented in Fig. 8 for the four dual-bandpass filters. The dashed lines are the results from the ideal circuit simulation and the solid lines are from the EM simulation. The simulation response of Fig. 8(a) [see Fig. 8(b)] that uses the ICL (CCL) filter at 2.4 GHz and the CCL (ICL) filter at 5 GHz has the insertion loss of 1.6 dB ( 1.4 dB) at a low passband and 1.0 dB ( 1.2 dB) at a high passband. The two poles of the high passband are designed at approximately 5 and 6 GHz to form a wide bandwidth in Fig. 8(a) and (b).

2326

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 8. Circuit simulation and EM simulation of the dual-bandpass filters. (a) 2I5C. (b) 2C5I. (c) 2I5I. (d) 2C5C.

Expectantly due to the opposite phase, there is a transmission zero near 3.5 GHz between the two passbands. (The zero has a benefit rejection of Worldwide Interoperability for Microwave Access (WiMAX) signals to increase isolation.) Since the magnitude response of the ICL filter decays quicker than that of the CCL filter in higher frequency, the performance of Fig. 8(b) has the sharper response in the high band than Fig. 8(a). In Fig. 8(c) [see Fig. 8(d)] that uses the ICL (CCL) filter at both 2.4 and 5 GHz, the insertion losses are 1.2 dB ( 1.5 dB) at 2.4 GHz and 1.0 dB ( 1.2 dB) at 5 GHz. Since the filters in Fig. 8(c) and (d) use the same types of coupled line, no obvious transmission zeros occur in between the two passbands. With the reason of quicker decaying stated above, Fig. 8(c) has a better rejection at the high frequency than Fig. 8(d). IV. MEASUREMENT RESULTS Two dual-bandpass filters, i.e., 2I5C and 2C5C, were fabricated using the LTCC technology for demonstration. The EM simulation (dashed line) and measurement (solid line) of these two dual-bandpass filters are presented in Fig. 9(a) and (b). For the results of the 2I5C filter shown in Fig. 9(a), the measured transmission coefficient agrees quite well with the simulated one in the high passband, but with a little frequency shift (approximately 200 MHz) in the low passband. The filter has a

measured insertion loss of approximately 1.8 dB at 2.2 GHz and approximately 1.0 dB from 4.9 to 5.9 GHz. The transmission zero is located at 3.17 GHz with the suppression under 40 dB. The frequency shift in the low band is caused by the fact that the shrinkage of layer thickness with circuit printing is larger than that without printing, i.e., printed Layers 7–11 of the 2I5C layout in Fig. 7 shrink more than unprinted Layers 4–6. Thus, the capacitors of 2.4-GHz circuitry laid on Layers 7–11 become larger than the designed ones, leading to the down shift of the low passband. Contrarily, the 5-GHz high band is laid on Layers 1–7 so the thickness is similar to the design, and the measured result of fits the EM simulation. However, in the high passband, while we lay out the CCL with broadside coupling, a little offset between layers weakens the coupling such as to reshape two poles into a sharp one, as observed in this figure. The measured results of dual-bandpass filter 2C5C are illustrated in Fig. 9(b). The insertion loss is 2.1 dB at 2.3 GHz and is 1.3 dB from 5.0 to 5.6 GHz. Without the obvious transmission zeros between two passbands, the 2C5C filter still has the suppression more than 20 dB at 3.6 GHz. Due to the same factor of 2I5C, the 2.4-GHz passband also shifts to a lower frequency by approximately 100 MHz. Owing to the offset of the CCL with broadside coupling in the high passband, the bandwidth is reduced. Fig. 10 shows the photograph of these two LTCC dual-bandpass filters.

LIN et al.: DUAL-BANDPASS FILTERS WITH SERIAL CONFIGURATION USING LTCC TECHNOLOGY

2327

in both circuit and EM simulations. The transmission zero of the dual-bandpass filter at near 3.5 GHz has a profit rejection of WiMAX signals. Based on the proposed stack-up dual-bandpass configuration for WLAN applications, filters with more transmission zeros at frequencies such as those of the mobile communication systems (0.9/1.8/1.9 GHz) are to be developed in the near future. ACKNOWLEDGMENT The authors are grateful to the Mag.Layers Scientific-Technics Company Ltd., Hsin-Chu, Taiwan, R.O.C., for LTCC fabrication. Much appreciation is expressed to C.-Y. Wei, Mag.Layers Scientific-Technics Company Ltd., for helpful discussions. The reviewers are also appreciated for their valuable comments and suggestions. The proposed filter schematic presented in this paper is patent pending. REFERENCES

Fig. 9. Simulation and measurement results of: (a) the 2I5C dual-bandpass filter and (b) the 2C5C dual-bandpass filter.

Fig. 10. Two dual-bandpass LTCC filters.

V. CONCLUSION In this study, a CCL bandpass filter is analyzed and compared with the ICL bandpass filter. Applying the CCL, the filter design becomes more flexible over the conventional ICL designs. By stacking up the ICL and CCL filters, we introduce a new structure of the dual-bandpass filter that has a low insertion loss in both passbands and a wide bandwidth in the high band. With a serial configuration of the ICL and CCL filters, a transmission zero could be generated between two passbands. Two dual-bandpass filters are fabricated in a compact size using the LTCC process. Satisfying performances are achieved

[1] H. Hashemi and A. Hajimiri, “Concurrent multiband low-noise amplifiers—Theory, design, and applications,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 288–301, Jan. 2002. [2] J. Ryynänen, K. Kivekäs, J. Jussila, A. Pärssinen, and K. A. I. Halonen, “A dual-band RF front-end for WCDMA and GSM applications,” IEEE J. Solid-State Circuits, vol. 36, no. 8, pp. 1198–1204, Aug. 2001. [3] H. Uchida, H. Kamino, K. Totani, N. Yoneda, M. Miyazaki, Y. Konishi, S. Makino, J. Hirokawa, and M. Ando, “Dual-band-rejection filter for distortion reduction in RF transmitters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2550–2556, Nov. 2004. [4] V. Palazzari, S. Pinel, J. Laskar, L. Roselli, and M. M. Tentzeris, “Design of an asymmetrical dual-band WLAN filter in liquid crystal polymer (LCP) system-on-package technology,” IEEE Micro. Wireless Compon. Lett., vol. 15, pp. 165–167, Mar. 2005. [5] J.-T. Kuo, T.-H. Yeh, and C.-C. Yeh, “Design of microstrip bandpass filters with a dual-passband response,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1331–1337, Apr. 2005. [6] L.-C. Tsai and C.-W. Hsue, “Dual-band bandpass filters using equallength coupled-serial-shunted lines and z -transform technique,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1111–1117, Apr. 2004. [7] C.-H. Chang, H.-S. Wu, H. J. Yang, and C.-K. C. Tzuang, “Coalesced single-input single-output dual-band filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 1, pp. 511–514. [8] H. Miyake, S. Kitazawa, T. Ishizaki, T. Yamada, and Y. Nagatomi, “A miniaturized monolithic dual band filter using ceramic lamination technique for dual mode portable telephones,” in IEEE MTT-S Int. Microw. Symp. Dig., 1997, pp. 789–792. [9] A. Podcameni, “Symmetrical and asymmetrical edge-coupled-line impedance transformers with a prescribed insertion loss design,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 1, pp. 1–7, Jan. 1986. [10] L. K. Yeung and K.-L. Wu, “A compact second-order LTCC bandpass filter with two finite transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 337–341, Feb. 2003. [11] C.-W. Tang, Y.-C. Lin, and C.-Y. Chang, “Realization of transmission zeros in combline filters using an auxiliary inductively coupled ground plane,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2112–2118, Oct. 2003. [12] K. Rambabu and J. Bornemann, “Simplified analysis technique for the initial design of LTCC filters with all-capacitive coupling,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1787–1791, May 2005. [13] C.-F. Chang and S.-J. Chung, “Bandpass filter of serial configuration with two finite transmission zeros using LTCC technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2383–2388, Jul. 2005. [14] C.-H. Lee, S. Chakraborty, A. Sutono, S. Yoo, D. Heo, and J. Laskar, “Broadband highly integrated LTCC front-end module for IEEE 802.11a WLAN applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 2, pp. 1045–1048. [15] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [16] Microwave Office. Appl. Wave Res. Inc., El Segundo, CA, 2002. [17] HFSS. Ansoft Corporation, Pittsburgh, PA, 2001.

2328

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Ke-Chiang Lin was born in Taoyuan, Taiwan, R.O.C., on November 12, 1981. He received the B.S. degree in electrical engineering from National Sun Yat-Sen University (NSYSU), Kaohsiung, Taiwan, R.O.C., in 2004, and is currently working toward the M.S. degree in communication engineering at National Chiao Tung University (NCTU), Hsinchu, Taiwan, R.O.C. His research interests are LTCC RF passive components and microwave circuits.

Min-Chung Wu was born in Taoyuan, Taiwan, R.O.C., on February 15, 1980. He received the M.S. degree in communication engineering from National Chiao Tung University (NCTU), Hsinchu, Taiwan, R.O.C., in 2005. He is currently with the Yuen Foong Yu Group, Taipei, Taiwan, R.O.C.

Chun-Fu Chang was born in Kaohsiung, Taiwan, R.O.C., on February 1, 1982. He received the B.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 2004, and is currently working toward the M.S. degree in communication engineering at National Chiao Tung University. His currently research interests are microwave circuits, LTCC RF passive components, and LTCC front-end modules for WLAN applications.

Shyh-Jong Chung (M’92–SM’06) was born in Taipei, Taiwan, R.O.C. He received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 1984 and 1988, respectively. Since 1988, he has been with the Department of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan, R.O.C., where he is currently a Professor. From September 1995 to August 1996, he was a Visiting Scholar with the Department of Electrical Engineering, Texas, A&M University, College Station. He was the leader of a sub-program in the four-year Advanced Technologies for Telecommunications National Research Program, which was supported by the Ministry of Education, Taiwan, R.O.C. He has authored or coauthored over 70 technical papers in international journals or conferences including several invited papers and speeches. His areas of interest include the design and applications of active and passive planar antennas, communications in intelligent transportation systems (ITSs), LTCC-based RF components and modules, packaging effects of microwave circuits, and numerical techniques in electromagnetics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2329

Magnetically Tunable Filters for Cellular Communication Terminals Jerzy Krupka, Senior Member, IEEE, Adam Abramowicz, Senior Member, IEEE, and Krzysztof Derzakowski

Abstract—Magnetically tunable two-pole dielectric-resonator filters containing axially and circumferentially magnetized ferrite elements have been designed and investigated. Employing axially magnetized ferrites, a 20-MHz tuning range was obtained. The factor of resonators was 2500 and the tuning power was 1.8 W. Filters containing circumferentially magnetized discs demonstrated a 12-MHz tuning range with the factor over 8000 (tuning power 3.5 W) and 28 MHz with the factor 3500 (tuning power 3.5 W). Index Terms—Dielectric resonators, ferrite loaded resonators, filters, tunable filters.

I. INTRODUCTION HE IDEA of magnetic tuning of dielectric resonators has been known for many years [1]–[5]. However, an application of ferrite elements enabling electronic tuning is limited due to an introduction of additional losses that degrade the insertion loss (IL) characteristic of microwave filters. In our earlier paper [6], magnetically tunable dielectric resonators have been investigated. Results of experiments and theoretical calculations have been presented for both axial and circumferential magnetization of a ferrite material. The resonators have been optimized for a wide tuning range and high quality ( ) factor. In this paper, we present analysis and practical realization of two-pole filters containing such elements operating at a frequency of approximately 2.2 GHz.

T

Fig. 1. Schematic diagram of tunable dielectric resonators containing: (a) axially and (b) circumferentially magnetized ferrite elements.

II. TUNING RANGE AND FACTOR OF MAGNETICALLY TUNABLE DIELECTRIC RESONATORS As has been shown in our earlier paper [6], practical tuning of a dielectric resonator operating in its quasimode can be realized either by an axially magnetized ferrite rod or circumferentially magnetized ferrite discs. Proposed resonator structures containing such elements are shown in Fig. 1. For a ferrite material magnetized along the -axis [see Fig. 1(a)], its permeability is a tensor with three independent components that can be expressed as [7]

For a quasi-mode dielectric-resonator, the axial component of its magnetic field approaches maximum on a resonator axis so its effective tuning is related to the component variation of the ferrite rod situated at the resonator axis. In a case of circumferentially magnetized discs [see Fig. 1(b)], the permeability tensor is given by (2) [9] as follows: (2)

(1)

Manuscript received February 17, 2005; revised October 18, 2005. This work was supported by the European Competitive and Sustainable Growth Research Programme under Grant GRD1-2001-40547. The authors are with the Department of Electronics and Information Technology, Warsaw University of Technology, Warsaw, Poland (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875268

-mode It has been also been proven [6] that the quasidielectric-resonator tuning range depends on one parameter defined by (3) rather than on two independent parameters and

(3) Computations of tuning characteristics and the tuning range of a dielectric resonator containing ferrite elements require data

0018-9480/$20.00 © 2006 IEEE

2330

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

biasing currents is difficult to get biasing magnetic fields to exceed several kiloamperes/meter, thus practical tuning ranges are similar for both axial and circumferential bias structures. One can also observe that magnetic losses associated with the axial tensor component are almost constant with bias, while losses associated with the perpendicular component (predominantly related to ) decrease with increasing bias for below 50 kA/m. It should be taken into account that an increase of the tuning range reduces the factor of the resonant structure. Our resonant structures have been extensively analyzed employing the Rayleigh–Ritz method [3], [4]. The results have shown that their factors are predominantly limited by the magnetic losses of ferrite elements [6]. In order to avoid very large magnetic losses, saturation magnetization of ferrite material should be chosen such that minimum operating frequency is slightly above its ferromagnetic resonance frequency , where is the gyromagnetic ratio (35.19 MHz m/kA) [7]. In such a case, the tuning range is reasonable and the magnetic loss tangent is of the order of 10 . In order to compare different materials, it is convenient to define the parameter called the figure-of-merit , which is the product of the maximum relative change of the real part of the appropriate permeability component responsible for tuning and the internal factor due to magnetic losses. For an axially magnetized ferrite rod, its figure-of-merit can be defined as [6]

(4) and, for a circumferentially magnetized ferrite in a similar manner,

Fig. 2. (a) Real and (b) imaginary parts of permeability tensor components versus bias for axially magnetized YIG (manufactured by POLFER) at 10 GHz (results are based on measurements performed by Krupka [10]).

on permeability tensor components versus static magnetic bias. Unfortunately, such data are not easily available. Results of experimental measurements of all complex permeability tensor components for several materials are given in [10] and for a pure YIG (manufactured by POLFER, Warsaw, Poland) are presented in Fig. 2 as an example. Although the measurements of YIG have been performed at nearly 10 GHz, the example is quite useful. Taking into account the general theory of microwave ferrites [7], [8], the material having kA/m should have very similar permeability tensor components at 2.1 GHz such as those shown in Fig. 2. Such a material is available from Trans-Tech, Adamstown, MD, and has been used in our experiments. One can observe that, in principle, a larger tuning range can be obtained for the circumferentially magnetized ferrite since variations are much larger than variations of (in the range of from 0 to 140 kA/m). However, in practice, having limited

(5) denotes the maximum value of the respecThe subscript tive component. To achieve the maximum factor and maximum tunability, the figure-of-merit of a ferrite material has to be maximized. This can only be done experimentally since producers do not give data on magnetic losses at microwave frequencies. Values of figures-of-merit for axially magnetized ferrite rods made of commercially available materials are shown in Table I. First, three materials have been measured at 2.1 GHz using the -mode cylindrical dielectric-resonator technique [6], [9]. Four results have then been extracted from measurement data given in [9]. One can see that the largest values of the figure-of-merit for the calcium-doped YIG garnet are over 600, but at frequencies above 6 GHz. For frequencies close to 2 GHz, available values of the figure-of-merit are approximately 3 smaller. Relation between the figure-of-merit and factor of the dielectric resonator containing the ferrite element can be derived from perturbation theory, which states that the relative frequency change of a resonant structure is proportional to the

KRUPKA et al.: MAGNETICALLY TUNABLE FILTERS FOR CELLULAR COMMUNICATION TERMINALS

2331

TABLE I FIGURES-OF-MERIT FOR AXIALLY MAGNETIZED FERRITE RODS MADE OF COMMERCIALLY AVAILABLE MATERIALS

relative change of permeability of the tuning element as given in the following formula [6]:

(6) where

is the magnetic energy filling factor defined as

Fig. 3. Coupling coefficient between dielectric resonators with circumferentially magnetized ferrite discs (hf = 6:1 mm) versus iris width.

(7)

On the other hand, the factor of the resonant structure due to magnetic losses can be expressed as

(8) and the maximum resonant frequency shift is related to the figure-of-merit by the following:

(9) Combining (8) and (9), one obtains

(10) It is quite clear that, for a given ferrite material, the factor due to magnetic losses is inversely proportional to the tuning range. One can expect that for material having and for a tuning range of resonant structure equal to 1%, the factor due to magnetic losses should be approximately 10 000. The total unloaded factor of a resonant structure is further reduced by the presence of dielectric and conductor losses of the whole resonant structure according to the following:

(11) where , , and are the total unloaded factor of the resonant structure, the factor due to dielectric losses, and the factor due to conductor losses, respectively.

III. FILTER DESIGN On the basis of computed and measured results of single resonator structures, three trial two-pole filters have been designed, realized, and measured. The ferrite rods of diameter 11.4 mm and discs of diameter 39.3 mm and height of 6.1 and 3.9 mm have been made from G-510 material (Trans-Tech). The dielectric resonators of diameter 31 mm and height 9.3 mm (2900 series, Trans-Tech) have been mounted in the housing of diameter 60 mm and height 30 mm using Teflon supports and spacers. Dielectric resonators with ferrite rods or ferrite discs have been placed in cylindrical enclosures and the iris in the common wall has been cut to provide the coupling needed. The external couplings have been realized using open-circuit high-impedance wires connected to inner conductors of input/output connectors. The assumed filter bandwidth was 5 MHz, and a return loss (RL) of better than 20 dB and characteristic of a Chebyshev type was expected. From the normalized low-pass filter elements, the external coupling and coupling between resonators has been calculated [12]. The iris size and location has then been computed by means of the three-dimensional (3-D) electromagnetic (EM) simulator QuickWave based on the finite-difference time-domain (FDTD) method [13]. The coupling coefficient between resonators has been computed by means of the eigenfrequency method [14]. In the computations, the electric or magnetic walls have been introduced in the symmetry plane between resonators in the middle of the iris, thus they has been sufficient to analyze a single resonator structure. The resonant frequencies of higher order modes have been computed, as well as the field distributions between resonators. The results of coupling coefficient computations for the structure with circumferentially magnetized ferrite discs are shown in Fig. 3. The external couplings have been also computed in QuickWave. Finally, having specified all dimensions of the filters, the transmission and reflection characteristics have been computed.

2332

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 4. Results of FDTD simulations of the filter containing circumferentially magnetized ferrite discs (hf = 6:1 mm) for different permeabilities.

Fig. 6. (a) Measured characteristics for the filter containing axially magnetized ferrite rods. (b) Measured characteristics for the filter containing circumferentially magnetized ferrite discs (hf = 6:1 mm).

the same. The simulations have been done for lossless materials. It can be seen that characteristics change and the coupling coefficient between resonators and external couplings are affected by simultaneous tuning. IV. EXPERIMENTS

Fig. 5. Tunable filters. (a) Filter containing axially magnetized ferrite rods (df = 11:4 mm). (b) Disassembled filter containing circumferentially magnetized ferrite discs (df = 39:3 mm, hf = 6:1 mm). (c) Assembled filter containing circumferentially magnetized ferrite discs (df = 39:3 mm, hf = 3:9 mm).

The computed characteristics of the filter with circumferentially magnetized ferrite discs are shown in Fig. 4 for two different magnetization states and . All other material parameters and mechanical dimensions have been kept

Three filters, i.e., one containing axially magnetized ferrite rods and two containing circumferentially magnetized ferrite discs, have been designed and constructed. Their photographs are shown in Fig. 5. Measured transmission/reflection characteristics of those filters are presented in Figs. 6 and 7. The maximum tuning current for each filter corresponds to the average static magnetic field in the ferrite element approximately equal to 1 kA/m. It is seen that, in the filter with an axially magnetized element, it is difficult to obtain uniform return-loss characteristics in the whole tuning range. This is related with a presence of external magnetic circuit and slightly different magnetization of two ferrite rods. Anyway, as can be seen, the filter changes its center frequency from 2226.5 to 2208.5 MHz due to a change of the current from 0 to 0.5 A without degradation of the RL

KRUPKA et al.: MAGNETICALLY TUNABLE FILTERS FOR CELLULAR COMMUNICATION TERMINALS

2333

Fig. 7. (a) Measured characteristics for the filter containing circumferentially magnetized ferrite discs (hf = 3:9 mm). (b) Characteristics of the same filter measured in a wide frequency range showing spurious passbands.

and IL characteristic. The passband losses remain on a similar level below 0.8 dB. Hysteresis effects are clearly visible and RL is substantially different for magnetizing currents passing in opposite directions. After the full tuning cycle (current starting from 0 A up to 1.25 A and down to 0.5 A and then back to 0 A) the filter characteristic returns back to the initial one. For filters with circumferentially magnetized elements, a close magnetic circuit is created by the ferrite disc so by employing one winding, it is much easier to obtain uniform static magnetization for four ferrite elements (there are two discs in each of the two resonators). The comparison of the measured [see Fig. 6(a)] and simulated (Fig. 4) results of the filter with circumferentially magnetized elements shows quite good agreement in the shape of characteristics, smaller tuning range of the practical filter, and the shift in center frequency. In practice, the magnetization was smaller than simulated, which is also due to nonuniform magnetization of ferrite discs. Different center frequencies may result from inaccurate data on dielectric resonator permittivity or accuracy of the FDTD simulator. From measured transmission/reflection characteristics, it is also possible to extract related parameters such as the unloaded factor for individual resonators. The unloaded factors for individual filter resonators have been

Fig. 8. (a) IL measured at center frequency versus tuning current. (b) Unloaded Q factor of filter resonators versus tuning current.

computed from filter characteristics assuming that they are of Chebyshev type and that both filter resonators have identical factors. The filter parameters obtained from measurements are relative bandwidth and IL at center frequency . Normalized low-pass filter elements are related to the ripples of the RL characteristics. A formula describing IL at the center frequency is given as follows [12]:

(12) Thus, the unloaded formula:

factor is computed from the following

(13)

2334

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE II MEASURED/EXTRACTED PARAMETERS OF MAGNETICALLY TUNABLE FILTERS

The measured IL at center frequency of the filter shown in Fig. 7 and unloaded factors computed from (13) are presented in Fig. 8. The IL is nearly constant versus bias current, but the filter bandwidth is decreasing with bias current, which indicates the unloaded factor increase. The temperature characteristics of the filters have not been measured, although it is possible to compensate of different temperature drift of the ferrite and dielectric resonator by proper selection of the dielectric-resonator temperature coefficients. The dielectric resonator should have quite a big positive temperature coefficient of resonant frequency. Measured and extracted parameters of all our filters are shown in Table II. Comparing filters (b) and (c), one can notice that there is tradeoff between the factor (or IL), tuning range, and tuning power, and these parameters can be changed by a proper choice of ferrite disks. The filter with axially magnetized rods has not repeatable characteristics versus tuning current because the ferrite rods are not identical and the magnetic circuit created by two separate rods and external yokes has unavoidable air gaps [11]. V. CONCLUSION It has been shown that by using commercially available materials, it is possible to construct magnetically tunable filters operating at frequencies of approximately 2 GHz, having a tuning range 0.5% (employing tuning power of approximately 4 W), and having a factor of the order of 10 000 and an IL 0.4 dB. An increase of a tuning range of filters containing circumferentially magnetized discs is possible by increasing tuning power. It is also worth noticing that at frequencies of approximately 6 GHz, it is feasible to construct filters having approximately 3 larger tuning range or a 3 larger factor since, at such frequencies, available ferrite materials have approximately a 3 better figure-of-merit. REFERENCES

Q

[1] S. Watanabe, N. Kusama, and K. Sakamoto, “Very high- dielectric resonator voltage-controlled oscillators,” in Proc. 8th Eur. Microw. Conf., Paris, France, Sep. 4–8, 1978, pp. 269–278. [2] A. N. Faar, G. N. Blackie, and D. Williams, “Novel techniques for electronic tuning of dielectric resonators,” in Proc. 13th Eur. Microw. Conf., Nürnberg, Germany, Sep. 1983, pp. 791–796. [3] J. Krupka, “Resonant modes in shielded cylindrical ferrite and single crystal dielectric resonator,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 4, pp. 691–697, Apr. 1989. [4] ——, “Magnetic tuning of cylindrical mode dielectric resonators,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 4, pp. 743–747, Apr. 1989.

H

[5] J. Modelski, J. Krupka, and A. Abramowicz, “Theory and application of circumferentially magnetized ferrites in tunable devices,” in Proc. 23rd Eur. Microw. Conf., Madrid, Spain, Sep. 1993, pp. 581–584. [6] J. Krupka, A. Abramowicz, and K. Derzakowski, “Magnetically tunable dielectric resonators operating at frequencies about 2 GHz,” J. Phys. D, Appl. Phys., vol. 37, pp. 379–384, Feb. 2004. [7] A. G. Gurevich, Ferrites at Microwave Frequencies. New York: Consultants Bureau Enterprises Inc., 1963, ch. 1. [8] J. J. Green and F. Sandy, “Microwave characterization of partially magnetized ferrites,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 7, pp. 641–645, Jul. 1974. [9] J. Krupka and R. G. Geyer, “Complex permeability of demagnetized microwave ferrites near and above gyromagnetic resonance,” IEEE Trans. Magn., vol. 32, no. 5, pp. 1924–1933, May 1996. [10] J. Krupka, “Measurements of all permeability tensor components and the effective linewidth of microwave ferrites using dielectric ring resonators,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1148–1157, Jul. 1991. [11] K. Derzakowski, J. Krupka, and A. Abramowicz, “Magnetically tunable dielectric resonators and filters,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 1121–1124. [12] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters Impedance Matching Networks and Coupling Structures. New York: McGraw-Hill, 1964. [13] “QuickWave Reference Manual Version 2.2,” Qwed, Warsaw, Poland, 2004. [14] A. Abramowicz, “Analysis of coupled dielectric resonators by means of eigenfrequency method,” in Proc. 24th Eur. Microwave Conf., Cannes, France, 1994, pp. 1197–1202.

Jerzy Krupka (M’00–SM’01) was born in Cracow, Poland, in 1949. He received the M.Sc. (Hons.), Ph.D., and Habilitation degrees from the Warsaw University of Technology, Warsaw, Poland, in 1973, 1977, and 1989, respectively. Since 1973, he has been with the Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, where he is currently a Professor. His research deals mainly with measurements of the electric and magnetic properties of materials at microwave frequencies and numerical methods of EM field theory. He was a leader and took part in several research projects on these subjects in the U.S., U.K., Australia, Germany, and France. He authored or coauthored over 100 papers in international journals, encyclopaedias, and conference proceedings. Dr. Krupka was the recipient of the 1999 Best Paper Award in Measurements Science and Technology, U.K. Since 1994, he has been on the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

Adam Abramowicz (M’94–SM’99) was born in Michalowo, Poland, in 1958. He received the M.Sc and Ph.D. (Hons.) degrees from the Warsaw University of Technology, Warsaw, Poland, in 1982 and 1993, respectively. Since 1983, he has been with the Institute of Electronic Systems, Warsaw University of Technology, where he is currently an Assistant Professor. In 1996, he was Post-Doctoral Fellow with the Japan Society for the Promotion of Science, Utsunomiya University, Utsunomiya, Japan. From 1996 to 1997, he was with Fit-Messtechnik GmbH, Bad Salzdetfurth, Germany. His research interests include microwave filters, dielectric resonators, measurements of materials at microwave frequencies, and computer-aided design (CAD) of microwave components. He has authored and coauthored over 120 technical papers. He also coauthored Dielectric Resonators and Their Applications (in Polish) (PWN, 1990).

KRUPKA et al.: MAGNETICALLY TUNABLE FILTERS FOR CELLULAR COMMUNICATION TERMINALS

Dr. Abramowicz was a member of the URSI National Committee (1999–2002). He served as the IEEE Antennas and Propagation (AP)/Aerospace and Electronic Systems (AES)/Microwave Theory and Techniques (MTT) Joint Chapter secretary/treasurer (1996–2000) and chapter vice chair (since 2003). He has been an Editorial Board member for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (since 1999) and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS since 2002. He was the recipient of 1991 and 1995 Polish Ministry of National Education Award and the 1993 URSI Young Scientists Award.

2335

Krzysztof Derzakowski was born in Minsk Mazowiecki, Poland, in 1959. He received the M.Sc. and Ph.D. (honors) degrees in electronic engineering from the Warsaw University of Technology, Warsaw, Poland, in 1984 and 1991, respectively. Since 1985, he has been with the Institute of Radioelectronics, Warsaw University of Technology, where he is currently an Assistant Professor. His current research interests include measurements of dielectric and magnetic material properties at microwave frequencies, applications of dielectric resonators, and EM field theory. He has authored numerous scientific papers.

2336

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Measurement and Modeling Errors in Noise Parameters of Scaled-CMOS Devices Gaurab Banerjee, Senior Member, IEEE, K. Soumyanath, Member, IEEE, and David J. Allstot, Fellow, IEEE

Abstract—Noise parameter measurements of submicrometer scaled-CMOS devices are error prone. These errors can propagate to a device model affecting the performance of low-noise amplifiers (LNAs) designed with them. In this paper, measurement errors in noise parameters of submicrometer scaled-CMOS devices are quantified. The sensitivity of different noise parameters to measurement and modeling errors is examined, showing that ) are more immune to such errors some parameters (NFmin than others ( opt opt ). We propose a modeling and design -optimization) that can greatly approach (desensitization by alleviate the impact of such errors on the noise figure of LNAs. Measured results from a 90-nm LNA show substantial ( 2.5 dB) improvement in its noise figure as a result of desensitization. Index Terms—CMOS, desensitization, errors, low-noise amplifier (LNA), measurements, modeling, noise figure, noise parameters, scaling.

I. INTRODUCTION ITH scaling, CMOS devices have exhibited excellent noise performance, enabling the integration of low-noise radio-frequency (RF) front-ends for wireless transceivers. For such devices, accurately measured noise parameters can be used to do the following. 1) Design a noise-matched low-noise amplifier (LNA) using a conventional design approach and estimate the noise–power match tradeoff. 2) Extract a device noise model. In some simulators, the device model can be used to produce a noise correlation matrix which can generate the noise parameters, for a specified range of device geometries. The generated noise parameters can then be compared with measured noise parameters to check the accuracy of the device noise models. These simulated noise parameters can also be used to design LNAs using the conventional impedance matching method. In this paper, we describe the propagation of measurement and modeling errors in scaled-CMOS devices and their impact on low-noise amplifier design. In Section II, we examine the effect of CMOS device scaling on noise parameters. In Section III,

W

Manuscript received October 10, 2005; revised February 24, 2006. The work of D. J. Allstot was supported in part by the National Science Foundation under Contract CCR-0086032, in part by the Semiconductor Research Corporation under Contract 2001-HJ-926 and Contract 2003-TJ-1093, and in part by the Intel Corporation under a grant. G. Banerjee is with the Intel Corporation, Hillsboro, OR 97124 USA, and also with the Department of Electrical Engineering, University of Washington, Seattle, WA 98195 USA (e-mail: [email protected]). K. Soumyanath is with the Intel Corporation, Hillsboro, OR 97124 USA. D. J. Allstot is with the University of Washington, Seattle, WA 98195 USA. Digital Object Identifier 10.1109/TMTT.2006.875269

we perform an error analysis of noise parameter measurements made on a commonly used setup. In Section IV, based on a standard computer-aided design approach, we evaluate how measurement errors propagate to a device model in a commonly used model extraction procedure. In Section V, we suggest the use of high-sensitivity noise parameter measurements to reduce modeling errors. We also demonstrate that the desensitized de-optimization) of CMOS LNAs can greatly reduce sign (by the design inaccuracy caused by measurement and modeling errors. II. SCALED-CMOS DEVICE NOISE PARAMETERS Traditionally, constant field scaling of MOS devices has 1) reduced every vertical and lateral dimension (W, L, ) by a scaling factor , 2) increased the doping levels by , and 3) reduced threshold and supply voltages by [1]. Though this helps digital CMOS circuits, by scaling the gate delay by 1 and power dissipation by 1 , analog and RF circuits see a reduced saturation current (1 ), constant transconductance , output resistance , and lowered dynamic range (1 due to supply scaling) [2]. However, as all the capacitance values scale down by 1 , the device increases by the same factor. With scaling, the gate-drain overlap capacitance is increasingly becoming a larger fraction of , due to process limitations. This, together with parasitic capacitances, will eventually limit increasing s at very small geometries. The channel noise in a submicrometer MOSFET can be modeled as an integration of infinitesimal noise generators over an asymmetric inversion layer that can have regions of very high electric field affecting carrier transport (saturation velocity limited) [3]. In an amplifier built using this device, the input-referred noise at a specific frequency will be inversely proportional to gain, which primarily depends on and . So, to a first order, the amplifier noise factor (noise figure when specified in decibels) should decrease with scaling due to increasing s. The noise factor of an amplifier can be calculated from its noise parameters using [4]

(1) minimum noise factor, noise resistance, is the source admittance, and is the source admittance required for an optimum noise figure. When reported in decibels, the noise factor is called noise figure . With scaling, both and are expected to decrease as channel lengths become smaller [5]. In where

0018-9480/$20.00 © 2006 IEEE

BANERJEE et al.: MEASUREMENT AND MODELING ERRORS IN NOISE PARAMETERS OF SCALED-CMOS DEVICES

2337

Fig. 2. A typical noise parameter characterization system.

Fig. 1. Dependence of NF

, R on channel length (frequency = 5 GHz).

the context of constant field scaling, this is a result of increasing s with constant , which would result in decreasing and a constant (low) at very small channel lengths. Fig. 1 shows measured noise parameters as a function of channel length for a 90-nm technology [6]. As the devices are from the same technology, the vertical dimensions (oxide thickness, source/drain implant depth) are not scaled and so the performance improvement is purely a function of lithography. At 5 GHz, long channel devices nm exhibit a linear dependence of and on channel length. For an 80- m wide device, decreases from 5 dB (1- m channel) to 0.5 dB (90-nm channel). At smaller geometries, however, almost becomes constant within limits of experimental error. This suggests that for a specific bias/width combination, reducing channel lengths to reduce succeeds only up to a certain point. This trend is also observed for , where it decreases to 0.2 (normalized to 50 ) at 240 nm and increases for shorter channel lengths. This point of inflection is, however, a function of device bias, width, and channel length; an optimal combination of the three can be used to provide normalized values as low as 0.1. Hence, with scaling, very low values of and can be obtained, which will lead to lower noise figures in LNAs for a large range of source impedance values. The noise-matched design of LNAs has prompted extensive studies of many noise parameter measurement techniques over several decades. These can be broadly classified as studies of 1) measurement system configurations [7]–[10], 2) measurement accuracy [11], [12], and 3) algorithms and numerical techniques [13]–[15]. Most of these studies were conducted on measurement systems which were not technology specific; they usually relied on device measurements from the III–V material family (high electron mobility transistors, MESFETs, etc.) for verification. These devices have a specific range of noise/scattering-parameter values and geometries [16] that are quite different from those for scaled-CMOS devices. Very little information exists in the literature on how the accuracy of measured noise parameters of scaled-CMOS devices is affected by these measurement techniques. For scaled-CMOS devices, measurement techniques associated with noise modeling have concentrated on an

Fig. 3. Extraction of noise parameters from measurement states.

efficient de-embedding of parasitics [17]–[19] during measurements. This helps in the partitioning of the intrinsic device from external parasitic elements and improves the accuracy of the device model. However, little information exists on the propagation of measurement errors to a device model, even if the parasitics are de-embedded correctly. In the following sections, we examine the propagation of measurement and modeling errors in scaled-CMOS devices and their impact on LNAs designed with them. III. MEASUREMENT ERRORS A typical noise parameter measurement system is shown in Fig. 2. The device noise figure is measured at multiple source impedance states set by the tuner. Four such measurements are needed to solve for the four noise parameters in (1). Fig. 3 shows a graphical description of the process, where the noise figure is measured at four source impedance states and a noise paraboloid is fit to the measurements to extract the noise parameters. Usually, in commercially available systems, more than four states (impedance constellation) are used with averaging to reduce errors. The accuracy of extracted noise parameters is a function of the measured noise figure at a specific impedance state ( in Fig. 3), the measured value of the impedance state itself , and the paraboloid curve fitting technique used. While the first two sources of error are instrument dependent, the last is dependent on curve-fitting techniques as described in [15]. In this paper, we focus on measurement errors and assume curve-fitting

2338

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

errors are negligible. Also, as impedance states can be characterized by -parameter measurements which are much more accurate than noise figure measurements, we focus on as the primary source of instrumentation error. In the Y-factor-based noise figure measurement method [20], a noise source is switched between a hot and a cold state1 and the noise power at the output of the device is measured. The ratio of the hot and cold state noise powers is called the excess noise ratio (ENR) and the ratio of the output noise powers at the two states is called the Y-factor. The noise figure of the device is calculated from these two parameters. This ratio-metric method is very useful in rejecting absolute errors and does not require accurate power measurements. It suffers, however, from inaccuracies (for low device noise figures) due to the dependence of device noise on hot and cold state power levels if the source ENR is made very high. Several techniques have been proposed to either replace the Y-factor method (e.g., cold-only method) [11] or enhance it with corrections [21]. In commercially available systems, the noise figure can be measured with a precision of 0.25 dB. We can partition the error in the measured noise figure ( ) at a specific impedance state into components that can be related (details are in the Appendix) to the errors in the noise parameters . This provides important insight into how a part of the measurement error in the noise figure at a specific state is related to the error in a specific noise parameter

Fig. 4. Frequency dependence of NMOS NF

for three die sites.

(2) (3) (4)

Fig. 5. Frequency dependence of NMOS R for three die sites.

(5) Equations (2)–(5) can be interpreted as follows. 1) A measurement error in the noise figure at any impedance state results in an equivalent error in the values of and . 2) has a larger impact on the errors in and for smaller values of . 3) Impedance states closer to and magnify the errors in , , and . Hence, it is important not to select states that may be too close to the location of . We can rewrite (4) and (5) as (6) (7) where and

and

represent proportionality constants for fixed

.

1High precision measurements (primary standards) sometimes use cold source terminations at liquid nitrogen (77 K) or liquid helium (4 K) temperatures and a hot termination at 373 K. Commonly used secondary standards rely on a source termination at room temperature for the cold state and a low capacitance diode, reverse-biased in avalanche breakdown, to replicate the conditions of the hot state.

Equations (6) and (7) imply that the uncertainty in the measured value of for a device is inversely proportional to its sensitivity quantified by . For a very sensitive device (high ), it is easier to pinpoint the location of than for a relatively insensitive device (low ) [22]. Measured results confirm these expectations. For three die sites2 under identical conditions, the variation for a tightly controlled measurement is found to be small ( 0.25 dB; see Fig. 4). The corresponding values are low (0.2–0.4) and show a tight data spread (Fig. 5). However, the values of show significant variation (Fig. 6),3 4 which can be attributed to the low value. From (6) and (7), we observe that measurement errors in the value of can be reduced by decreasing by averaging. Although averaging techniques 2Multiple die sites of the same device were chosen to emulate a real measurement and model-extraction procedure. With identical bias currents, the dc and S -parameter measurements of these devices, when overlaid with those of the same device measured multiple times, were indistinguishable. 3A relatively large constellation of impedance states [16] was used in these measurements, which results in a better resolution of 0 . Note that a smaller accurately. constellation may not be able to resolve the variation in 0 4Due to the bilinear transformed nature of the Smith chart, the differences might appear magnified on the left half of the 0-plane. However, the differences were still substantial when converted to Y and plotted using rectangular axes.

BANERJEE et al.: MEASUREMENT AND MODELING ERRORS IN NOISE PARAMETERS OF SCALED-CMOS DEVICES

2339

Fig. 8. Effect of measurement errors on extracted values of NF Fig. 6. Frequency dependence of NMOS 0

for three die sites.

Fig. 9. Effect of measurement errors on extracted values of Y

Fig. 7. Effect of R on the error in 0

.

.

help at the expense of increased measurement time, they can only reduce random errors. Measurement precision will still be limited by deterministic errors and the instrument noise floor. In Fig. 7, we illustrate a graphical error analysis. A device with a specific set of noise parameters is chosen and its is shown. We add 0.25 dB to its and draw the corresponding constant noise figure circles for and . If a noise figure analyzer with a resolution of 0.25 dB is chosen to search for on the impedance plane, it will resolve all the noise figure values inside a particular circle as equal. So, during the course of the measurement, if an impedance state is randomly chosen inside the circle, it will be perceived as . We see that this circle increases in diameter as the value decreases and for , it almost covers the entire upper half of the -plane. Thus, for a small value of (0.1–0.4), which is typical of scaled submicrometer CMOS devices, the errors in can be substantial. There is a possibility that these errors can propagate to a device model, introducing large errors in the simulated results.

.

We investigate this further with numerical simulations of a controlled measurement environment. First, measured noise parameters of a device are used to generate noise figure values at four impedance states using (1). Next, Gaussian error (0.25 dB maximum, which is typical of noise figure analyzers) is added to the noise figure numbers. This process simulates a real-world noise measurement, where NF data at states are corrupted by random errors. Finally, using paraboloid curve fitting, the noise parameters are extracted from these measurements. This process is repeated for different values of by multiplying5 the original value by 0.1, 1, and 10. When compared with original data (solid line in Fig. 8), simulations show that the extracted for three different multipliers exhibits similar random errors bounded by a standard deviation corresponding to the errors introduced in the original data . Reducing by two orders of magnitude seems to have no discernible effect on the propagated errors in . However, for the smallest multiplier of 0.1 (Fig. 9), the extracted and parameters exhibit large errors, in complete agreement with the analytical conclusions. These results also show that the 5The value of R was selected so that a multiplication by 0.1 preserves the inequality F 1 4N as described by Pospieszalski et al.. [23]

0 

2340

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 11. Extraction of a MOS device noise model.

noise correlation matrix [26], [27]. During simulation, a device model can be used to generate noise current and voltage sources at various internal nodes. In a submicrometer MOS device, some of these sources can be the channel thermal noise, the gate-resistance thermal noise, and shot-noise from gate leakage. A vector of equivalent noise-current sources can be written as Fig. 10. Measurement error flow during model extraction

(9)

assumption made (Appendix) about uncorrelated errors at states affecting the total error in extracted noise parameters is correct for the range of multipliers considered here.

and the equivalent noise correlation matrix (admittance form) can be computed from [24] (10)

IV. ERROR PROPAGATION ANALYSIS Fig. 10 shows a common measurement and modeling process used to reproduce the noise parameters of an MOS device in a circuit simulator. During device measurements, the -parameters and noise figures at four impedance states are measured and noise and -parameters are extracted from them. Errors are introduced from the noise figure measurements at different states. The noise and -parameters are used to extract a noise correlation matrix given by [24] (8) where

Circuit simulators, such as SpectreRF [25], use the noise correlation matrix of a noisy two-port along with its -parameters to compute its noise parameters. In general, a noisy two-port can be decomposed into a noiseless two-port with correlated noise current and/or voltage sources at the input and output. The correlation between these sources is completely described by the

where denotes complex conjugate and the overbar signifies statistical average. Using this approach, a device model can be used to simulate the noise correlation matrix (Fig. 10). This can then be compared with the extracted correlation matrix to determine any differences and make suitable changes in the device model by changing layout, process, or device parameters (model calibration).6 Fig. 11(a) and (b) shows the development of an MOS device noise model, which starts with a dc and small-signal ac model for device M1. High-frequency -parameter measurements may uncover additional loss or coupling mechanisms that are usually not visible in dc – and low-frequency – measurements. A macromodel with additional impedances representing loss and coupling [ , Fig. 11(b)] is sometimes used to predict highfrequency behavior more accurately. All the major noise current and voltage sources in the device can then be modeled by two correlated noise sources and . Fig. 11(c) shows the MOS thermal noise model implemented in BSIM4 [28] using two correlated sources.7 Multiple noise sources like these can be used to accurately reproduce the measured noise correlation matrix. This may require additional changes in the device model as 6Another commonly used approach employs the comparison of simulated noise parameters with their measured counterparts. In that case, the simulator first generates the correlation matrix from a device model, and then converts it to noise parameters. From an error propagation perspective, these approaches are equivalent. 7The holistic noise model (tnoiMod = 1) uses a partitioned source-side noise voltage source and a channel noise current source to model the partial correlation of the induced gate noise and channel thermal noise.

BANERJEE et al.: MEASUREMENT AND MODELING ERRORS IN NOISE PARAMETERS OF SCALED-CMOS DEVICES

2341

shown in Figs. 10 and 11. If a good match is obtained between the measured and modeled noise correlation coefficients, the noise parameters of the device can be computed using

(11) (12)

(13) (14) The process of model calibration ensures that simulated device noise parameters match their measured counterparts. As all submicrometer device models are semi-empirical, correction factors are always needed, with measured values used as standards. So, and can add large unwanted errors to a device model. It is important that the device model is not made more inaccurate in an attempt to minimize the calibration errors described above. From Section III, we know that the largest measurement errors are introduced in . In (8), we observe that occurs in the correlation admittance parameters , , and . We also note that except in , it occurs as the product or . Let us assume that the error in is . Then, the error introduced in each of the above terms can be expressed as

Fig. 12. Error propagation analysis from measurements to the device model.

Finally, we perform a complete error propagation analysis (Fig. 12). The erroneous noise parameter values are used with the corresponding -parameter values to extract the noise correlation coefficients for . Small model calibration errors are added to all the correlation coefficients. These coefficients are then used to generate the noise parameters using (11)–(14). We see that the propagated errors in are now substantial (Fig. 12) and will corrupt any noise parameter (or noise figure) simulation. A large value of device results in this error magnification, which makes unusable as a design or modeling parameter for scaled-CMOS (low- ) devices. V. MINIMIZING ERRORS

(15) which can be reduced using (6) and (7) to

(16) where k is a constant. This implies that the product is only dependent on errors in and it is independent of the value. The only term involving which is not of this form is in . dependent measurement errors in will propagate to the device model through this term and will be inversely proportional to as shown before. So, is the only correlation coefficient that is error prone for small values of . From (11)–(14), we find that this error will propagate to , which is the only noise parameter that is a function of . Note that is multiplied by and hence a device with a high transadmittance will magnify the propagated error in during device modeling. It has been shown that devices with high transadmittance values provide low values of and , which is very desirable in wide-band desensitized LNAs [30]. Hence, it is important that for low devices, measurement and modeling errors in are taken into account during modeling and design.

A. Scaled Model Extraction During the model calibration phase, the errors in the simulated values of , , and can be minimized by changing the model parameters . If substantial changes are required in the device model to minimize the errors in , and the other three parameters show acceptable errors, the measured data should be re-evaluated for accuracy. As these errors in are larger for devices with smaller values, we suggest that a scalable model be extracted from devices8 9 that have high values. The value can then be predicted for the same device when it is biased for a low value. The other noise parameters can now serve as calibration inputs for the scaled model. B. Desensitized LNA Although modeling errors can be reduced by a careful minimization of propagated errors, measurement errors will always be present in a device model calibrated to measured data. Here,

R is inversely proportional to its transadmittance device at different values of R while keeping layout-dependent device param8For

Y

(

a specific device,

), which is a function of bias current. Hence, it is possible to bias the same

eters (e.g., gate resistance, overlap capacitance) constant.

9 High R is typically associated with low gain. Sometimes, this can lead to inaccuracies in measured noise figure due to improper de-embedding or partitioning of noise figure in a cascade of amplifiers and tuners.

2342

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

R

TABLE I AS A FUNCTION OF I

AND

W

we describe a design technique that can significantly alleviate the impact of such measurement and modeling errors. For any LNA, (1) can be rewritten as

(17) The first term signifies the minimum attainable noise figure of the LNA with a lossless matching network. The second term represents an increase in noise figure due to a mismatch from the optimum source impedance. The impact of the mismatch term is usually reduced by careful modeling/measurement of , followed by an accurate noise matching. In the presence of measurement/modeling errors, such an approach is error-prone. Let us assume that the measurement and modeling errors in the values of and are and . Then

(18) From (18), we see that the impact of a modeling error on amplifier noise figure is equivalent to a source impedance mismatch. However, the mismatch is unknown because the errors are random. If in (18) is reduced, so is the impact of the mismatch term on the amplifier noise figure. 1) Device -Optimization: The optimization of in GaAs MESFETs by changing geometrical and process parameters was attempted successfully by Fukui [29]. For scaled-CMOS devices, it has been shown that at a particular frequency, can be optimized by power-constrained biasing and sizing, without changing process parameters, which can be an expensive option [30]. As discussed in Section I, scaling helps this process by providing values as low as 0.1 for 90-nm devices. It can be shown that for scaled-CMOS devices can be accurately modeled as [5], [30]

(19) The selection of a specific set of layout-dependent parameters such as gate capacitance , gate-drain overlap capacitance , gate resistance , and bias-dependent parameters such as the transconductance and channel thermal noise can thus provide an optimum for a certain range of frequencies. All these parameters can in turn be set by choosing a set of designer specifiable variables such as device width (W), channel length , drain current , and threshold voltage (set by body or well bias).

Fig. 13 Circuit diagram and die photograph of unmatched LNA.

Table I shows for different combinations of W and nm . It can be seen that the same can be obtained by either fixing the drain current and selecting a particular width (power-constrained optimization) or by fixing the width and choosing a specific bias current. 2) LNA Design: In Fig. 13, we show the schematic and diephotograph of a 90-nm CMOS LNA, in which the amplifying device was nominally biased and sized for an optimum . The device was externally biased so that a range of bias currents, corresponding to different values10 could be provided to it. In power-constrained optimization, the optimum at low frequencies corresponds to the highest . Sufficiently low values of can be achieved with values of that do not affect other LNA figures of merit such as linearity.11 values were obtained by performing noise parameter The measurements on an identical device under similar bias conditions. The LNA consisted of a differential cascode with inductive output loads and source degeneration (center-tapped). It had unmatched inputs so that an external (low-loss) tuner could be used to provide a range of source impedances to it as shown in Fig. 14. 3) Measurements: The measurement setup consisted of a four-port vector network analyzer (VNA), a noise figure analyzer, low-loss mechanical switches, and a thru structure. In one arrangement of mechanical switches, it was possible to land the probes on the thru and measure the transformed source impedance of the noise source through the tuner using ports 3 and 4 in the VNA (Fig. 14). This enabled a precise measurement of the various noise source impedance values. 10While power-constrained R -optimization should be performed during the design process, once a prototype has been fabricated with a certain device width, the bias current provides a useful way of changing R to study the effect of desensitization. This approach is used with the prototype LNA in this paper. 11With matching, the LNA in this paper achieved an IIP better than 5 dBm.

0

BANERJEE et al.: MEASUREMENT AND MODELING ERRORS IN NOISE PARAMETERS OF SCALED-CMOS DEVICES

2343

If the measurement and modeling errors result in the location of at B or C, when it should really have been at A, the selection of minimizes the impact of that error to dB. This mismatch reduces further by reducing for smaller values of . In this way, LNA desensitization by device optimization provides a very effective way of minimizing the impact of measurement and modeling errors on the noise figure of an LNA designed in a scaled-CMOS process. VI. CONCLUSION

Fig. 14. Noise figure measurement system. The LNA inputs and outputs were ac-coupled with external capacitors, which are not shown here for clarity.

In this paper, we have shown the following. 1) With CMOS device scaling, low values of and can be obtained. 2) The measurement and modeling of scaled-CMOS devices being the most susceptible noise is error prone, with parameter. The uncertainty in is inversely proportional to . 3) During model calibration, large errors in should be carefully evaluated before making any changes in the device model. Device noise models should be extracted from measurements performed on highdevices. 4) Desensitized CMOS LNAs are less susceptible to measurement and modeling errors. Desensitization can be achieved by -optimization of the amplifying device by powerconstrained biasing and sizing. This reduces the susceptibility of the overall LNA design to measurement and modeling errors. APPENDIX Ideally, the noise parameters of a device can be extracted from its noise figure measurements at four source impedance states by using

(20) Fig. 15. Noise figure mismatch sensitivity of the LNA. The bias conditions correspond to W = 160 m in Table I.

The attenuation due to cables, connectors, and switches was separately characterized and compensated in the noise figure measurement. During measurements, the source impedance was first set to a value close to (Smith chart, state A in Fig. 15) and the amplifier noise figure was measured. Next, the tuner was used to set the source impedance to different values (states B–F) and the measured noise figure was compared to that at A, providing as a function of the source impedance mismatch (Fig. 15). These measurements were repeated for different values of device . For high , at states moderately close to A (such as state D), the noise figure mismatch increases by as much as 4.5 dB. However, the same mismatch drops to about 2 dB for a low . This reduces the mismatch by about 2.5 dB, providing a low noise figure for a large range of source impedance values.

(21) (22) (23) At each state, the noise figure may be partitioned into an error-free component (e.g., ) and an error term (e.g., ) such that . The measurement errors at the four states are random and are fully uncorrelated. If (20)–(23) are solved, the four noise parameters can be evaluated, with errors from all four states contributing to errors in them. This makes the errors in the noise parameters partially correlated to each other. The correlation is due to the shared measurements between the four noise parameters. As a result, an analytical evaluation of error propagation becomes very difficult. A numerical simulation, based on random errors added to noise figure at specific states (Section III), can be used to study error propagation, but it does not provide any qualitative insight.

2344

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Alternatively, one could take partial derivatives of (1) with respect to , , , and resulting in

(24) (25) (26) (27) For small errors, we can replace the derivatives with differentials and rewrite (24)–(27) as (28) (29) (30) (31) We observe the following. 1) At each state (e.g., A), the error in the measured noise figure (e.g., ) can be partitioned into components (e.g., ), such that each component contributes to an error in the corresponding noise parameter as shown by (28)–(31). 2) As the noise-figure errors at all the states are uncorrelated, we can express the mean square error in any noise parameter as a sum of the mean square errors in the corresponding noise figure components at the four states, for example

(32) 3) We make the assumption (verified by numerical simulations) that the root-mean-square error in a specific noise parameter would still follow the relations in (28)–(31) qualitatively. The noise-figure error at each state thus has a component that is transformed by (28)–(31) into a component of the total error in a specific noise parameter, providing useful insight into how measurement errors propagate. ACKNOWLEDGMENT The authors would like to acknowledge the help and support of D. Becher, C. Hung, S. Taylor, R. Bishop, K. Kuhn, K. Kahn, and S. Borkar. REFERENCES [1] B. Davari, R. H. Dennard, and G. G. Shahidi, “CMOS scaling for high performance and low power—The next ten years,” Proc. IEEE, vol. 83, no. 4, pp. 595–606, Apr. 1995. [2] B. Razavi, Design of Analog CMOS Integrated Circuits, 1st ed. New York: McGraw-Hill, 2001.

[3] C. H. Chen and M. J. Deen, “High frequency noise of MOSFETs—I: Modeling,” Solid-State Electron., vol. 42, no. 11, pp. 2069–2081, Nov. 1998. [4] H. Fukui, “Available power gain, noise figure, and noise measure of two-ports and their graphical representations,” IEEE Trans. Circuits Syst., vol. CAS-13, no. 6, pp. 137–142, Jun. 1966. [5] S. Asgaran, M. J. Deen, and C. H. Chen, “Analytical modeling of MOSFET channel noise and noise parameters,” IEEE Trans. Electron Devices, vol. 51, no. 12, pp. 2109–2114, Dec. 2004. [6] D. Becher, G. Banerjee, R. Basco, C. Hung, K. Kuhn, and W.-K. Shih, “Noise performance of 90 nm CMOS technology,” in 2004 IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 17–20. [7] M. W. Pospieszalski, “On the measurement of noise parameters of microwave two-ports,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 4, pp. 456–458, Apr. 1986. [8] A. Boudiaf and M. Laporte, “An accurate and repeatable technique for noise parameter measurements,” IEEE Trans. Instrum. Meas., vol. 42, pp. 532–537, Apr. 1993. [9] P. Beland, L. Roy, S. Labonte, and M. Stubbs, “An enhanced on-wafer millimeter-wave noise parameter measurement system,” IEEE Trans. Instrum. Meas., vol. 48, pp. 825–829, Aug. 1999. [10] M. Kantanen, M. Lahdes, T. Vaha-Heikkila, and J. Tuovinen, “A wideband on-wafer noise parameter measurement system at 50-75 GHz,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1489–1495, May 2003. [11] A. C. Davidson, B. W. Leake, and E. Strid, “Accuracy improvements in microwave noise parameter measurements,” IEEE Trans. Microw. Theory Tech., vol. MTT-37, no. 12, pp. 1973–1978, Dec. 1989. [12] L. Escotte, R. Plana, J. Rayssac, O. Llopis, and J. Graffeuil, “Using cold FET to check accuracy of microwave noise parameter test set,” Electron. Lett., vol. 27, no. 10, pp. 833–835, May 1991. [13] R. Q. Lane, “The determination of device noise parameters,” Proc. IEEE, vol. 57, no. 8, pp. 1461–1462, Aug. 1969. [14] M. Mitama and H. Katoh, “An improved computational method for noise parameter measurement,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 6, pp. 612–615, Jun. 1979. [15] L. Escotte, R. Plana, and J. Graffeuil, “Evaluation of noise parameter extraction methods,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 3, pp. 382–387, Mar. 1993. [16] A. Cappy, “Noise modeling and measurement techniques,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 1, pp. 1–10, Jan. 1988. [17] C.-H. Chen and M. J. Deen, “A general procedure for high-frequency noise parameter de-embedding of MOSFETs by taking the capacitive effects of metal interconnections into account,” in Int. Conf. Microelectron. Test Structures, Kobe, Japan, Mar. 2001, pp. 109–114. [18] P. Sakalas, H. G. Zirath, A. Litwin, M. Schroter, and A. Matulionis, “Impact of pad and gate parasitics on small-signal and noise modeling of 0.35 m gate length MOS transistors,” IEEE Trans. Electron Devices, vol. 49, no. 5, pp. 871–880, May 2002. [19] J.-C. Guo and Y.-M. Lin, “A new lossy substrate de-embedding method for sub-100 nm RF CMOS noise extraction and modeling,” IEEE Trans. Electron Devices, vol. 53, pp. 339–347, Feb. 2006. [20] “Fundamentals of RF and microwave noise figure measurements,” Agilent Tech., Appl. Note 57-1, 2004. [21] L. F. Tiemeijer, R. J. Havens, R. de Kort, and A. J. Scholten, “Improved Y-factor method for wide-band on-wafer noise parameter measurements,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2917–2925, Sep. 2005. [22] G. Banerjee, D. T. Becher, C. Hung, K. Soumyanath, and D. J. Allstot, “Measurement and modeling of noise parameters for desensitized low noise amplifiers,” in IEEE Custom Integrated Circuits Conf., Orlando, FL, Oct. 2004, pp. 387–390. [23] M. W. Pospieszalski and W. Wiatr, “Comments on ‘Design of microwave GaAs MESFET’s for broad-band, low-noise amplifier’,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 1, p. 194, Jan 1986. [24] J. A. Dobrowolski, Introduction to Computer Methods for Microwave Circuit Analysis and Design, 1st ed. Norwood, MA: Artech House, 1991. [25] “SpectreRF User Guide,” ver. 5.0, 2003, Cadence Design Systems Inc.. [26] H. Hillbrand and P. Russer, “An efficient method for computer aided noise analysis of linear amplifier networks,” IEEE Trans. Circuits Syst., vol. CAS-23, no. 4, pp. 235–238, Apr. 1976. [27] M. E. Mokari and W. Patience, “A new method of noise parameter calculation using direct matrix analysis,” IEEE Trans. Circuits Syst., vol. 39, no. 9, pp. 767–771, Sep. 1992. [28] BSIM 4.4.0 MOSFET Model. Berkeley, CA: Univ. of California, 2004.

BANERJEE et al.: MEASUREMENT AND MODELING ERRORS IN NOISE PARAMETERS OF SCALED-CMOS DEVICES

[29] H. Fukui, “Design of microwave GaAs MESFET’s for broad-band lownoise amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 7, pp. 643–650, Jul. 1979. [30] G. Banerjee, D. T. Becher, C. Hung, K. Soumyanath, and D. J. Allstot, “Desensitized design of MOS low noise amplifiers by R minimization,” in 11th IEEE Int. Conf. Electron., Circuits Syst., Tel Aviv, Israel, Dec. 2004, pp. 619–622. Gaurab Banerjee (S’98–M’00–SM’05) received the B.Tech.(Hons.) degree in electronics and electrical communication engineering from the Indian Institute of Technology, Kharagpur, and the M.S.E.E. degree from Auburn University, Auburn, AL. He is currently pursuing the Ph.D degree in electrical engineering from the University of Washington, Seattle. In 1999, he joined Intel Corporation, Hillsboro, OR, to work on analog and mixed-signal circuits for the first Pentium-4 microprocessor. In 2001, he joined Intel Research and Development to work on CMOS-RF circuits for wireless local-area network applications. He is currently a Staff Scientist with Intel’s Circuit Research Laboratory. His research interests are in high-speed serial links, data conversion, and phase-locked loops. He has published more than 15 papers on semiconductor devices and circuits and has received two patents. Mr. Banerjee is a National Talent Search Scholar of India.

K. Soumyanath (M’93) received the B.E. degree in electronics and communication engineering from the Regional Engineering College (currently National Institute of Technology), Tiruchirappalli, India, in 1979, the M.S. degree in electronics from the Indian Institute of Science, Bangalore, in 1985, and the Ph.D. degree in computer science from the University of Nebraska at Lincoln in 1993. Since 1996, he has been with Intel Corporation, Hillsboro, OR, where he is a Senior Principal Engineer and Director of the Communications Circuits Laboratory. He has published more than 40 papers in VLSI and related areas. He has received 29 patents issued with several pending.

2345

David J. Allstot (S’72–M’72–SM’83–F’92) received the B.S. degree from the University of Portland, Portland, OR, the M.S. degree from Oregon State University, Corvallis, and the Ph.D. degree from the University of California, Berkeley. He has held several industrial and academic positions and has been the Boeing–Egtvedt Chair Professor of Engineering at the University of Washington, Seattle, since 1999. He is currently the Chair of Electrical Engineering. He has advised approximately 80 M.S. and Ph.D. graduates and has published about 225 papers. Dr. Allstot is a Member of Eta Kappa Nu and Sigma Xi. He has received several outstanding teaching and advising awards. Other awards include the 1978 IEEE W.R.G. Baker Prize Paper Award, 1995 IEEE Circuits and Systems Society (CAS-S) Darlington Best Paper Award, 1998 IEEE International Solid-State Circuits Conference (ISSCC) Beatrice Winner Award, 1999 IEEE CAS-S Golden Jubilee Medal, 2004 Technical Achievement Award of the IEEE CAS-S, and 2005 Aristotle Award of the Semiconductor Research Corporation. He was an associate editor of the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING from 1990 to 1993 and its Editor from 1993 to 1995. He was on the Technical Program Committee, IEEE Custom Integrated Circuits Conference, from 1990–1993, Education Award Committee, IEEE CAS-S, from 1990 to 1993, Board of Governors, IEEE CAS-S, from 1992 to 1995, Technical Program Committee, IEEE International Symposium on Low-Power Electronics and Design, from 1994 to 1997, Mac Van Valkenberg Award Committee, IEEE CAS-S, from 1994 to 1996, and Technical Program Committee, IEEE ISSCC, from 1994 to 2004. He was the 1995 Special Sessions Chair, IEEE International Symposium on CAS (ISCAS), an Executive Committee Member and the Short Course Chair, ISSCC, from 1996 to 2000, Cochair, IEEE Solid-State Circuits (SSC) and Technology Committee, from 1996 to 1998, Distinguished Lecturer, IEEE CAS-S, from 2000 to 2001, Distinguished Lecturer, IEEE SSC Society, from 2006 to 2007, and Co-General Chair, IEEE ISCAS, in 2002.

2346

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Synthesis of Capacitive-Coupled Dual-Behavior Resonator (CCDBR) Filters Alexandre Manchec, Student Member, IEEE, Cédric Quendo, Member, IEEE, Jean-François Favennec, Eric Rius, Associate Member, IEEE, and Christian Person

Abstract—The two topologies and associated syntheses described here are dedicated to the design of capacitive-coupled dual-behavior resonator filters. The technique is based on the coupling of adjacent dual-behavior resonators (DBRs). The aim is to control the spurious resonances associated to classical DBR filters. In the first coupling technique, the inverter is replaced with an equivalent scheme composed of a set of three capacitors, i.e., a positive capacitor associated with two negative ones in parallel on both sides. The second technique relies on the same principle, but two equivalent schemes are moved along each stub of the resonators; the capacitance is then calculated by considering the position of the coupling effect on the stub and the value of the inverter. In both cases the synthesis is totally defined. In order to validate this concept, capacitive-coupled DBR filters and classical filters were designed on alumina substrate so as to compare simulation and experimental data. Index Terms—Capacitive-coupled dual-behavior resonator (CCDBR), dual-behavior resonator (DBR), microwave filters, planar filters, spurious resonances attenuation, synthesis techniques.

I. INTRODUCTION HE required performances of the new telecommunication systems are more and more drastic due to the optimal exploitation of spectral resources. The realization of each element of the front-end radio frequency must respect strong and drastic constraints about electrical performances, size and cost. The waveguide filter is the most used solution because of its good electrical performances, but its principal disadvantage lies in its bulk and manufacturing cost. Moreover, the trend today is towards solutions using planar filters such as coupled lines or topologies with transmission zeros [1], [4]. They are very attractive because of the reduced bulk and low production cost. These considerations led us to use dual-behavior resonators (DBRs) because they are planar and induce transmission zeros [3], [4]. A DBR consists of two stopband structures that bring two transmissions zeros on either side of one pole, each of them being independently controlled. This solution is very interesting in a limited band because of low insertion loss, good flatness, and high rejection level, but also of size and cost [3], [4]. However, the main drawback of this structure is spurious resonances on both sides of the bandpass

T

Manuscript received October 19, 2005; revised January 10, 2006. The authors are with the Laboratoire d’Electronique et Systèmes de Télécommunications, Universite de Bretagne Occidentale, 29238 Brest Cedex 3, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875271

response. Some among the various papers about the control of the spurious response in the high-frequency band [5]–[11] have been dedicated to DBR filters [6], [7]. In the case of DBR filters, spurious resonance in the low-frequency band can be suppressed by employing short-circuited stubs as stopband structure [4]. But, this solution implies the use of via holes and, thus, relies on a more complex technological process. Thus, in this paper, open-ended stubs are utilized as stopband structures in the DBRs associated with capacitive inverters to keep a via-less solution. We describe here the resulting structure called capacitive-coupled dual-behavior resonator (CCDBR) filter and dedicated to the elimination of spurious resonances at low frequency. This paper deals with the improvements to be brought to a DBR filter through its associated synthesis. Section II will introduce our first solution, i.e., the well-known equivalent scheme of admittance inverter based on capacitors [12] and details its synthesis. However, getting the desired value of the capacitances from a classical planar technology is very difficult. Thus, Section III will propose an alternative solution where the stubs are intercoupled to overcome the feasibility problems associated to the previous lumped capacitance. A synthesis will be also presented and validated through three experimental realizations in microstrip technology and comparisons of experimental data with theoretical ones. The first and second topologies presented in Sections II and III can be considered as a lumped capacitive-coupled DBR and as a semilumped one, respectively. Moreover, to illustrate the benefits of the latter, it is compared with both a classical DBR filter and a tapped-line filter. Second-order filters are investigated, and experimental data are presented. Finally, the flexibility of the topology is shown through the combination of a classical DBR and CCDBR in two original structures before comparing experimental data to theoretical ones. II. LUMPED CAPACITIVE-COUPLED DBR FILTER STRUCTURE Replacing the distributed quarter-wavelength inverter by a capacitive inverter [12] allows us to reject dc and to control the parasitic response in the low-frequency band. Such a technique has been already applied to interdigital capacitor [11]. Fig. 1 illustrates our first principle of design. The secondorder DBR bandpass filter and the equivalent scheme of an admittance inverter composed of two negative capacitors and one positive capacitor are, respectively, presented in Fig. 1(a) and (b); their superposition and the final structure including the negative capacitances in the low-frequency stub are depicted in Fig. 1(c) and (d). The parameters , , , and of the DBR are calculated thanks to the synthesis presented in [4].

0018-9480/$20.00 © 2006 IEEE

MANCHEC et al.: SYNTHESIS OF CCDBR FILTERS

2347

Fig. 2. Third-order lumped CCDBR filter.

Fig. 3. Ideal circuit simulation of a classical third-order DBR filter and of a third-order lumped CCDBR filter.

and

Fig. 1. (a) Second-order DBR bandpass filter. (b) Equivalent scheme of an admittance inverter. (c) Second-order CCDBR filter made with (a) and (b). (d) Final second-order lumped CCDBR filter.

Moreover, by using the synthesis developed in [4], the J-parameters lead to the quarter-wavelength inverter parameters. Thus, the relation between of the inverter and the capacitance value at the central frequency is

(1) where is the reference impedance. The negative capacitors are set in parallel with DBRs [see Fig. 1(c)]; the final structure is simplified by their integration either in the low-frequency stubs [Fig. 1(d)] or in the high-frequency stubs. Then, for an th-order DBR filter, the new characteristic impedances , , and of the low-frequency stubs are

(2) (3)

(4)

where , , and are the previous characteristic impedances of the initial high-frequency stubs; , , , , , and the previous lengths of the initial low- and high-frequency stubs; corresponds to the filter order; and varies from 1 to . The propagation constant is calculated as follows:

(5) where is the speed of light in vacuum. In order to validate this synthesis, let us now develop a thirdorder CCDBR filter (Fig. 2) and compare it to a classical DBR filter of the same order (Fig. 3). The inverter lines are all replaced with equivalent capacitive inverters. Then, all the negative capacitors set in parallel with DBR are integrated in the lowfrequency stubs. Table I summarizes the input and output parameters of the synthesis. The electrical characteristics of both filters are 5 GHz for the central frequency and 4.3% for the relative bandwidth. With the proposed topology, the transmission zeros , , and are set at 3.5, 3.8, and 4 GHz on the left side of the bandwidth, whereas , , and are placed at 6, 6.2, and 6.4 GHz on the other side. Fig. 3 shows that the transmission zeros and bandwidth are kept; but the spurious resonances are strongly attenuated at low frequencies.

2348

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE I INPUT AND OUTPUT PARAMETERS OF THE SYNTHESIS THIRD-ORDER DBR FILTER THIRD-ORDER LUMPED CCDBR FILTER

Fig. 4. Equivalent inverter capacitance versus inverter characteristic impedance.

Fig. 4 presents the evolution of capacitance versus inverter characteristic-impedance obtained on keeping the previous electrical parameters inputs of the synthesis. The resulting capacitance is very high for this range of characteristic impedances; moreover, as such a value is not achievable easily in planar technology, another topology based on the same principle of coupling between DBR resonators, but with lower capacitances, has to be developed. Another possibility could have been to use multilayer technology because it allows a greater range of impedances. III. SEMILUMPED CAPACITIVE-COUPLED DBR FILTER STRUTURE

technique was previously applied to the particular case of openloop filters [13], [14]. In fact, here, the problem of capacitance feasibility in planar technology issued from the previous solution is solved by moving the coupling to the resonators, which leads to physically acceptable solutions. However, the secondary effects of the resonators have to be neglected to allow a complete synthesis. As a result, the synthesis is limited in term of relative bandwidth and positions of the transmissions zeros. Indeed, the more the frequency is increased, the less the dynamics is significant. The topology is, first, based on two identical resonators. There are several ways to couple adjacent DBRs. The first one consists in coupling high-frequency stubs with high-frequency ones and low-frequency stubs with low-frequency ones. In the second solution, high-frequency and low-frequency stubs are intercoupled. It is worth noting that, in case of coupling between two identical stubs, the transmissions zeros are lost on each side of the bandpass response; on the other hand, they are kept when the coupling is between two different stubs. This is why, in the proposed solution, the coupling of resonators was made between high-frequency and low-frequency stubs (Fig. 5). This solution can be used at any location along an th-order DBR filter to remove the inverter line between adjacent resonators; but, if it is reproduced several times, the DBR principle is no longer conserved. Thus, the capacitance is set by matching the modified filter chain matrix with the chain matrix of an admittance inverter defined by (6) and calculated thanks to [4] at the central frequency; one should note that, in , index is the position of the CCDBR in the filter, and as the th resonator is identical to the th 1 resonator, only index th is used in the following equations and figures:

(6) The relation between

and

is

(7) So, the comparison of the chain parameters from simulations shows that the parameter of the new structure (Fig. 5) with no access inverter is equivalent to the parameter of the inverter at the central frequency. It enables us to calculate the global chain matrix of the new structure by considering two parallel quadripoles (Fig. 6). Each of them consists of a lowfrequency stub, a capacitive-type inverter, and a high-frequency stub. In Fig. 6, the calculated parameter is

A. Semilumped Second-Order Capacitive-Coupled DBR Filter Structure In the solution presented in this section, the stubs of the DBR are directly intercoupled to get a semilumped CCDBR. Such a

(8)

MANCHEC et al.: SYNTHESIS OF CCDBR FILTERS

2349

Fig. 5. Semilumped CCDBR filter.

(11) We finally get Fig. 6. Symmetrical cut of the semilumped CCDBR filter.

where and give the positions of coupling along the stub. The symmetry between both quadripoles allows us to determine the parameter of the final chain matrix for the semilumped CCDBR filter at the central frequency as follows:

(12) The capacitance value of capacitive inverter is now fully defined. Let us now inject the negative capacitance at the end of low- and high-frequency stubs as done in the previous section to take into account their effect in impedances. We thus get the new characteristic impedances and in the final semilumped CCDBR filter (Fig. 7)

(9) (13)

Thus, (9) will allow us to write and

(14)

(10) . in order to calculate Then by solving this quadratic equation (10), can be expressed as a function of input electrical parameters by using the discriminant

B. Simulations Tables II –IV present the input and output parameters of the synthesis for three second-order semilumped CCDBR filters with three values of and . The electrical characteristics chosen for the three filters are 5 GHz and 4.3% for the central frequency and the relative bandwidth, respectively. The transmission zero frequencies are GHz at low frequencies and GHz at high frequencies. The electrical responses are presented in Fig. 8 where it is worth noting the occurrence of a new transmission zero associated

2350

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 8. Ideal circuit simulations of three second-order semilumped CCDBR filter for different values of a and a . Fig. 7. Final semilumped CCDBR filter.

TABLE II INPUT AND OUTPUT PARAMETERS OF THE SYNTHESIS SECOND-ORDER SEMILUMPED CCDBR FILTER

TABLE III INPUT AND OUTPUT PARAMETERS OF THE SYNTHESIS SECOND-ORDER SEMILUMPED CCDBR FILTER Fig. 9. Equivalent inverter capacitance versus inverter characteristic impedance for different values of a and a .

TABLE IV INPUT AND OUTPUT PARAMETERS OF THE SYNTHESIS SECOND-ORDER SEMILUMPED CCDBR FILTER

to the following harmonic at . This new transmission zero can be considered as a new freedom degree and, thus, used to

control the high frequency attenuated band. This can be done by varying and parameters to change the position of this transmission zero. A decrease of and makes it closer to the bandpass response and vice versa. Whenever and go beyond limit values, the bandpass response is destroyed because this new zero lies within it. However, one should note that the position of transmission zeros and the bandwidths entered at the start of synthesis are not accurate because of the calculation of capacitance made at the central frequency; indeed, the larger the entered bandwidth is, the farther the transmission zeros are and the wider the bandpass response is. Fig. 9 presents a set of six curves for different capacitances versus inverter characteristic impedance at six different values of and ; they were obtained on using the previous electrical input of the synthesis. The seventh curve describes the evolution of capacitance discussed in the previous section about the first synthesis. One should note that the capacitances of the present synthesis are lower than those from the first one, and that the capacitance tends towards a limit value when and are increasing.

MANCHEC et al.: SYNTHESIS OF CCDBR FILTERS

2351

Fig. 10. Layouts of three second-order semilumped CCDBR filters. (a) a = 0:75 and a = 0:619. (b) a = 0:6 and a12 = 0:39. (c) a = 0:55 and a = 0:314.

Fig. 12. Electromagnetic simulations and measurements of three second-order semilumped CCDBR filters. (a) a = 0:75 and a = 0:619. (b) a = 0:6 and a = 0:39. (c) a = 0:55 and a = 0:314.

Fig. 11. Electromagnetic simulations of three second-order semilumped CCDBR filters for different values of a and a .

IV. EXPERIMENTAL RESULTS A. Experimental Results of the Second-Order Semilumped CCDBR Filter Three new filters were realized on alumina substrate ( , m) from the values given in Tables II–IV. The filter dimensions were determined with a circuit simulator;

EM simulations were needed to meet the required specifications while taking into account the discontinuity effects. Fig. 10(a)–(c) presents the three layouts where the lumped capacitors are distributed along the end part of the stub in order to easily reach the capacitance values. As the minimum slot and strip widths in the given technology were about 49 and 240 m, respectively, the sensitivity of the filter to technological variations should be low. Moreover, the input inverters are folded to reduce the overall bulk. Fig. 11 shows the comparison between the electromagnetic simulations of the three filters with distributed capacitances. These simulations are quasi-similar to simulations with lumped capacitance (Fig. 8). The different frequencies of the harmonic

2352

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 15. Measurements of a second-order semilumped CCDBR filter with a = 0:6 and a = 0:39, and of a classical second-order DBR filter.

Fig. 13. Layout of a classical second-order DBR filter.

Fig. 14. Layout of a second-order tapped-lines filter.

transmission zero are due to the distribution of the capacitance. As shown in Fig. 12(a)–(c), experimental results are in good agreement with electromagnetic simulations and validate our previous theoretical study. The slight frequency shift observed is not significant and results from technological dispersion. B. Comparison With Classical Topologies In order to evidence the benefits of the CCDBR filter, let us compare measurements made on it, first, with a classical secondorder DBR filter (Fig. 13) and, secondly, with a second-order tapped-line filter (Fig. 14). Fig. 15 illustrates the first comparison and confirms the attenuation of spurious resonances. No significant differences are observed within and around the bandpass response. Insertion and return losses, bandwidth, and the position of low-frequency transmission zero are alike for both, except for a better rejection at high frequencies. The capacitive effect due to discontinuities is less significant with the CCDBR filter and improves the rejection on the left side of the bandpass response. Fig. 16 deals with the second comparison of measurements. It shows similar insertion losses. Moreover, the rejection of the new structure is better than those obtained with classical topologies.

Fig. 16. Measurements of a second-order semilumped CCDBR filter with = 0:6 and a = 0:39, and of a second-order tapped-lines filter.

a

C. Experimental Results of Fourth-Order Filters Combining Capacitive-Coupled DBR Filter and Classical DBR Resonators To highlight the benefits of such an original semi lumped CCDBR in an th-filter, we realized two fourth-order DBR filters: the former contained one semilumped CCDBR and the latter two semilumped CCDBRs in order to evidence the electrical contribution of added CCDBR not only at low frequency but also at high frequency; their ideal transmission line scheme is presented in Figs. 17 and 18, respectively. The electrical characteristics of the first and the second filters are 5 GHz for the central frequency and 4.5% for the relative bandwidth. For the first proposed topology, the transmission zeros , , and are set at 3.75, 3.9, and 4 GHz on the left side of the bandwidth and , , and are at 6.3, 6.2, and 6.1 GHz on the other side. Furthermore, the and parameters are optimized in order to attenuate the high-frequency spurious resonance thanks to the control of the harmonic transmission zero . For the second filter, the low-frequency transmission zeros and are set at 3.9 and 4 GHz, whereas the high-frequency transmission zeros and are at 6.1 and 6 GHz. This filter version uses two CCDBRs. It is possible to control two harmonic transmissions zeros and with different values of the , , , and parameters. Figs. 19 and

MANCHEC et al.: SYNTHESIS OF CCDBR FILTERS

2353

Fig. 17. Ideal transmission line scheme of a fourth-order filter integrating one semilumped CCDBR filter.

Fig. 18. Ideal transmission line scheme of a fourth-order filter integrating two semilumped capacitive-coupled DBR filters.

Fig. 19. Ideal circuit simulation of a fourth-order filter integrating one semilumped CCDBR filter with a = 0:590 and a = 0:311.

20 present the ideal circuit simulation of the filters and show clearly the efficiency of the synthesis. Both designs were fabricated in planar technology on alumina substrate and measured (Figs. 21 and 22). The experimental results presented in Figs. 23 and 24 confirm our previous theoretical study. The slight shift observed between experimental and simulated data results from technological dispersion.

Fig. 20. Ideal circuit simulation of a fourth-order filter integrating two semilumped CCDBR filters with a = 0:61, a = 0:405, a = 0:54, and a = 0:306.

Fig. 25 compares the measurement data from the classical fourth-order DBR filter to those of the fourth-order filter integrating two CCDBR filters. The latter significantly improves the attenuation out of band; moreover, insertion losses in the bandwidth are alike, and rejection is kept near the bandpass response.

2354

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 21. Layout of a fourth-order filter integrating one semilumped CCDBR filter and two classical DBRs.

Fig. 25. Measurements of a classical fourth-order DBR filter and of a fourthorder filter integrating two semilumped CCDBR filters.

V. CONCLUSION

Fig. 22. Layout of a fourth-order filter integrating two semilumped CCDBR filters.

This paper described a new topology filter called a CCDBR filter. This topology was aimed at suppressing the spurious low frequency associated to classical DBR filter. The proposed synthesis proved its efficiency for the design of a second-order filter and for its integration in an th-order structure. Throughout this paper, experimental data were compared to theoretical ones to validate the proposed ideas. Moreover, the efficiency of such CCDBR filters was highlighted through comparisons with both classical DBR filter and tapped-lines filter. These investigations open the way to numerous and various perspectives. Among them, one should mention the full integration in DBR topology of both low-pass and high-pass cells in order to control, on each side of the bandpass response, spurious resonances at both low and high frequencies.

ACKNOWLEDGMENT

Fig. 23. Electromagnetic simulations and measurements of a fourth-order filter integrating one semilumped CCDBR filter and two classical DBRs.

The authors would like to thank B. Della and G. Chuiton from LEST-ENSTB for manufacturing the microstrip circuits.

REFERENCES

Fig. 24. Electromagnetic simulations and measurements of a fourth-order filter integrating two semilumped CCDBR filters.

[1] J.-S. Hong and M. J. Lancaster, “Couplings of microstrip square open-loop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 11, pp. 2099–2109, Nov. 1996. [2] ——, “Cross-coupled microstrip hairpin-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 1, pp. 118–122, Jan. 1998. [3] C. Quendo, E. Rius, and C. Person, “Narrow bandpass filters using dual behavior resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 734–743, Mar. 2003. [4] ——, “Narrow bandpass filters using dual behavior resonators (DBRs) based on stepped-impedance stubs and differents-length stubs,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1034–1044, Mar. 2004. [5] C. Quendo, C. Person, E. Rius, and M. Ney, “Integration of optimized low-pass filters in a bandpass filter for out-of-band improvement,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2376–2383, Dec. 2001. [6] A. Manchec, C. Quendo, J. F. Favennec, E. Rius, and C. Person, “Synthesis of dual behavior resonator (DBR) filters with integrated low-pass structures for spurious responses suppression,” IEEE Microw. Comp. Lett., vol. 16, no. 1, pp. 4–6, Jan. 2006.

MANCHEC et al.: SYNTHESIS OF CCDBR FILTERS

[7] C. S. Ahn, Y. J. Sung, and Y. S. Kim, “Miniaturization and spurious suppression of dual-behavior resonator bandpass filter using compact microstrip resonant cell,” presented at the Asia–Pacific Microw. Conf., New Delhi, Dec. 2004. [8] S.-M. Wang, C.-H. Chi, M.-Y. Hsieh, and C.-Y. Chang, “Miniaturized spurious passband suppression microstrip filter using meandered parallel coupled lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 747–753, Feb. 2005. [9] P. Cheong, S.-W. Fok, and K.-W. Tam, “Miniaturized parallel coupledline bandpass filter with spurious-response suppression,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1810–1816, May 2005. [10] K. F. Chang and K. W. Tam, “Miniaturized cross-coupled filter with second and third spurious responses suppression,” IEEE Microw. Comp. Lett., vol. 15, no. 2, pp. 122–124, Feb. 2005. [11] L. Zhu and K. Wu, “Accurate circuit model of interdigital capacitor and its application to design of new quasi-lumped miniaturized filters with suppression harmonic resonance,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 3, pp. 347–356, Mar. 2000. [12] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Dedham, MA: Artech House, 1980, pp. 436–437. [13] W.-H. Tu and K. Chang, “Compact microstrip bandstop filter using open stub and spurline,” IEEE Microw. Comp. Lett., vol. 15, no. 4, pp. 268–270, Apr. 2005. [14] S.-J. Park, K. V. Caekenberghe, and G. M. Rebeizi, “A miniature 2.1-GHz low loss microstrip filter with independent electric and magnetic coupling,” IEEE Microw. Comp. Lett., vol. 14, no. 10, pp. 496–498, Oct. 2004. [15] J. S. Wong, “Microstrip tapped-line filter design,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 1, pp. 44–50, Jan. 1979. Alexandre Manchec (S’05) was born in Quimper, France, on September 8, 1978. He is currently working toward the Ph.D. degree at the Laboratoire d’Electronique et Systèmes de Télécommunication, Université de Bretagne Occidentale, Brest, France. His research activities deal mainly with the definition of new solutions for filters in planar technology.

Cédric Quendo (M’03) was born in Plouay, France, on September 15, 1974. He received the Ph.D. degree in electronics from the Université de Bretagne Occidentale, Brest, France, in 2001. He is currently conducting research activities with the Laboratoire d’Electronique et Systèmes de Télécommunication, Université de Bretagne Occidentale. His research interests are the modelling and design of microwave passive devices for microwave and millimeter-wave applications.

2355

Jean-François Favennec received the Ph.D. degree in electronics from the University of Brest, Brest, France, in 1990. Since 1991, he has been an Assistant Professor with the Ecole Nationale d’Ingénieurs de Brest, France. He teaches electromagnetic theory and microwaves. He currently conducts research with the Laboratoire d’Electronique et Systèmes de Télécommunications, Université de Bretagne Occidentale. His research activities principally concern the modelization and design of passive devices for microwave applications.

Eric Rius (A’02) received the Ph.D. degree in electronics from the Université de Bretagne Occidentale (UBO), Brest, France, in 1994. In 1995, he became a Professor in the Department of Electronics, UBO. His research activities within the Laboratoire d’Electronique et Systèmes de Télécommunications, UBO, are mainly focused on the design of filters and associated radio-frequency modules for microwave and millimeter-wave applications.

Christian Person received the Ph.D. degree in electronics from the University of Brest, Brest, France, in 1994. He was an Assistant Professor with the Microwave Department, Ecole Nationale Supérieure des Télécommunications de Bretagne/Groupe des Ecoles des Télécommunications, Brest, France, from 1994 to 2003, when he became a full Professor. He currently conducts research with the Laboratoire d’Electronique et Systèmes de Télécommunication associated with the National Research Scientific Council. His research concerns the development of new technologies for microwave and millimeter-wave applications and systems. His activities are especially focused on hybrid three-dimensional integration techniques for implementing optimized passives functions (filters, antennas, couplers) and improving reliability and interconnection facilities with active monolithic microwave integrated circuits. He is also involved in the design of reconfigurable structures by means of MEMs or active hybrid circuits for smart antennas and software radio radio-frequency equipment.

2356

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Advanced Digital Signal Processing Techniques for Compensation of Nonlinear Distortion in Wideband Multicarrier Radio Receivers Mikko Valkama, Member, IEEE, Ali Shahed Hagh Ghadam, Student Member, IEEE, Lauri Anttila, Student Member, IEEE, and Markku Renfors, Senior Member, IEEE

Abstract—One of the main trends in the evolution of radio receivers and other wireless device is to implement more and more of the receiver functionalities using digital signal processing (DSP). However, due to practical limitations in the analog-to-digital conversion process, some analog signal processing stages are likely to remain also in the continuation. With the ever-increasing demands for the system performance and supported data rates on one side, and the terminal flexibility and implementation costs on the other, the requirements for these remaining analog front-end stages become extremely challenging to meet. Then, one interesting idea in this context is to apply sophisticated DSP-based techniques to compensate for some of the most fundamental nonidealities of the receiver analog front-ends. In this paper, we focus on developing and demonstrating novel digital techniques to mitigate the effects of harmonic and intermodulation distortion in wideband multicarrier or multichannel receivers using adaptive interference cancellation. The approach in general is practically oriented and largely based on analyzing and processing measured real-world receiver front-end signals. The obtained results indicate that the proposed compensation technique can be used to suppress nonlinear distortion due to receiver front-end sections under realistic signaling assumptions. Index Terms—Adaptive filters, communication system nonlinearities, digital radios, harmonic and intermodulation distortion, radio receivers.

I. INTRODUCTION HE DESIGN and implementation of radio receivers for wireless terminals is currently dictated by the strong needs and push towards flexible and software configurable receiver structures being able to operate over multiple frequency bands and supporting different type waveforms and different air interfaces of the currently existing and also emerging wireless systems [1]–[5]. The terms multimode, multiband, and multistandard radio are commonly used in this context. One key ingredient in building flexible radios is the efficient use of digital signal processing (DSP) [3], [4], [6], [7]. Enabled by the recent advances in DSP techniques, both at the algorithmic and the implementation levels, as well as in the analog-to-digital conversion (ADC) technologies, more and more of the receiver func-

T

Manuscript received October 24, 2005; revised February 8, 2006. This work was supported in part by Nokia, by the Finnish Graduate School in Electronics, Telecommunications, and Automation (GETA), by the Nokia Foundation, and by the Academy of Finland under the Advanced Signal Processing Techniques for Future Wireless Communications Transceivers project. The authors are with the Institute of Communications Engineering, Tampere University of Technology, FIN-33101 Tampere, Finland (e-mail: mikko.e. [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875274

tionalities can be implemented using DSP. However, due to the fundamental gap in the used radio frequencies (typically on the order of 1–10 GHz) and supported maximum sampling frequencies (up to a few hundred megahertz, depending on the needed resolution and dynamic range), some receiver analog front-end stages are still needed also in the continuation. This being the case, the performance of the remaining analog signal processing sections is actually one key element in defining and determining the whole receiver performance. One fascinating application area of advanced DSP techniques in receiver signal processing is the possibility to enhance the receiver performance as a whole by mitigating some of the nonidealities of the (remaining) analog front-end stages. One good example in this context is the so-called I/Q imbalance or I/Q mismatch problem and its compensation using DSP. During the last five years or so, much research has been devoted to this issue; see, e.g., [6], [9]–[12], and the references therein, with really promising results in different system scenarios. Another more recent (and also more challenging) topic deals with suppressing the distortion and interference originating from the nonlinear characteristics of the receiver analog front-end. While there has been much research focusing on linearization of transmitter power amplifiers (PAs) during the last ten years or so, digital compensation of receiver nonlinearities in the wideband multichannel or multicarrier context has not received considerable interest so far. This is indeed the central theme in this paper, in the form of mitigating harmonic and/or intermodulation distortion caused by strong blocking type carriers and falling on top of the desired signal band or bands. In general, using the proposed digital compensation technique, the tight design requirements of the receiver analog radio-frequency (RF) front-ends can basically be relaxed in terms of RF filtering and linearity. While there are some further implications on the requirements of the analog-to-digital interface, we strongly believe that the design requirements and implementation complexity of the overall receiver signal processing chain can be relaxed using the proposed techniques. Some preliminary ideas have been considered by the authors in [13] which form the starting point for this paper. Notice also that some related work by other authors has been reported in [14] and [15]; see also [16] and [17]. The work and results presented in this paper can be viewed as a generalization of the ideas in [14], which focuses on canceling second-order interference in cases where the desired signal appears at baseband after the analog front-end downconversion stage. Recently in [18], a hybrid analog–digital calibration technique has also been pro-

0018-9480/$20.00 © 2006 IEEE

VALKAMA et al.: ADVANCED DSP TECHNIQUES FOR COMPENSATION OF NONLINEAR DISTORTION IN WIDEBAND MULTICARRIER RADIO RECEIVERS

posed which uses certain feedback from the receiver digital parts back to the analog sections. The feedback signal is used to adjust the I/Q mixer parameters in order to push down the observed nonlinear distortion components. The mitigation techniques proposed in this paper are based on purely digital processing of the received signal and can be used to suppress nonlinear distortion of varying orders while the desired signal can basically be located anywhere within the available spectrum. To our knowledge, there exists no other all-digital technique in the state-of-the-art literature able to mitigate nonlinear distortion due to other carrier signals. Thus, in this sense, the research reported here can be seen as rather groundbreaking. Furthermore, in a wider context, we also strongly believe that bringing the radio engineering and signal processing communities even closer together than they are nowadays will play a key role in all the future developments of advanced wireless communications systems. This is a big motivation behind this paper as well. Thus in addition to some signal model developments, the approach here is rather practically oriented, being based on analyzing and processing measured analog front-end signals. The organization of the rest of this paper is as follows. The basic front-end model utilizing wideband I/Q downconversion is described in Section II, together with the fundamentals of nonlinear distortion effects under consideration. Essential signal models describing the nature of the nonlinear distortion from complex I/Q signals point of view are given. Then based on these models, in Section III, the basic idea of the proposed adaptive interference canceller based compensation structure is described, together with detailed discussions of some main practical issues in different system scenarios. Section IV shows some simulation and measurement examples, and conclusions are drawn in Section V. II. WIDEBAND I/Q DOWNCONVERSION-BASED FRONT-END AND NONLINEAR DISTORTION EFFECTS A. I/Q Processing Principles Understanding the true nature of bandpass signals and systems is the key in building efficient radio transmitters and receivers. In addition to the basic envelope and phase representation, the so-called I/Q (in-phase/quadrature) interpretation forms the basis for various spectrally efficient modulation and demodulation techniques [19]. More generally, I/Q processing can be used in the receiver and transmitter front-ends for efficient down-/upconversion processing, independently of the applied modulation technique. Given a general bandpass signal

(1) can the (formal) baseband equivalent be recovered by multiplying the modulated signal with a complex exponential and low-pass filtering. This is illustrated in Fig. 1, which also depicts the practical implementation structure based on two parallel real signals. In the receiver architecture context, the differences come basically from the interpretation of the downconverted signal structure. In general,

2357

Fig. 1. Basic I/Q downconversion principle in terms of: (a) complex signals and (b) parallel real signals.

both the direct-conversion [20]–[23] and low intermediate frequency (IF) [20], [24] receivers utilize the I/Q downconversion principle and are discussed in more detail in the following. B. Architectural Aspects The so called direct-conversion or homodyne receiver is based on the idea of I/Q downconverting the channel of interest from RF directly to baseband [20]–[23]. Thus in a basic single-channel context, the downconverted signal after low-pass filtering is basically ready for modulation-specific processing such as equalization and detection. This is in general an interesting approach in a sense that eliminating the use of any IFs results in rather simple front-end processing, especially in terms of the needed RF/IF filtering. Another closely related receiver architecture, termed low-IF [20], [24], uses I/Q downconversion to a low but nonzero IF. Thus here a further downconversion from IF to baseband is basically needed before detection, depending somewhat on the actual data modulation. In the basic scenarios, this can be done digitally after sampling the signal at low intermediate frequency. In a wider context, with multiple frequency channels to be detected, a generalization of the previous principles leads to a structure where the whole band of interest is I/Q downconverted as a whole. This is also the main scope of this paper. In this case, either the direct-conversion or low-IF model applies to individual channels but the concept itself is simply referred to as wideband or multicarrier I/Q downconversion in the continuation. As an example, a collection of four wideband code-division multiple-access (WCDMA) carriers with individual channel bandwidth of around 5 MHz could be downconverted to low IFs of around 2.5 and 7.5 MHz, respectively, to illustrate the principle. This could be a valid setup, e.g., on the base station side in a mobile cellular network. In this context, in general, it is also important to recognize that, especially from the nonlinear distortion point of view, the location of the preliminary band-limitation filtering in the analog front-end chain has a big impact on the effective interference profile. As an ultimate example, with as simple an RF section as possible (with minimal amount of filtering), also some strong out-of-band blocking carriers are likely to

2358

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 2. Self-mixing of (a) LO and (b) the input signal.

enter the front-end amplification and mixing stages introducing strong harmonic and/or intermodulation interference on top of the interesting signals. This is one of the challenging working assumptions in the continuation. C. Nonlinear Distortion and Other Nonidealities Generally speaking, when the dynamic range of the signal passing through the receiver front-end stages to the digital side increases, also the possible effects of many of the front-end nonidealities become more challenging [1], [3], [4], [21]. Even though the focus in this paper is on nonlinear distortion effects, some other closely related nonidealities are also discussed shortly in the following. 1) DC Offsets: Due to the weak isolation between the local oscillator (LO), RF input signals, and front-end amplification stages (e.g., LNA), self-mixing of the LO signal and the input signal itself can generate spurious signal components at or around dc [20], [21], [24]. This is illustrated in Fig. 2. These spurs are generally called dc offsets (static and/or dynamic) and can easily degrade the quality of the weak desired signal if located at the same frequency range. Most typically this is the case in the basic direct-conversion scenarios where the desired signal appears at baseband after front-end downconversion, and thus some compensation of the dc offsets is needed. In the case of dynamic dc offsets, due to self-mixing of the incoming RF signals, this problem can be seen as a special case (with second-order interference model) of the nonlinear distortion considered in this paper. This is indeed the approach in [14] and [15]. 2) I/Q Mismatch: One important practical problem in analog I/Q processing is the relative amplitude and phase matching of the I and Q signal branches [6], [10], [11], [21], [24]. This applies basically both to the I/Q downconversion stage as well as to the branch components (low-pass filters, etc.). In practical implementations, especially in highly integrated ones, amplitude and phase mismatches on the order of 1–5% and 1–5 are commonlystatedfeasible [21],[24].TheneteffectoftheI/Q mismatch is that the image or mirror frequency attenuation of the analog front-end is compromised. The previous imbalance levels correspond to around 25–40 dB image attenuation. Thus the I/Q mismatch is a major problem especially in wideband I/Q downconversion-based concepts where the power level differences of the individual frequency channels acting as images of each other can easily be, depending on the system specifications, in the 30–50 dB range or even up to 80–100 dB [1], [3], [24].

3) Nonlinear Distortion: Any nonlinearities in the signal path obviously distort the information bearing signals traveling through the system. Typical sources of nonlinear distortion in receivers and transmitters are, e.g., amplifiers and mixers [1]–[4], [8]. There are two main aspects in this context in general: 1) the self-distortion of any individual modulated signal and 2) the spurious interference components stemming from other signals, such as harmonic and intermodulation distortion, falling on top of the desired signal band. The focus in this paper is on the latter aspects in the wideband I/Q downconversion-based receiver context where the RF front-end provides only preliminary band limitation. Thus the spurious distortion components of strong signals can easily hit the desired signal band, and the target is to mitigate these effects using sophisticated DSP. D. Harmonic and Intermodulation Distortion in Multicarrier I/Q Downconversion-Based Receivers 1) Basic Polynomial Model: For analysis purposes, the model for the nonlinear component or components under study is assumed to be a memoryless polynomial of the form (2) and denote the input and output signals, respecwhere tively. Excitement of such an element by a signal with two frequency components, say, and , results in two groups of frequencies at the output—the harmonics of the form and and the intermodulation (or cross-modulation) frequencies , , as is well-known in the literature [1]–[4], [7]–[9]. From the receiver perspective, as long as these components are not in the band of interest, they can basically be eliminated using ordinary linear filtering. However, when a multicarrier or multichannel signal experiences this type of behavior, harmonic and/or intermodulation components are indeed likely to hit a desired band or bands, and this calls for more sophisticated methods rather than just linear filtering. Fig. 3 shows an example how second-order intermodulation of two blocking type carriers at and fall on top of the desired signal, which in this example is located at baseband (after the downconversion stage). 2) Exact Distortion Profiles and Nature of Interference With Complex I/Q Signals: Typically in the literature, the harmonic and intermodulation distortion is considered only from the previous single-tone or two-tone sinusoidal model point of view. In other words, the true nature of the distortion components from the complex I/Q signal point of view has not been consistently considered. Here, to address these aspects in more details and build general understanding, we take a more generalized approach and assume that a true modulated yet arbitrary bandpass signal of the form will pass through a memoryless nonlinearity. Here and denote the actual envelope and phase functions, and the corresponding I and Q signals appear as and , respectively. Since the overall focus in this paper is on the effects of the distortion caused by other signals on top of the desired one, this incoming signal models the blocking carrier and the actual desired signal is ignored for a moment. While the physical com-

VALKAMA et al.: ADVANCED DSP TECHNIQUES FOR COMPENSATION OF NONLINEAR DISTORTION IN WIDEBAND MULTICARRIER RADIO RECEIVERS

2359

. Then substituting again and yields

, and rearranging the terms

(4)

Fig. 3. Frequency-domain illustration of second-order intermodulation distortion due to two strong carriers.

ponents (mixers, low-noise amplifiers, etc.) process the two parallel real signals (I and Q), interpreting the resulting signal distortion from the composite complex signal point of view is the final goal of our analysis. To our knowledge, no exact treatment of these issues using an arbitrary bandpass signal formulation is available in the state-of-the-art literature so far. This also forms the basis for the actual digital compensation principle to be introduced in Section III. First consider the case of memoryless second-order distortion. Assuming that the distortion profiles are mutually identical in the I and Q branches (polynomial coefficients and ), the resulting complex signal is formally of the form . Now, letting and and combining the terms yields after some rather straightforward manipulations

Now it is very interesting to observe that the third-order distortion component appears only at the opposite side of the spectrum (here at 3 ), compared to the incoming signal located at . Again it can be shown that this actually holds for any odd-order (3,5, ) distortion component. There is also another crucial difference compared to the earlier second-order model in (3), related to the spurious signal component(s) at the original center frequency . While the second-order case is free from this “self-distortion,” such a spurious component is indeed there in the third-order case as given by (4). This aspect is recognized at the basic single-tone analysis level in the literature but not explicitly in the general case of complex bandpass signals as is done here. This also has some implications on the operation of the proposed compensation structure in Section III, as will be discussed in more detail later. Another interesting further development at the signal modeling level is related to the assumption of identical nonlinearities in the I and Q branches. Obviously this is unlikely to hold exactly in practice since the two branches and the related signal processing elements are indeed parallel separate physical components which can never be exactly identical. To model this, we assume that the polynomial coefficients are , , in the I branch and , , in the Q branch. Here , , model the differences in the behavior of the linear, second-order, and third-order terms between the I and Q. Progressing otherwise similarly as in the earlier signal derivations, the resulting complex signals can now be written as

(3) Based on (3), it can be concluded that the distortion profile is identical on both sides of the spectrum, independently of the original location of the incoming signal. In other words, in the complex model, the sign of the center frequency obviously defines the exact location of the received signal but the second-order interference is in any case present on both sides, at 2 and 2 , as can easily be concluded based on (3). Even though not proven here explicitly, it can be shown by further generalizing the previous derivation that similar symmetry holds for any even-order (2,4, ) distortion component in general. Next consider the case of third-order nonlinear distortion. Again assuming identical polynomial models (now coefficients and ) for the I and Q branches, the resulting complex signal is formally of the form

(5) in the second-order case, and

(6)

2360

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

in the corresponding third-order case. Based on (5) and (6), it is obvious that the distortion profile is now even more challenging compared to the earlier cases of identical I and Q nonlinearities. Here the relative I/Q difference effect is actually similar to the basic I/Q mismatch case (discussed in Section II-C). In other words, the I and Q differences cause crosstalk of the negative and positive (mirror) frequencies, thus clearly affecting also the possible location of the distortion components when interpreted at the complex signal level. As an example, with identical nonlinearities, the third-order component is fully located around 3 but the imbalances cause some interference energy to appear also around 3 , when interpreted for the complex signal. The previous models cover basically the case of having only one dominant interfering carrier. Next these models are extended to cover also the joint behavior of two strong incoming carriers. Thus the ideal complex I/Q model is of the form where , and , denote the individual envelopes and phases, respectively. Obviously, both signals give rise to similar distortion components as in (3)–(6), “individually,” and the following models below state only the additional cross-modulation distortion terms. Here, to simplify to notations to some extent, the case of identical distortion profiles for the I and Q paths is assumed, and the linear signal terms are also neglected for brevity. Now in case of second-order distortion affecting the I and Q, the resulting complex distortion components due to cross-modulation are given by

(7) while the corresponding third-order case appears as

(8) Again the even-order distortion terms appear symmetrically in the complex signal spectrum while such a symmetry does not exist for the odd-order products. Notice also the appearance of

additional self-interference terms [two first terms in (8)] in the third-order case. In general, these models in (3)–(8) will form the basis in the following, when developing a digital interference canceller type structure for reducing the dominant distortion components from the received signal within the band of interest. Notice that further generalization of the signal models to arbitrary number of interfering carriers is also possible, but in our opinion doing that does not add any further intuition, and thus it is not considered here. III. DIGITAL COMPENSATION STRUCTURE BASED ON ADAPTIVE INTERFERENCE CANCELLATION A. Basic Approach The basic philosophy in the following is to suppress harmonic and intermodulation distortion caused by strong blocking signals on top of the desired signal bands. From the complex communications waveforms point of view, the essential distortion models were introduced previously in Section II. Whether the sources of interference are located within or outside the total bandwidth of the specific communication system at hand has naturally some practical relevance but is ignored here for a while and addressed in detail in the next sections. Here we simply assume that a collection of frequency channels is I/Q downconverted as a whole and some of the downconverted strong signals create interference on top of the weaker signals. The detailed individual behavior under second-order and third-order nonlinearities of the blocking signals is given by (3)–(8). In the following, these effects are simply interpreted from the overall signal point of view while developing the compensation principle. The basic compensation structure is presented in Fig. 4. The idea is to consider the detection of the interesting signals on a channel-by-channel basis, such that the band-split filtering stage first separates the desired signal band and all the other signals. These effective filtering functions are denoted by and , respectively, where “ ” refers to “desired” and “ ” to “reference” signal branches. Then the idea is to regenerate the distorting harmonic and intermodulation components by feeding the reference branch signal into a model of the nonlinear process. The purpose of this reference nonlinearity is simply to reproduce the interfering frequencies, with the amplitudes and phases being most likely incorrect. Then an adaptive filtering stage is applied to “scale” the reproduced frequency components properly before being subtracted from the desired signal observation. The adaptive filter coefficients can be adjusted, e.g., to minimize the power of the compensator output using the well-known least mean square (LMS) algorithm or any of its variants [25]. In a practical implementation, the effective processing of second-order, third-order, etc., interference can be carried out individually, by having parallel reference signal branches (reference nonlinearity and adaptive filter stage) for each order of interest. In this way, the needed reference polynomials are basically simply quadratic , cubic , etc., operators, in the simplest case, and the corresponding adaptive filters process each order effects separately. Thus, in general, it should be noted that no detailed model of the nonlinear physical

VALKAMA et al.: ADVANCED DSP TECHNIQUES FOR COMPENSATION OF NONLINEAR DISTORTION IN WIDEBAND MULTICARRIER RADIO RECEIVERS

2361

Fig. 4. Proposed compensation structure. The upper branch captures the desired signal band and the lower branch generates an interference reference. These two signals are then processed by an adaptive interference canceller to suppress the nonlinear distortion effects from the signal of interest.

front-end is basically needed. The reference nonlinearity section simply regenerates the interfering frequency components, which are then further modified by the online adaptive filter stage, controlling the actual interference cancellation process. In general, by adjusting the band-split filtering stage separating the so-called desired signal from the rest of the spectrum, this method is applicable independently of the position of the desired signal. Notice also that the processing depicted in Fig. 4 is carried out separately for the physical I and Q signal branches. This will be discussed in more detail in Section III-B. One issue basically ignored in the above developments is the possible cross-modulation of the target signal (within the passband of ) and the other signals (within the passband of ). However, since the power of any cross-modulation component in general depends on the relative strengths of all the signals at hand [see (7) and (8) for the two-carrier case], including the target signal itself in this case, it can be concluded that these distortion components are relatively weak, compared to the dominant interference terms, and are thus neglected for simplicity in the continuation. To put it another way, the main focus in this paper is indeed in compensating the dominant distortion effects stemming from the strong out-of-band signals, as stated in the Introduction. It should also be noted that the role of odd-order distortion products is generally slightly more complicated than the corresponding even-order cases. This is because of the “self-interference” inherent to the odd-order processes as stated by (4), (6), and (8). In other words, the reference signal generated in the receiver for interference cancellation is forced to have a small undesired signal component included, causing also some residual error in the compensator output. Notice, however, that this self-interference is, by design, vanishingly small compared to the dominant interference term, when the blocking signal power level is higher than that of the desired signal. This is rather difficult to analyze exactly by analytical means but will be shown by simulations and measurements in Section IV to have only a very negligible effect, if any, on the quality of the compensator output. B. Practical Aspects 1) Signal-Level Compensator Implementation: In the basic developments, as described above, the distortion in the I and Q signal branches is treated and mitigated separately. This is justified since the sources of distortion are also separate physical components (the I and Q mixers, LNAs, etc.). However, based on the derived signal models in (3)–(8), it is also possible to carry out the calculations using the corresponding

complex signals. This is a strongly implementation-specific issue, and at the very hardware level out of the main scope of this paper, but on the other hand important from the signal-level understanding point of view and is also shown to lead to various alternative implementations at signal and system level. So considering the third-order distortion components of a single incoming carrier as a simple example, it was shown earlier that the induced distortion component is relative to . When compared to the incoming signal , it is clear that from the complex signals point of view, proper reference processing (in addition to band-split filtering) is a pure complex cubic operation combined with complex conjugation. Then, the adaptive filter part controlling the actual interference suppression finally takes care of proper scaling (here complex) between the generated reference signal and the interference to be subtracted. Similarly, in the second-order case, with distortion components relative to and , complex squaring in the reference branch can be deployed. In addition to this, complex conjugation is also possibly needed depending which of the two components above are being canceled. The cross-modulation behavior, in turn, is somewhat more complicated from the complex reference signal processing point of view. Considering two incoming interfering carriers and the induced second-order component at [see (7)], it is clear that it cannot be regenerated by direct complex squaring of the basic reference signal. This can, however, be accomplished by either i) additional filtering in the reference branch separating the carriers and , conjugating the other resulting signal, and finally multiplying the signals or ii) considering the squared absolute value of the reference signal and filtering out the proper cross-term. Similar developments can easily be established for the third-order interference case. Based on the above discussions, it is obvious that the basic implementation based on separate mitigation loops in the digital I and Q branches is a more straightforward approach. The alternative implementation based on purely complex signal processing calls for additional filtering in the reference branch, in order to regenerate all the possible in-band interference. One interesting alternative in this context could, however, be based on frequency-domain processing. Thus instead of the basic band-split filtering which separates the desired band and the rest, the total available band could be channelized using fast Fourier transform (FFT) (or some other filter bank) in an

2362

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

efficient manner. Then the earlier complex signal processing would simply reduce to proper multiplications of the subcarrier signals. Especially if many bands need to be cleaned anyway, this might indeed be more favorable since in the basic approach, parallel band-split filtering stages are basically needed (one per target band). These further developments are, however, out of the main scope of this paper but offer an interesting and relevant topic for future studies. 2) Role of Fading Channel: One obvious issue in any wireless and radio communication system is the role of the actual communication channel. Here in our context, the fading profiles of the individual signals at different carriers simply affect the corresponding incoming power levels. Since some automatic gain control (AGC) is in any case needed in the receiver chain, the desired signal power level can be considered rather stable in the basic developments (given, of course, that the dynamics of the system power control is reasonable with respect to the fading rate). And stable or not, this actually has influence only on the relative strength of the in-band interference (the lower the desired signal level, the more sensitive it obviously is to any in-band interference). Considering then the strength of the interference, it is also obvious that when the power level of the dominant interference source is changing, also the resulting distortion level changes but in a nonlinear manner. In general, this depends strongly on the operating point of the physical component or components giving rise to the distortion. However, since it is assumed that the reference part in the compensation block anyway contains reference nonlinearities with the corresponding characteristics (meaning basically correct nonlinearity orders), identical nonlinear dynamics (as a function of the power level of the incoming blocker) is seen in the reference signal behavior, and the actual adaptive part (interference cancellation coefficients) will basically remain unaffected. Thus it can be concluded that the power changes of the blocking signal do not affect the compensation stage as such, given that the actual nonlinear characteristics of the front-end components are fixed. This has been verified using simulations at a rather preliminary level, but more detailed studies are still needed to explore the dynamic channel effects in more detail, especially with realistic AGC functionalities included in the front-end. This constitutes an important topic for future work. 3) Role of Blocking Signals, Band-Limitation Filtering, and Analog-to-Digital Conversion (ADC) Interface: One important practical aspect in the context of previously proposed compensation structure is the “availability” of the strong interfering signals in the digital domain. At the conceptual level, this poses some limitations to the analog filtering stages controlling the signal bandwidth entering the sampling and ADC stages of the receiver, and thus also the needed resolution and speed of the ADC(s). Generally speaking, the sources of distortion cannot be rejected by the analog filtering stages after having created the interference—otherwise there is no proper reference available in the digital domain for interference cancellation. This in turn means that also the speed and resolution of the sampling and ADC stages have to support, one way or the other, the bandwidth and dynamic range of the “total” signal, consisting of both the interferers, to be mitigated digitally, as well as the desired signal(s).

In the ultimate example where the RF front-end provides only very preliminary band-limitation filtering before the mixing stage, sources of harmonic and intermodulation distortion can basically be also true out-of-band blockers located outside the target system band under consideration. In this case, in order to carry out the compensation processing depicted in Fig. 4, the implications on the needed resolution and speed of the common ADC stage can be rather unrealistic using today’s technologies. One interesting possibility in this context could then be to use two parallel sampling and ADC stages—one for the desired signal band or bands and the other one for capturing the out-of-band blockers. In this case, the requirements for the sampling and ADCs of the desired signal branch are basically determined by the target system specifications, independently of the receiver nonlinear characteristics. The needed resolution in the reference branch, in turn, is likely to be relatively much lower since there is no need to support the weak desired signals here. The total possible bandwidth and thus the needed maximum speed of the reference branch sampling and ADC stage is generally determined by the bandwidth of the RF band-limitation filtering. In a more “basic” scenario, the signals entering the downconversion stage all belong to the target system band, being generally composed of multiple frequency channels with different power levels. Assuming any of these channels can be the desired one and the actual channel selectivity is to be implemented using digital filtering, the requirements for the sampling and ADC stage are determined solely by the target system characteristics and are, again, not affected by the compensation principle or the receiver nonlinear characteristics as such. 4) Frequency-Dependent Effects and Memory: One additional and important practical aspect from the proposed compensator point of view is related to the detailed structure of the nonlinear distortion components. Generally speaking, if the physical process giving rise to the harmonic and intermodulation components to be mitigated digitally is memoryless, also the reference nonlinearities can be ordinary memoryless polynomials and a single coefficient for each polynomial order is sufficient in the adaptive interference cancellation part. If, in turn, there are some memory or frequency-dependent characteristics involved in the nonlinear distortion process, similar features need to be incorporated also in the compensation stage. In practice, this means introducing memory in the reference nonlinearity section (e.g., in terms of Volterra series [26], [27] or related processing) and/or having multitap filters for each polynomial order in the adaptive IC stage. According to the practical signal measurements, as will be demonstrated in more detail in Section IV, processing two consecutive samples (i.e., memory of one sample interval) yields already most of the gain available compared to a purely memoryless compensator. IV. ILLUSTRATIONS AND OBTAINED RESULTS The operation of the proposed compensation principle is illustrated next using both computer simulations as well as measured receiver front-end signals. For illustration purposes, similar type RF waveforms are used in both studies to help in comparing the measured results with the purely simulation

VALKAMA et al.: ADVANCED DSP TECHNIQUES FOR COMPENSATION OF NONLINEAR DISTORTION IN WIDEBAND MULTICARRIER RADIO RECEIVERS

2363

based ones. The measurement environment consists of commercially available state-of-the-art signal generators and discrete front-end components like mixers, filters, splitters, and combiners. After the receiver analog front-end, the downconverted and filtered I and Q signals are sampled and A/D converted and stored into memory for further digital processing. The receiver digital part, including the proposed compensation structure as well as final channel selectivity and demodulation functions, is here implemented offline using MATLAB. A. RF Waveforms and Front-End Characteristics In these experiments, the desired signal is quadrature phaseshift keying (QPSK) modulated with 800 kHz symbol rate and located at 103 MHz RF carrier. The pulse-shape is a raised-cosine pulse with 30% rolloff, yielding roughly 1-MHz RF bandwidth. Notice that “downscaled” RF frequencies on the order of 100 MHz are used simply to facilitate the measurement system implementation (cabling requirements, etc.) and do not play any other role here. I/Q downconversion with 100 MHz LO signal(s) translates the desired signal to 3 MHz IF. When experimenting with second-order distortion effects, there is a strong sinusoidal blocker at 98.6 MHz RF frequency. This results in second-order harmonic distortion component on top of the desired signal at 2.8 MHz (after I/Q downconversion) to be mitigated digitally. In case of third-order distortion experiments, a strong AM blocker at 98.95 MHz RF center frequency is used with 100 kHz modulating tone and 20% modulation index. This yields altogether third-order harmonics at 2.85, 3.15, and 3.45 MHz, as well as third-order intermodulation at 2.95, 3.05, 3.25, and 3.35 MHz, which all fall on top of the desired signal at IF. After I/Q downconverting the signals down to IF, the I and Q signals are low-pass filtered, sampled, and digitized. The sampling frequency in the I and Q branches is here 32 MHz and the resolution of the used ADCs is 14 bits. The available sample memory per captured I/Q data block is 265 ksamples. In the basic experiments, the power difference of the strong blocking signal and the desired one is set to 40 dB to model a typical yet difficult example case. B. Computer Simulation Results To illustrate the basic idea of the proposed compensation principle, purely computer simulation based results are presented first. As stated in Section IV-A, both second- and third-order distortion effects are examined. The obtained results are illustrated in Figs. 5 and 6, in terms of the downconverted complex signal spectrum as well as demodulated desired signal at symbol rate without and with digital compensation. Here, in carrying out the simulations, a purely instantaneous polynomial has been used as a model for the nonlinear process, and thus also the compensation stage is memoryless. The adaptation of the IC coefficients is implemented using the well-known LMS algorithm [25]. As illustrated in Fig. 5, the strong sinusoidal blocker creates considerable second-order harmonic distortion on top of the desired signal, seen as the peak in the spectrum at 2.8 MHz. Also in case of third-order distortion (Fig. 6), the induced harmonic and intermodulation components of the used AM blocking signal create strong interference on top of the desired signal. Without

Fig. 5. Top: Simulated spectrum of the downconverted complex signal with second-order distortion. The desired signal is QPSK modulated and located at 3 MHz IF. Bottom: Baseband desired signal observations at symbol rate without and with compensation.

+

Fig. 6. Top: Simulated spectrum of the downconverted complex signal with third-order distortion. The desired signal is QPSK modulated and located at 3 MHz IF. Bottom: Baseband desired signal observations at symbol rate without and with compensation.

+

compensation, the demodulated desired signal is useless as such in both cases. However, by using the proposed compensator, virtually all the essential interference can be suppressed, resulting in close-to perfect QPSK signal constellations as can be seen in the figures. C. Laboratory Measurement Results Next the corresponding results obtained using actual laboratory signal measurements are reported. For illustration and comparison purposes, exactly the same RF waveform setups as in the computer simulations are used, and were described in Section IV-A. Fig. 7 shows the measured IF signal spectrum with sinusoidal blocking signal, evidencing again clear second-order harmonic distortion on top of the desired signal. Mirror frequency “aliasing” between the positive and negative

2364

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 7. Top: Measured IF signal spectrum with sinusoidal blocker. The desired signal is QPSK modulated and located at 3 MHz IF. Bottom: Baseband desired signal observations at symbol rate without and with compensation.

+

Fig. 8. Example realization of the adaptive IC coefficients.

frequencies in the complex signal spectrum due to I/Q imbalance is also evident. Furthermore, the measured spectrum also verifies the signal analysis models, including the symmetric and nonsymmetric natures of the even- and odd-order distortion components. In this case when processing measured signals, one sample memory (two consecutive samples) is incorporated in the digital compensation stage to account for the possible (yet unknown) memory effects of the measured receiver analog front-end. In general, remarkable similarity between the simulated and measured results can be established by comparing the final demodulated symbol rate constellations of Figs. 5 and 7, in terms of the compensated (“cleaned”) signal. Fig. 8 shows example realizations of the adaptive filter coefficients during the compensator adaptation, evidencing clean convergence in roughly 20 000 iterations or so with the selected step-size values. In general, the selection of the step-size affects both the convergence rate and the average steady-state performance. Notice that if sufficient computational resources are

Fig. 9. Top: Measured IF signal spectrum with AM blocker. The desired signal is QPSK modulated and located at 3 MHz IF. Bottom: Baseband desired signal observations at symbol rate without and with compensation.

+

available, reiteration over the same received data block can also be used in practice. Furthermore, once the convergence is established, it is likely sufficient to update the coefficients only rather rarely, in order to keep track of the possible effects of changing nonlinear characteristics. The corresponding results with third-order distortion profile and AM modulated blocking signal are depicted in Fig. 9. Now, as described in Section IV-A, there are altogether seven third-order distortion components falling on top of the desired signal band, causing a catastrophic effect on the demodulated desired signal as can be seen in the figure. However, when processed using the proposed digital compensation stage, again a close-to ideal QPSK constellation is obtained. Thus in general it can be concluded that nonlinear distortion effects due to strong blocking type signals can be efficiently suppressed using the proposed compensation scheme. The in-band carrier-to-interference (C/I) ratio in these measurements is generally around 0 dB when no compensation is used. The C/I levels in the 0 dB range were selected to represent a really challenging operation environment in general. By using the proposed compensation technique, most of the essential in-band interference is removed, resulting in roughly 29 and 25 dB C/I figures after compensation in the second-order and third-order distortion cases, respectively. In order to get further insight into the operation of the proposed compensator, especially when considerable additive noise is present in the signals, a new set of measurements is carried out. For illustration purposes, the focus is on the previous second-order interference case, with considerable amount of additive white (over the whole measurement bandwidth) noise being included in the measurements. The in-band signal-to-noise ratio (SNR) ranges roughly from 0 to 10 dB. The noisy signals are then processed using the compensator and both the uncompensated and compensated signals are detected, in a symbol-by-symbol manner, and the corresponding detection error rates are evaluated. In this case, the original RF power of the interfering carrier is slightly decreased, compared

VALKAMA et al.: ADVANCED DSP TECHNIQUES FOR COMPENSATION OF NONLINEAR DISTORTION IN WIDEBAND MULTICARRIER RADIO RECEIVERS

Fig. 10. Symbol error rate performance with measured signals as a function of additive noise level. QPSK modulated desired signal and sinusoidal blocker. The in-band C/I ratio is around 6 dB without compensation.

to earlier experiments, such that the in-band carrier-to-interference ratio is roughly 6 dB without compensation. Otherwise, with the earlier setup, the error rate of the uncompensated signal would have been almost constant, independently of the actual additive noise level. The obtained results are shown in Fig. 10. For reference we also evaluate the error rate performance with the blocking signal turned off, in order to get proper reference against which to compare the error rates of the uncompensated and compensated signals. Here, as also earlier when experimenting and illustrating the symbol rate signals, all the synchronization (symbol timing recovery, carrier phase, and frequency offset estimation and compensation) information is obtained by digitally processing the observed signal. Thus there will also be some residual error and distortion in the signal entering the data detection due to finite accuracy of the used synchronization techniques. This explains the gap of 0.7–0.8 dB between the measured reference and theoretical reference curves in Fig. 10. However, the most important message is that the detection error rate of the compensated system is practically identical to that of the measured reference. The difference at raw (uncoded) error rates on the order of 10 –10 is only around 0.2–0.25 dB. This gives further confidence on the proposed compensation technique, in the sense that reliable operation is demonstrated under very low SNRs. This is crucial in any practical system, and especially in CDMA type systems where the typical chip-level SNRs indeed range around 0 dB. V. CONCLUSION AND FURTHER DISCUSSIONS This paper has demonstrated that the effects of nonlinearities produced by the analog front-end sections of communications receivers can be compensated by advanced digital signal processing techniques, at least up to a certain degree, in the general multicarrier or multichannel direct-conversion receiver context. The key ingredient for such techniques is developing proper signal models and understanding for the imperfections at signal level, after which fairly standard adaptive signal pro-

2365

cessing algorithms can be applied. The proposed methods are generally blind, i.e., no training signals or knowledge of the detailed waveform structure nor the nature of the nonlinear process is utilized. Basically only the statistical independence or lack of correlation of the signals at different frequency bands is assumed, which is indeed typically satisfied and justified by physically independent signal sources. Also certain cross-effects between the target signal and the interfering carriers are ignored at signal modeling level, the contribution of which can safely be assumed to be much smaller than the main interference effects. This means that the same principal structures and algorithms can basically be used for various types of communication waveforms in general. The main limitation of the proposed basic approach is that a wideband observation of the received signal is basically required, which would lead to rather challenging requirements for the sampling and ADC process if only a single (common) ADC is utilized. A solution based on utilizing a lower resolution wideband reference branch was then proposed as a practical alternative, but more detailed studies are still needed to verify the idea more thoroughly. This constitutes an interesting and important topic for future work. Furthermore, it is obvious that various other practical issues still need to be explored before being able to use these ideas in practical receiver implementations, but core understanding and principal operation have now been established, as demonstrated using practical laboratory signal measurements. Additional topics for future work include more detailed performance evaluations using the laboratory measurements system and building a field-programmable gate-array (FPGA) prototype for the receiver digital front-end including the compensation stage. At algorithm level, the idea of using frequency-domain processing based on FFT bins (or some other filter bank type transform) will also be investigated. The nonlinearity compensation methods proposed in this paper, as well as earlier developments for compensation of the I/Q imbalance effects, are examples of new opportunities that clever use of DSP can offer in communications receiver design. Being able to compensate for different nonidealities of the analog RF sections basically relieves the specifications for those parts, e.g., by helping to reduce the power consumption of the corresponding analog blocks. Thus cheaper very large-scale integration (VLSI) technologies and more simple receiver architectures can be utilized than in the traditional radio implementations, thereby facilitating flexible multimode, multiband receiver design for future wireless communications systems. Also philosophically, we strongly believe that bringing the radio engineering and signal processing communities even closer together, e.g., through the type of developments reported here, will open up new possibilities and increased synergy benefits for the design and implementation of radio transceivers in the future. ACKNOWLEDGMENT The authors would like to thank A. Asp and J. Suviola with the Institute of Communications Engineering, Tampere University of Technology, Tampere, Finland, for their help in carrying out the practical signal measurements. Also all the technical discussions with H. Somerma, H.-O. Scheck, K. Nikkanen, and

2366

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

J. Kämäräinen, all with Nokia Networks, Espoo, Finland, are gratefully acknowledged.

[27] W. J. Rugh, Nonlinear System Theory. Baltimore, MD: Johns Hopkins Univ. Press, 1981.

REFERENCES [1] X. Li and M. Ismail, Multi-Standard CMOS Wireless Receivers. Norwell, MA: Kluwer, 2002. [2] C. Chien, Digital Radio Systems on a Chip. Norwell, MA: Kluwer, 2001. [3] W. Tuttlebee, Ed., Software Defined Radio: Enabling Technologies. Chichester, U.K.: Wiley, 2002. [4] P. Kenington, RF and Baseband Techniques for Software Defined Radio. Norwood, MA: Artech House, 2005. [5] M. Brandolini, P. Rossi, D. Manstretta, and F. Svelto, “Toward multi-standard mobile terminals—Fully integrated receivers requirements and architectures,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1026–1038, Mar. 2005. [6] M. Valkama, J. Pirskanen, and M. Renfors, “Signal processing challenges for applying software radio principles in future wireless terminals: an overview,” Int. J. Commun. Syst., vol. 15, pp. 741–769, Oct. 2002. [7] M. E. Frerking, Digital Signal Processing in Communication Systems. New York: Chapman and Hall, 1994. [8] J. C. Pedro and N. B. Carvalho, Intermodulation Distortion in Microwave and Wireless Circuits. Norwood, MA: Artech House, 2003. [9] J. Tsui, Digital Techniques for Wideband Receivers. Norwood, MA: Artech House, 1995. [10] M. Valkama, “Advanced I/Q signal processing for wideband receivers: Models and algorithms,” Ph.D. dissertation, Tampere Univ. of Technology, Tampere, Finland, 2001. [11] P. Rykaczewski, D. Pienkowski, R. Circa, and B. Steinke, “Signal path optimization in software defined radio systems,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1056–1064, Mar. 2005. [12] G. Fettweis et al., “Dirty RF,” in Proc. Wireless World Res. Forum (WWRF) Meeting 11, Oslo, Norway, Jun. 2004. [13] A. Shahed, M. Valkama, and M. Renfors, “Adaptive compensation of nonlinear distortion in multicarrier direct-conversion receivers,” in Proc. IEEE Radio Wireless Conf. (RAWCON’04), Atlanta, GA, Sep. 2004, pp. 35–38. [14] M. Faulkner, “DC offset and IM2 removal in direct conversion receivers,” in Proc. Inst. Elect. Eng. Commun., Jun. 2002, vol. 149, pp. 179–184. [15] P. Alinikula, H.-O. Scheck, and K.-P. Estola, “Elimination of D.C. offset and spurious AM suppression in a direct conversion receiver,” U.S. Patent 6 115 593, Sep. 5, 2000. [16] S. Laursen, “Second order distortion in CMOS integrated mixers,” Ph.D. dissertation, Aalborg Univ., Aalborg, Denmark, 2001. [17] L. D. Quach and S. P. Stapleton, “A postdistortion receiver for mobile communications,” IEEE Trans. Veh. Technol., vol. 42, no. 6, pp. 604–616, Nov. 1993. [18] K. Dufrene and R. Weigel, “Adaptive IP2 calibration scheme for directconversion receivers,” in Proc. IEEE Radio Wireless Symp. (RWS’06), San Diego, CA, Jan. 2006, pp. 111–114. [19] E. A. Lee and D. G. Messerschmitt, Digital Communication, 2nd ed. Norwell, MA: Kluwer, 1994. [20] S. Mirabbasi and K. Martin, “Classical and modern receiver architectures,” IEEE Commun. Mag., vol. 38, no. 11, pp. 132–139, Nov. 2000. [21] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: PrenticeHall, 1998. [22] ——, “Design considerations for direct-conversion receivers,” IEEE Trans. Circuits Syst. II, vol. 44, no. 6, pp. 428–435, Jun. 1997. [23] A. Abidi, “Direct conversion radio transceivers for digital communications,” IEEE J. Solid-State Circuits, vol. 30, no. 12, pp. 1399–1410, Dec. 1995. [24] J. Crols and M. S. J. Steyaert, CMOS Wireless Transceiver Design. Dordrecht, The Netherlands: Kluwer, 1997. [25] S. Haykin, Adaptive Filter Theory, 3rd ed. Upper Saddle River, NJ: Prentice-Hall, 1996. [26] V. J. Mathews and G. L. Sicuranza, Polynomial Signal Processing. New York: Wiley, 2000.

Mikko Valkama (S’00–M’02) was born in Pirkkala, Finland, on November 27, 1975. He received the M.Sc. and Ph.D. degrees (both with honors) in electrical engineering from Tampere University of Technology (TUT), Finland, in 2000 and 2001, respectively. In 2003, he was a Visiting Researcher with the Communications Systems and Signal Processing Institute, San Diego State University, San Diego, CA. Currently, he is a Senior Researcher with the Institute of Communications Engineering, TUT. His general research interests include communications signal processing, estimation and detection techniques, signal processing algorithms for software defined flexible radios, and digital transmission techniques such as different variants of multicarrier modulation methods and orthogonal frequency-division multiplexing. Dr. Valkama received the Best Ph.D. Thesis Award from the Finnish Academy of Science and Letters for his thesis entitled “Advanced I/Q Signal Processing for Wide-Band Receivers: Models and Algorithms” in 2002. He is Publications Chair of the IEEE SPAWC’07 Conference to be held in Helsinki, Finland.

Ali Shahed Hagh Ghadam (S’02) was born in Tehran, Iran, on November 29, 1975. He received the B.Sc. degree from Khajeh Nasir Toosi University, Iran, in 1999, and the M.Sc. degree from Tampere University of Technology (TUT), Finland, in 2003, both in electrical engineering, and is currently working toward the Ph.D. degree at TUT. Since 2001, he has been with TUT as a Researcher. His main research interests are in signal processing algorithms for flexible radio receivers and transmitters.

Lauri Anttila (S’05) was born in Kankaanpää, Finland, on January 2, 1976. He received the M.Sc. degree in electrical engineering from Tampere University of Technology (TUT), Finland, in 2004, where his is currently working toward the Ph.D. degree. Currently, he is a Researcher with the Institute of Communications Engineering at TUT. His main research interests are in signal processing algorithms for flexible radio receivers.

Markku Renfors (S’77–M’82–SM’90) was born in Suoniemi, Finland, on January 21, 1953. He received the Dipl.Eng., Lic.Tech., and Dr.Tech. degrees from Tampere University of Technology (TUT), Finland, in 1978, 1981, and 1982, respectively. He held various research and teaching positions at TUT during 1976–1988. During 1988–1991, he was was a Design Manager in the area of video signal processing, especially for HDTV, with Nokia Research Centre and Nokia Consumer Electronics. Since 1992, he has been a Professor and Head of the Institute of Communications Engineering at TUT. He has been involved in the organization of ISCAS’88 (Symposium Committee Secretary), ICC’01 (Technical Program Vice-Chair, Tutorials), and PIMRC’06 (Technical Program Vice-Chair, Tutorials). His main research areas are multicarrier systems and signal processing algorithms for flexible radio receivers and transmitters.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2367

A Compact and Selective Low-Pass Filter With Reduced Spurious Responses, Based on CPW Tapered Periodic Structures Darine Kaddour, Emmanuel Pistono, Jean-Marc Duchamp, Jean-Daniel Arnould, Hervé Eusèbe, Philippe Ferrari, and Robert G. Harrison, Member, IEEE

Abstract—This paper describes a new low-pass filter topology based on tapered periodic structures. These filters exhibit interesting characteristics in terms of compactness, return loss, insertion loss, selectivity, and the suppression of spurious frequency bands. Hybrid prototypes with a 1-GHz cutoff frequency, based on a coplanar-waveguide technology, and using both low-cost and high-performance substrates, have been fabricated and measured. Spurious frequency bands can be suppressed to below 22 dB at frequencies up to 20 GHz. Passband ripples are negligible, and the return loss is better than 20 dB. A two-section filter has a length of 0.2 and exhibits a 120-dB/dec selectivity, while a six-section filter is 0.51 long and has a 560-dB/dec selectivity. A design procedure has been established. These filters are compatible with monolithic microwave integrated circuit technologies in which the capacitors can be realized as metal–insulator–metal structures. Index Terms—Bragg cutoff frequency, low-pass filters, periodic structures, spurious frequency suppression, tapered structures.

I. INTRODUCTION ICROWAVE passive filters are important in modern telecommunication systems. To meet the requirements of integration and minimum loss, while ensuring optimal filter performance, device development is necessary. Continually improving electrical performance, together with increased selectivity and compactness, are challenging constraints which must be faced by the designer of planar filters. There is much interest in periodic structures for the fabrication of microwave and millimeter-wave filters. These structures are transmission lines characterized by a periodic perturbation of the characteristic impedance, which causes Bragg reflections over certain frequency bands [1]. Investigation of the characteristic impedance and propagation constant of these structures explains their slow-wave character, their filtering properties, and their stop-band behavior [2], [3]. Problems with these structures include spurious passbands and poor impedance matching. Several techniques have been

M

Manuscript received October 22, 2005; revised January 18, 2006. D. Kaddour, J.-M. Duchamp, J.-D. Arnould, H. Eusèbe, and P. Ferrari are with the Institut de Microélectronique, Electromagnétisme et Photonique, 38016 Grenoble, France (e-mail: [email protected]; [email protected]; arnould @enserg.fr; [email protected]; [email protected]). E. Pistono is with the Laboratoire d’Hyperfréquences et de Caractérisation, Université de Savoie, 73376 Le Bourget-du-Lac, France (e-mail: emmanuel. [email protected]). R. G. Harrison is with the Department of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875290

proposed to increase selectivity, eliminate the spurious frequency bands, decrease the insertion loss, and reduce the filter size. It has been demonstrated that in filters realized in coplanar-waveguide (CPW) form, the spurious passbands can be efficiently rejected by introducing a sinusoidal or a square modulation of the slot [3]–[5]. Another approach has been to drill holes [6] or etch slots [7], [8] in the ground plane of microstrip realizations. This provides a coupling mechanism between the microstrip line and the ground-plane patterns. A widely used approach is to side-couple a ring resonator to a microstrip line, a technique providing excellent second-order harmonic suppression [9], [10]. Bandwidth can be improved and ripples can be controlled by using nonuniform distributions of circular- and annular-ring patterns [11]. A further way of rejecting undesired frequency bands is to etch complementary split-ring resonators in the ground plane [12] or in the center conductor [13] of a low-characteristic-impedance CPW filter, or at both sides of the access lines, or in the active filter region of a microstrip coupled line [14]. In addition, various more-or-less complicated patterns have been applied to the center conductor and ground plane of a transmission line in order to modulate its characteristic impedance [15]–[17]. Shunt stub topologies have also been adopted to add more degrees of freedom and to reduce size [8], [18]. Other ways of suppressing the second-harmonic response include using a uniform dielectric overlay to equalize the modal phase velocities of each coupled stage [18] and applying square or semicircular periodic grooves in parallel coupled microstrip filters [20]. A very compact low-pass filter design showing good spurious suppression has also been demonstrated [21]. However, to our knowledge, no topology has successfully addressed simultaneously the problems of compactness, matching, selectivity, insertion loss, and the suppression of spurious frequency bands. In this paper, simple periodic CPW structures are used to realize compact, selective, well-matched filters with reduced spurious frequency bands. A simple tapering, consisting of a periodicity break attained by varying the length and loading capacitance of the near- and far-end sections (the characteristic impedance remaining unchanged), is sufficient to get a return loss better than 20 dB in the passband. The feasibility of such filters was demonstrated in [22]. In Section II, the background theory is developed and design rules are derived. Then some prototype filters are realized on both a low-cost FR4 substrate1 and a good quality RO4003 1LPKF

Laser and Electronics AG, Garbsen, Germany.

0018-9480/$20.00 © 2006 IEEE

2368

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 1. Elementary section.

Fig. 3. Loaded transmission line equivalent characteristic impedance versus normalized frequency.

Fig. 2. Loaded transmission line relative electrical length versus normalized frequency.

substrate.2 Section III shows that the agreement between the simulated and measured results is excellent. All the filter characteristics are summarized in tables, showing very interesting characteristics. A detailed study of the losses demonstrates the importance of the quality factor of the capacitors. In Section IV, a Monte Carlo sensitivity analysis reveals the most sensitive parameters. Conclusions and perspectives are summarized in Section V. II. BACKGROUND THEORY

The low-pass behavior of the structure is shown in Fig. 3, which shows the loaded transmission line equivalent characteristic impedance . It is seen that vanishes around the Bragg frequency. This corresponds to the first cutoff frequency of the structure, which behaves as an electromagnetic band gap. Once the Bragg frequency, corresponding to the filter cutoff frequency, and the characteristic impedance of the unloaded transmission line are fixed, we need criteria in order to determine initial values of the capacitance-per-section and the per-section electrical length . These values of and will not be the final ones. As will be discussed below, the filters have to be optimized with different near- and far-end sections to achieve good return loss. However, the initial values serve as a starting estimate for the optimization process. As we know, the equivalent transmission line’s insertion loss is given by the following relation: (4)

An elementary section of the periodic structure studied here is shown in Fig. 1. When losses are neglected, the elements of the cascade (or chain) matrix of the elementary section are (1) (2) (3) The loaded transmission-line equivalent characteristic impedance and electrical length (per section) can be written as and , respectively. The ratio between the loaded and unloaded electrical lengths and , respectively, is shown in Fig. 2 for a typical case in which , at 1 GHz, and pF, leading to a Bragg cutoff frequency (first cutoff frequency of the periodic structure) near 1 GHz. The frequency axis is normalized to the Bragg frequency . The fact that the ratio between and exceeds 3.2 is a clear indication of the slow-wave phenomenon, leading to compact structures. 2Rogers Corporation, Rogers, CT. [Online]. Available: http://www.rogerscorporation.com

where is the reference impedance. The first criterion determines the Bragg cutoff frequency , which corresponds to the solution of . Relation (4) shows that this value is also a solution to . Relation (5) can be then easily extracted (5) where is the electrical length at the Bragg frequency. This relation shows that the required loading capacitance decreases when the Bragg cutoff frequency , or the section length , or the characteristic impedance of the unloaded transmission line increases. The value of this capacitance is shown as a function of frequency in Fig. 4 for the typical case of Fig. 2. The next step is to apply another criterion in order to achieve good filter matching in the passband. An effective and simple criterion is to set the value of the insertion loss modulus to unity at half the Bragg cutoff frequency

(6)

KADDOUR et al.: COMPACT AND SELECTIVE LOW-PASS FILTER WITH REDUCED SPURIOUS RESPONSES

Fig. 4. Per-section loading capacitance C versus normalized frequency.

2369

j j and insertion loss jS j of an untapered

Fig. 6. Simulated return loss S six-section filter.

Fig. 5. Electrical length  at the Bragg frequency versus the unloaded transmission line normalized characteristic impedance z . Fig. 7. (

jS j and jS j data for the optimized tapered filter after optimization

) compared with the untapered filter (

).

From (4) and (6), we can show that the second criterion leads to a 50 value for the loaded transmission line equivalent characteristic impedance (7) With these two criteria, we reach a coarse estimate for the two constitutive parameters and . The following relation for the electrical length at the Bragg cutoff frequency is obtained:

Fig. 8.

(8)

From (5) and (8), we deduce that when the characteristic impedance of the unloaded transmission line increases, the value of the capacitance decreases, and the electrical length is reduced. To illustrate this length reduction, Fig. 5 shows the electrical length at the Bragg frequency versus the unloaded transmission line normalized characteristic impedance , where . Thus, a higher leads to compact filters, and we are led to the use of high-characteristic-impedance transmission lines. When fixed at 170 , a value that can be reached by standard technologies, and assuming a 1-GHz Bragg cutoff frequency, (4) and (5) lead to a 5.14 pF capacitance and a 40 electrical length. Fig. 6 shows the return loss and insertion loss of a filter using six cascaded elementary sections when loaded

jS j data for the optimized tapered filter (

) compared with the un-

). The spurious frequency bands are greatly reduced. Note tapered filter ( the 10 expansion of the frequency scale and the 6.25 expansion of the vertical scale compared to Fig. 7.

2

2

by 50 . The selectivity is very high, with an attenuation slope of more than 570 dB per decade, but the return loss is no better than 5 dB at 0.92 GHz, leading to a poorly matched filter. The impedance matching can be improved by tapering the structure. This is simply achieved by choosing matching nearand far-end sections, but with a different capacitance and electrical length. Starting with this principle, a structure with six sections was optimized for return loss. The near- and far-end sections had a 4.4 pF capacitance and 26 electrical length , whereas the four middle sections each had a 5.66 pF capacitance and 32 electrical length. As shown in Fig. 7, after optimization, is better than 20 dB, leading to a very small passband ripple, less than 0.05 dB. The use of tapered near- and far-end

2370

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE I ELECTRICAL CHARACTERISTICS AND DIMENSIONS OF THE FR4 AND RO4003 FILTERS

Fig. 9. Photograph of a prototype four-section RO4003 filter.

sections also leads to minimized spurious frequency band levels, as shown in Fig. 8. Except for the spurious occurring at 5.8 GHz, with a level of 28 dB for the tapered case and 38 dB for the untapered case, respectively, the spurious level is always smaller for tapered filters. Without tapering sections, the major spurious frequency band occurs at 11.3 GHz, with dB. With the tapered sections, spurious levels are held below 28 dB over the whole band up to 20 GHz. Moreover, in practice, due to losses, the spurious levels will be greatly attenuated because the widths of the peaks are very small, leading to high quality factors. Note also that the results for the untapered filters in Figs. 6 and 7 are dissimilar because the loading capacitances are 5.14 and 5.66 pF, respectively. However, what is important is that the filters cannot be matched without the use of tapering sections. So far, we have demonstrated the potential of matched tapered periodic structures to achieve compact and selective low-pass filters with small passband ripple and reduced spurious frequency bands. The next section describes the experimental results.

Fig. 10. Measured jS

j

results for the five FR4 filters.

(a)

III. EXPERIMENTAL RESULTS To validate the potential of the filters described in Section II, we designed prototype filters using two different substrates: a low-cost FR4 substrate and a high-quality Rogers RO4003 substrate, with relative permittivities of 4 and 3.36 and thickness of 1.6 and 0.813 mm, respectively. For each substrate, five different filters (using two, three, four, five, and six sections), each with a 1-GHz 3-dB cutoff frequency, were fabricated and characterized. The electrical characteristics and dimensions of these filters are given in Table I. The unloaded transmission line characteristic impedance is always maintained at 170 , leading to conductor widths and mm, gap widths and mm, and ground widths and mm, respectively, for the FR4 and RO4003 substrates. For both FR4 and RO4003 substrates, the near- and far-end capacitors are both 4.4 pF, while the center-section capacitors are made 5.66 pF.

(b) Fig. 11. (a) Measured jS j results for the five FR4 filters. (b) Magnification of (a) over the range 0–1.5 GHz.

Surface-mounted capacitors were used. Fig. 9 is a photograph of a prototype four-section RO4003 filter. Figs. 10 and 11 show measured results, from dc to 10 GHz, for the five filters realized on FR4. Fig. 11(a) demonstrates that

KADDOUR et al.: COMPACT AND SELECTIVE LOW-PASS FILTER WITH REDUCED SPURIOUS RESPONSES

2371

TABLE II SUMMARY OF THE FR4 FILTER CHARACTERISTICS

Fig. 12. Measured jS

j

results for the five RO4003 filters.

Fig. 13. Measured jS

j

results for the five RO4003 filters.

in the stopband of the filter, the input power is not dissipated but is reflected back towards the input port. The filter characteristics (electrical and physical length, attenuation, return loss, passband ripple, attenuation slope, and spurious frequency bands) are summarized in Table II. The return loss is always better than 15 dB, with attenuation in the passband remaining near 0.15 dB per section. Except for the two-section filter, spurious frequency bands are rejected to values below 35 dB up to 10 GHz, which is ten times the filter cutoff frequency. Figs. 12 and 13 show measured results, from dc to 10 GHz, for the five filters realized on RO4003. The filter characteristics (electrical and physical length, attenuation, return loss, passband ripple, attenuation slope, and spurious frequency bands) are summarized in Table III. The best response is given by the four-section filter with return loss. The conclusions are the same as for the FR4 filters, except that the return loss is always better than 18.8 dB, leading to very small passband ripples.

Fig. 14 shows the attenuation slopes for the two families of measured filters. Slopes are calculated between 3 and 30 dB. The measured slopes are very similar, independent of the substrate type. Fig. 15 compares the performance of the six-section FR4 and RO4003 filters. As explained above, due to better return loss, ripples are negligible in the RO4003 filters and are 0.15 dB maximum for FR4 filters. Losses in the passband are due to conductor losses and limited capacitor quality factors. Since these losses have only a minor influence on the other filter characteristics, they have not yet been precisely simulated. Fig. 16 shows measured results for the five different RO4003 filters, over the range 0 to 20 GHz. Above 10 GHz, spurious frequency bands occur for all the filters. The lower the filter order, the higher are the levels of the spurious frequency bands and the lower is the frequency of their first appearance. The spurious frequency bands and their levels are summarized in Table IV. For the six-section filter, the level of the spurious frequency band remains below 22 dB. Figs. 17 and 18 compare the measurements with simulated results obtained using Agilent ADS.3 The RO4003 two-section filter has been used for this comparison but the conclusions are much the same for the other filters. The measured and simulated cutoff frequencies are in good agreement when the parasitic series inductance of 0.25 nH per loading capacitor is taken into account. When this parasitic inductance is neglected, the cutoff frequency is shifted by 50 MHz, that is, 5% of its nominal value. Fig. 18 shows that inclusion of a parasitic inductance also explains the presence of the measured spurious peaks around 10 GHz. The same parasitic inductance was observed for all the measured filters. It corresponds to the parasitic inductance appearing in series with the soldering pads required for the surface-mounted capacitors, as shown in Fig. 19. These soldering pads are 0.8 mm wide and 0.42 mm long, leading to a 0.1-mm widening of the strip conductor at these points. In future work, this parasitic inductance should be taken into account during the filter optimization process. IV. SENSITIVITY STUDY In this section, a sensitivity study is carried out by means of a Monte Carlo analysis. The results of this study serve to define the accuracy constraints to be applied to the return loss, the insertion loss, and the cutoff frequency. All the simulations were carried out for the four-section RO4003 filter, using Agilent ADS. 3ADS, Agilent Technol. Inc. [Online]. Available: http://www.home.agilent.com

2372

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE III SUMMARY OF THE FIVE RO4003 FILTER CHARACTERISTICS (SEE FIG. 11)

TABLE IV SPURIOUS FREQUENCY BANDS AND THEIR LEVELS

Fig. 14. Stopband attenuation slopes for the measured FR4 and RO4003 filter families.

Fig. 17. Comparison between measured and simulated results showing the cutoff-frequency shift for the RO4003 two-section filter.

Fig. 15. Comparison of the passband ripples for the six-section FR4 and RO4003 filter.

Fig. 18. Comparison between measured and simulated spurious results for the RO4003 two-section filter. Fig. 16.

j

S

j

results for the five RO4003 filters, measured up to 20 GHz.

a 5% variation. The return loss and insertion loss remain unchanged.

A. Sensitivity to Substrate Relative Permittivity

B. Sensitivity to Loading Capacitance

Figs. 20 and 21 show and , assuming 1% and 5% accuracies, respectively, for the relative permittivities of the substrates. The cutoff frequency remains unchanged for a 1% variation of the relative permittivity and is only altered by 0.2% for

and , assuming a 1% accuracy on Fig. 22 shows the capacitance value. The cutoff frequency is changed by 1%, as could be predicted by (4). The return loss is always better than 18 dB, so that the insertion loss remains unchanged.

KADDOUR et al.: COMPACT AND SELECTIVE LOW-PASS FILTER WITH REDUCED SPURIOUS RESPONSES

Fig. 19. 0.8 pacitors.

2373

2 0.42 mm soldering pads required for the surface-mounted caj j

j j j1 j = 5%

Fig. 23. Monte Carlo analysis for S and S for RO4003 when only the . capacitance value is changed. The accuracy is C=C

Thus we conclude the following. • The cutoff frequency variation is very close to the capacitance variation . • The return loss remains better than 15 dB if the capacitor values have 5% accuracy. • The insertion loss remains unchanged.

j j

j j j1

Fig. 20. Monte Carlo analysis for S and S when only the RO4003 rel. ative permittivity is changed. Here the accuracy is " ="

j j

j = 1%

j j j1 j = 5%

Fig. 21. Monte Carlo analysis for S and S when only the RO4003 rel. ative permittivity is changed. The accuracy is " ="

V. CONCLUSION AND PERSPECTIVES This paper has proposed a new low-pass filter topology based on tapered periodic structures. These filters exhibit interesting characteristics in term of compactness, return loss, insertion loss, selectivity, and the suppression of spurious frequency bands. Numerous prototypes with a 1-GHz cutoff frequency, based on both low-cost and high-performance substrates, have been realized and measured. It is found that spurious frequency bands are suppressed to below 22 dB for frequencies up to 20 GHz for the six-section filters. Even for the two-section filters, which can hardly be considered periodic structures, the spurious bands are suppressed for frequencies exceeding 9 GHz, that is, nine times the filter cutoff frequency. A two-section filter is 0.2 long and exhibits a 120 dB/dec selectivity, while a six-section filter is 0.51 long and has a 560 dB/dec selectivity. A design method is described, and these filters can be scaled to provide greater cutoff frequencies. A sensitivity study shows that the most critical parameter is the loading capacitance. The precision attained for the cutoff frequency is found to equal the precision of the loading capacitors. Finally, these filters can be realized in MMIC technologies. This makes it possible to address the challenges posed by radiofrequency ICs. For instance, a 10-GHz cutoff frequency would require the use of 250 to 500 fF loading capacitances, easily realizable by MIM capacitors. REFERENCES

j j

j j j1 j = 1%

Fig. 22. Monte Carlo analysis for S and S for RO4003 when only the . capacitance value is change. The accuracy is C=C

When the capacitor accuracy degrades to 5%, there is a significant effect on the filter performance; see Fig. 23. The cutoff frequency is changed by 5%, and the return loss is degraded to 15 dB.

[1] E. Yablonovitch, “Photonic band-gap structures,” J. Opt. Soc. Amer. B, vol. 10, no. 2, pp. 283–295, 1993. [2] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005, ch. 8, pp. 371–378. [3] L. Zhu, “Guided-wave characteristics of periodic coplanar waveguides with inductive loading-unit-length transmission parameters,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 2133–2138, Oct. 2003. [4] F. Martin, F. Falcone, J. Bonache, T. Lopetegi, M. A. G. Laso, and M. Sorolla, “New periodic-loaded electromagnetic bandgap coplanar waveguide with complete spurious passband suppression,” IEEE Microw. Wireless Comp. Lett., vol. 12, pp. 435–437, Nov. 2002.

2374

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[5] ——, “Dual electromagnetic bandgap CPW structures for filter applications,” IEEE Microw. Guided Wave Lett., vol. 13, pp. 393–395, Sep. 2003. [6] ——, “Periodic-loaded sinusoidal electromagnetic bandgap coplanar waveguides,” Microw. Opt. Technol. Lett., vol. 36, no. 3, pp. 181–184, Feb. 2003. [7] V. Radisic, Y. Qian, R. Coccioli, and T. Itoh, “Novel 2-D photonic bandgap structure for microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 8, pp. 69–71, Feb. 1998. [8] C. Caloz, H. Okabe, T. Iwaie, and T. Itoh, “A simple and accurate model for microstrip structures with slotted ground plane,” IEEE Microw. Wireless Comp. Lett., vol. 14, pp. 133–135, Apr. 2004. [9] K. Kim, S. Kim, H. Han, I. Park, and H. Lim, “Compact microstrip lowpass filter using shunt open stubs and coupled slots on the ground plane,” Electron. Lett., vol. 40, no. 5, pp. 313–314, Mar. 2004. [10] A. Griol, D. Mira, A. Martinez, J. Marti, and J. L. Corral, “Microstrip multistage coupled ring bandpass filters using photonic bandgap structures for harmonic suppression,” Electron. Lett., vol. 39, no. 1, pp. 68–69, Jan. 2003. [11] A. Griol, D. Mira, J. Marti, and J. L. Corral, “Microstrip side-coupled ring bandpass filters with mode coupling control for harmonic suppression,” Electron. Lett., vol. 40, no. 15, pp. 943–945, Jul. 2004. [12] N. C. Karmakar and M. N. Mollah, “Investigations into nonuniform photonic-bandgap microstripline low-pass filters,” IEEE Trans. Microwave Theory Tech., vol. 51, pp. 564–572, Feb. 2003. [13] F. Martin, F. Falcone, R. Marqués, and M. Sorolla, “Miniaturized coplanar waveguide stop band filters based on multiple tuned split ring resonators,” IEEE Microw. Wireless Comp. Lett., vol. 13, pp. 511–513, Dec. 2003. [14] J. Garcia-Garcia, J. Bonache, F. Falcone, J. D. Baena, F. Martin, I. Gil, T. Lopetegi, M. A. G. Laso, A. Marcotegui, R. Marqués, and M. Sorolla, “Stepped-impedance lowpass filters with spurious passband suppression,” Electron. Lett., vol. 40, no. 14, pp. 881–883, Jul. 2004. [15] J. Garcia-Garcia, F. Martin, F. Falcone, J. Bonache, I. Gil, T. Lopetegi, M. A. G. Laso, M. Sorolla, and R. Marqués, “Spurious passband suppression in microstrip coupled line band pass filters by means of split ring resonators,” IEEE Microw. Wireless Comp. Lett., vol. 14, pp. 416–418, Sep. 2004. [16] J. Sor, Y. Qian, and T. Itoh, “Miniature low-loss CPW periodic structures for filter applications,” IEEE Trans. Microwave Theory Tech., vol. 49, pp. 2336–2341, Dec. 2001. [17] J. Lim, C. Kim, Y. Lee, D. Ahn, and S. Nam, “A spiral-shaped defected ground structure for coplanar waveguide,” IEEE Microw. Wireless Comp. Lett., vol. 12, pp. 330–332, Sep. 2002. [18] K. Hettak, N. Dib, A. Omar, G. Y. Deliste, M. Stubbs, and S. Toutain, “A useful new class of miniature cpw shunt stubs and its impact on millimeter-wave integrated circuits,” IEEE Trans. Microwave Theory Tech., vol. 47, pp. 2340–2349, Dec. 1999. [19] J. T. Kuo and M. Jiang, “Enhanced microstrip filter design with a uniform dielectric overlay for suppression the second harmonic response,” IEEE Microw. Wireless Comp. Lett., vol. 14, pp. 419–421, Sep. 2004. [20] B. S. Kim, J. W. Lee, and M. S. Song, “An implementation of harmonic-suppression microstrip filters with periodic grooves,” IEEE Microw. Wireless Comp. Lett., vol. 14, pp. 413–415, Sep. 2004. [21] J. W. Sheen, “A compact semi-lumped low-pass filter for harmonics and spurious suppression,” IEEE Microw. Guided Wave Lett., vol. 10, pp. 92–93, Mar. 2000. [22] D. Kaddour, E. Pistono, J.-M. Duchamp, L. Duvillaret, A. Jrad, and P. Ferrari, “Compact and selective low-pass filter with spurious suppression,” Electron. Lett., vol. 40, no. 21, pp. 1344–1345, Oct. 2004.

Darine Kaddour was born in Mechmech, Lebanon, in February 1982. She received the B.S. degree in physics from the Faculty of Sciences, Lebanese University, Tripoli, Lebanon, in 2003 and the M.S. degree from the Institut National Polytechnique de Grenoble, Grenoble, France, in 2004, where she is currently pursuing the Ph.D. degree at the Institute of Microelectronics Electromagnetism and Photonics. Her main research interest concerns microwave passive filters based on periodic structures.

Emmanuel Pistono was born in Gap, France, in 1978. He received the Electronics and Microwaves Engineer and M.Sc. degrees from the Institut National Polytechnique de Grenoble, Grenoble, France, in 2002 and 2003, respectively. He is currently pursuing the Ph.D. degree at the University of Savoie, Le Bourget-du-lac, France. He is currently with the Laboratory of Microwaves and Characterization, University of Savoie. His research interest is the design and realization of hybrid fixed and tunable microwave filters.

Jean-Marc Duchamp was born in Lyon, France, on April 10, 1965. He received the M.Sc. degree from the University of Orsay, France, in 1988, the Engineer degree from Supelec, France, in 1990, and the Ph.D. degree from the Laboratory of Microwaves and Characterization, University of Savoie, Le Bourget-du-lac, France, in 2004. He was a Research Engineer with Techmeta, France, from 1991 to 1996. He has been an Assistant Professor at the University of J. Fourier, Grenoble, France, since 2005, where he teaches electronics and telecommunications. His current research interests include nonlinear microwave and millimeter-wave circuit analysis and design of networks such as nonlinear transmission lines, periodic structures, and tunable-impedance transformers.

Jean-Daniel Arnould was born in Chevreuse, France, on July 8, 1974. He received the M.Sc. degree in electronics engineering from the Ecole Nationale Supérieure d’Electronique et de Radioélectricité of Grenoble (ENSERG), France, in 1999 and the Ph.D. degree in optical and radio-frequency engineering from the Institut National Polytechnique de Grenoble, France, in 2002. Since 2002, he has been an Assistant Professor at ENSERG, where his research includes microwave photonics, semiconductor physics, and microelectronic component characterization and modelling.

Hervé Eusèbe was born in Annemasse, France, on January 30, 1976. He received the master’s and Ph.D. degrees in optics, optoeletronics and microwaves from the Institut National Polytechnique de Grenoble (INPG), France, in 2001 and 2004, respectively. He is currently a nonpermanent Associate Professor of electrical engineering at INPG. From 2001 to 2004, he was with the Laboratory of Microwaves and Characterization, University of Savoie, Le Bourget-du-lac, France, where he was involved with modelling and characterization of photogenerated picosecond electrical pulses. In 2004, he joined the Institute of Microelectronics Electronics and Photonics, Grenoble. His current areas of research include electromagnetic bandgap structures, microwave passive filters, and terahertz photogeneration.

KADDOUR et al.: COMPACT AND SELECTIVE LOW-PASS FILTER WITH REDUCED SPURIOUS RESPONSES

Philippe Ferrari was born in France in 1966. He received the B.Sc. degree in electrical engineering and the Ph.D. degree from the Institut National Polytechnique de Grenoble (INPG), France, in 1988 and 1992, respectively. In 1992, he joined the Laboratory of Microwaves and Characterization, University of Savoie, Le Bourget-du-lac, France, as an Assistant Professor in electrical engineering. From 1998 to 2004, he was Head of a laboratory project on nonlinear transmission lines and tunable devices. Since September 2004, he has been an Associate Professor at the University Joseph Fourier, Grenoble. He continues his research at the Institute of Microelectronics, Electromagnetism and Photonics at INPG. His main research interest is the conception and realization of tunable devices, such as filters, phase shifters, and power dividers, and also new circuits based on periodic structures, such as filters and phase shifters. He is also involved in the development of time-domain techniques for the measurement of passive microwave devices and the moisture content of soil.

2375

Robert G. Harrison (M’82) received the B.A. and M.A. (Eng.) degrees from Cambridge University, U.K., in 1956 and 1960, respectively, and the Ph.D. and D.I.C. degrees from the University of London, U.K., in 1964. From 1964 to 1976, he was with the Research Laboratories of RCA Ltd., Ste-Anne-de-Bellevue, QC, Canada. In 1977, he became Director of Research at Com Dev Ltd., Dorval, QC, where he worked on nonlinear microwave networks. From 1979 to 1980, he designed spread-spectrum systems at Canadian Marconi Company, Montreal, QC. Since 1980, he has been a Professor in the Department of Electronics, Carleton University, Ottawa, ON, Canada. His research interests include the modeling of nonlinear microwave device/circuit interactions by a combination of analytical and numerical techniques, and more recently the development of physics-based analytical models of ferromagnetic phenomena. He has authored or coauthored more than 60 technical papers, mostly in the area of nonlinear microwave circuits, as well as several book chapters on microwave solid-state circuit design. He holds a number of basic patents in the area of microwave frequency-division devices. He became a Distinguished Research Professor of Carleton University in 2005. Dr. Harrison received the Inventor award from Canadian Patents and Development in 1978.

2376

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Novel RF Switch Concepts for Differential Wireless Communications Frontends Holger Erkens and Holger Heuermann, Member, IEEE

Abstract—This paper presents two novel switch configurations for differential-mode circuits that clear the path to fully differential frontends. Both concepts exploit the effect of mode-blocking circuits to enhance their isolation capability far beyond the blocking values of standard transistor switches or p-i-n diodes when being shut off. As a result, the new switch concepts offer higher performance with respect to standard unbalanced structures without requiring twice the effort as differential components often do. Both structures feature different advantages, making them interesting solutions for applications both in the RX and TX paths. The simple and low-loss -SPST switch with series switch elements shows superior isolation with respect to unbalanced switches over a wide frequency range. On the other hand, the -SPST switch with cross-coupled semiconductor switches offers low loss when on, extremely high small-band isolation, and can carry more power than standard semiconductor switches in use. It is also capable of extremely high isolation in a narrow bandwidth. Two novel switches realized with standard SMD components showed an improvement of isolation from 13 dB to more than 20 dB, while losses increased from 0.45 to 0.50 dB and 0.55 dB at 2 GHz, respectively. Index Terms—Common mode, differential mode, dual mode, frontends, microelectromechanical systems (MEMS), mixed mode, mode blocker, p-i-n diodes, single pole double throw (SPDT), single pole single throw (SPST), switches.

I. INTRODUCTION IFFERENTIAL circuits are very common to parts of the RF industry, especially for RF integrated-circuit manufacturers for communications. Yet this technique has not been successfully implemented in RF frontends of modern communications equipment. Over the last few years, various improvements were made in differential circuit design to overcome the disadvantages of unbalanced frontends. Since the definition of a mixed-mode scattering matrix [1], [2] combining both common and differential modes, as well as their conversion effects, mixed-mode design techniques were applied on amplifiers, filters, and antennas. Cross-coupling dummy transistors in a differential amplifier [3], [4] can effectively suppress the Miller effect. This enhancement results in a boost of the amplifier’s stable gain and bandwidth, as well as its linearity. Therefore, this design technique is suitable for both power amplifiers (PAs) and low-noise amplifiers (LNAs).

D

Manuscript received October 31, 2005; revised February 12, 2006. H. Erkens is with Integrated Analog Circuits, Rheinisch-Westfaelische Technische Hochschule Aachen University, 52056 Aachen, Germany (e-mail: [email protected]). H. Heuermann is with the Department of High-Frequency Technology, University of Applied Sciences Aachen, 52056 Aachen, Germany. Digital Object Identifier 10.1109/TMTT.2006.875294

Differential filter design, which was nothing more than building the same unbalanced filter twice, has been improved by implementing common-mode blockers [5], [6], relaxing the common-mode requirements at the receiver input. A very cost-effective method of relaxing the voltage standingwave ratio (VSWR) demands of PAs has been found by combining a differential antenna like a dipole with a simple differential coupling network consisting of only one capacitor and inductor [7] that is used as an isolator with nongyrotropic materials. Also, new ways for achieving increased inductance values by exploiting the mixed-mode electromagnetic characteristics of coupled inductors were found [8]. For effective measurements of mixed-mode circuits, all major manufacturers of microwave measurement equipment now offer four-port network analyzers working with multiport calibration algorithms [9], [10] and an integrated transformation from multiport to multimode -parameters, e.g., [11]. Still, switches based on p-i-n diodes, transistors, microelectromechanical systems (MEMS), or other devices were a key problem for building differential frontends due to the higher hardware effort in balanced circuits. Microelectromechanical devices [12], [13], as well as resonator-enhanced transistor and p-i-n diode switches [14] were the focus of switch research for unbalanced systems. To the best of the authors’ knowledge, no solutions were found for low-cost high-isolating switch concepts for balanced systems. With the novel single pole single throw ( -SPST) and single pole single throw ( -SPST) differential-mode switches, this problem is solved. Both switches deliver better performance than their unbalanced counterparts while having equal size requirements. Especially for the implementation of the presented switch architectures with integrated circuits, superior isolation results can be predicted. The reason for this is the good local matching in integrated circuits, a substantial criterion for the mode-blocking effect described later. First, the mixed-mode -parameters will be introduced. Since the improvement of the novel switches is based on mode-blocking techniques, the cross blocker will be introduced afterwards. The theory of both novel concepts will then be explained. Some simulation results verify the theory, while measurement results from early discrete prototypes show the lower limit of the performance that can be expected from the new structures. A comparison with a standard differential SPST switch emphasizes the great increase of performance achieved by both new concepts. II. CLASSICAL DIFFERENTIAL SPST SWITCH Fig. 1 shows the classical approach for differential switches. As can be seen, this is just an unbalanced switch duplicated for

0018-9480/$20.00 © 2006 IEEE

ERKENS AND HEUERMANN: NOVEL RF SWITCH CONCEPTS FOR DIFFERENTIAL WIRELESS COMMUNICATIONS FRONTENDS

2377

Fig. 3. Circuit diagram of a Z -SPST switch and its differential-mode functional equivalent for the OFF state. Fig. 1. Functional equivalent of a classical differential switch.

admittance only. The mixed-mode -parameters for a differential-mode cross blocker are

Fig. 2. Cross-blocker network topology for suppressing differential-mode signals.

the second signal path. Thus, the possible isolation achievable with this architecture is similar to unbalanced switches of 50impedance with twice the number of components and a balanced system impedance of 100 . III. MIXED-MODE CROSS BLOCKER Mode blockers for mixed mode systems have the purpose to suppress one mode while the other can pass. A full overview of mode blocker networks is given in [5]. Two modes can be defined in modern communications systems based on a threewire system (signal–signal–ground): a common mode (equal signals in-phase on both signal lines) and a differential mode (equal signals, but 180 out-of-phase on both signal lines). This point-of-view is valid for differential systems due to the existence of ground planes for various reasons. Both modes can be described in combination via a mixed-mode scattering matrix, linking common- and differential-mode waves for a mixedmode two-port

(1)

and describe the ratios of Here, the parameters common- and differential-mode waves just like the well-known scattering matrix does for an unbalanced two-port. The parameters and describe the conversion between the modes due to the characteristics of the mixed-mode two-port. One possible realization of a mode blocker, i.e., the cross blocker, is shown in Fig. 2. This topology can be used as a wideband differential-mode blocker by using the same elements for both the series and crosscoupled paths. The differential-mode cancellation is achieved by destructive superposition of the differential-mode signals at nodes and , resulting in reflection. Since they superpose additively, common-mode signals are affected by a lumped series

(2) IV. NOVEL SWITCH BUILDING BLOCKS As a matter of fact, most semiconductor switch architectures, e.g., the single-pole dual-throw (SPDT) switch used in many time-division multiple-access (TDMA) frontends as antenna switch, consists of SPST switches as building blocks. This paper will, therefore, concentrate on these basic building blocks. A.

-SPST Switch

A circuit diagram of the -SPST switch and its differentialmode functional equivalent for the OFF state is shown in Fig. 3. The difference between a classical differential SPST switch and the new design is the cross-coupling with the impedances . Often these impedances are set to the value with a simple capacitance as the blocking capacitance of the switch elements (e.g., p-i-n diodes) in the OFF state. In general, dummy switches being switch elements always turned off can be implemented as . In this way, a differential-mode blocker, as described above, is realized, resulting in a high isolation for the desired differential-mode signal. This mode-blocking effect exceeds the isolation as compared to the switch elements in the classical design by far. In contrast to usual switch elements, the theoretical limit of the novel concepts is perfect isolation. Common-mode signals are isolated by the value of four switches in parallel, which is 3 dB less in comparison to the classical differential switch. In the ON state of the switch, the cross-coupled elements (e.g., capacitances) cause reflections. Due to the cross-coupling element values of being in the femtofarad range in most realizations, this side effect is negligible. The mode-blocking network in the OFF case generates very high broadband isolation independent of the switch element blocking values, as long as all elements are well matched and biased. As the changes compared to a classical SPST are

2378

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

X

Fig. 4. Circuit diagram of an -SPST switch and its simplified differentialmode functional equivalent for the ON state.

Fig. 6. Simulation circuit model of a classical differential-mode SPST switch in OFF state.

X

Fig. 5. Circuit diagram of an -SPST switch with integrated two-ports and its differential-mode functional equivalent for the ON state.

small, the concept can be implemented by a simple redesign of existing switches. B.

-SPST Switch

The -SPST switch implemented with lumped elements is presented in Fig. 4 with a circuit diagram and its functional equivalent in the ON state for differential-mode signals. Four impedances of equal value form the mode-blocking network. The elements in the cross-coupled paths can be switched by two switch elements. The impedance value is not important for the switching function, i.e., the impedance can be a series element of a filter or matching network. Instead of simple lumped impedances, unbalanced distributed two-ports can also be used, as shown in Fig. 5. If this two-port exhibits a noticeable electrical length, a switch element at each termination of the cross-coupled two-ports is necessary to prevent stubs while the -SPST is switched ON. When using p-i-n diodes, two of them are driven in series by the same biasing network. Therefore, this extension means only a small increase in the number of components. Different from the -SPST and classical SPST switch, the -SPST switch is open when the p-i-n diodes conduct. In this case, a differential-mode blocker is formed by the four impedances or two-ports, resulting in high differential-mode isolation and a common-mode performance depending on the elements in use. In the ON state of the switch, all switch elements are open, leaving the series elements in the signal path. Due to the small blocking capacitances of the switch elements, resulting in high series resistance in the cross-coupled paths, the coupling effects are marginal. Though exploiting the same effect, the -SPST features different characteristics than the -SPST. Here, the switch elements are placed in the cross-coupled paths, while power transmission is performed via the series paths. Therefore, and due to the two switch elements in series, the maximum power and

Fig. 7. Simulation circuit model of a

Z -SPST switch in OFF state.

voltage criteria are greatly relaxed. The fact that the switch elements are excluded from the series path also eliminates their losses in the ON state, making loss a function of the series twoport. V. SIMULATION AND MEASUREMENT RESULTS Simulations were done for integration of the switches, neglecting package parasitics. Since all measurement results were taken from planar microwave circuits built with concentrated elements, simulation and measurement results diverge in some way, still showing the excellent performance of the novel concepts. A. Simulation Setup For simulation, the switch elements were modeled with their blocking capacitance (which was shorted in the conduction case), as well as with parasitic series inductance and resistance. A slight deviation in the element values was also included for the new concepts to consider mass-production mismatch. Fig. 6 shows the circuit model of a standard differential SPST switch in the OFF case used for comparison with the novel concepts. This model is quite simple, but still a good approximation for an integrated circuit. For all conduction cases, the capacitors were replaced by a through connection. The circuit model in use for the -SPST switch is shown in Fig. 7. As can be seen, the major deviation from the classical approach is the cross-coupling of dummy switch elements.

ERKENS AND HEUERMANN: NOVEL RF SWITCH CONCEPTS FOR DIFFERENTIAL WIRELESS COMMUNICATIONS FRONTENDS

Fig. 8. Simulation circuit model of an

2379

X -SPST switch in ON state.

Fig. 10. Simulation results for the differential-mode OFF isolation of both concepts.

Z -SPST switch.

Fig. 9. Simulation results of the differential-mode ON transmission of both concepts.

Fig. 11. Assembled

While the -SPST modeling follows strict design guidelines, the included two-port offers a degree of freedom for the -SPST shown in Fig. 8. A slightly lossy transmission line with 90 at 4 GHz was chosen to create a narrowband open at the switch input, an important characteristic for 1-toswitches in multistandard radios. The electric length due to the parasitic inductance merged into the transmission line.

elements directly enhances its isolation values. Besides broadband isolation, the -SPST features even higher narrowband isolation around frequencies where the transmission-line length equals 90 . This effect is a result of the -transformation of the differential-mode short at the circuit’s output nodes into a differential-mode open at the circuit’s input nodes. Therefore, the -SPST with transmission lines is a good choice for 1 : switches. When determining the electrical length of the transmission lines, one has to take into account the phase shift caused by the blocking capacitances.

B. Simulation Results As can be seen in Fig. 9, both new concepts show good transmission values over a wide bandwidth. A first-order low pass due to the -SPST’s cross-coupled capacitances causes some reflection in the higher frequency range. This effect can be eliminated by resonating the capacitances with inductances for high-frequency narrowband solutions. The resonance effect at 6.8 GHz in the -SPST transmission is a result of the circuit’s inherent mode-blocking effect that is prevented by the switch blocking capacitance in the ON state. Its degree of suppression increases as the blocking capacitance of the switch decreases. Fig. 10 shows the outstanding isolation performance of both the -SPST and the -SPST switch. The -SPST switch exhibits a high broadband isolation with a high-pass characteristic, originating from the slightly mismatched series RLC circuits used for simulation. Here, a good matching of the switch

C. Measurement Setup Both switches were manufactured on an FR-4 substrate with standard 0402-SMD components in microstrip technique. Infineon’s BAR89 p-i-n diodes, often implemented in communications frontends up to 2.5 GHz, were used as switch elements. Figs. 11 and 12 show the test circuits used for the measurement. The implementation of the switches assembled for measurement is clarified in Fig. 13. Due to the dc decoupling of both signal paths in the reference and the -SPST design, separate dc-biasing networks consisting of choke inductances were necessary. The four ports of the switches were dc blocked by 56-pF capacitances. All measurement results were obtained with a fourport vector network analyzer (VNA) Rohde & Schwarz ZVB8

2380

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 12. Assembled X -SPST switch.

Fig. 15. Measurement results for the differential-mode ON transmission of both concepts compared to a standard switch.

Fig. 13. Simplified circuit diagrams for the chosen implementation of the assembled test circuits. The switches are turned on and off by applying the switching voltage V . Both the reference switch and the Z -SPST were arranged above the X -SPST.

Fig. 16. Measurement results for the Z -SPST differential-mode OFF isolation compared to a standard switch.

D. Measurement Results

Fig. 14. Measurement results for the differential-mode ON reflection of both concepts built with discrete components.

that directly displays mixed-mode parameters. The results were properly deembedded after the measurement.

As presented in Fig. 14, the differential-mode ON matching is better than 10 dB up to 4 GHz. This value heavily depends on package parasitics and element matching. Therefore, better matching can be expected from an integrated circuit (as can be seen in the simulation results). Enhanced performance in the higher frequency range requires more broadband p-i-n diodes. Fig. 15 shows the differential-mode ON transmission of the new concepts in comparison with a classical series switch. All concepts show almost equal results with a drop-in transmission around 3 GHz, resulting from the used p-i-n diode’s limited bandwidth. Differential-mode OFF isolation of a -SPST switch compared to a classical switch is presented in Fig. 16. While the classical concept’s isolation decreases to 5 dB around 4.5 GHz, the -SPST’s worst performance is around 15 dB. As the only

ERKENS AND HEUERMANN: NOVEL RF SWITCH CONCEPTS FOR DIFFERENTIAL WIRELESS COMMUNICATIONS FRONTENDS

2381

R. Perkuhn, Aachen University of Applied Sciences, Aachen, Germany, for design of the test circuits and delivering measurement results. REFERENCES

Fig. 17. Measurement results for the X -SPST differential-mode OFF isolation compared to a standard switch.

difference between a -SPST and a classical switch is the crosscoupling with dummy p-i-n diodes, this impressively demonstrates how the mode-blocking effect enhances isolation far beyond the blocking values of the p-i-n diodes. Fig. 17 shows a comparison of the differential-mode OFF isolation between an -SPST and a classical switch. Again, the new concept isolates the input from the output by far better. Secondly, a transmission notch due to the 90 transmission lines delivers outstanding narrowband isolation. Much effort must be spent on classical switches to get similar results.

VI. CONCLUSION Two novel switch configurations have been presented, both showing that superior performance can be achieved with these novel balanced switches without increasing the effort with respect to their classical balanced counterparts. The -SPST switch showed a broadband isolation enhancement with only minor changes over the classical series switch approach. The -SPST switch does the same and adds excellent narrowband isolation, as well as relaxed maximum power and voltage requirements to the switch elements. While the ON performance of both concepts still depends on the size of the blocking capacitances of the switch elements, it is directly affected by element matching in the OFF case. With these novel approaches to differential-mode switches, one of the problems with respect to fully differential and integrated one-chip frontends is alleviated.

[1] D. E. Bockelman and W. R. Eisenstadt, “Combined differential-mode and common-mode scattering parameters: Theory and simulation,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1530–1539, Jul. 1995. [2] M. Moeller, H.-M. Rein, and W. Wernz, “15 Gbit/s high-gain limiting amplifier fabricated using Si-bipolar production technology,” Electron. Lett., vol. 30, pp. 1519–1520, Sep. 1994. [3] M. P. van der Heiden, M. Spirito, L. C. N. de Vreede, F. van Straten, and J. N. Burghartz, “A 2 GHz high-gain differential InGaP HBT driver amplifier matched for high IP3,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, pp. 235–238. [4] B. Stengel and B. Thompson, “Neutralized differential amplifiers using mixed-mode S -parameters,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. A197–A200. [5] H. Erkens and H. Heuermann, “Blocking structures for mixed-mode systems,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, 2004, pp. 297–300. [6] H. Heuermann, “A synthesis technique for mono- and mixed-mode symmetrical filters,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, 2004, pp. 309–312. [7] N. Peters, T. Schmitz, A. Sadeghfam, and H. Heuermann, “Concept of balanced antennas with load-invariant base impedance using a two element LC-coupler,” in Proc. Eur. Microw. Assoc., Mar. 2005, vol. 1, no. 2, pp. 117–122. [8] A. Sadeghfam and H. Heuermann, “Novel balanced inductor for compact differential systems,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, 2004, pp. 709–712. [9] H. Heuermann, “Multi-port calibration techniques for differential parameter measurements with network analyzers,” in Rohde&Schwarz Workshop/Eur. Microw. Conf., Sep. 2003, pp. 30–35. [10] ——, “GSOLT: The calibration procedure for all multi-port vector network analyzers,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, 2003, pp. 1815–1818. [11] ——, Hochfrequenztechnik—Lineare Komponenten hochintegrierter Hochfrequenzschaltungen. Wiesbaden, Germany: Vieweg Verlag, 2005, 3-528-03980-9. [12] C. Goldsmith, J. Randall, S. Eshelman, T. H. Lin, D. Denniston, S. Chen, and B. Norvell, “Characteristics of micromachined switches at microwave frequencies,” in IEEE MTT-S Int. Microw. Symp. Dig. , Jun. 1996, pp. 1141–1144. [13] J. B. Muldavin and G. M. Rebeiz, “High-isolation CPW MEMS shunt switches—Part 1: Modeling,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 1045–1052, Jun. 2000. [14] T. Tokumitsu, I. Toyoda, and Y. Ayasli, “A low-voltage, high-power T/R-switch MMIC using LC resonators,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 5, pp. 997–1003, May 30, 2005. [15] H. Heuermann, “Breitbandige Schalter fuer symmetrische Schaltungen,” German Patent D 10 2005 040 007.8, Jun. DAY, 2005.

Holger Erkens was born in Stolberg, Germany, in 1977. He received the Dipl.-Ing. degree in electrical engineering from the University of Applied Sciences Aachen, Aachen, Germany, in 2004, and is currently working toward the Ph.D. degree at Rheinisch-Westfaelische Technische Hochschule (RWTH) Aachen University, Aachen, Germany. He is currently the Chair of Integrated Analog Circuits, RWTH Aachen University. His current research interests include cellular and cordless transceiver circuitry with special regard to multimode

ACKNOWLEDGMENT The authors would like to thank Rohde & Schwarz, Munich, Germany, for providing their four-port VNA ZVB8 and

design techniques.

2382

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Holger Heuermann (M’92) received the Dipl.-Ing. degree in electrical engineering from the University of Applied Sciences, Braunschweig-Wolfenbuettel, Germany, in 1988, and the Ph.D. degree in electrical engineering from the University of Bochum, Bochum, Germany, in 1995. From 1991 to 1995, he was a Research Assistant with the University of Bochum, where he was involved in the field of high-frequency measurement techniques and industrial applications of microwaves. From 1995 to 1998, he was with Rosenberger Hochfrequenztechnik, Tittmoning, Germany, where he was engaged

in the design of high-frequency equipment, especially for measurements with VNAs. In 1998, he joined Infineon Technologies, Munich, Germany, where he lead a development group for wireless frontend modules and circuits. Since 2002, he has been with the University of Applied Sciences Aachen, Aachen, Germany, where he is currently a Professor leading the Department of High Frequency Technology. He has authored or coauthored over 45 papers. He holds 25 patents. His current research interests include passive and active high-frequency components, design of RF frontend circuits, as well as high-precision, multiport, and multimode scattering-parameter measurements. Dr. Heuermann is a member of the IEEE Microwave Measurements Technical Committee MTT-11.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2383

Design of Tunable Phase Shifters by the Image-Parameters Method Alessandro Ocera, Roberto Sorrentino, Fellow, IEEE, and Paolo Mezzanotte

Abstract—Based on the image-parameters (IP) method, a design technique is presented for electronically tunable phase shifters consisting of transmission lines periodically loaded with switched inductors and capacitors. The use of both inductors and capacitors allows large phase shifts with low return loss to be achieved, thus leading to very compact devices. Based on the analysis of the IP properties of the elementary cell, the design procedure presented allows an effective control of both the total phase shift and the return loss of the phase shifter, as well as its frequency band of operation. As a proof of concept, experimental test circuits have been fabricated and measured exhibiting a 105 phase shift with 0.25-dB insertion loss at 4.5 GHz and a return loss of 20 dB over a two-octave frequency band. Index Terms—Image parameters (IPs), microwave, phase shifters. Fig. 1. (a) 5- and (b) T -type unit cells loaded with both capacitances and inductances.

I. INTRODUCTION ICROWAVE phase shifters are basic components used in a variety of communication and radar systems, microwave instrumentation, and industrial applications [1]. Recently, special interest is being devoted to reconfigurable and tunable devices to comply with the stringent needs of modern communication systems for both commercial and military applications. In this connection, microelectromechanical systems (MEMS) switches [2], [3], field-effect transistors (FETs) [4] or p-i-n diodes [5] can be employed to develop digital phase shifters. The most common tunable phase-shifter topologies include the switched line [6], [7], the reflection type [8], [9], and the loaded line [10]–[13]. In the switched-line configuration, the RF signal is switched between lines of different lengths with various switches to achieve the desired phase shift. Although it represents the easiest way to implement a digital phase shifter, its main disadvantage is that, the lower the frequency, the larger the dimension of the unit cell. The reflection-type phase shifter consists of a 3-dB coupler with the direct and coupled ports terminated with two variable loads. This solution results in doubling the phase delay per unit length as compared to a standard design. Due to the performance of the coupler, however, narrow phase-shift ranges, poor insertion loss, and low phase linearity are obtained.

M

Manuscript received November 11, 2005; revised February 15, 2006. The authors are with the Dipartimento di Ingegneria Elettronica e Dell’Informazione, Università Degli Studi di Perugia, Perugia 06125, Italy (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875295

The loaded line phase shifter usually consists of a transmission line length periodically loaded with discrete capacitors. When the capacitors are switched on, the propagation constant of the loaded line increases. The switched capacitance, however, also has the effect of decreasing the characteristic impedance of the single cell, thus resulting in the mismatching of the device. Different solutions have been proposed to alleviate this problem, such as tapered sections added at the near and far ends of MEMS switchable capacitors [14] or tunable Schottky-diode varactors [15]. Further improvements have been obtained employing slow-wave unit cells designed to provide small variations in the impedance around 50 [16], [17] or using cascaded metal–air–metal (MAM) capacitors at the input and output of the slow-wave sections [18]. A systematic approach to the design of such devices, however, is still lacking. In this paper, in order overcome the mismatching problem, a transmission line periodically loaded with both discrete capacitors and inductors in shunt and series connection, respectively, is employed. The image-parameter method (IPM) [19], [20] is then adopted for the design of the programmable phase shifter in such a way as to achieve an effective control of both the total phase shift and impedance matching. The phase-shifter structure results from the cascade of symmetrical unit cells consisting of a lumped LC cell in a - or -configuration, inserted between two transmission-line sections of electrical length , as shown in Fig. 1(a) and (b). The device can be tuned by switching the capacitances and inductances of the cells between , and , . Such values can be chosen in a such a way as to minimize the return loss. In Section IIm the image-parameter (IP) characterization

0018-9480/$20.00 © 2006 IEEE

2384

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 3. Image impedance Z

Fig. 2. (a) L - and (b) L -section unit cells loaded with both capacitance and inductance.

versus !=! .

matrices As can be easily found, the elements of the of the and sections are expressed as follows. • Section

of the single cell is presented, and the existence of passbands and stopbands of the device is highlighted and discussed. The design procedure is then illustrated in Section III. As a proof of concept, experimental test circuits have been fabricated and measured; the results are shown and discussed in Section IV.

(4) (5) (6) (7)

II. IP CHARACTERIZATION OF THE ELEMENTARY CELLS



Without loss of generality, we may assume that, in the off state, and so that the cells of Fig. 1(a) and (b) reduce to a line section of characteristic impedance and electrical length . In this manner, we only need to characterize the loaded line in the on state. The computation of the IPs of the - and -type cells of Fig. 1(a) and (b) can be reduced to that of the two L-sections of Fig. 2(a) and (b). The image propagation function of Fig. 1(a) and (b) is twice that of Fig. 2(a) and (b), while the image impedance of each L-section is equal to that of the corresponding full cell of Figs. 1(a) and 2(b). In the formulas,

Section

(8) (9) (10) (11) and are In the above formulas, the normalized inductances and capacitances, respectively, and is given by

(12)

As is known, the image impedances , and the image propagation function , where is the image attenuation (nepers) and is the image phase (radians), can be expressed in terms of the matrix of the two-port network

(1) (2) (3)

and sections are The typical behaviors of the IPs of the shown in Figs. 3–5 versus the frequency normalized to the first cutoff frequency . The IPs have been computed for a given value of ; different values of modify the value of without affecting the general behaviors of the IPs. It can be observed that the IPs have the same low-pass behaviors as the corresponding conventional constant- cells, the image impedances being purely real up to and imaginary beyond passing through zero or infinite depending on whether the - or -type cell is considered. Correspondingly, the image propagation constant is imaginary in the passband and real (apart from a constant imaginary term) in the stopband. The phase shifter can, therefore, be built up by simply cascading a number of image-matched cells in such a way as to achieve the required phase shift, the behavior being limited to

OCERA et al.: DESIGN OF TUNABLE PHASE SHIFTERS BY THE IPM

Fig. 4. Image impedance Z

2385

versus !=! .

Fig. 6. Graphical solution for the cutoff frequencies for  < =2.

Fig. 5. Image propagation functions

=

versus !=! .

the first passband. The overall phase shift or the overall attenuation, in fact, is just the sum of the contributions of those of the constituent cells. In contrast with the constant- cells, however, the presence of the distributed line length affects the cell behavior by introducing in the frequency axis additional passbands and stopbands, whose distribution is a complicated function of the cell parameters, particularly of . Since, as already observed, the behaviors of the phase shifter is limited to the first passband, thus by , for a complete analysis, the latter needs to be related to the parameters of the cell. The cutoff frequencies of both the and sections and correspondingly of the full - and -type sections occur when . The first cutoff frequency is the same for both the and sections and can be computed as the solution of one of the following transcendental equations:

In order to gain insight into the locations of the various frequencies, the functions and have been plotted in Fig. 6. In Fig. 6, is the radian frequency corresponding to the line being long, l is the line length, and is the light velocity. By simple inspection, it appears that, in the limit case, since is identically zero, the first (and only) cutoff frequency is . For , is shifted towards lower values, the first cutoff frequency being [first root of (14)] or [first root of (17)] depending on the location of the intersection between and

(18) where is the impedance level of the LC cell. Several cases can be considered, depending firstly on being smaller or greater than 1 and, secondly, on the line length l being greater or smaller than a critical value. A detailed analysis follows. Case 1)

imaginary The fundamental cutoff frequency is and is the first stopband.

(13) By straightforward algebra, the previous equations for the cell can be expressed as

Case 2)

real (14) (15) (16) (17)

In this case, further possibilities have to be evaluated in order to determine the first cutoff frequency. • Case 2A

2386

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

where

is given by

(19)

The fundamental cutoff frequency is and is the first stopband. • Case 2B

The fundamental cutoff frequency is , is the first stopband and the bandwidth is narrower than in the previous case. • Case 2C

As already mentioned, the fundamental cutoff frequency coincides with , whereas . This condition provides the maximum bandwidth and corresponds to the conventional constant- cells. • Case 2D

Since , the first stopband starts in , thus providing the maximum bandwidth as for the case . However, since this condition may be difficult to realize in an exact fashion, it is not advisable to resort to it. In summary, for a given , the widest passband is obtained in the conventional lumped case . The line length can only reduce the usable bandwidth of the phase shifter. Nevertheless, the presence of even a short line length is necessary for the practical implementation of the device in high-frequency applications since the lumped cells have to be put at a finite distance one to each other in order to avoid electromagnetic interaction effects. Therefore, in order to maximize the bandwidth, the transmission line length l must be chosen as small as possible under the constraint that there is no interaction between adjacent cells. III. DESIGN PROCEDURE To produce a given phase shift with respect to the off state, the phase shifter can be built up by cascading a certain number of - or -type sections individually image matched in the first passband, the operational bandwidth being thus comprised within the first passband of the elementary cell. Observe that the elementary cell is characterized by three parameters, namely, and the line length l. While the last quantity is fixed to be as small as possible, and must be such as to satisfy the image-matching condition and to provide the

Fig. 7. Maximum useful bandwidth versus phase shift.

prescribed phase shift. As the analysis of Section II has shown, the product LC also determines the maximum bandwidth of the device. It is thus apparent that bandwidth and phase shift cannot be prescribed independently. A further analysis is thus necessary in order to determine the relationship between these two quantities. Fig. 7 shows the maximum operational bandwidth versus the phase shift for a given electrical length . As can be observed, the higher the required phase shift, the narrower the maximum useful bandwidth. The prescribed total phase shift of the device can be obtained in different ways, namely, by cascading identical cells, each introducing the same phase shift, or by cascading different cells, each providing larger phase shift. The latter option results in a more compact device, but as the above analysis has shown, at the price of a narrower bandwidth. In any case, the design procedure of the phase shifter is reduced to that of the individual th cell, which simply consists of the following steps. Step 1) Determine the prescribed phase shifts required at the frequency , and the minimum line length l, thus the minimum angle . Step 2) Compute and using the conditions

(20) rad

(21)

where stands for or depending on whether a or cell configuration, respectively, is employed and is the prescribed impedance level. This value may differ from in order to maximize the device bandwidth [20]. will be positive or negative depending on whether an or section is being employed. To better illustrate Step 1), let us consider the case of a digital -bit phase shifter. Each bit has to provide a phase shift given by

(22)

OCERA et al.: DESIGN OF TUNABLE PHASE SHIFTERS BY THE IPM

2387

Fig. 8. Magnitude of: (a) S -parameters and (b) phase of both matched T -type and conventional cells. Fig. 9. (a) Return loss and (b) phase S

The first bit, therefore, has to realize a 180 phase shift. In principle, this could be obtained using a single cell, thus providing a very compact device. Such a large phase shift, however, may lead to an unacceptably narrow bandwidth of the device. A wider bandwidth will be obtained by cascading two 90 cells, and so on. In summary, the maximum phase-shift result from a tradeoff between bandwidth and size of the device. Similar considerations hold for the case of phase shifters with arbitrary phase shifts as required in specific applications, e.g., for reconfigurable antenna systems [21]. The same procedure can also be applied in this case; e.g., using unit cells, a total phase shift

(23) Once the phase shifter has been synthesized in terms of its circuit representation (Fig. 1), proper configurations should be identified for the realization of its lumped and distributed elements depending on the technology employed (e.g., microstrip, coplanar waveguide (CPW), etc.). Some examples are discussed in Section IV. IV. DESIGN EXAMPLES As an example, consider a 3-bit digital phase shifter designed at the frequency of 10 GHz using -type cells at the impedance

for all states of the phase shifter.

level . Two solutions will be considered to illustrate the properties previously discussed. In the first one, the phase shifter consists of the cascade of seven identical cells, each introducing the same phase shift as the last bit. Since the last bit has to provide a phase shift of , using (20) and (21) and choosing m, one obtains nH and pF. Based on those values using (12)–(19), one obtains , m, and GHz. The phase shifter’s bandwidth is 0–18.5 GHz, as the first cutoff frequency obtained from (14) corresponds to GHz. Fig. 8(a) and (b) shows the resulting scattering parameters (amplitudes and phases) compared with those of a conventional capacitively loaded cell having the same length l. Compared to the conventional cell, the matched cell exhibits not only a greater phase shift (45 against 25 at 10 GHz) with respect to the reference transmission line (unloaded), but also a dramatic improvement of the return loss. Thanks to the increased phase shift of the unit cell, the proposed design approach yields more compact phase-shifter structures. The same cell can be replicated to realize the remaining bits for a total of seven cells (two cells for the 90 bit, four cells for the 180 bit). Fig. 9(a) and (b) shows the return loss and phase

2388

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 11. Series inductance and shunt capacitance of the single cell.

Fig. 12. Test circuit layouts.

Fig. 10. (a) Return loss and (b) phase of S

for all states of the phase shifter.

shift of the device in the four states (45 , 90 , 180 , 270 ) in the 0–25-GHz frequency range. The same 3-bit digital phase shifter can be realized as the cascade of three different cells, each providing larger phase shifts (45 , 90 , 135 ). This solution leads to a more compact device, but at the price of a narrower bandwidth due to the cell exhibiting the 135 phase shift. Using (20) and (21) and choosing m, one obtains nH and pF for the cell introducing the 135 phase shift. Based on those values using (12)–(19), one obtains , m, and rad/s. The phase shifter’s bandwidth is 0–7.4 GHz, as the first cutoff frequency obtained from (14) corresponds to GHz. Fig. 10(a) and (b) show the return loss and of the device in the four states (45 , 90 , 180 , 270 ) in the 0–25-GHz frequency range. V. TEST CIRCUITS IN CPW TECHNOLOGY The design method has been validated experimentally by fabricating a number of test circuits representing various states of the a digital phase shifter. The latter has been designed to operate in the 0–9-GHz band with a phase shift of 105 on an impedance level of 51 using CPW technology. Each -cell produced a 35 phase shift at the center frequency.

Fig. 13. Simulated and measured results of the four test circuits. (a) Return loss. (b) Insertion loss.

The enabled cells corresponds to the circuital model shown in Fig. 1(a). The series inductance nH is in the form of a pair of slots cut in the ground planes and the lumped shunt

OCERA et al.: DESIGN OF TUNABLE PHASE SHIFTERS BY THE IPM

Fig. 14. Measured phase of S

for the four test circuits.

capacitor pF are realized with four capacitive stubs connected to the CPW transmission line placed symmetrically at both ends of the inductor, as shown in Fig. 11. The disabled cells consists of simple coplanar transmission lines according to the condition that the off-state capacitance and inductance are so small as to be negligible. The circuits have been fabricated on a 790- m TACONIC TLC32-310 substrate and the layouts are shown in Fig. 12. Fig. 13(a) and (b) shows the simulated and measured results of the coplanar test circuits. Good agreement is obtained between simulations and measurements for both return and insertion losses. Measurements exhibit a return loss better than 20 dB up to 7 GHz and 0.25-dB insertion loss at 4.5 GHz. Fig. 14 shows the measured phase behaviors of the four test circuits, exhibiting a measured 105 phase shift at the center frequency with a maximum phase shift error of 2 .

VI. CONCLUSIONS The IPs method has been proposed for the design of programmable phase shifters consisting of transmission lines periodically loaded with switched inductors and capacitors. The IP properties of the elementary cells of the phase shifter have been analyzed in detail in such a way as to provide an effective design tool to control both the phase shift and return loss of the device, as well as the frequency band of operation. As a proof of concept, experimental test circuits have been fabricated and measured exhibiting a 105 phase shift with 0.25-dB insertion loss at 4.5 GHz and return loss of 20 dB over a two-octave frequency band.

REFERENCES [1] S. K. Koulaia, B. Bhat, S. K. Koulaia, and B. Bhat, Microwave Phase Shifters. Boston, MA: Artech House, 1991. [2] G. M. Rebeiz, G.-L. Tan, and J. S. Hayden, “RF MEMS phase shifters: Design and applications,” IEEE Micro, vol. 3, no. 2, pp. 72–81, Jun. 2002.

2389

[3] G. M. Rebeiz, RF MEMS, Theory, Design and Technology. New York: Wiley, 2002. [4] J. L. Vorhaus, R. A. Pucel, and Y. Tajima, “Monolithic dual-gate GaAs FET digital phase shifter,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 7, pp. 982–992, Jul. 1982. [5] X.-M. Shi and L.-D. Bai, “Digital phase-shifter controlled by PIN diode,” in Proc. Microw. Millimeter Wave Technol. Int. Conf., Aug. 18–20, 1998, pp. 901–904. [6] D. Pilz, K. M. Strohm, and J. F. Luy, “SIMMWIC MEMS 180 switched line phase shifter,” in Silicon Monolithic Integr. Circuits RF Syst. Dig./MEMS Technol. Top. Meeting, Apr. 26–28, 2000, pp. 113–115. [7] Z. Jin, S. Ortiz, and A. Mortazawi, “Design and performance of a new digital phase shifter at X -band,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 428–430, Sep. 2004. [8] H. T. Kim, J. H. Park, J. Yim, Y. Kim, and Y. Kwon, “A compact V -band 2-bit reflection type phase shifter,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 117–119, Sep. 2002. [9] P. Wahi and K. C. Gupta, “Effect of diode parameters on reflection-type phase shifter,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 9, pp. 619–621, Sep. 1976. [10] I. J. Bahl and K. C. Gupta, “Design of loaded line pin diode phase shifter circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 3, pp. 219–224, Mar. 1980. [11] T. Yahar, Y. Kadowaki, and K. Shiraha, “Optimum design of digital diode-loaded line phase shifters,” Electrocommun. (Japan), vol. 57-B, pp. 43–52, 1974. [12] Barker and S. Rebeiz, “Distributed MEMS true-time delay phase shifters and wideband switches,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1881–1890, Nov. 1998. [13] F. Ellinger, H. Jacke, and W. Bachtold, “Varactor loaded transmission-line phase shifter at C -band using lumped elements,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pt. 1, pp. 1135–1140, Apr. 2003. [14] B. Lakhminarayan, “Distributed MEMS phase shifters on silicon using tapered impedance unit cells,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, vol. 2, pp. 1237–1240. [15] E. Pistono, A.-L. Perrier, R. Bourtoutianl, D. Kaddourl, A. Jrad, J.-M. Duchamp, L. Duvillaret, D. Vincent, A. Vilcotl, and P. Ferraril, “Hybrid tunable microwave devices based on Schottky-diode varactors,” in Proc. Eur. Microw. Assoc., Jun. 2005, vol. 1, no. 2, pp. 109–118. [16] B. Lakshminarayanan and T. Weller, “Electronically tunable multiline TRL using an impedance matched multi-bit MEMS phase shifter,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 137–139, Feb. 2005. [17] ——, “MEMS phase shifters using cascaded slow-wave structures for improved impedance matching and/or phase shift,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 6–11, 2004, vol. 2, pp. 725–728. [18] ——, “Reconfigurable MEMS transmission lines with independent Z and -tuning,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, 4 pages. [19] G. Bartolucci, “Image parameter modeling of analog traveling-wave phase shifters,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 49, no. 10, pp. 1505–1509, Oct. 2002. [20] G. L. Matthei, L. Young, and E. M. T. Jones, Microwave Filters Impedance-Matching Networks and Coupling Structures. New York: McGraw-Hill, 1964. [21] A. Ocera, R. V. Gatti, P. Mezzanotte, P. Farinelli, and R. Sorrentino, “A MEMS power divider/combiner for reconfigurable antenna systems,” in Proc. 36th Eur. Microw. Conf., Paris, Oct. 2005, vol. 1, pp. 621–624.

Alessandro Ocera was born in Patti (ME), Italy, in 1980. He received the Electronic Engineering degree from the Università Degli Studi di Perugia, Italy, in 2004, and is currently working toward the Ph.D. degree at the Università Degli Studi di Perugia. His current research interests include the design of RF MEMS devices for reconfigurable systems, microwave sensors for permittivity measurements, and RF passive components. Mr. Ocera is an associate student member of EuMA.

2390

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Roberto Sorrentino (M’77–SM’84–F’90) received the Doctor degree in electronic engineering from the University of Rome “La Sapienza,” Rome, Italy, in 1971. In 1974, he became an Assistant Professor of microwaves with the University of Rome “La Sapienza.” From 1977 to 1982, he was an Adjunct Professor with the University of Catania, the University of Ancona, and the University of Rome “La Sapienza,” where he was then an Associate Professor from 1982 to 1986. In 1983 and 1986, he was a Research Fellow with The University of Texas at Austin. From 1986 to 1990, he was a Professor with the University of Rome “Tor Vergata.” Since November 1990, he has been a Professor with the Università Degli Studi di Perugia, Perugia, Italy, where he was the Chairman of the Electronic Department, Director of the Computer Center (1990–1995), and Dean of the Faculty of Engineering (1995–2001). His research activities have been concerned with various technical subjects such as the electromagnetic wave propagation in anisotropic media and the interaction of electromagnetic fields with biological tissues, but mainly with numerical methods and computer-aided design (CAD) techniques for passive microwave structures and the analysis and design of microwave and millimeter-wave circuits. He has authored or coauthored over 100 technical papers in international journals and 200 refereed conference papers. Dr. Sorrentino has served the International Union of Radio Science as vice chair (1993–1996) and then chair (1996–1999) of Commission D (Electronics and Photonics). He is the President of the European Microwave Association since its constitution in 1998. He is a member of the High Technical Council, Italian Ministry of Communications. Since 1998, he has served on the Administrative Committee (AdCom) of the IEEE MTT-S. From January 1995 to April 1998, he was the Editor-in-Chief of the IEEE MICROWAVE AND GUIDED WAVE LETTERS. In 2000, he was one of the recipients of the IEEE Third Millennium Medal. In 2004, he was the recipient of the Distinguished Educator Award presented by the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

Paolo Mezzanotte was born in Perugia, Italy, in 1965. He received the Laurea degree in electronic engineering from the University of Ancona, Ancona, Italy, in 1991, and the Ph.D. degree from the Università Degli Studi di Perugia, Perugia Italy, in 1997. His Laurea thesis concerned finite-difference time-domain (FDTD) analysis of gigahertz transverse electromagnetic (GTEM) cells. Since 1992, he has been involved with FDTD analysis of microwave structures in cooperation with the Dipartimento di Ingegneria Elettronica e Dell’Informazione (DIEI), Università Degli Studi di Perugia. In 1999, he became a Researcher with the Università Degli Studi di Perugia, where has taught microwaves and microwave circuits and components. His main fields of interest are the application of numerical methods to the study of components and structures for microwave and millimeter-wave circuits and the design of RF MEMS devices. Dr. Mezzanotte was a reviewer for the IEEE MICROWAVE AND GUIDED WAVE LETTERS in 1998.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2391

Phase-Hologram-Based Compact RCS Test Range at 310 GHz for Scale Models Anne Lönnqvist, Juha Mallat, and Antti V. Räisänen, Fellow, IEEE

Abstract—A compact radar cross section (RCS) test range based on a phase hologram has been developed for scale-model measurements. The phase hologram converts the feed-horn radiation to a plane wave needed for RCS determination. The measurements are performed at 310 GHz using continuous-wave operation. A monostatic configuration is realized using a dielectric slab as a directional coupler. The main advantage of a scale-model RCS range is that the dimensions of radar targets are scaled down in proportion to the wavelength. Therefore, RCS data of originally large objects can be measured indoors in a controlled environment. Test objects such as metal cylinders and simplified targets have been measured. The feasibility of the phase-hologram RCS range has been verified. The operation and measurement results of the monostatic measurement range are reported here. A comparison with simulated results is also included. Index Terms—Compact range, hologram, quiet zone, radar cross section (RCS), scale-model measurements.

I. INTRODUCTION ARGET RADAR cross section (RCS) data is needed for both radar system development and target RCS reduction. Computer simulations are used for predicting the RCS, but modeling of complicated structures is difficult and simulations are time consuming. The results should at least be verified with measurements. When measuring RCS, the object has to be illuminated with a plane wave. This is possible either by measuring the object in the far-field region of the antenna or measuring it in a compact range. Traditionally, measurements have been done in the far-field ranges, which are usually placed outdoors and are, therefore, subject to changing weather conditions and unwanted observation [1]. When using a compact test range, targets can be measured indoors due to the decreased measurement distance. Compact ranges can also be used for scale-model measurements. The main advantage of a scale-model RCS range is that the dimensions of radar targets are scaled down in proportion to the wavelength. Therefore, RCS data of originally large objects can be measured in a small chamber, which is a controlled environment. After model measurements, the obtained RCS data is scaled back in order to get the real-sized target parameters.

T

Manuscript received November 15, 2005. This work was supported in part by the Academy of Finland and Tekes under the Centre-of-Excellence Program. The work of A. Lönnqvist was supported by the Graduate School in Electronics, Telecommunication and Automation, by the Jenny and Antti Wihuri Foundation, by the Foundation of the Finnish Society of Electronic Engineers, by the Nokia Foundation, by the Foundation of Technology, Finland, and by the Emil Aaltonen Foundation. The authors are with the MilliLab, Radio Laboratory, The Smart and Novel Radios Research Unit, Helsinki University of Technology, Espoo FI-02015 TKK, Finland (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875296

Fig. 1. Hologram-based test range for scale-model RCS measurements. (RX: receiver. TX: transmitter.)

Ranges for scale-model measurements have been reported for submillimeter-wave frequencies at 524 and 585 GHz [2], [3]. These ranges are based on reflectors. The difficulty with reflectors at submillimeter-wave lengths is the high surface-accuracy requirement. The hologram is introduced as an alternative focusing element. The holograms used are transmission-type elements and, thus, the accuracy requirement for the holograms is less stringent (by the order of 10) than for the reflectors. Amplitude holograms have been used for antenna measurements [4]–[6], but phase holograms are better suited for RCS range applications due to their higher conversion efficiency. The conversion efficiency can be defined as the ratio between the field intensities in the quiet zone and before the hologram. The conversion efficiency of the hologram has been evaluated by measuring the power level first at the plane of the hologram surface and then at the quiet zone. The measured conversion efficiency of the phase hologram used here is 4 dB [7]. It is assumed that the conversion efficiency also be will nearly the same for larger holograms, but this remains to be verified. In a phase-hologram RCS range, the plane wave needed for the RCS determination is generated using a phase hologram. The phase hologram is a computer-generated planar diffractive element, which consists of grooves on one surface of a dielectric plate. Due to the simple lightweight structure of the hologram, it is inexpensive to manufacture. Fig. 1 shows a schematic layout of the compact range used for scale-model measurements. The scale model is placed into the quiet zone. The hologram transforms the incident Gaussian beam (with a spherical phase front) to a plane wave. The target-under-test is illuminated with the plane wave. The wave reflected back from the target is focused by the hologram to a receiver horn, which, in a monostatic configuration, is placed behind a dielectric slab

0018-9480/$20.00 © 2006 IEEE

2392

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 2. Simplified cross cut of a phase hologram.

used as a 3-dB power divider. This is an improved version of the previously used quasi-monostatic system where the receiving and transmitting corrugated horn antennas were placed side by side with a small bistatic angle of 1.7 [8]. II. PHASE HOLOGRAM In a phase-type hologram, the hologram structure features a locally changing effective thickness. The phase hologram is realized by milling grooves on a dielectric plate (see Fig. 2). The field passing through the grooves acquires a phase difference with respect to that between the grooves, leading to a phase modulation of the transmitted field. A locally binary groove structure is optimized for the desired operation. Groove width and depth of the hologram profile is designed by rigorous electromagnetic theory to locally produce the required amplitude and phase modulation. [9] The hologram also diffracts other beams than the desired plane wave, i.e., part reflects back, part propagates straight through the hologram. This causes the best possible efficiency of the phase hologram to be less than 100%. The optimized plane-wave beam propagates to an angle of 33 from the hologram and it is optimized to a distance of 1 m. This angle and also the distance from the hologram have been chosen to avoid disturbances caused by the diffraction modes traveling straight through the hologram. In the measurement system, other modes are terminated in absorbers. The hologram structure can be manufactured by a computer-controlled milling machine. Teflon has been found to be a suitable material for holograms due to its machinability, its well-known characteristics, and low losses at submillimeter-wave lengths. The surfaces of the test holograms are good and their quality has been found adequate for operation at 310 GHz. Other materials have also been considered. First tests were made with a hologram manufactured from Obomodulan, OBO-Werke GmbH & Company KG, Stadthagen, Germany, but it was found to have relatively high loss, 0.8–1.0 dB/mm at 310 GHz [7], which decreases the dynamic range available for RCS measurements. The hologram structure used in these measurements was fabricated on a 5-mm-thick Teflon plate. The size of the hologram is 28 cm 24 cm. The aperture is slightly elliptical in shape in order to produce a quiet zone field with a circular cross section (see Fig 1). The relatively small test hologram was primarily intended for evaluation of design and manufacturing methods of phase holograms. III. RCS MEASUREMENT RANGE A large dynamic range is required for RCS measurements. In our case, the instrumentation is based on a millimeter-wave vector network analyzer (MVNA-8-350, AB Millimètre, Paris, France) with submillimeter-wave extensions. The test measurement facility is shown in Fig. 3. In this test setup, the distance

Fig. 3. Test RCS measurement facility.

Fig. 4. Target and its support in the quiet-zone area.

from the receiver/transmitter to the hologram and also from the hologram to the target is 1 m. A phase-locked Gunn oscillator followed by a frequency multiplier has been used as the submillimeter-wave source (ESA-1 extension). The receiver used consists of a Schottky harmonic mixer pumped with a phase-locked Gunn oscillator (ESA-2 extension). The Gunn oscillator is identical to the one used in the source. The vector measurement capability is essential for typical sensitivity enhancing methods used in scale-model RCS ranges. Corrugated horn antennas are used as the transmitting and receiving antennas. Waveguide twists are used for chancing the polarization. A planar scanner is employed to obtain the two-dimensional field profile of the quiet zone to verify the field quality. RCS measurements are done using the same system; the receiving antenna is brought from behind to the front of the hologram so that the configuration is monostatic. The target is placed behind the hologram in the quiet zone (see Fig. 4). Absorbers (space-qualified tessalating terahertz RAM and Eccosorb VFX-NRL2) are used around the hologram and around the whole setup to block the wave propagating straight from the transmitter to the quiet zone and to minimize reflections. The desired beam propagates into an angle of 33 to avoid interference with the wave propagating straight through the hologram. The measurements have been carried out at 310 GHz. Continuous-wave (CW) operation instead of, for example, pulsed operation, has been considered most feasible since fast enough switching is problematic at frequencies used here. The detection sensitivity is enhanced by separating the target echo signal from background reflections. This is based on moving the target along the -axis (direction of the plane-wave propagation) (see Section V for further details). Simple and well-known radar test and calibration targets, for example, a gold-plated metal spheres, have been previously used for the phase-hologram RCS range

LÖNNQVIST et al.: PHASE-HOLOGRAM-BASED COMPACT RCS TEST RANGE AT 310 GHz FOR SCALE MODELS

2393

Fig. 5. Monostatic radar setup. Transmitter and receiver horns are in openings of the absorber walls.

development [10]. In this paper, we have measured a target using a cylinder as a calibration target. The measurement system is made monostatic using a dielectric slab as a directional coupler. The dielectric slab is made out of 125- m Mylar film. It is placed in an angle of 45 compared to the axes of the receiving and transmitting corrugated horn antennas. The frame of the slab is also covered with absorbing material. The setup can be seen in Fig. 5. The place of the load absorber is optimized to minimize the power reflected from the load and surroundings. The places of the antennas, slab, and the load are fixed to assure repeatability. According to the measurements, the dielectric slab works with a 3-dB power-division ratio, as designed. It has also been tested that the quiet-zone field does not chance due to the coupler. The quiet-zone field was measured with the transmitter at the TX port of Fig. 5 and again with the transmitter at the RX port. The field stayed the same regardless of the port chance so it does not affect the measurement and the system works as designed. The target and its support are placed on a computer-controlled micro translation and rotation stage. The support is a column (truncated cone) made of closed-cell rigid foam plastic based on polymethacrylimide (Rohacell 71HF). The dielectric constant of Rohacell 71HF was measured and found to be 1.10 at 310 GHz. The reflections from the support column were measured to be so low that, with current measurement setup, it is not possible to separate them from background reflections. IV. QUIET-ZONE TESTING The quiet-zone field is adjusted by tuning the feed-horn position. Changing the feed position in the transversal plane chances the direction of the plane wave and it is optimized for the planar scanner used for the quiet-zone verification. The optimization is done in vertical and horizontal directions. The adjustment of the distance between the feed and hologram is used for setting the feed to the focus of the hologram. The hologram was originally designed for vertical polarization, but horizontal polarization performance was also tested. The quiet-zone field quality was verified with the directional coupler in the setup. Measurement results for vertical polarization are shown in Fig. 6. Amplitude is denoted via a solid line and phase is denoted via a dashed line. Both are normalized to ease the comparison.

Fig. 6. Quiet-zone field cuts in horizontal and vertical directions for vertical polarization. Amplitude is denoted via a solid line and phase is denoted via a dashed line.

Fig. 7. Cross-polarization level; transmitting antenna horizontal and receiving antenna vertical polarization.

In the horizontal and vertical directions, the quiet-zone field amplitude and phase ripples are 3.3 dB and 23 peak-to-peak, and 0.9 dB and 23 peak-to-peak, respectively. The quiet-zone diameter is 12 cm. The ripple around 5 cm in the -axis is likely due to slight mechanical vibration of the planar scanner. Excluding this area, the ripple is below 2 dB, as in [9]. The planar scanner is not used during the RCS measurements so the mechanical vibration does not affect the RCS measurement results. Maximum cross-polarization level of the quiet zone field is 28 dB (Fig. 7). Since no cross-polarization calibration is used, this dominates the cross-polarization performance of the measurement range. The cross-polarization rejection ratio of a compact RCS range based on a 1.5-m reflector before cross-polarization calibration has been reported to be below 30 dB and with calibration 60 dB at 524 GHz [2], [3] in the reported experiments. In these experiments, wire grids have been used to clear the polarization. Measurement results for the horizontal polarization are shown in Fig. 8. The amplitude and phase ripples for horizontal direction are 1.9 dB and 24 , and for vertical direction are 1.2 dB and 20.5 peak-to-peak, respectively. The quiet zone diameter is 12 cm. The maximum cross-polarization level is 28 dB (Fig. 9). The received co-polar power level is the same

2394

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 8. Quiet-zone field cuts in horizontal and vertical directions for horizontal polarization. Amplitude is denoted via a solid line and phase is denoted with a dashed line.

of the reference cylinder were rounded by the manufacturer ( 1 mm). This was not taken into account in the simulations. The RCS patterns were also simulated using FEKO.1 A combination of the method of moments and physical optics was used. The relatively simple structure of the target was selected to ease the manufacturing and simulations of the target. The reference target is made of steel and the target of brass. Both can be assumed perfectly conducting in the simulations. The reflection from the target was separated from the background reflection by moving the target in the -direction. For each angular measurement position, the target was moved in 50- m steps a total of 2.5 mm (chosen to be approximately equivalent to 5 ) and the received complex field data were recorded at each step. As a result, a periodic response was obtained. From the variation of the amplitude and phase, the field component caused by the moving target can be evaluated. Power reflected from the target can be calculated from the measured power. The measured power is proportional to the squared resultant of the coherent field vectors reflected from the background and the target (1) consists of the reflections from the absorbers and the surroundings. The collected data is Fourier transformed and the peak coming from the target is selected. Using the basic radar equation, a relation can be found between the RCSs and the measured power levels

(2)

Fig. 9. Cross-polarization level; transmitting antenna vertical and receiving antenna horizontal polarization.

Fig. 10. (left) Reference target and (right) measured target.

for both polarizations. The quiet-zone field quality is slightly better for horizontal polarization than for vertical polarization. V. EXPERIMENTAL AND SIMULATION RESULTS A target “missile imitation model” was measured using a cylinder as a reference target. The target is a modified cylinder with wings and a nose (see Fig. 10). The nose is a circular cone of height 15 mm and the wings are flat plates of size 19 mm 20 mm. Both the target and reference target are 100-mm long and just fit into the quiet-zone field. The diameter of the reference cylinder is 2 cm and the diameter of the cylinder part of the target is 1.5 cm. The RCS level of the target and the reference target can be expected to be close to each other. The sharp edges

The number of measurement points in each angular position affects the residual background level. To optimize the level, the length of the movement has to be close to . This is due to target/target support interaction, which, with the Fourier transform, causes the fluctuation of the residual background level. This can be seen in Fig. 11, where the residual background level of the measurement of the cylinder at vertical polarization has been presented as a function of the number of measurement points. The measurements were done both at vertical and horizontal polarizations. The reflection from the side of the cylinder was used as a reference, as its RCS can be calculated from known analytical formulas [1]. The measurement and simulation results for the cylinder can be seen in Figs. 12–15. The simulation result is the gray curve and the measured result is black. The residual background level was 42 dBm for the vertical polarization and 36 dBm for the horizontal polarization. Since the power levels at quiet-zone field testing are the same at both polarizations, the waveguide twists do not cause the difference in the background levels. The measurement range itself can behave differently at different polarizations due to the fixed structures surrounding the quiet-zone area. At the vertical polarization, the simulated and measured results are very close to each other. The main difference is in the fluctuation of the RCS 1[Online].

Available: http://www.feko.info

LÖNNQVIST et al.: PHASE-HOLOGRAM-BASED COMPACT RCS TEST RANGE AT 310 GHz FOR SCALE MODELS

Fig. 11. Effect of the number of measurement points to residual background level of the target. The step of movement between measurement points is 50 m.

Fig. 12. RCS of the flat end of a cylinder at vertical polarization. The simulation result is the gray curve and the measured result is black.

Fig. 13. RCS of the side of a cylinder at vertical polarization.

Fig. 14. RCS of the flat end of a cylinder at horizontal polarization.

pattern near the edge of the circle. This is mainly due to the rounding of the edges of the cylinder, which was not taken into account in the simulations. At the horizontal polarization, there is a 6-dB difference in the maximum level of the RCS at the flat end of the cylinder. This can be due to the target being inclined 1.1 from the horizontal direction. The measurement results of the target using the reflection from the side of the cylinder as the reference are shown in Figs. 16 and 17. No scaling was done for the RCS results for this demonstration target. First, the RCS peak comes from the nose of the target; second, from the wings; third, from the side;

2395

Fig. 15. RCS of the side of a cylinder at horizontal polarization.

Fig. 16. RCS data of the target at vertical polarization. The target is inclined 0.8 from the horizontal direction.

Fig. 17. RCS data of the target at horizontal polarization. The target is inclined 0.8 from the horizontal direction.

and the fourth from the flat back of the target. The target is inclined 0.8 from the horizontal direction. The reflection peak from the wings is 3 shifted from the simulated result. This can be due to manufacturing inaccuracy of the wings. According to the simulations, 1-mm difference in the length of the wing can cause a 1.5 shift in the angular position of the maximum. The target and its support column were manufactured in a student workshop. The wings are not exactly as long as planned and the angle between them varies from 87 to 93 , while the simulated angle is 90 . VI. DISCUSSION The quiet-zone field quality has an effect on the measurement results. The effect of the quiet-zone field quality on the measurement results of metallic balls has been studied in [10]. The broadening of the RCS peaks can be due to the nonideal quality of the quiet zone field. The side of the cylinder was used as the reference. When the side of the cylinder is illuminated, all the parts of the cylinder side have an influence on the measured RCS value and, to a large extent, the ripple in the quiet-zone field does not affect the result. When the cylinder turns, the ripple starts to weight different parts of the cylinder differently. For

2396

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

the cylinder, the effect is not as large as for the target since it is a simple structure with many symmetry planes. The target has a more complex structure and the weighting has a more significant effect. What remains to be verified is how much of the broadening is caused by manufacturing inaccuracy of the target and which part can be explained with the quiet-zone field quality. An inaccuracy of the target dimensions can have a significant effect on the results. For the next stage of development, the target and also its support column should be precision manufactured to tighter tolerances. The repeatability of target placement can also be improved. The inclination angle of the target was checked with water poise. According to the results, this still leaves approximately 1 uncertainty to the inclination angle. This can be improved by using a laser-based system for the angle verification. The surroundings of the measurement range affect the background level. They were mostly covered with absorbers, but as can be seen in Fig. 4, there are fixed structures close to the measurement area. The reflectivity of the background limits the minimum measurable RCS level, i.e., the residual background level. The MVNA is very linear over a large range of power and its noise floor is below the level that was measurable here, thus, it has no significant effect on the residual background level. The air flow from the air conditioning also affects the background level. The background level decreased 5 dB when the air conditioning was turned off. Without air conditioning, the measurement equipment drifts with the changing temperature and this causes uncertainty to the measured result. As a compromise, the air flow was redirected away from the equipment and the measurement range was surrounded with absorber walls. The sensitivity can be improved (i.e., residual background level decreased) by increasing the length of target movement in each angular position. This also increases the measurement time. In these measurements, the length of movement was selected as a compromise between the residual background level and measurement time. As can be seen in Fig. 11, the increase in sensitivity is slow as a function of the number of measurement points. Thus far, no cross-polarization data have been measured. According to the simulations, the cross-polarization level of targets used here is below 48 dBm so the cross-polarization cannot be measured with the current setup. In the future, this could be achieved by using wire grids to clear up the polarization and using a proper cross-polarization calibration with a disk and dihedral. VII. CONCLUSION A novel compact range based on a phase hologram has been developed for scale-model RCS measurements and its feasibility has been verified. The phase hologram converts the feedhorn radiation to a plane wave needed for RCS determination. The measurements were performed at 310 GHz using a monostatic configuration where the identical receiving and transmitting corrugated horn antennas were placed on opposite sides of a dielectric slab working as a directional coupler. Power division of 3 dB was used in the design of the coupler. CW operation was used.

A cylinder and a “missile-like” target were both simulated and measured. All the main features of the RCS could be seen from the results. The results are very promising. In the future, wire grids will be used to clear the polarization. The development of the phase holograms is going on so better field quality can be expected from the next generation of phase holograms. Manufacturing accuracy of the target can also be improved. ACKNOWLEDGMENT The members of the Millimeter Wave Group, Millilab/Radio Laboratory, Helskinki University of Technology, Espoo, Finland, especially J. Häkli, are thanked for their support and useful conversations during this research. E. Noponen, Millilab/Radio Laboratory, Helskinki University of Technology, is thanked for designing the hologram used in these experiments. REFERENCES [1] E. F. Knott, J. F. Shaeffer, and M. T. Tuley, Radar Cross Section, 2nd ed. Norwood, MA: Artech House, 1993. [2] M. J. Coulombe, T. Horgan, J. Waldman, G. Szatkowski, and W. Nixon, “A 524 GHz polarimetric compact range for scale model RCS measurements,” in Proc. Antenna Meas. Tech. Assoc. Meeting and Symp., Monterey Bay, CA, Oct. 1999, pp. 458–463. [3] M. J. Coulombe, T. Ferdinand, T. Horgan, R. H. Giles, and J. Waldman, “A 585 GHz compact range for scale model RCS measurements,” in Proc. Antenna Meas. Tech. Assoc. Meeting and Symp., Dallas, TX, Oct. 1993, pp. 129–134. [4] J. Ala-Laurinaho, T. Hirvonen, P. Piironen, A. Lehto, J. Tuovinen, A. V. Räisänen, and U. Frisk, “Measurement of the Odin telescope at 119 GHz with a hologram type CATR,” IEEE Trans. Antennas Propag., vol. 49, no. 9, pp. 1264–1270, Sep. 2001. [5] A. Lönnqvist, T. Koskinen, J. Häkli, J. Säily, J. Ala-Laurinaho, J. Mallat, V. Viikari, J. Tuovinen, and A. V. Räisänen, “Hologram-based compact range for submillimeter wave antenna testing,” IEEE Trans. Antennas Propag., vol. 53, no. 10, pp. 3151–3159, Oct. 2005. [6] J. Häkli, T. Koskinen, A. Lönnqvist, J. Säily, J. Mallat, J. Ala-Laurinaho, V. Viikari, A. V. Räisänen, and J. Tuovinen, “Testing of a 1.5 m reflector antenna at 322 GHz in a CATR based on a hologram,” IEEE Trans. Antennas Propag., vol. 53, no. 10, pp. 3142–3150, Oct. 2005. [7] J. Mallat, J. Ala-Laurinaho, E. Noponen, V. Viikari, A. Lönnqvist, T. Koskinen, J. Säily, J. Häkli, J. Meltaus, and A. V. Räisänen, “A phase hologram RCS range for scale model measurements,” in URSI/IEEE XXVII Radio Sci. Conv. Tech. Dig., Espoo, Finland, Oct. 2002, pp. 143–145, (Rep. S 257, Helsinki Univ. Technol. Radio Lab. pub.). [8] A. Lönnqvist, J. Mallat, E. Noponen, J. Ala-Laurinaho, J. Säily, T. Koskinen, J. Häkli, and A. V. Räisänen, “A phase hologram compact RCS range for scale model measurements,” in Proc. 3rd ESA Millimeter-Wave Technol. Applicat. Workshop, Espoo, Finland, May 2003, pp. 511–516. [9] J. Meltaus, J. Salo, E. Noponen, M. M. Salomaa, V. Viikari, A. Lönnqvist, T. Koskinen, J. Säily, J. Häkli, J. Ala-Laurinaho, J. Mallat, and A. V. Räisänen, “Millimeter-wave beam shaping using holograms,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1274–1280, Apr. 2003. [10] A. Lönnqvist, J. Mallat, and A. V. Räisänen, “A phase hologram based compact RCS range for scale models,” in Proc. 25th Annu. Antenna Meas. Tech. Assoc. Meeting and Symp., Irvine, CA, Oct. 2003, pp. 118–123. Anne Lönnqvist was born in Somero, Finland, in 1977. She received the Master of Science (Tech.) (with honors) and Licentiate of Science (Tech.) degrees in electrical engineering from the Helsinki University of Technology (TKK), Espoo, Finland, in 2001 and 2004, respectively, and is currently working toward the Doctor of Science (Tech.) degree at TKK. Since 2000, she has been a Research Assistant and Research Engineer with the Radio Laboratory, TKK. Her current research interests include millimeter-wave measurement techniques with a focus on hologram applications.

LÖNNQVIST et al.: PHASE-HOLOGRAM-BASED COMPACT RCS TEST RANGE AT 310 GHz FOR SCALE MODELS

Juha Mallat was born in Lahti, Finland, in 1962. He received the Master of Science (Tech.) (with honors), Licentiate of Science (Tech.), and Doctor of Science (Tech.) degrees in electrical engineering from the Helsinki University of Technology (TKK), Espoo, Finland, in 1986, 1988, and 1995, respectively. Since 1985, he has been with the Radio Laboratory (and its Millimeter Wave Group), TKK, as a Research Assistant, Senior Teaching Assistant, and Research Associate until 1994. From 1995 to 1996, he was a Project Manager and Coordinator involved with an education project between TKK and the Turku Institute of Technology. Since 1997, he has been a Senior Scientist with the Millimetre Wave Laboratory of Finland (MilliLab), European Space Agency (ESA) External Laboratory, Helsinki, TKK, with the exception of a period of one year from 2001 to 2002 when he served as a Professor (protem) of radio engineering with TKK. His research interests and experience cover various topics in radio-engineering applications and measurements, especially in millimeter-wave frequencies. He has also been involved in building and testing millimeter-wave receivers for space applications.

2397

Antti V. Räisänen (S’76–M’81–SM’85–F’94) received the Master of Science (Tech.), Licentiate of Science (Tech.), and Doctor of Science (Tech.) degrees in electrical engineering from the Helsinki University of Technology (HUT), Espoo, Finland, in 1973, 1976, and 1981, respectively. In 1989, he was appointed Professor Chair of Radio Engineering, HUT, after holding the same position as an Acting Professor in 1985 and 1987–1989. He has been a Visiting Scientist and Professor with the Five College Radio Astronomy Observatory (FCRAO), University of Massachusetts at Amherst (1978–1981), Chalmers University of Technology, Göteborg, Sweden (1983), Department of Physics, University of California at Berkeley (1984–1985), Jet Propulsion Laboratory, California Institute of Technology, Pasadena (1992–1993), and Paris Observatory and University of Paris 6 (2001–2002). He currently supervises research in millimeter-wave components, antennas, receivers, microwave measurements, etc. at the Radio Laboratory, HUT, and Millimetre Wave Laboratory of Finland (MilliLab—European Space Agency (ESA) External Laboratory). The Smart and Novel Radios Research Unit (SMARAD), HUT (which he leads), obtained in 2001 the national status of Center of Excellence in Research from The Academy of Finland after competition and international review. He has authored and coauthored over 400 scientific or technical papers and six books, most recently, Radio Engineering for Wireless Communication and Sensor Applications (Artech House, 2003). He also coauthored the chapter “Radio-Telescope Receivers” in Radio Astronomy (Cygnus-Quasar Books, 1986, 2nd ed). Dr. Räisänen was secretary general of the 12th European Microwave Conference in 1982. He was chairman of the IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Chapter in Finland from 1987 to 1992. He was conference chairman for the 22nd European Microwave Conference in 1992, and for the “ESA Workshop on Millimeter Wave Technology and Applications” in 1998. From 1995 to 1997, he served on the Research Council for Natural Sciences and Engineering, Academy of Finland. From 1997 to 2000, he was vice-rector for research and international relations of HUT. From 2002 to 2005, he was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

2398

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

State-Space Dynamic Neural Network Technique for High-Speed IC Applications: Modeling and Stability Analysis Yi Cao, Student Member, IEEE, Runtao Ding, and Qi-Jun Zhang, Fellow, IEEE

Abstract—We present a state-space dynamic neural network (SSDNN) method for modeling the transient behaviors of high-speed nonlinear circuits. The SSDNN technique extends the existing dynamic neural network (DNN) approaches into a more generalized and robust formulation. For the first time, stability analysis methods are presented for neural modeling of nonlinear microwave circuits. We derive the stability criteria for both the local stability and global stability of SSDNN models. Stability test matrices are formulated from SSDNN internal weight parameters. The proposed criteria can be conveniently applied to the stability verification of a trained SSDNN model using the eigenvalues of the test matrices. In addition, a new constrained training algorithm is introduced by formulating the proposed stability criteria as training constraints such that the resulting SSDNN models satisfy both the accuracy and stability requirements. The validity of the proposed technique is demonstrated through the transient modeling of high-speed interconnect driver and receiver circuits and the stability verifications of the obtained SSDNN models. Index Terms—Modeling, neural networks, nonlinear circuits, stability analysis, transient analysis.

I. INTRODUCTION RTIFICIAL neural networks (ANNs) have recently emerged as useful tools for modeling and design optimization in radio-frequency (RF) and microwave computer-aided design (CAD) [1], [2]. ANN can be trained in a computer-driven process to learn the electromagnetic (EM)/physics behaviors of components, and trained neural networks can be used in place of original detailed EM/physics models to speed up high-level microwave design [3], [4]. A recent trend in this area is to utilize ANN to enhance the CAD of nonlinear microwave devices and circuits [5]–[10]. The early approach presented in [5] and [11] utilized a discrete-time recurrent neural network for learning the time-domain dynamic behavior of the original nonlinear circuit. In [6], a dynamic neural network (DNN) technique was introduced for modeling the steady-state behaviors of nonlinear circuits such as amplifiers and mixers. The DNN models can be developed directly from input-output data without having to rely on circuit internal details. The DNN approach maintained the ANN speed and accuracy capabilities

A

Manuscript received November 18, 2005; revised March 1, 2006. This work was supported by the Natural Science and Engineering Research Council of Canada. Y. Cao and Q.-J. Zhang are with the Department of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6. R. Ding is with the School of Electronics and Information Engineering, Tianjin University, Tianjin 300072, China. Digital Object Identifier 10.1109/TMTT.2006.875297

with extra flexibilities for steady-state harmonic balance simulations in both single-tone and multitone cases. A further study in [7] provided the theoretical basis for the order determination of DNN formulations. An adjoint dynamic neural network approach was developed in [8] and [9] paving the way for training neural models for nonlinear transient applications. Most recently, the DNN concept has also been considered for developing high electron mobility transistor models from large signal measurements [10]. This paper addresses a new area of DNN applications, i.e., neural-based transient modeling of nonlinear circuits such as nonlinear drivers and receivers in high-speed very large-scale integrated (VLSI) interconnect analysis. With the continuous increase of signal speed and frequency, signal integrity (SI) in VLSI packages becomes important. Fast and accurate representations of the nonlinear analog behaviors of driver/receiver buffers are the key to the success of SI-based design of high-speed interconnects with nonlinear terminations [12]–[16]. There are two types of conventional methods in popular use today: detailed transistor-level modeling approach such as SPICE models and empirical behavioral modeling approach such as the input and output buffer information specification (IBIS) models [14]. The former approach offers better accuracy but becomes increasingly inefficient due to the growing size and complexity of integrated circuit (IC) designs. The IBIS model is a data-based model which is fast but usually has only limited accuracy and flexibility. Therefore, developing efficient buffer models for transient applications has become an important topic [15], [16]. In this paper, we explore the accuracy and flexibility of neural networks and further extend the DNN concept to the transient modeling of high-speed IC drivers and receivers. One of the most important aspects of nonlinear transient modeling is the model stability. It is a fundamental requirement for ensuring the model reliability in circuit simulations. A nonstable model is nonpractical and can be potentially detrimental to the overall system simulation. In general, determining the stability for nonlinear systems is a challenging task. In the neural network community, global asymptotical stability (GAS) and global exponential stability have been studied for some special classes of dynamic networks, e.g., Hopfield neural networks [17], [18], recurrent neural networks [19], [20], and discretetime state-space neural networks [21]. Recently, GAS also has been studied for recurrent neural networks with time delays [22]–[24]. Various stability criteria are introduced in the literature in terms of linear matrix inequalities [25]. These existing

0018-9480/$20.00 © 2006 IEEE

CAO et al.: SSDNN TECHNIQUE FOR HIGH-SPEED IC APPLICATIONS

2399

methods are useful for applications such as neural optimizations, signal processing, and classifications. However, for the DNN microwave behavioral models, these existing stability criteria cannot be directly used because of the differences in the model structures, where the DNNs are structured for efficiently addressing analog microwave modeling. So far, for DNN behavioral modeling [6], stability analysis methods are still not yet available. In this paper, we present a nonlinear state-space dynamic neural network (SSDNN) technique, based on [26], for modeling nonlinear transient behaviors. The SSDNN expands the existing DNN structure into a more general and flexible formulation for transient-oriented nonlinear modeling and design. Under this generalized framework, we present for the first time stability analysis of neural models for behavioral modeling of nonlinear circuits. A set of stability criteria are derived to enable the verifications of both local and global stabilities of the SSDNN model upon the completion of model training. Furthermore, instead of using the conventional training procedure as in [26], we propose a new training algorithm that incorporates the proposed stability criteria into model training as constraints, such that the trained SSDNN model is guaranteed to be globally asymptotically stable. The final SSDNN model can be implemented into general SPICE-like circuit simulators delivering fast and accurate transient responses. This paper is organized as follows. In Section II, we present the formulation of the SSDNN modeling technique. The stability analysis of the SSDNN model is described in Section III, leading to a set of practically usable stability criteria. In Section IV, we derive the SSDNN sensitivities for model training and propose a constrained training scheme that automatically ensures the GAS of a trained SSDNN model. In Section V, several numerical examples for modeling receiver and driver buffers are given to validate our proposed technique. II. SSDNN NONLINEAR MODELING STRUCTURE A. General SSDNN Model Structure be transient input signals of a nonlinear circuit, Let e.g., input voltages and currents, and be transient output signals of a nonlinear circuit, e.g., output voltages and currents where and are the numbers of circuit inputs and outputs, respectively. Based on combining state-space concept and continuous recurrent neural network method [27], the SSDNN nonlinear model is formulated as [26] (1) is the vector of the state variwhere ables and denotes the dimension of the state-space, or order of the model. Here represents a feed-forward multilayer perceptron (MLP) neural network [1], which has input neurons and output neurons, with weight parameters and a constant scaling parameter . is the output matrix, which maps state-space into output-space. There are several advantages of the SSDNN technique for modeling nonlinear circuit. The model accuracy can be proven

through the universal approximation property [1] of MLP neural networks. Furthermore, this new format advocates first-order differential equation format as opposed to the direct high-order format in DNN-based approaches [6], enhancing the robustness of the model in dealing with noisy training data. In addition, the existing DNN can be indirectly derived as a special case from this new SSDNN formulation. In this sense, the SSDNN is a progressive generalization of the existing DNN. The discrete-time counterpart of the SSDNN, namely, state-space neural networks, has been studied in, e.g., [21] and [28]–[31], and used in various applications of nonlinear system identification and control. If such a discrete-time structure is used for circuit modeling, certain approximations may be needed when incorporating the model into circuit simulators. Our SSDNN provides an attractive alternative in this aspect because the SSDNN implementation in circuit simulation can be achieved exactly via circuit representations [26]. The SSDNN also provides further advances in analog circuit modeling over several other existing discrete-time neural network architectures, which were mostly developed from signal processing and control applications. An example of such existing methods is the Elman recurrent neural network [32], which contains a feedback connection over each hidden neuron. Another example of such architectures is to extend feedforward MLP structure to include global feedbacks and delays from MLP outputs to inputs [5], [33], which will be referred to as globally recurrent neural network (GRNN) in this paper. Another way to have discrete time recurrency is a locally recurrent neural network where the classical synapse is replaced by a finite impulse response filter or an infinite impulse response filter [34]. The fundamental difference between the SSDNN and the above neural networks is that the SSDNN is formulated in continuous time while the other structures are in discrete time. To implement discrete-time models into analog equivalent circuits for circuit simulation, certain approximations may be needed. On the other hand, the SSDNN has an exact circuit implementation for nonlinear time-domain simulation [26]. By using recurrence over MLP inputs and outputs instead of recurrence over individual neurons, the SSDNN also offers additional flexibilities in modeling nonlinear analog behavior of the circuit. B. SSDNN Parameters for Stability Analysis We develop stability criteria with respect to the neural network structures and parameters in SSDNN. Let in (1) represent a commonly used three-layer MLP neural network. Let be the number of hidden neurons in the MLP. Let represent the weight of the connection between the th neuron of the 1th layer and th neuron of the th layer. To facilitate stability derivation, we split the internal weights of into three groups, described in matrix form as

.. .

.. .

.. .

(2)

2400

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

III. STABILITY ANALYSIS OF THE SSDNN NONLINEAR MODEL

W W u

W

Fig. 1. Three-layer MLP neural network used in the SSDNN. The MLP connection weights are grouped into three parts, as defined by , and . The MLP input neurons include SSDNN overall input signals and state variin state space equations. ables . The MLP output neurons are

g

x

In this section, we perform stability analysis of the SSDNN model via Lyapunov’s indirect and direct methods [35]. The Lyapunov method has been widely used for deriving the stability conditions for recurrent neural networks with and without time delays, e.g. [18]–[20], [22], [23]. In [18], the global exponential stability of Hopfield neural networks is studied by means of Lyapunov method. Through another form of Lyapunov energy functions, several sufficient conditions are derived in [23] for recurrent neural networks with time varying delays and Lipschitz continuous activation functions. Recently, this method has also been used in the study of global robust stability of neural network with time delays [22]. These works have demonstrated the applications of the Lyapunov method for a general category of recurrent neural network structures where the feedback connection is applied locally at each neuron. However for our SSDNN structure where the global feedbacks from MLP outputs to inputs are present, these existing results can not be directly used. By following the ideas in [19], [20], here we apply the Lyapunov method for the stability analysis of the SSDNN and derive sufficient criteria for investigating both local and global stabilities. Let the state vector be the equilibrium point of the SSDNN model that satisfies , i.e.,

which represents the connection weights between external input signals and all the hidden neurons

.. .

.. .

.. .

(3)

(6) in (6) to be a constant To examine stability, we choose external input. Let be the constant value for , . By performing the variable transformation , we can rewrite (5) in terms of as (7)

which represents the connection weights between state variables and all the hidden neurons, and

where

is a vector function defined as

(8)

and .. .

.. .

.. .

(4) (9)

which represents the connection weights between all the hidden neurons and outputs of . Fig. 1 shows the structure of a three-layer MLP neural network together with the corresponding locations of weight matrices , , and . For the convenience of stability analysis, we rewrite (1) in the vector form as

and represent the th row of and , where respectively. Because of the monotonically increasing property of , we have

if (5) is a vector of nonlinear where activation functions for hidden neurons. We follow the assumptions that the activation functions belong to a class of functions that are bounded, smooth, and monotonically increasing. Examples of such functions include commonly used neuron activations functions such as sigmoid function, arctangent function, and hyperbolic tangent function.

if

(10)

In addition, since the activation functions are smooth switch functions, is non-negative and bounded, i.e., (11)

CAO et al.: SSDNN TECHNIQUE FOR HIGH-SPEED IC APPLICATIONS

2401

where is known as the Lipschitz constant for [20] and can be determined by finding the maximum magnitude of the derivative of . After the above transformation, the origin now becomes the equilibrium point of the new SSDNN representation in (7). To investigate the stability of (5) at , it is equivalent to test the stability of (7) at . A. Proposed Method for Verifying Local Stability The most convenient way to test the local stability of a nonlinear system is by investigating the associated linearized system, which is known as the Lyapunov’s indirect method [35]. From (7), we define a nonlinear vector function . Linearizing (7) at yields (12) is an identity matrix and where is the Jacobian matrix of , computed at the equilibrium point . For simplicity, let be the above Jacobian matrix

B. Proposed Method for Verifying Global Stability The equilibrium point of the SSDNN model (7) is said to be globally asymptotically stable if it is locally stable in the sense of Lyapunov and globally attractive, where the global attractivity means that every trajectory of the original SSDNN system (5) would converge to a unique equilibrium point as . The GAS, also called global stability for convenience, of the SSDNN model can be investigated based on the concept of Lyapunov’s direct method [35]. We assume for in (11), which is valid for all the popular neural network activation functions, such as sigmoid function, arctanfunction. In the following, we present a gent function and sufficient condition for the GAS of the SSDNN model. Proposed Condition for Global Stability: The equilibrium point of the SSDNN model in (7) is said to be globally asymptotically stable if the following test matrix (16) is an identity matrix. is negative definite, where Derivation: We start by defining a candidate of the Lyapunov function as

(13) where we use

is the derivative of , . For example, if functions for , can be simplified as

(14) The test matrix for local stability is then given by

(17) for where is defined in (9). It is obvious that . Using property (10), each integral term in (17) is always positive for any , . Therefore is a positive definite function, satisfying the basic requirement of the Lyapunov function. By differentiating along the SSDNN trajectory defined by (7) we obtain

(15) be the eigenvalues of the test matrix in (15). We present the following criterion for the local stability of a SSDNN nonlinear model through Lyapunov’s indirect method [35]. Proposed Local Stability Criterion: The equilibrium point of the SSDNN model (7) is said to be asymptotically (locally) stable if the real parts of all the eigenvalues for of (15) are negative, i.e., for all , . The proposed criterion can be used to determine the asymptotical stability of a trained SSDNN model given the constant model input . Since the SSDNN model is a reduced-order representation of the original nonlinear circuit, the order of the model is typically very small. As the size of the test matrix of (15) is , the proposed local stability criterion can be conveniently applied in practice. However, the above criterion is valid only if the values of the state variables are in close neighborhood of the equilibrium point, which is not always guaranteed during the usage of the SSDNN model in circuit design. Next we present a complementary method that can be used to investigate the global stability of the model. Let

Based on (11) and with the assumptions of

(18) , we have (19)

From (19) it follows that

(20)

2402

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

The matrix form of (20) reads (21) Combining (18) and (21) gives

and represent the th input used for training. Let and output transient waveform sampled in the time-interval , respectively. Let represent the SSDNN response due to the th input waveform . The goal of training is to adjust parameters in and such that the objective function (overall training error)

(24)

(22) In general, the matrix

in (22) is not symmetrical. Since , we can further derive

is defined as the per-waveform training is minimized. Here error for the th waveform

(23) in (16) is negative defiIt is obvious that if the matrix nite, remains negative for any value of state variable . Therefore is a valid Lyapunov function for the SSDNN model (7). Since is monotonic everywhere with , in (17) is unbounded as the integral . Hence the Lyapunov function is radially unbounded. This property further ensures that the model equilibrium point is globally attractive [35]. Thus the SSDNN model (7) is globally asymptotically stable at the equilibrium point . It is to be noted that by formulating the test matrix to be a symmetrical and real matrix in (23), the proposed GAS condition can be conveniently used in practice through the computation of the eigenvalues of . Let be the eigenvalues of the test matrix in (16). We have the following equivalent criterion for global stability. Proposed Global Stability Criterion (GAS Criterion): The equilibrium point of (5) is globally asymptotically stable if all the eigenvalues of the test matrix of (16) are negative, i.e., for all , . C. Discussions The stability criteria derived by the Lyapunov method are not unique. Different formulations of Lyapunov functions can lead to different criteria. Because the Lyapunov stability conditions are sufficient conditions, if SSDNN violates a specific set of stability conditions it is still possible for SSDNN to be stable as long as it can satisfy the stability conditions derived from another Lyapunov function. The present work fills a gap in developing stability conditions for SSDNN. It is possible to develop various other forms of Lyapunov functions, e.g., the multi-term formulation of Lyapunov function [22], [23], leading to a variety of criteria for examining the stability of SSDNN.

(25) Since training is essentially an optimization process, fast and accurate sensitivity information is important in order to utilize gradient-based training methods. To achieve this, we need to derive SSDNN sensitivities by modifying the adjoint sensitivity technique of DNN presented in [8], [9]. Following the Appendix, we derive an adjoint SSDNN model as (26) contains the derivatives of the hidden neuron actiwhere vation functions

(27) and is a vector of state variables for the adjoint SSDNN model. The boundary conditions for (26) are imposed at the upper time limit as . Based on the adjoint SSDNN in (26) along with the boundary conditions, the general form of training error sensitivity for the th waveform can be derived as

(28) Depending on the location of the variable , the general sensitivity expression of (28) can be further specified. For example, using functions for , the expression of (28) is further simplified as

IV. SSDNN SENSITIVITY AND MODEL TRAINING for A. Adjoint Sensitivity for SSDNN The SSDNN can be used to represent the nonlinear transient behavior only after we train it with suitable input and output data. For our formulation, training is directly done in time-domain. Consequently, our training data are in the format of sampled input and output waveforms, which can be obtained from the original nonlinear circuit by simulation or measurement. Let denote the total number of transient waveforms

for

(29)

for To compute the sensitivity of the overall training error , we perform the sensitivity analysis using (5), (26), and (29) for each

CAO et al.: SSDNN TECHNIQUE FOR HIGH-SPEED IC APPLICATIONS

2403

Fig. 2. Flowchart for the proposed SSDNN constrained training algorithm for enforcing the GAS of a trained SSDNN model. The training error sensitivities are efficiently computed by exploiting the adjoint SSDNN model.

training waveform to obtain , . Finally, the total error sensitivity for training is calculated as

(30) and (31)

and represent the th output of the SSDNN and where training data for the th training waveform, respectively, and is an element in matrix . B. Constrained SSDNN Training A basic training method for the SSDNN model is to solve the unconstrained optimization of (24) based on a set of input and output training waveforms, using gradient-based optimizations [26]. Since the weight update is purely based on minimizing training error, this training method only enforces accuracy but not stability. To overcome this problem, we present a new formulation for training which automatically ensures the GAS property of the SSDNN model once the training is accomplished. Here we use our proposed GAS criterion as the constraint for training. The modified SSDNN training is a constrained optimization problem

(32)

is the th eigenvalue of the matrix defined in where (16). The constrained training is implemented in MATLAB 7.0 using the function from the optimization toolbox [36]. During constrained training, the derivatives of with respect to model parameters are calculated analytically by our proposed adjoint sensitivity technique following (5), (26), and (29)–(31) while the derivative of the constraint in (32) is numerically computed by the finite difference method. Weight parameters are initialized by uniform random distribution between [ 0.1, 0.1] and are used as the starting points for the constrained training. Fig. 2 is the block diagram illustrating the proposed constrained training algorithm. Once the training is completed, the accuracy of the trained SSDNN model is further validated with an independent set of test waveforms. After the successful verifications of accuracy and stability, the trained SSDNN model can be conveniently incorporated into a SPICE-like circuit simulator, following the exact circuit representation described in [26], for high-level circuit simulation and design. The proposed method provides a further progress beyond the existing treatment for stability of state-space neural networks [21], [28] where stability theorems were mainly used for verifications. The proposed constrained training of SSDNN achieves good model accuracy and at the same time enforces the model stability. V. NUMERICAL EXAMPLES A. CMOS Inverter This example is to demonstrate the validity of our proposed constrained training algorithm using the GAS criterion as the constraint. Two SSDNN models, namely model I and model II, are trained to learn transient behaviors of a CMOS inverter using the original unconstrained training method and

2404

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE I COMPARISONS OF MATRIX EIGENVALUES USING DIFFERENT STABILITY CRITERIA FOR EXAMPLE A. MODEL I IS OBTAINED BY ORIGINAL UNCONSTRAINED TRAINING METHOD AND MODEL II IS OBTAINED BY OUR PROPOSED CONSTRAINED TRAINING METHOD

the proposed constrained training method, respectively. The CMOS inverter is a standard circuit configuration containing 2 complementary MOSFETs connected with each other [37]. Our SSDNN model contains one input (input voltage) and one output (output voltage). Training waveforms of the inverter are generated using level-1 HSPICE MOSFET models [38], for different rise time of the input pulse source [1 ns, 2 ns step 0.2 ns]. The total training data set contains 6 waveforms. The same data set is also used for testing purpose. To investigate the GAS property of the model, normally distributed random noise signals are added to the input waveforms. For this modeling case, the three-layer MLP neural network has been applied to represent the in the SSDNN structure of (1). The function has been used as the activation function in the hidden layer of the MLP. The SSDNN structure with order and number of hidden neurons was sufficient to assure model error within 1%. This is at similar level of accuracies for circuit modeling as existing literature, e.g. [11], [39]. After training, we check the stability of the trained SSDNN models based on our local and global stability criteria and the eigenvalues of the test matrices and are summarized in Table I. As observed, both models can satisfy the local stability criterion and the model developed by the constrained training method is globally asymptotically stable as well. To verify the GAS by simulation, we randomly select 20 initial values of SSDNN state variables around the model equilibrium point and simulate both models up to 15 ns. Fig. 3 shows the comparison of state trajectories for these two models under the same initial conditions. It is noticed that for Model I, which is only locally stable but not globally stable, the trajectories converge to non-unique equilibriums, meaning that the state variables may have wrong solutions depending upon initial conditions. It is also observed that Model II which satisfies our proposed GAS criterion, indeed has a unique equilibrium point that attracts all the trajectories. This example demonstrates the importance of stability property of the model. The example also confirms that using our proposed constrained training method the SSDNN model can be guaranteed to preserve the GAS. B. Receiver Buffer This example is an expansion of that in [26]. A high-speed receiver buffer circuit based on HSPICE level-47 BSIM3

Fig. 3. The state trajectories of the SSDNN for modeling a CMOS inverter under 20 random initial conditions: (a) Model I without GAS property where state variables converge to different equilibrium points, meaning that none of the equilibrium points are globally asymptotically stable. (b) Model II with GAS property where state variables converge to a unique equilibrium point.

MOSFET models [38] has been modeled through the SSDNN technique. The input current and voltage of the receiver are chosen as the input and output of SSDNN, respectively. The training waveforms are generated by exciting the circuit with pulse signals with different rise time [0.05 ns–0.3 ns, step 0.05 ns] and amplitude [ 0.5 v–2.5 v, step 0.1 v] to maximally stimulate the nonlinear dynamic behavior of the circuit in different working regions. The resulting training data set has a total of 186 waveforms. In addition, a separate data set with 150 test waveforms is also generated for the validation of the trained SSDNN model. Using the SSDNN structure of and , an accurate SSDNN model has been achieved with average test error around 0.13%. The function was used as the activation function for each hidden neuron. The model accuracy achieved here is similar to that reported in the existing literature for modeling this type of circuit, e.g. [11], [39]. Furthermore, the SSDNN model has been verified in a practical circuit configuration where the receiver is connected to a high-speed interconnect [26]. In [26], a set of noisy training

CAO et al.: SSDNN TECHNIQUE FOR HIGH-SPEED IC APPLICATIONS

TABLE II EIGENVALUES FOR STABILITY VERIFICATION OF THE SSDNN MODEL FOR EXAMPLE B, AND COMPARISON BETWEEN PROPOSED CONSTRAINED TRAINING VERSUS UNCONSTRAINED TRAINING

data where white noise is applied to the output waveforms, have been used to train both SSDNN models and existing DNN models. The SSDNN model has been shown to give more reliable solutions than the standard DNN models. Here, we apply our proposed stability criteria to verify the stability of the trained SSDNN models. Table II shows the comparison of the eigenvalues of the test matrices and using various types of stability criteria presented in Section III. From the table, we observe that the receiver SSDNN model developed by the original unconstrained training in [26] is locally stable. However, the global stability is not guaranteed. To enforce the GAS of the trained SSDNN model, we re-train a new SSDNN model with the same model structure ( and ) as the original SSDNN by applying the new constrained training algorithm in Section IV-B. The new SSDNN model has been trained to have similar accuracy as the original one. After the training is completed, we verify the local stability and GAS of the model using the criteria proposed in Section III. For checking stabilities of the SSDNN model, we compute the eigenvalues of the test matrices and , given in Table II. It can be observed that with the new constrained training algorithm both the local stability and GAS of the model have been ensured, demonstrating further advances over [26]. C. Physics-Based Driver Buffer This example is an expansion of that presented in [26]. In this example, we demonstrate the application of the SSDNN technique for physics-based transient modeling. We consider a four-stage CMOS driver including eight transistors connected with each other [26]. The driver load is a lossy transmission line ( , , , and ) terminated with a 5 pF capacitor. Transient training waveforms are generated for different values of pulse rise-time [0.25 ns–0.75 ns, step 0.25 ns], pulse amplitude [4.5 v–5.5 v, step 0.5 v], and interconnect length [0.08 m-0.14 m, step 0.02 m] using physics-based simulator MINIMOS-NT [40]. The

2405

TABLE III COMPARISON OF THE MODEL TEST ERROR BETWEEN DISCRETE TIME RECURRENT NEURAL NETWORKS, DNN AND SSDNN FOR TRANSIENT MODELING OF PHYSICS-BASED DRIVER IN EXAMPLE C

training data set contains 36 waveforms in total. The SSDNN and inputs and outputs are defined as , respectively. The SSDNN structure of and has been utilized and the average test error achieved is 0.21% using an independent data set containing 12 function was used as the activation funcwaveforms. The tion of the hidden neurons in MLP. The level of accuracy of the SSDNN model is similar to that in existing literature for nonlinear buffer modeling, e.g. [11], [39]. In [26], it has been demonstrated that for 50 waveform evaluations, the total CPU time was reduced from 11.6 hours using MINIMOS down to 9 seconds using the SSDNN model. A set of noisy training data has also been applied to train both SSDNN and DNN models. It was observed in [26] that the SSDNN consistently achieves better accuracy compared to the standard DNN when there is noise in the training data. Here, we perform additional comparison of the SSDNN with several standard recurrent neural network approaches, namely, Elman network [32], GRNN (MLP with delayed inputs and outputs) [5], [33], and DNN [6]. As shown in Table III, all the methods except the Elman network have achieved very good accuracy for modeling the physics-based driver. It demonstrates that by adopting external recurrence over the MLP structure as opposed to internal feedback over each neuron, GRNN, DNN and SSDNN have enough degree of freedom to represent the nonlinear analog behavior of the driver. To further compare the model performance, we incorporated the four different models, i.e., Elman, GRNN, DNN, and SSDNN models, into HSPICE and verified that they can be used to perform transient circuit simulations. Due to their discrete-time natures, Elman and GRNN models may need certain approximations when converting the time-difference equations into the differential equations in order to obtain an equivalent circuit representation. The DNN and SSDNN models, on the other hand, have exact circuit representations in SPICE-like circuit simulators owing to their continuous-time model formats. The SSDNN technique provides attractive overall quality of model accuracy, flexibility for circuit simulation, and reliability in the noisy environment. Next we explore the robustness of the SSDNN models by performing the model stability analysis with both types of criteria proposed in Section III. Table IV shows the comparison of the eigenvalues of the test matrices and by applying different types of stability criteria to the SSDNN models. The table confirms that the SSDNN buffer model trained with unconstrained training used in [26] is locally stable. However, there is no guarantee that the model has the superior property of global stability. To further achieve the GAS, we train another SSDNN model ( and ) by applying the new constrained training scheme in Section IV-B. After training, the average test

2406

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE IV EIGENVALUES FOR STABILITY VERIFICATION OF THE SSDNN MODEL FOR EXAMPLE C, AND COMPARISON BETWEEN PROPOSED CONSTRAINED TRAINING VERSUS UNCONSTRAINED TRAINING

Fig. 4. The schematic of a TI 8-bit high-speed driver to be considered in Example D. Training waveforms of v (t), v (t), and i (t) are generated by HSPICE simulation using detailed transistor-level models, for developing the SSDNN model.

error is found to be 0.65%, confirming the model accuracy. For this new model, we again check the stability using our proposed criteria. As shown in Table IV, all the eigenvalues of the test matrices and are found to be negative indicating that both the local stability and GAS have been achieved successfully. From this study, it is demonstrated that through the proposed constrained training method, the SSDNN model can be developed to achieve good accuracy with guaranteed GAS, showing further advances over [26]. D. 8-Bit High-Speed Driver In this example, we consider the transient modeling of a commercial IC package, namely an octal driver SN74AHCT540 from Texas Instruments (TI) Incorporated, as shown in Fig. 4. For this chip, both detailed transistor-level model and IBIS model are available [41], where the former model is slow and accurate, and the latter is faster but less accurate. Here we aim to use the SSDNN model to represent the nonlinear dynamic behavior between the input pin and output pin. We define and to be the inputs and outputs of the SSDNN model, respectively. Buffer input pin is excited by a pulse signal. The buffer output pin is terminated with a transmission line ( and ns) in series with a 50-pF capacitor load. We simulate the driver package using transistor-level model in HSPICE to gather different training waveforms. Specifically, the training waveforms are obtained by varying pulse rise time [0.5 ns–2.0 ns, step 0.5 ns] and the length of the transmission line load [1 cm–3 cm, step 0.5 cm]. The entire training set contains 20 waveforms. The used in the SSDNN model is a three-layer MLP with function as the activation function for the hidden layer.

The model structure used in this example has order and number of hidden neurons . SSDNN model training is performed following the constrained training algorithm as summarized in Section IV-B. After training, the SSDNN model accuracy is confirmed by an independent set of test waveforms with 12 waveforms that are never applied during the training. We then incorporate the trained SSDNN model into HSPICE and perform the transient simulation of the model for SI analysis. Fig. 5 shows the comparison of the voltages at the output pin using three types of models, i.e., detailed transistor-level model, IBIS model and the proposed SSDNN model. It can be observed that SSDNN solutions can match the signal variations from the detailed transistor-level model more accurately than that of the IBIS model. Therefore, the proposed SSDNN provides better accuracy than IBIS model. We also compare the three different models in terms of relative error and CPU time in HSPICE transient simulation, as given in Table V. The SSDNN provides similar level of accuracies as the existing literature for I/O buffer modeling, e.g. [11], [39]. The CPU time in the table is for the simulation of output waveforms of driver-receiver buffers with transmission line load for 50 variations of and . The SSDNN model is shown to be 12 times faster than the detailed transistor-level model, without sacrificing the accuracy. To verify the stability of the trained SSDNN model, the eigenvalues of the test matrix in (16) are computed and the maximum eigenvalue is found to be 0.0059, indicating that our SSDNN model is globally asymptotically stable. To further validate the GAS property, we perform the transient simulation of the SSDNN model for randomly selected initial conditions around the equilibrium point and the obtained trajectories of SSDNN state variables are shown in Fig. 6. It can be observed that all the trajectories asymptotically converge to the

CAO et al.: SSDNN TECHNIQUE FOR HIGH-SPEED IC APPLICATIONS

2407

Fig. 6. The state-space trajectories of the SSDNN for modeling a TI driver in Example D. These trajectories are generated by transient simulation of the . The SSDNN model model with randomly selected initial conditions, as t is trained to be globally asymptotically stable through our proposed constrained training algorithm. The model state variables x and x all converge to a unique equilibrium point as observed from the figure.

!1

VI. CONCLUSIONS

Fig. 5. Sample comparisons of the output voltage responses using detailed transistor-level model (-), IBIS model (--), and proposed SSDNN model (o) for Example D. The comparisons shown here are the cases for (a) T = 1:25 ns and d = 1:25 cm, and (b) T = 1:75 ns and d = 2:75 cm. Good agreement is achieved between the responses of the proposed SSDNN models and those of detailed transistor-level models even though such test waveforms are never used in training.

TABLE V COMPARISONS OF CPU TIME AND ACCURACY FOR VARIOUS TRANSIENT MODELS FOR HSPICE SIMULATION IN EXAMPLE D

We have presented a generalized neural-based modeling technique to learn the nonlinear transient behaviors of IC drivers and receivers for high-speed circuit applications. The SSDNN technique efficiently modifies and extends the DNN formulation, established mainly for frequency-domain steady state modeling, to cover time-domain transients. To address the robustness of the SSDNN model, we have derived several stability criteria that facilitate convenient investigations of local stability and global stability based on the SSDNN model parameters. As a further step towards enhancing model robustness, an advanced constrained training scheme has been developed that can automatically produce the SSDNN models with good accuracy and guaranteed GAS. The proposed constrained training algorithm has been demonstrated through the modeling of circuit-based and physics-based I/O buffers. The proposed technique can be used for achieving efficient CAD of high-speed ICs including high-speed interconnect and nonlinear driver/receiver buffers. APPENDIX DERIVATION OF ADJOINT SENSITIVITY FOR SSDNN

unique equilibrium point of the model as time proceeds. This example demonstrates that the SSDNN technique provides best overall efficiency, being more accurate than IBIS model and faster than detailed transistor-level model. The proposed constrained training algorithm leads to fast, accurate and robust modeling solutions for high-speed IC design.

The adjoint sensitivity for the existing DNN [6] was introduced in [8], [9]. Here we derive the sensitivity for the new state-space formulation of DNN. To derive the new SSDNN sensitivities, we utilize the concept of Lagrange function [42] and formulate our task as a nonlinear optimization problem of (25) with the SSDNN equations of (5) as dynamic constraints. We define a Lagrange function as

(33)

2408

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

where is a vector representing time-dependent Lagrange parameters. Let be the per-waveform training error defined in (25). Subject to (5), derivatives of with respect to the weight can be expressed in terms of as

This becomes the adjoint SSDNN equation in (26). Using the adjoint SSDNN of (26) together with its boundary conditions, (36) can be simplified as

(38) In general,

is independent of the weight , i.e., . Equation (38) can then be simplified by eliminating the first term. Thus the derivation of (28) is complete. ACKNOWLEDGMENT

where

is

defined in (27). Integrating of (34) by parts, we obtain

the

(34) term

The authors thank Dr. I. Erdin and H. Kwong of Nortel Networks for their valuable inputs on this paper and for providing continuous technical interactions and motivations in this research. Q. J. Zhang thanks his colleague Prof. M. S. Nakhla of Carleton University for continuous interactions on high-speed VLSI packaging and interconnects. Q. J. Zhang also wishes to thank Dr. H. Maramis of Intel for technical discussions on modeling and signal integrity. REFERENCES

(35) Equation (35) can be rearranged as

(36) As (36) holds true for arbitrary choice of , to eliminate the terms containing , we choose to satisfy the differential equation in the form

(37)

[1] Q. J. Zhang and K. C. Gupta, Neural Networks for RF and Microwave Design. Norwood, MA: Artech House, 2000. [2] P. Burrascano, S. Fiori, and M. Mongiardo, “A review of artificial neural networks applications in microwave computer-aided design,” Int. J. RF Microw. CAE, vol. 9, no. 3, pp. 158–174, May 1999. [3] P. M. Watson and K. C. Gupta, “EM-ANN models for microstrip vias and interconnects in dataset circuits,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2495–2503, Dec. 1996. [4] J. W. Bandler, M. A. Ismail, J. E. Rayas-Sánchez, and Q. J. Zhang, “Neuromodeling of microwave circuits exploiting space mapping technology,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2417–2427, Dec. 1999. [5] Y. H. Fang, M. C. E. Yagoub, F. Wang, and Q. J. Zhang, “A new macromodeling approach for nonlinear microwave circuits based on recurrent neural network,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2335–2344, Dec. 2000. [6] J. J. Xu, M. C. E. Yagoub, R. T. Ding, and Q. J. Zhang, “Neural based dynamic modeling of nonlinear microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2769–2780, Dec. 2002. [7] J. Wood, D. E. Root, and N. B. Tufillaro, “A behavioral modeling approach to nonlinear model-order reduction for RF/microwave ICs and systems,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2274–2284, Sep. 2004. [8] Y. Cao, J. J. Xu, V. K. Devabhaktuni, R. T. Ding, and Q. J. Zhang, “An adjoint dynamic neural network technique for exact sensitivities in nonlinear transient modeling and high-speed interconnect design,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, June 2003, pp. 165–168. [9] ——, “An adjoint sensitivity technique for dynamic neural network modeling and design of high-speed interconnect,” Int. J. RF Microw. CAE, to be published. [10] D. Schreurs, J. Verspecht, E. Vandamme, N. Vellas, C. Gaquiere, M. Germain, and G. Borghs, “ANN model for AlGaN/GaN HEMTs constructed from near-optimal-load large-signal measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 447–450. [11] I. A. Maio, I. S. Stievano, and F. G. Canavero, “NARX approach to black-box modeling of circuit elements,” in Proc. IEEE Int. Symp. Circuits Syst., Monterey, CA, Jun. 1998, pp. 411–414. [12] S. Lum, M. Nakhla, and Q. J. Zhang, “Sensitivity analysis of lossy coupled transmission lines with nonlinear terminations,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 4, pp. 607–615, Apr. 1994.

CAO et al.: SSDNN TECHNIQUE FOR HIGH-SPEED IC APPLICATIONS

[13] R. Achar and M. S. Nakhla, “Simulation of high-speed interconnects,” Proc. IEEE, vol. 89, no. 5, pp. 693–728, May 2001. [14] Electronic Industries Alliance, I/O Buffer Information Specification (IBIS) Ver. 4.1 Jan. 2003 [Online]. Available: http://eda.org/pub/ibis/ ver4.1/ [15] I. S. Stievano, I. A. Maio, and F. G. Canavero, “Parametric macromodels of digital I/O ports,” IEEE Trans. Adv. Packag., vol. 25, pp. 255–264, May 2002. [16] B. Mutnury, M. Swaminathan, and J. Libous, “Macro-modeling of nonlinear I/O drivers using spline functions and finite time-difference approximation,” in Proc. Electr. Perf. Electron. Packag., Princeton, NJ, Oct. 2003, pp. 273–276. [17] Z. H. Guan, G. R. Chen, and Y. Qin, “On equilibria, stability, and instability of Hopfield neural networks,” IEEE Trans. Neural Netw., vol. 11, pp. 534–540, Mar. 2000. [18] J. Cao, “Global exponential stability of Hopfield neural networks,” Int. J. Syst. Sci., vol. 32, no. 2, pp. 233–236, Feb. 2001. [19] T. G. Chu, C. S. Zhang, and Z. D. Zhang, “Necessary and sufficient condition for absolute stability of normal neural networks,” Neural Netw., vol. 16, no. 8, pp. 1223–1227, Oct. 2003. [20] S. Q. Hu and J. Wang, “Global stability of a class of continuous-time recurrent neural networks,” IEEE Trans. Circuits Syst., vol. 49, no. 9, pp. 1534–1547, Sep. 2002. [21] J. M. Zamarreño and P. Vega, “State space neural network. properties and application,” Neural Netw., vol. 11, no. 6, pp. 1099–1112, Aug. 1998. [22] J. Cao and J. Wang, “Global asymptotic and robust stability of recurrent neural networks with time delays,” IEEE Trans. Circuits Syst., vol. 52, no. 2, pp. 417–426, Feb. 2005. [23] ——, “Global asymptotic stability of a general class of recurrent neural networks with time-varying delays,” IEEE Trans. Circuits Syst., vol. 50, no. 1, pp. 34–44, Jan. 2003. [24] T. L. Liao and F. C. Wang, “Global stability of cellular neural networks with time delays,” IEEE Trans. Neural Netw., vol. 11, pp. 1481–1484, Nov. 2000. [25] S. Boyd, L. El Ghaoui, E. Feron, and V. Balakrishnan, Linear Matrix Inequalities in System and Control Theory. Philadelphia, PA: SIAM, 1994. [26] Y. Cao, R. T. Ding, and Q. J. Zhang, “A new nonlinear transient modeling technique for high-speed integrated circuit applications based on state-space dynamic neural network,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 1553–1556. [27] L. Leistritz, M. Galicki, H. Witte, and E. Kochs, “Training trajectories by continuous recurrent multilayer networks,” IEEE Trans. Neural Netw., vol. 15, no. 2, pp. 283–291, Mar. 2002. [28] J. M. Zamarreño, P. Vega, L. D. García, and M. Francisco, “Statespace neural network for modelling, prediction and control,” Contr. Eng. Practice, vol. 8, no. 9, pp. 1063–1075, Sep. 2000. [29] I. Rivals and L. Personnaz, “Black-box modeling with state-space neural networks,” in Neural Adaptive Control Technology, R. Zbikowski and K. J. Hunt, Eds. Singapore: World Scientific, 1996, pp. 237–264. [30] P. Gil, A. Dourado, and J. O. Henriques, “State space neural networks and the unscented Kalman filter in online nonlinear system identification,” in IASTED Int. Conf. Intell. Syst. Contr., Tampa, FL, Nov. 2001, pp. 337–342. [31] J. O. Henriques, A. Dourado, and P. Gil, “State space neural networks in nonlinear adaptive system identification and control,” in IFAC Workshop Adv. Fuzzy/Neural Contr. 2001, Valencia, Spain, Oct. 2001, pp. 99–104. [32] J. L. Elman, “Finding structure in time,” Cogn. Sci., vol. 14, pp. 179–211, 1990. [33] K. S. Narendra and K. Parthasarathy, “Identification and control of dynamic systems using neural networks,” IEEE Trans. Neural Netw., vol. 1, no. 2, pp. 4–27, Mar. 1990. [34] P. Campolucci, A. Uncini, F. Piazza, and B. D. Rao, “On-line learning algorithms for locally recurrent neural networks,” IEEE Trans. Neural Netw., vol. 10, no. 2, pp. 253–271, Mar. 1999. [35] M. Vidyasagar, Nonlinear Systems Analysis, 2nd ed. Englewood Cliffs, NJ: Prentice-Hall, 1993. [36] MATLAB. ver. 7.0, The Mathworks Inc., Natick, MA, 2004. [37] A. S. Sedra and K. C. Smith, Microelectronic Circuits, 4th ed. New York: Oxford Univ. Press, 1998.

2409

[38] HSPICE. ver. 2001.4, Avant! Corporation, Fremont, CA, 2001. [39] J. L. Rossello and J. Segura, “Charge-based analytical model for evaluation of power consumption in submicron CMOS buffer,” IEEE Trans. Computer-Aided Design, vol. 21, no. 4, pp. 433–448, Apr. 2002. [40] MINIMOS-NT v.2.0. Inst. for Microelectronics, Technical Univ. Vienna, Austria. [41] Inverting Buffers and Drivers Library. Texas Instruments Incorporated, Dallas, TX, 2003. [42] J. Vlach and K. Singhal, Computer Methods for Circuit Analysis and Design. New York: Van Nostrand Reinhold, 1993. Yi Cao (S’06) received the B.Eng. degree from Tianjin University, Tianjin, China, in 1999 and the M.A.Sc. degree from Carleton University, Ottawa, ON, Canada, in 2003, both in electrical engineering, where he is currently pursuing the Ph.D. degree. His research interests include computed-aided design of VLSI modules and applications of artificial neural networks for high-frequency/high-speed circuit modeling and design. Mr. Cao received the 2004 Carleton University Indira Gandhi Memorial Fellowship.

Runtao Ding was born in Shanghai, China, in 1938. He received the diploma degree from Tianjin University, Tianjin, China, in 1961. Since 1961, he has been with the Department of Electronic Engineering, School of Electronic Information Engineering, Tianjin University, where he is currently a Professor. From 1991 to 1996 and from 1996 to 1999, he was Chairman of the Department of Electronic Engineering and Dean of the School of Electronic Information Engineering, respectively. His research interests include nonlinear signal processing, image processing, neural networks, and circuit design. Prof. Ding was a Cochair of the Technical Program Committee of IEEE APCCAS’2000.

Qi-Jun Zhang (S’84–M’87–SM’95–F’06) received the B.Eng. degree from East China Engineering Institute, Nanjing, in 1982, and the Ph.D. degree in electrical engineering from McMaster University, Hamilton, ON, Canada, in 1987. From 1982 to 1983, he was with the System Engineering Institute, Tianjin University, Tianjin, China. From 1988 to 1990, he was with Optimization Systems Associates, Inc., Dundas, ON, Canada, where he developed advanced microwave optimization software. In 1990, he joined the Department of Electronics, Carleton University, Ottawa, ON, where he is currently a Professor. His research interests are neural network and optimization methods for high-speed/high-frequency circuit design, and has more than 170 publications in the area. He is an author of Neural Networks for RF and Microwave Design (Artech House, 2000), a coeditor of Modeling and Simulation of High-Speed VLSI Interconnects (Kluwer, 1994), and a contributor to Encyclopedia of RF and Microwave Engineering, (Wiley, 2005), Fundamentals of Nonlinear Behavioral Modeling for RF and Microwave Design, (Artech House, 2005), and Analog Methods for Computer-Aided Analysis and Diagnosis, (Marcel Dekker, 1988). He was a Guest Coeditor for a Special Issue on High-Speed VLSI Interconnects of the International Journal of Analog Integrated Circuits and Signal Processing and twice a Guest Editor for the Special Issues on Applications of ANN to RF and Microwave Design for the International Journal of RF and Microwave CAE. He is a member of the Editorial Board of the International Journal of RF and Microwave CAE and the International Journal of Numerical Modeling. Prof. Zhang is a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and of the Technical Committee on CAD (MTT-1) of the IEEE Microwave Theory and Techniques Society.

2410

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Space-Mapping-Based Interpolation for Engineering Optimization Slawomir Koziel, Member, IEEE, John W. Bandler, Fellow, IEEE, and Kaj Madsen

Abstract—We consider a simple and efficient space mapping (SM)-based interpolation scheme to work in conjunction with SM optimization algorithms. The technique is useful if the fine model (the one that is supposed to be optimized) is available only on a structured grid. It allows us to estimate the response of the fine model at off-grid points and, as a result, increases the effective resolution of the design variable domain search and improves the quality of the fine model solution found by the SM optimization algorithm. The proposed method requires little computational effort. In particular, no additional fine model evaluations are necessary. Several examples that verify the accuracy and robustness of our approach are provided. Index Terms—Engineering optimization, microwave design, offgrid interpolation, space mapping (SM), SM optimization.

I. INTRODUCTION PACE-MAPPING (SM) technology is a novel, although already recognized, engineering optimization paradigm, consisting of a number of efficient optimization approaches [1]–[5]. The main idea behind SM is that the direct optimization of an accurate but computationally expensive high fidelity or “fine” model of interest is replaced by the iterative optimization and updating of a so-called “coarse” model (less accurate but very cheap to evaluate). Provided that the misalignment between the fine and coarse models is not significant, SM-based algorithms typically provide excellent results after only a few evaluations of the fine model. SM was originally applied to the optimization of microwave devices [1], where fine models are often based on full-wave electromagnetic simulators, whereas coarse models are physically based circuit models. In this case the fine model evaluation can be time consuming, and saving each such evaluation counts. SM techniques have already been applied to optimization and modeling problems in a growing number of areas (see, e.g., [6]–[8]). A review and exposition of advances in SM technology is found in [5].

S

Manuscript received November 28, 2005; revised February 20, 2006. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada under Grant OGP0007239 and Grant STGP269889 and in part by Bandler Corporation. S. Koziel is with the Simulation Optimization Systems Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1 (e-mail: [email protected]). J. W. Bandler is with the Simulation Optimization Systems Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1, and also with Bandler Corporation, Dundas, ON, Canada L9H 5E7 (e-mail: [email protected]). K. Madsen is with Informatics and Mathematical Modelling, Technical University of Denmark, DK-2800 Lyngby, Denmark (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875298

Recent efforts have been focused on several areas: 1) development of new, more efficient optimization algorithms that use different SM techniques such as implicit space mapping [3], [9] and output space mapping [4]; 2) development of new SM-based models [10], [11]; 3) theoretical justification of space mapping and convergence theory for SM optimization algorithms [12], neuro-SM [13]–[17], and applications of SM (e.g., [18] and [19]). In this paper, we present an SM-based interpolation technique for engineering optimization. In some situations, it happens that the model to be optimized is available on a finite grid only. This is usually due to the simulation method used to evaluate the model. For example, in the microwave area, electromagnetic simulation may be performed by solving partial differential equations by means of the method of moments (as in Sonnet em). Although, in theory, the grid can be made as fine as necessary, in practice this is not feasible because using a finer grid increases the computational cost of model evaluation as well as memory consumption. Thus, to perform optimization of the model while maintaining a reasonable grid size, we need interpolation. Normally, interpolation is based on additional fine model evaluations at different (neighboring) points. Space mapping offers a unique way of interpolating fine model responses without any additional fine model evaluations. More specifically, we use the surrogate model (that is updated after any SM iteration) to estimate the fine model response at off-grid points that are close to the current iteration point. In particular, to find the estimated fine model response at a given point, we take the fine model response at the nearest on-grid point and add a correction term which is the difference between the current surrogate model response at the point of interest and the aforementioned on-grid point. We show that the proposed interpolation method increases the resolution of the design variable domain search and improves the quality of the fine model solution found by SM optimization algorithms. On the other hand, it can be used to speed up the optimization process because an SM algorithm with our interpolation allows us to obtain the same resolution and solution quality using a much coarser grid than the SM algorithm without interpolation. This paper is organized as follows. Section II briefly reviews the formulation of the SM concept and typical optimization algorithms. Section III introduces the proposed SM-based interpolation scheme. Section IV contains verification examples. In Section V, we present a mathematical motivation of our interpolation scheme. Section VI demonstrates that our interpolation scheme can be efficiently used to speed up the optimization process. Section VII examines robustness. A discussion and conclusions follow in Section VIII.

0018-9480/$20.00 © 2006 IEEE

KOZIEL et al.: SM-BASED INTERPOLATION FOR ENGINEERING OPTIMIZATION

II. BASICS OF SM OPTIMIZATION Let us state the optimization problem as follows. Let denote the response vector of a fine model of the device of interest, where . Our goal is to solve the problem

2411

[2] and output [4] SM. At iteration , , the surrogate is defined as (at iteration 0, we have ) model

(6) where

(1) where is a given objective function. We assume throughout the paper that is a closed subset of . We shall denote by the set of all satisfying (1) and call it the set of fine model minimizers. We consider the fine model to be expensive to compute and solving (1) by direct optimization to be impractical. Instead, we use surrogate models, i.e., models that are not as accurate as the fine model but are computationally cheap, and hence suitable for iterative optimization. We consider a general optimization algorithm that generates a sequence of points , , and a family of surrogate models , , so that (2) and is constructed using suitable matching conditions with the fine model at (and, perhaps, some of the , ). We assume here that for . If the solution to (2) is non-unique we may impose regularization. We may match responses, i.e.,

(7)

(8)

(9) , and are obtained using a process called parameter and extraction (PE), as defined in (7). Matrices are calculated using (8) and (9) after having de, , and . Matching measure determines termined the surrogate model as much as (6) does. We can consider different matching measures that aim to match the fine and surrogate model responses and/or their first-order derivatives. A general form of the matching measure is Matrices

(3) and/or match first-order derivatives (4)

(10)

where and denote Jacobians of the surrogate and fine models, respectively. More precisely, we aim to define models so that conditions such as (3) and (4) are satisfied. The family of surrogate models can be implemented in various ways. SM assumes the existence of a so-called coarse model that describes the same object as the fine model: less accurate but much faster to evaluate. Let denote the response vectors of the coarse model, where . By , we denote the set of coarse model minimizers (i.e., the set of optimal solutions of the coarse model)

and are either zero or We assume that coefficients one (although more general situations are conceivable). Setting , , and , , means that the surrogate tries to match the fine model response at all previous points (including the current point) as well as the Jacobian at the current point. The general SM surrogate model can be specified by enabling/disabling particular components of the space mapping as well as by choosing proper values of weight coefficients and . Any of the model components , , , , and can be enabled or disabled as shown in Table I. A number of responses and Jacobians used in parameter extraction can be determined as shown in Table II. We shall use the following naming convention for the surrogate models: the presence of any of the letters , , , , is equivalent to enabling of the corresponding model component. The first (second) subscript denotes number of responses (Jacobians) used in parameter extraction (subscript “all” is allowed as shown in Table II). For example, surrogate model corresponds to the model that uses nontrivial components , , , and , tries to match

(5) In the SM framework, the family of surrogate models is conis structed from the coarse model in such a way that each a suitable distortion of , such that given matching conditions are satisfied. A variety of SM-based surrogate models have been used and described in the literature [1]–[5]. In this paper, we utilize a general SM surrogate model that incorporates both input

2412

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE I NAMING CONVENTION OF THE SURROGATE MODELS USED IN THE SM OPTIMIZATION ALGORITHM (SURROGATE MODEL)

The SM optimization algorithm1 can be summarized as follows:

Step Step

Step TABLE II NAMING CONVENTION OF THE SURROGATE MODELS USED IN THE SM OPTIMIZATION ALGORITHM (PE)

Step

Set Given and obtain using (11) Update according to (12) and (13) If is accepted i.e., set and determine the new surrogate model as in (6)–(10) If the termination condition is not satisfied go to Step 1 else terminate the algorithm (14) III. SM-BASED INTERPOLATION

fine model responses from all previous iterations, and uses no Jacobian data in the parameter extraction process. In order to improve the convergence of the optimization algorithm we enhance it by an (optional) trust region (TR) method [20] so that the original problem (2) is replaced by

(11) denotes the TR radius at iteration . We use the tradiwhere tional updating rules for the TR radius since we found them to be efficient in our experiments. Let and denote the objective function value for the fine model and the surrogate, respectively, at (iteration point ). The next point is found as a solution to (11). Having , , , and , we can calculate the so-called gain ratio

(12) The TR radius for the next iteration the following way:

is determined in

From now on, we shall deal with the situation when the fine model can be evaluated on a discrete subset of only so that in order to conduct optimization outside this subset, we need to perform some kind of interpolation. In this section, we give the details of a simple SM-based interpolation scheme suitable to work with the optimization algorithms described in Section II. Suppose that the fine model is only available on a subset of its domain . We will assume for the rest of this paper that is a uniform grid with step in direction (although this assumption is not critical). Let (snapping function) be defined in such a way that for any , is a point snapped to the nearest grid point (with respect to a given norm), i.e.,

(15) where relation is a lexicographic order with respect to vector components. Note that for any . We would like to estimate the value of at any point of using available data (recall that we assumed that is only ) and the current surrogate model available on available during the optimization process. Let be the sequence created during the SM-based optimization procedure. We define an interpolated fine model response at point as

(16)

(13) In our experiments we use , and . Initial value typically equal to .

, , of the TR radius is

1The termination condition we use in this paper is as follows. The optimization algorithm is terminated if one of the conditions is satisfied: (i) x x T olX and R R T olF un (convergence of the algorithm; T olX and T olF un are user-specified tolerances); (ii) i > MaxIter (user-specified maximum number of iterations); (iii) the number of fine model evaluations exceeds a user-specified value; (iv)  < 0:1 T olX (the TR radius is smaller than 10% of the argument tolerance).

k

0

k

1

k

0

k

KOZIEL et al.: SM-BASED INTERPOLATION FOR ENGINEERING OPTIMIZATION

Note that

is defined in such a way that if , i.e., if is an on-grid point. The motivation behind this kind of interpolation follows from the fact that for each is defined using some or all of the information concerning the fine model gathered during the previous iterations. Thus, is the best available source of information about in the neighborhood of . Note that (16) is not a global interpolation method. It is only local and tailored to work with SM optimization algorithms. In order to use interpolation scheme (16), we need to modify the matching measure (10) in the following way:

2413

It should be emphasized that the proposed interpolation scheme uses already available fine model data—no additional fine model evaluations are necessary to perform this interpolation. The method is simple and straightforward to implement. The SM optimization algorithm that uses the interpolation scheme introduced in this section is the same as (14) except that we use to calculate in (12) while using our interpolation scheme, matching measure (17) instead of (10), and (18) instead of (8); also we use condition as a convergence measure (instead of ). Using the interpolated fine model for calculating the gain ratio (12) as well as in the termination condition are the factors that affect the operation of the SM algorithm and allow us to obtain a better resolution and accuracy of SM optimization. For further use, we also define a function , an extension of ( restricted to ) onto such that

(20)

(17) denotes an approximation of the fine where symbol (coarse) model Jacobian using finite differences with points lying on the grid. Although such estimation may be poor, especially for coarse grids, the use of Jacobian estimation in parameter extraction (17) may be beneficial in many cases, because the matching measure with and is, in a way, equivalent to multipoint parameter extraction at points corresponding to the finite difference scheme used for Jacobian approximation. On the other hand, the term should not be used because its accuracy is essential for algorithm performance. For calculation of the matrix we use, instead of (8), the new formula

(18) One can also use an alternative matching measure based on the interpolated fine model response

(19) instead which uses an approximated fine model response at of the fine model response at iteration points snapped to the grid. Experiments indicate that this matching measure performs almost as well as (17); however, we will not use it further in this paper.

is the piece-wise constant extension of onto i.e., (which is equivalent to the actual fine model used while performing SM optimization without any interpolation scheme); is the snapping function defined by (15). IV. EXAMPLES In this section, we describe results of numerical experiments conducted to verify the interpolation scheme proposed in Section III. We considered a couple of test problems, including synthetic examples (i.e., problems for which the fine model is defined on a continuous domain; however, we evaluate it only on a given grid—this allows us to perform reliable verification of the results) and real microwave problems in which the fine model is evaluated using commercial simulators and it really is available on a finite grid only (in this case, our results are verified by means of simulating the fine model with a very fine grid). A. Two-Section Capacitively Loaded Impedance Transformer As our first example, we used a two-section impedance transformer [21]. The “coarse” and “fine” models for the two-section impedance transformer are shown in Fig. 1. The values of the fine model capacitances are pF. The characteristic impedances are kept fixed at the optimal values . The physical lengths and of the two transmission lines are selected as designable parameters. Twenty-one frequency points are simulated per sweep (uniformly distributed in the interval [0.5, 1.5] GHz). We consider the input reflection coefficient response of both models which is a function of the real frequency and the designable parameters . Both fine and coarse models are implemented in MATLAB. Design specifications are in the whole frequency range. Optimization of the model was performed using our SM optimization algorithm with the trust region method. We used the surrogate model (see Section II for details). Design

2414

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 1. (a) “Fine” and (b) “coarse” model, two-section capacitively loaded impedance transformer [21].

TABLE III COMPARISON OF EXPERIMENTS ON THE TWO-SECTION TRANSFORMER TEST PROBLEM

variables are normalized to the coarse model optimal solution, i.e., the normalized starting point is . In our experiments we used four different grids: 2, 5, 10, and 20 (i.e., we restrict evaluation of the fine model only to the points corresponding to the grid of a given size). We performed optimization of the two-section transformer using i) our interpolation scheme (16) and ii) no interpolation so that the fine model was evaluated at the nearest grid point regardless of the actual value of the coordinates [i.e., (20) was used]. Table III shows the details of our experiments, as well as the results, i.e., a comparison of the quality of solutions obtained with and without interpolation for different grid sizes. Fig. 2 shows the results for the grid size 20: the fine model response at the final iteration (interpolated and accurate) for optimization without interpolation [see Fig. 2(a)] and with the SM-based interpolation [see Fig. 2(b)]. It follows from the results presented in Table III that using our interpolation scheme gives satisfactory results even for the largest grid (a grid of 20 is more than 20% of the design parameter values at the final solution). The specification error according to interpolated model is reliable and response accuracy is good. B. Seven-Section Capacitively Loaded Impedance Transformer Our second example is a seven-section capacitively loaded impedance transformer [21]. The “coarse” and “fine” models for the seven-section impedance transformer are shown in Fig. 3. The load impedance is 100 and the line impedance is 50 . The values of the fine model capacitances are . The characteristic impedances are kept

Fig. 2. Optimization results for grid size 20 for optimization: (a) without interpolation and (b) with interpolation: response at the final iteration according to the current model (points) and the exact response (i.e., obtained using the model available on a continuous domain) at the final iteration (solid line).

fixed at the optimal values . The physical lengths , , of the seven transmission lines are selected as designable parameters; 68 frequency points are simulated per sweep (uniformly distributed in the interval [1.0, 7.7] GHz). Both fine and coarse models are implemented in MATLAB. We consider the input reflection coefficient response of both models which is a function of the real frequency and the designable parameters . Design specifications are in the whole frequency range. Optimization of the model was performed using our SM optimization algorithm with the trust region method. We used the surrogate model (see Section II for details). Design variables are normalized to the coarse model optimal solution, i.e., the normalized starting point is .

KOZIEL et al.: SM-BASED INTERPOLATION FOR ENGINEERING OPTIMIZATION

2415

Fig. 3. Seven-section capacitively loaded impedance transformer. (a) “Fine” model. (b) “Coarse” model [21].

TABLE IV COMPARISON OF EXPERIMENTS ON THE SEVEN-SECTION TRANSFORMER TEST PROBLEM

In our experiments we used four different grids: 0.02, 0.05, 0.10, and 0.20. We performed optimization of the seven-section transformer using i) an interpolation scheme (16) and ii) no interpolation, so that the fine model was evaluated at the nearest grid point regardless of the actual value of the coordinates [i.e., (20) was used]. Table IV shows the details of our experiments as well as the results, i.e., a comparison of the quality of solutions obtained with and without interpolation for different grid sizes. Fig. 4 shows the results for grid size 0.2: the fine model response at the final iteration (interpolated and accurate) for optimization without interpolation [see Fig. 4(a)] and with the SM-based interpolation [see Fig. 4(b)]. It follows from the results presented in Table IV that using our interpolation scheme gives satisfactory results even for relatively coarse grids (a grid of 0.10 is more than 10% of the design parameter values at the final solution). The specification error according to the interpolated model is reliable and the response accuracy is good. Even for a grid of 0.20, the response accuracy is acceptable. C. Three-Section Microstrip Transformer Our third test problem is the three-section microstrip impedance transformer shown in Fig. 5 [22]. The coarse

Fig. 4. Optimization results for grid size 0.2 for optimization: (a) without interpolation and (b) with interpolation: response at the final iteration according to the current model (points) and the exact response (i.e., obtained using the model available on a continuous domain) at the final iteration (solid line).

model is shown in Fig. 6. Well-known empirical formulas are used to express electrical parameters in terms of physical dimensions. The design specifications are for GHz GHz. The designable parameters are the width and physical length of each microstrip line. Here, the reflection coefficient is used to match the two model responses. The fine model is a Sonnet em [23] model. The designable parameters for the fine model are the widths and physical lengths of the three microstrip lines. The thickness of the dielectric substrate is 0.635 mm (25 mil) and its relative permittivity is 9.7. The effect of nonideal dielectric is considered

2416

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE V COMPARISON OF EXPERIMENTS ON THE THREE-SECTION TRANSFORMER TEST PROBLEM

Fig. 5. Three-section 3:1 microstrip impedance transformer: structure and dimensions [22].

Fig. 6. Three-section 3:1 microstrip impedance transformer: coarse model [22].

by setting the loss tangent to 0.002. We use 11 frequency points in the sweep. The coarse model is implemented in MATLAB. Optimization of the model was performed using an our SM optimization algorithm with a trust region method. We used the surrogate model (see Section II). The starting point is (coarse model optimal solution). In our experiments we used three different grids: grid 1—a horizontal grid of 1 mm, a vertical grid of 0.1 mm; grid 2—a horizontal grid of 4 mm, a vertical grid of 0.2 mm; and grid 3—a horizontal grid of 10 mm, a vertical grid of 0.25 mm. We performed optimization of the three-section transformer using: 1) our interpolation scheme (16) and 2) no interpolation, so that the fine model was evaluated at the nearest grid point regardless of the actual value of the coordinates [i.e., (20) was used]. Since this is a real (not synthetic) example, the accuracy of the results was verified by simulating the results using a fine grid with the following parameters: a horizontal grid of 0.2 mm and a vertical grid of 0.01 mm. Simulation results obtained using this grid were treated as exact (accurate) responses of the fine model. Table V shows the details of our experiments, as well as the results, i.e., a comparison of the quality of solutions obtained with and without interpolation for different grid sizes. Fig. 7 shows the results for grid size 10/0.25: the fine model response at the final iteration (interpolated and accurate) for optimization without interpolation [see Fig. 7(a)] and with the SM-based interpolation [see Fig. 7(b)]. It is seen from the results in Table V that our interpolation scheme gives satisfactory results for all grids. Specification error according to the interpolated model is reliable and the response accuracy is good. D. Six-Section

-Plane Waveguide Filter

Our last test problem is the six-section -plane waveguide filter shown in Fig. 8 [24]. We use a waveguide of width 1.372 in (34.85 mm). The six waveguide sections are separated by seven -plane septa, which have a finite thickness of 0.0245 in (0.6223 mm). Design parameters are the section lengths , , and and the septa widths , , , and . We use 51 points from GHz GHz in the frequency sweep. The design specifications are for GHz

Fig. 7. Optimization results for grid size 10/0.25 for optimization: (a) without interpolation and (b) with interpolation: response at the final iteration according to the current model (points) and the exact response (i.e., obtained using the model available on a very fine grid) at the final iteration (solid line).

GHz,

for GHz GHz, and for GHz GHz. The fine model is simulated using MEFiSTo [25] in a two-dimensional mode. The MATLAB coarse model (Fig. 9) has lumped inductances and dispersive transmission line sections. We simplify formulas due to Marcuvitz for the inductive susceptances corresponding to the -plane septa.

KOZIEL et al.: SM-BASED INTERPOLATION FOR ENGINEERING OPTIMIZATION

2417

TABLE VI COMPARISON OF EXPERIMENTS ON THE -PLANE WAVEGUIDE FILTER TEST PROBLEM

H

Fig. 8. Six-section

Fig. 9. Six-section model [24].

H -plane waveguide filter: the three-dimensional view [24].

H -plane waveguide filter: the equivalent empirical circuit

Optimization of the model was performed using our SM optimization algorithm with a trust region method. We used the surrogate model (see Section II for details). The starting point is mm (coarse model optimal solution). In our experiments we used four different grids: 0.5, 1.0, 2.0, and 4.0 [mm]. We performed optimization of the six-section -plane waveguide filter using i) our interpolation scheme (16) and ii) no interpolation so that the fine model was evaluated at the nearest grid point regardless of the actual value of the coordinates [i.e., (20) was used]. Accuracy of the results was verified by the MEFiSTo simulator with its rubber-cell feature, which allows evaluation of the model at any point: on-grid or off-grid. Table VI shows the details of our experiments, as well as the results, i.e., a comparison of the quality of the solutions obtained with and without interpolation for different grid sizes. Fig. 10 shows the results for grid size 4: the fine model response at the final iteration (interpolated and accurate) for optimization without interpolation [see Fig. 10(a)] and with the SM-based interpolation [see Fig. 10(b)]. It is seen from the results that our interpolation scheme gives satisfactory results for all grids. The specification error according to our interpolated model is reliable. Accuracy of the response is not very good, which is mainly because of the very steep response of the filter at the edge of the stopband. V. MATHEMATICAL MOTIVATION OF THE SM INTERPOLATION SCHEME The results of Section IV can be accounted for using the simple error estimation shown below. As before, let

Fig. 10. Optimization results for grid size 4 for optimization: (a) without interpolation and (b) with interpolation: response at the final iteration according to the current model (points) and the exact response (i.e., obtained using the model available on a continuous domain) at the final iteration (solid line).

denote the piece-wise constant extension of onto defined by (20) and be the interpolated fine model defined by (16). Let be a surrogate model of defined in the neighborhood of (i.e., is on grid) and

2418

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

~ (points) and R  Fig. 11. l error between the fine model R and models R (crosses) versus the distance from the reference point for the seven-section transformer.

(i.e., the set of all points in that are closer to than to any other grid point). Using the mean value theorem, we obtain the following estimates: (21) where (22) and

Fig. 12. Two-section transformer fine model response at 1 GHz (normalized grid size ten): (a) without interpolation and (b) with SM-based interpolation.

(23) where (24) It follows from (21)–(24) that the interpolation error grows linearly with distance from for both and . However, constant is in practice much smaller than because is defined in such a way that it aims at reducing misalignment between and the coarse model in the neighborhood of . As an example, consider the seven-section transformer. Fig. 11 shows the average error between the fine model and models (points) and (crosses) versus the distance from the reference point (here ). The surrogate was set up using 15 points located in a star distribution with step 0.05. The average was taken over 500 random points (separate statistics for each distance). It follows that, in this case, interpolation using the surrogate model reduces the error by a factor of ten. A similar experiment was carried out for the two-section transformer. In this case, interpolation using the surrogate model reduces the matching error by a factor of six. The other aspect of SM interpolation is that it makes the optimization algorithm more stable. Without interpolation, the optimized function is piece-wise constant and thus highly discontinuous at boundary points between different subdomains . Interpolation helps reduce these discontinuities. Fig. 12(a) shows

the uninterpolated fine model response of the two-section transformer for frequency 1 GHz with a grid equal to ten (in both directions). Fig. 12(b) shows the corresponding response for the model with interpolation. As a consequence, interpolation improves the convergence properties an SM algorithm. We omit the details. VI. USING SM INTERPOLATION TO SPEED UP THE OPTIMIZATION PROCESS So far, we have shown that space-mapping-based interpolation allows us to perform optimization of models available on a given grid as if they are available on a continuous domain. In this section, we show that SM-based interpolation can be used to speed up the optimization process. The key features of the proposed interpolation scheme that allow this speedup are: no additional fine model evaluations required to perform interpolation and good reliability even for relatively coarse grids. Because of these features, instead of performing optimization using a fine grid (adjusted according to the required resolution), one can use SM-based interpolation and a much coarser grid to get a solution of similar resolution and quality in a shorter time. For demonstration purposes, we use one of the examples described in Section IV: the three-section impedance transformer. In Experiment 1, we performed optimization using a horizontal grid of 1 mm and a vertical grid of 0.1 mm without interpolation

KOZIEL et al.: SM-BASED INTERPOLATION FOR ENGINEERING OPTIMIZATION

2419

TABLE VII COMPARISON OF EXPERIMENTS ON THE THREE-SECTION TRANSFORMER TEST PROBLEM

Fig. 13. Fine model response (solid line) and coarse model responses R (o), ( ), R ( ), R (+), R ( ), and R ( ) at x = [90 90] .

R assuming that this grid gives a satisfactory resolution. In Experiment 2, the three-section transformer was optimized using a horizontal grid of 4 mm and a vertical grid of 0.2 mm (with SM-based interpolation); in Experiment 3 we used a horizontal grid of 10 mm and a vertical grid of 0.25 mm (also with interpolation). The accuracy of the results was verified by evaluating the fine model with a very fine grid (horizontal of 0.2 mm and vertical of 0.01 mm). It follows from the results in Table VII that using interpolation indeed allows us to obtain significant reduction of optimization time. Moreover, the quality of the solution obtained with coarser grids and interpolation is better both with respect to the specification error and response accuracy. It should be pointed out that the proposed interpolation scheme can be used to speed up the optimization process even if the fine model is available on a continuous domain. In particular, the user can introduce a so-called simulation grid, i.e., to limit the algorithm to evaluate the fine model only on this grid. This concept has already been used in commercial software, e.g., Empipe [26]. When the model is available only on a grid, we can use a coarser grid as a simulation grid. After some initial iterations, as the algorithm is about to converge, most of the subsequent iteration points will be snapped to the same grid point while evaluating the fine model. Provided that the fine model data is stored in the database, subsequent fine model evaluations cost virtually nothing (regardless of how many iterations are necessary to complete the optimization). VII. ROBUSTNESS OF THE INTERPOLATION SCHEME It is natural to expect that the quality of the interpolation scheme (16) proposed in this paper depends on the quality of the coarse model used in the space mapping optimization procedure. This is because we expect that accuracy of the surrogate model is a function of the accuracy of the coarse model itself. However, our interpolation scheme is tightly connected with the space mapping algorithm so that the question “How robust is the interpolation?” is in fact “How robust is the space mapping algorithm itself?” In order to get insight into this issue, we consider again the two-section impedance transformer example and examine the quality of the interpolation versus the quality of the coarse model. Let denote the original coarse model of the two-sec-

2

3

000

tion transformer used in Section IV. We shall consider the family of coarse models defined as follows: (25) is a constant vector. In other where words, is a convex combination of the original coarse model and a constant vector. We also consider another coarse model , which is the same as the original coarse model except that its characteristic impedances are the same and equal to the average of their optimal values, i.e., . Fig. 13 shows the fine model response and coarse model responses for models , , and at . It is seen that the coarse model becomes worse and worse with increasing . The model is the worst of all the models considered here. Note also that even the original coarse model is far from good. Now, for each of these coarse models, we perform optimization using the surrogate model (the same as in Section IV), imposing the grid of size 10 and using interpolation scheme (16). For comparison, we also perform SM optimization without a grid (so that we can see how the regular SM algorithm performs with increasingly bad coarse models). Table VIII shows the results, including specification errors and response accuracy. The results in Table VIII indicate that our interpolation scheme provides good results for values of up to 0.4. For larger values of , response accuracy is no longer satisfactory. Thus, the interpolation is robust enough to work properly even with bad models, although it eventually fails when the coarse model is really bad. It follows from the last column of Table VIII, which provides the optimization results without using any grid (i.e., regular SM optimization with the fine model available on a continuous domain), that regular SM optimization begins to fail for about the same value of (larger than 0.4). This confirms what we said at the beginning of this section. Our interpolation scheme is tightly connected to the SM optimization algorithm, and if the coarse model becomes too bad for the SM optimization, it also becomes bad for SM interpolation. This is further confirmed by the results concerning the model: in this case both regular SM optimization (no grid, no interpolation) and SM optimization with grid and interpolation fail.

2420

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE VIII RESULTS FOR THE TWO-SECTION TRANSFORMER TEST PROBLEM (TESTING ROBUSTNESS OF INTERPOLATION)

VIII. CONCLUSION A novel space-mapping-based interpolation scheme has been presented. It is designed to work in conjunction with SM optimization algorithms. The method is useful if the fine model (the one that is supposed to be optimized) is available only on a finite grid. It allows us to estimate the response of the fine model at off-grid points and, as a result, increases the resolution of the design variable domain search and improves the quality of the fine model solution found by the optimization algorithm. Moreover, it can be used to speed up the entire optimization process by relaxing the grid requirements. The proposed method requires little computational effort; in particular, no additional fine model evaluations are necessary. Several examples presented in this paper verify the accuracy and robustness of our approach. REFERENCES [1] J. W. Bandler, R. M. Biernacki, S. H. Chen, P. A. Grobelny, and R. H. Hemmers, “Space mapping technique for electromagnetic optimization,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 536–544, Dec. 1994. [2] J. W. Bandler, R. M. Biernacki, S. H. Chen, R. H. Hemmers, and K. Madsen, “Electromagnetic optimization exploiting aggressive space mapping,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2874–2882, Dec. 1995. [3] J. W. Bandler, Q. S. Cheng, N. K. Nikolova, and M. A. Ismail, “Implicit space mapping optimization exploiting preassigned parameters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 378–385, Jan. 2004. [4] J. W. Bandler, Q. S. Cheng, D. H. Gebre-Mariam, K. Madsen, F. Pedersen, and J. Søndergaard, “EM-based surrogate modeling and design exploiting implicit, frequency and output space mappings,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1003–1006. [5] J. W. Bandler, Q. S. Cheng, S. A. Dakroury, A. S. Mohamed, M. H. Bakr, K. Madsen, and J. Sondergaard, “Space mapping: the state of the art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 337–361, Jan. 2004. [6] S. J. Leary, A. Bhaskar, and A. J. Keane, “A constraint mapping approach to the structural optimization of an expensive model using surrogates,” Opt. Eng., vol. 2, pp. 385–398, Dec. 2001. [7] M. Redhe and L. Nilsson, “Using space mapping and surrogate models to optimize vehicle crashworthiness design,” presented at the 9th AIAA/ISSMO Multidisciplinary Anal. Opt. Symp., Atlanta, GA, Sep. 2002, paper AIAA-2002-5536.

[8] H.-S. Choi, D. H. Kim, I. H. Park, and S. Y. Hahn, “A new design technique of magnetic systems using space mapping algorithm,” IEEE Trans. Magn., vol. 37, no. 5, pp. 3627–3630, Sep. 2001. [9] J. W. Bandler, M. A. Ismail, and J. E. Rayas-Sanchez, “Expanded space-mapping EM-based design framework exploiting preassigned parameters,” IEEE Trans. Circuits Syst. I, vol. 49, no. 12, pp. 1833–1838, Dec. 2002. [10] S. Koziel, J. W. Bandler, A. S. Mohamed, and K. Madsen, “Enhanced surrogate models for statistical design exploiting space mapping technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 1609–1612. [11] J. W. Bandler, Q. S. Cheng, and S. Koziel, “Implementable space mapping approach to enhancement of microwave device models,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 1139–1142. [12] S. Koziel, J. W. Bandler, and K. Madsen, “Towards a rigorous formulation of the space mapping technique for engineering design,” in Proc. Int. Symp. Circuits, Syst. (ISCAS), Kobe, Japan, May 2005, pp. 5605–5608. [13] M. H. Bakr, J. W. Bandler, M. A. Ismail, J. E. Rayas-Sanchez, and Q.-J. Zhang, “Neural space-mapping optimization for EM-based design,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2307–2315, Dec. 2000. [14] V. K. Devabhaktuni, B. Chattaraj, M. C. E. Yagoub, and Q.-J. Zhang, “Advanced microwave modeling framework exploiting automatic model generation, knowledge neural networks, and space mapping,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1822–1833, Jul. 2003. [15] J. E. Rayas-Sanchez, “EM-based optimization of microwave circuits using artificial neural networks: the state-of-the-art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 420–435, Jan. 2004. [16] J. E. Rayas-Sanchez, F. Lara-Rojo, and E. Martinez-Guerrero, “A linear inverse space-mapping (LISM) algorithm to design linear and nonlinear RF and microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 960–968, Mar. 2005. [17] L. Zhang, J. Xu, M. C. E. Yagoub, R. Ding, and Q.-J. Zhang, “Efficient analytical formulation and sensitivity analysis of neuro-space mapping for nonlinear microwave device modeling,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2752–2767, Sep. 2005. [18] M. A. Ismail, D. Smith, A. Panariello, Y. Wang, and M. Yu, “EMbased design of large-scale dielectric-resonator filters and multiplexers by space mapping,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 386–392, Jan. 2004. [19] K.-L. Wu, Y.-J. Zhao, J. Wang, and M. K. K. Cheng, “An effective dynamic coarse model for optimization design of LTCC RF circuits with aggressive space mapping,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 393–402, Jan. 2004. [20] A. R. Conn, N. I. M. Gould, and P. L. Toint, Trust Region Methods, ser. MPS-SIAM Series on Optimization, 2000. [21] M. H. Bakr, J. W. Bandler, K. Madsen, and J. Søndergaard, “An introduction to the space mapping technique,” Opt. Eng., vol. 2, pp. 369–384, Dec. 2001. [22] Q. S. Cheng, “Advances in space mapping technology exploiting implicit space mapping and output space mapping,” Ph.D. dissertation, McMaster University, Hamilton, ON, Canada, 2004. [23] em. ver. 9.52, Sonnet Software Inc., North Syracuse, NY. [24] M. H. Bakr, J. W. Bandler, N. Georgieva, and K. Madsen, “A hybrid aggressive space mapping algorithm for EM optimization,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2440–2449, Dec. 1999. [25] MEFiSTo-3D Pro, version 4.0. Faustus Scientific Corporation, Victoria, BC, Canada, 2004. [26] Empipe and Empipe3D. Agilent Technologies, Santa Rosa, CA, 1997. Slawomir Koziel (M’03) was born in Poland, in 1970. He received the M.Sc. and Ph.D. (with honors) degrees in electronic engineering from Gdansk University of Technology, Gdansk, Poland, in 1995 and 2000, respectively, and the M.Sc. degree in theoretical physics and mathematics and Ph.D. degree in mathematics (with honors) from the University of Gdansk, Gdansk, Poland, in 2000, 2002, and 2003, respectively. He is currently a Post-Doctoral Fellow with the Simulation Optimization Systems Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada. He has published more than 80 papers. His research interests include space-mapping-based modeling and optimization, circuit theory, analog signal processing, active filter design, evolutionary computation, and numerical analysis.

KOZIEL et al.: SM-BASED INTERPOLATION FOR ENGINEERING OPTIMIZATION

2421

John W. Bandler (S’66–M’66–SM’74–F’78) was born in Jerusalem on November 9, 1941. He received the B.Sc.(Eng.), Ph.D., and D.Sc.(Eng.) degrees from the University of London, London, U.K., in 1963, 1967, and 1976, respectively. He joined Mullard Research Laboratories, Redhill, Surrey, U.K., in 1966. From 1967 to 1969, he was a Postdoctorate Fellow and Sessional Lecturer at the University of Manitoba, Winnipeg, Canada. He joined McMaster University, Hamilton, ON, Canada, in 1969. He was Chairman of the Department of Electrical Engineering and Dean of the Faculty of Engineering. He is currently Professor Emeritus in Electrical and Computer Engineering, directing research in the Simulation Optimization Systems Research Laboratory. He has authored or coauthored more than 385 papers. He was a member of the Micronet Network of Centres of Excellence. He was President of Optimization Systems Associates Inc. (OSA), which he founded in 1983, until November 20, 1997, the date of acquisition of OSA by Hewlett-Packard Co. OSA implemented a first-generation yield-driven microwave computer-aided design (CAD) capability for Raytheon in 1985, followed by further innovations in linear and nonlinear microwave CAD technology for the Raytheon/Texas Instruments Joint Venture MIMIC Program. OSA introduced the CAE systems RoMPE in 1988, HarPE in 1989, OSA90 and OSA90/hope in 1991, Empipe in 1992, and Empipe3D and EmpipeExpress in 1996. OSA created the product empath in 1996 which was marketed by Sonnet Software, Inc. He is President of Bandler Corporation, which he founded in 1997. He joined the Editorial Boards of the International Journal of Numerical Modelling in 1987, the International Journal of Microwave and Millimeterwave Computer-Aided Engineering in 1989, and Optimization and Engineering in 1998. He was a Guest Editor of the International Journal of Microwave and Millimeter-Wave Computer-Aided Engineering Special Issue on “Optimization-Oriented Microwave CAD” (1997). He was Guest Coeditor of the Optimization and Engineering Special Issue on “Surrogate Modelling and Space Mapping for Engineering Optimization” (2001). Dr. Bandler is a Fellow of the Canadian Academy of Engineering, the Royal Society of Canada, the Institution of Electrical Engineers, and the Engineering Institute of Canada. He is a member of the Association of Professional Engineers of the Province of Ontario, Canada, and the MIT Electromagnetics Academy. He received the Automatic Radio Frequency Techniques Group Automated Measurements Career Award in 1994 and the IEEE MTT-S Microwave Application Award in 2004. He was an associate editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (1969–1974) and has continued serving as a member of the Editorial Board. He was guest editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES Special Issue on “Computer-Oriented Microwave Practices” (1974) and on “Automated Circuit Design Using Electromagnetic Simulators” (1997) and guest coeditor of the Special Issue on “Process-Oriented Microwave CAD and Modeling” (1992) and on “Electromagnetics-Based Optimization of Microwave Components and Circuits” (2004). He was chair of the MTT-1 Technical Committee on Computer-Aided Design.

Kaj Madsen was born in Denmark in 1943. He received the cand.scient. degree in mathematics from the University of Aarhus, Aarhus, Denmark, in 1968 and the Dr.Techn. degree from the Technical University of Denmark (DTU), Lyngby, Denmark, in 1986. From 1968 to 1988, he was a Lecturer in numerical analysis, apart from the 1973–1974 academic year, when he was with AERE Harwell, Didcot, U.K. Most of his career has been spent with the Department for Numerical Analysis, DTU. From 1981 to 1983, he was with the Computer Science Department, Copenhagen University, Copenhagen, Denmark. During summer 1978, he visited McMaster University, Hamilton, ON, Canada. In 1988, be became a full Professor. Since the 1990s he has arranged several international workshops on linear programming, parallel algorithms, and surrogate modeling, and space mapping. In 1993, he joined the Department of Mathematical Modelling, DTU, and during 1995–2000 was Head of that department. In 2000, he took an active part in forming the new department Informatics and Mathematical Modelling, DTU, which includes computer science and applied mathematics. Since January 2001, he has been Head of that new department. His primary fields of interest in teaching and research are nonlinear optimization, including space mapping techniques and global optimization, and validated computing using interval analysis.

2422

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Low Conversion Loss and High LO-RF Isolation 94-GHz Active Down Converter Bok-Hyung Lee, Dan An, Member, IEEE, Mun-Kyo Lee, Byeong-Ok Lim, Jung-Hun Oh, Sam-Dong Kim, Jin-Koo Rhee, Senior Member, IEEE, Jung-Dong Park, and Sang-Yong Yi

Abstract—We report a low conversion loss and high local oscillator (LO)-to-RF isolation 94-GHz monolithic-microwave integrated-circuit (MMIC) active down converter using 0.1- m InGaAs/InAlAs/GaAs metamorphic high electron-mobility transistor (MHEMT). The fabricated MMIC active down converter employs a one-stage MHEMT amplifier in the RF port of the active down converter, thereby amplifying the RF signal and improving the LO-to-RF isolation by using an inherent 12 isolation characteristic. The fabricated MMIC active down converter shows an excellent conversion loss of 6.7 dB at an LO power of 10 dBm and high 0.5 dB in a frequency range from LO-to-RF isolations of 21 93.7 to 94.3 GHz. High dc and RF performances of the MHEMT used for the active down converter are due to the optimized epitaxial and device structure, and a maximum transconductance of 760 mS/mm, a current gain cutoff frequency of 195 GHz, and a maximum oscillation frequency of 391 GHz were measured. A active down-converter module is assembled by mounting the active down-converter chip on a jig with low-loss transition structure between the coplanar waveguide and waveguide. The fabricated active down-converter module shows a good conversion loss of 10.9 dB and a very high LO-to-RF isolation of 27.5 dB at 94.03 GHz. Index Terms—Active down converter, active down-converter module, conversion loss, local oscillator (LO)-to-RF isolation, RF amplifier.

I. INTRODUCTION VER THE last decades, there have been exponential growths in the applications areas of -band millimeter-wave integrated-circuit (MMIC) technology such as military use (guidance weapons and radars), passive image sensor, and car accidents prevention system. In particular, the MMIC resistive mixer is a widely-used component for its low distortion, good conversion loss, and no drain bias. MMIC active down converters have been realized by using high electron-mobility transistor (HEMT) devices as a switching component. Therefore, an HEMT device with a high switching performance is essential to improve the conversion loss of active down converters for the -band operation. InP-based

O

Manuscript received December 18, 2005; revised March 7, 2006. This work was supported by the Korea Science and Engineering Foundation under the Engineering Research Council Program through the Millimeter-Wave-Innovation Technology Research Center, Dongguk University. B.-H. Lee, D. An, M.-K. Lee, B.-O. Lim, J.-H. Oh, S.-D. Kim, and J.-K. Rhee are with the Millimeter-Wave Innovation Research Center, Dongguk University, Seoul 100-715, Korea (e-mail: jkrhee@ dongguk.edu). J.-D. Park and S.-Y. Yi are with the Agency for Defense Development, Deajeon 45, Korea. Digital Object Identifier 10.1109/TMTT.2006.875299

HEMTs can be employed for -band resistive mixers due to their superior switching performance [1]–[4], and they have demonstrated superior millimeter-wave and low-noise performances compared to the InGaAs/AlGaAs pseudomorphic HEMTs on GaAs substrates. The excellent device performances of the InP-based HEMTs operating in -band are mostly attributed to the high bandoffset InGaAs/InAlAs/InP material system. However, compared to the GaAs-based devices, InP-based HEMTs have some critical drawbacks such as the mechanical fragility of the wafer and the higher material cost. Moreover, InP-based HEMTs are not quite proper for large-scaled production because the backside etching rate for the InP material is much slower. In recent decades, active research has been performed on GaAs-based metamorphic high electron-mobility transistors (MHEMTs) to address the needs for both high millimeter-wave performance and low device cost. The use of metamorphic buffers on GaAs substrates was introduced to accommodate the lattice mismatch between the substrate and active layers, as well as to avoid the InP substrates. By using the metamorphic buffers, unstrained InGaAs/InAlAs heterostructures could be grown over a wide range of indium contents for the InGaAs channels, thereby exhibiting device performances comparable to those of InP-based HEMTs. For this reason, significant effort has been made in the development of MHEMTs for the MMICs during the last decade [5]–[9]. In this paper, we present a very low conversion loss and high local oscillator (LO)-to-RF isolation 94-GHz MMIC active down converter using the MHEMT technology. For this, we established a library for the coplanar waveguide (CPW) and other passive components to design the MMIC active down converters and proposed a modified circuit avoiding problems of the reported resistive mixers such as poor conversion loss and low LO-to-RF isolation [10]–[12]. The proposed MMIC active down converter was designed by adding an MHEMT on the RF port of single-ended resistive mixer. This MHEMT amplifies the RF signal and improves the LO-to-RF isolation by using an inherent isolation characteristic. The MMIC active down-converter module was also demonstrated by using the CPW–waveguide transition and wire-bonding package. II. DESIGN OF ACTIVE DOWN CONVERTER To design the MMIC modified active down converter, we performed a large-signal modeling for the MHEMT by using Agilent’s EEHEMT1 (EEsof scalable nonlinear HEMT) model. As shown in Fig. 1, the large-signal model showed good agreement with the measurements. The LO and RF matching circuits of the

0018-9480/$20.00 © 2006 IEEE

LEE et al.: LOW CONVERSION LOSS AND HIGH LO-RF ISOLATION 94-GHz ACTIVE DOWN CONVERTER

2423

Fig. 2. Schematic of the MMIC active down-converter circuit.

Fig. 1. Comparisons of the S -parameters calculated by the modeling with the measurements. (a) S , S , and S (45 MHz 110 GHz). (b) S (45 MHz 110 GHz).





active down converter were constructed by using the CPW transmission lines, and a bias circuit was designed to have a quarter wavelength ( at 94 GHz) short stub. In the LO port, when zero drain bias is applied to MHEMT, the channel region of the transistor behaves as a linear resistor, thereby providing a good linearity of the active down converter [13]. In the RF port, the active down-converter circuit employs an MHEMT as an RF amplifier and improves the conversion loss and the LO-to-RF isolation by using inherent isolation and amplification characteristics of the MHEMT. In Fig. 2, we showed a schematic diagram of the proposed 94-GHz MMIC active down converter. In the simulation process, we estimate the gain of one-stage MHEMT amplifier as approximately 5 dB [9]. However, we

Fig. 3. Input and output spectra (simulation). (a) RF spectrum. (b) IF spectrum.

added one open stub at the IF output port for LO leakage suppression. Therefore, additional loss was generated in the pre-RF amplifier due to an open stub at the IF output port. Thus, we obtained the simulated conversion loss of approximately 6.7 dB at 94 GHz at an RF input of 20 dBm and an LO input of 13 dBm. The LO-to-RF isolation of the active down converter in the LO port was 26 dB at an LO input of 13 dBm. In Fig. 3, we illustrated the simulation results of the input and output spectra.

2424

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 5. Process flow of the MMIC active down converter.

Fig. 4. Epitaxial structure of the MHEMT.

III. FABRICATION AND MEASUREMENTS In Fig. 4, we showed an epitaxial structure of the MHEMT used for the active down converter. The MHEMTs were grown on 4-in semi-insulated GaAs substrates by using a molecular beam epitaxy (MBE). Graded buffer layers of 1- m-thick In Al As were grown on the substrates by linearly grading the indium mole fraction of from 0% to 50%; thereafter, a 400-nm-thick In Al As buffer was grown to protect the active layers from the potential impurities coming from the underlying structures. On top of the buffers, active layers with a 23-nm In Ga As channel layer were grown with double Si delta dopings. A very thin n In Ga As cap layer was then grown to provide ohmic contacts at the source and drain regions. The measured electron sheet density and Hall mobility of the grown epitaxial layers at room temperature were approximately 3.4 10 cm and 9700 cm V s, respectively. We fabricated the active down converter in the following sequence. First, mesa etching was performed for the electric isolation between active devices and other areas by removing 200-nm substrates in an etchant of phosphoric acid H O H O (1:1:60). After that, the ohmic contacts were formed by evaporating the AuGe/Ni/Au (125/28/160 nm) layers and the subsequent rapid thermal annealing at 300 C for 60 s. The measured specific contact resistance of the ohmic contacts was 1 10 cm . The 0.1- m offset -shaped gate was then patterned by liftoff with PMMA/P(MMA-MAA)/PMMA (100/600/200 nm) triple resists by using a 30-keV electron beam lithography system, and the remaining electron beam resist was removed in a O plasma asher. The gate recess was performed by selectively etching the cap layers in a succinic acid H O H O (1 : 5 : 10) solution. Gate Schottky metals were formed by evaporating the Ti/Au (50/400 nm) stacks followed by the liftoff. Prior to the air-bridge interconnection, a 78-nm Si N passivation layer was deposited in a plasma-enhanced chemical vapor deposition system. In Fig. 5, we showed the process steps of the MMIC active down converter. The dc and transfer characteristics of the fabricated MHEMT were measured by using an HP 4156A semiconductor parameter

Fig. 6. I–V characteristics of the MHEMT.

Fig. 7. Transfer characteristic of the MHEMT.

analyzer. As shown in Fig. 6, a pinchoff voltage of 1.5 V and a drain saturation current of 96 mA were measured at a gate voltage of 0 V. The fabricated MHEMT also showed a maximum transconductance of 760 mS/mm at a of 0.3 V and a drain voltage of 1.8 V, as shown in Fig. 7. The -parameters of the MHEMTs were measured by using an ME7808A vector network analyzer in a frequency range from 0.04 to 110 GHz. In Fig. 8, we showed the measured , ,

LEE et al.: LOW CONVERSION LOSS AND HIGH LO-RF ISOLATION 94-GHz ACTIVE DOWN CONVERTER

2425

Fig. 10. Measurement setup for the measurements of conversion loss and IF output power.

Fig. 8. RF characteristics of the MHEMT.

Fig. 11. Measurement setup for the measurement of LO-to-RF isolation.

Fig. 9. Fabricated MMIC active down converter.

and maximum available gain (MAG) of the MHEMT. The measured , , and of the MHEMT were 6 dB (at 110 GHz), 195 GHz, and 391 GHz, respectively. Shown in Fig. 9 is the planar view micrograph of the fabricated MMIC active down converter. The fabricated chip size was 2.2 1.2 mm . The conversion loss and LO-to-RF isolation of the active down converters were measured by using a following -band equipment setup. A variable attenuator was installed in the front of the voltagecontrolled oscillator (VCO) to modulate the input power. To define the input power, we used a -band 10-dB coupler and a -band harmonic mixer. A 94-GHz signal generated from a waveguide output port of the VCO was fed to the -band probe by using the WR-10 with a 1.0-mm adaptor and 1.0-mm cable. A schematic of the -band measurement setup is shown in Fig. 10, and the conversion loss and IF output power of the active down converters were characterized in this setup. In Fig. 11, we illustrated a schematic of another measurement setup for measuring the LO-to-RF isolation. To measure the conversion loss and IF output power, we used variable RF input powers ranging from 20 to 10 dBm at an LO frequency of 93.798 GHz and an input power of 10 dBm at a RF frequency of 94.183 GHz. As shown in Fig. 12, the measured conversion loss was 6.7 dB at an IF frequency of 385 MHz. The measured input and output

Fig. 12. Conversion loss versus RF input power.

of the fabricated active down converters were 10 and 1.6 dBm, respectively, as shown in Fig. 13. The conversion losses measured were approximately 7 dB over the entire measured LO frequency range, as shown in Fig. 14. All theses characteristics measured from the active down converters showed a good agreement with simulation results, and the active down converter presented in this study exhibited the best performance among the -band MMIC down converters reported thus far, especially in terms of conversion loss. In Fig. 15, we illustrated

2426

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 13. IF output power versus RF input power.

Fig. 16. LO-to-RF isolation versus LO frequency.

Fig. 17. CPW-to-waveguide transition structure.

Fig. 14. Conversion loss versus LO frequency.

Shown in Fig. 16 is the LO-to-RF isolation of the active down converter measured at an LO input power of 10 dBm and various LO frequencies, and very high isolations greater than 20 dB were recorded over the entire measured frequencies. IV. CPW-TO-WAVEGUIDE TRANSITION AND ACTIVE DOWN-CONVERTER MODULE

Fig. 15. Conversion losses of the

W -band down converters.

the reported conversion losses of date [10]–[17].

-band down converters to

To apply the CPW-based MMIC active down-converter chip to the system module, a transition structure from CPW-to-waveguide is essential. For this, we designed and fabricated an antipodal fin-line structure for the CPW-to-waveguide transition with good insertion loss and wideband characteristic. The structure was designed to have sequential transitions of a waveguide-to-microstrip and microstrip-to-CPW structure, and the layout of the designed transition is shown in Fig. 17. The overall transition structure can be divided into two parts. The region 1 (defined between A and B) is an antipodal fin-line transition structure, and the region 2 (defined between B and C) is an exponential fin-line structure. The antipodal fin line is a useful geometry in which an impedance value can be realized by overlapping the fins on the opposite sides of the fin-line substrate. By overlapping two different shapes of fins (upper and bottom fins) on the opposite sides of the fin-line substrate,

LEE et al.: LOW CONVERSION LOSS AND HIGH LO-RF ISOLATION 94-GHz ACTIVE DOWN CONVERTER

2427

Fig. 19. Fabricated CPW-to-waveguide transition.

Fig. 18. Fabrication procedure for the waveguide-to-CPW transition.

the transition of antipodal fin-line structure was realized with impedance matching in a wide bandwidth. In addition, the antipodal fin-line transition enables the electric field inputted from the waveguide -plane (plane in Fig. 17) to progressively rotate by 90 to obtain an appropriate field geometry equivalent to that of the microstrip. Impedance matching is then achieved by a proper design of the fin-line dimensions. The upper fin in region 1 is tapered in order to form an exponential taper function [18]. The bottom fin, in region 1, is tapered to form a half-cosine taper function to reduce the return loss [18]. In the case of microstrip-to-CPW transition, the upper fins in region 2 are tapered to form an exponential taper function, while the bottom fin in region 2 performs a function of ground plane. In this region, a field matching between the CPW and waveguide is achieved; however, impedance matching is required. The impedance matching is achieved by tapering the top and bottom fins to the microstrip and ground plane. serrated chokes at the edge of the transition were also used to prevent the leakage of the signal. Each taper contour for the fins was optimized to achieve a low loss by using an electromagnetic (EM) field solver of Ansoft’s High Frequency Structure Simulator (HFSS). After the design is optimized, the transitions were fabricated on an RT Duroid 5880 substrate with a thickness of 5 mil and a dielectric constant of 2.2. Fig. 18 shows a fabrication procedure for the transition. In Fig. 19, a fabricated back-to-back transition of a 30-mm transition length is shown. Losses of the transitions were measured in an ME7808A vector network analyzer. As shown in Fig. 20, the measured insertion loss and reflection coefficient of the back-to-back CPW-to-waveguide transition were 2.2 dB and 23.9 dB, respectively, at 94 GHz. The active down-converter module was finally assembled by mounting the fabricated 94-GHz MMIC active down-converter chip on a printed circuit board (PCB) with wire bonding between the jig and MMIC chip, as shown in Fig. 21. A MMIC chip and CPW-to-waveguide transitions mounted onto the assembled module 26.9 19.1 23.5 mm are shown in Fig. 22. The active down-converter module showed a conversion loss of 10.9 dB at an LO input of 12 dBm, which is 4 dB higher

Fig. 20.

S

and S

of the back-to-back transition.

Fig. 21. Wire-bonded MMIC active down-converter chip.

than that of the MMIC chip. Therefore, we could estimate that the total loss due to the wire bonding and transitions was 4 dB. The measured input and output of the modules were 10 and 2.1 dBm, respectively. In Figs. 23 and 24, we show the measured conversion-loss characteristics and the IF output power characteristics versus RF input. As shown the Fig. 25, we showed the measured conversion loss as a function of LO input power, and the maximum conversion loss was

2428

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 22. 94-GHz active down-converter module with a MMIC chip.

Fig. 25. Conversion loss of the active down-converter module versus LO input.

Fig. 23. Conversion loss of the active down-converter module versus RF input.

Fig. 26. LO-to-RF isolation versus LO frequency.

V. CONCLUSION

Fig. 24. Output power of the active down-converter module versus RF input.

obtained at an LO input power of 15 dBm. The measured LO-to-RF isolation of the module was 27.5 dB at 94.03 GHz, as shown in Fig. 26.

We designed and fabricated a 94-GHz MMIC active down converter by employing a 0.1- m MHEMT in the RF port to improve the active down-converter performance in terms of conversion loss and LO-to-RF isolation. The MHEMT showed a pinchoff voltage of 1.5 V, a drain saturation current of 96 mA (at a of 0 V), and a maximum transconductance of 760 mS/mm. High RF performance was also obtained from the MHEMT, and an gain of 6 dB at 110 GHz, a of 195 GHz, and a of 391 GHz, respectively, were measured. Due to superior performance of the MHEMT in the RF port, a conversion loss of 6.7 dB, an input of 10 dBm, and an output of 1.6 dBm were achieved from the active down converter. The active down converter presented in this study has shown the best performing conversion loss among the -band MMIC down converters reported thus far. By using the MMIC active down-converter chip, we fabricated a 94-GHz active down-converter module. The active

LEE et al.: LOW CONVERSION LOSS AND HIGH LO-RF ISOLATION 94-GHz ACTIVE DOWN CONVERTER

down-converter module was assembled by mounting the fabricated active down-converter chip on a PCB with wire bonding between the jig and MMIC chip. From the module, we obtained a conversion loss of 10.9 dB at an LO input of 12 dBm, an input of 10 dBm, and an output of 2.1 dBm. The LO-to RF-isolation of the active down-converter module was 27.5 dB at 94.03 GHz.

2429

[16] M. F. Lei, P. S. Wu, T. W. Huang, and H. Wang, “Design and analysis of a miniature W -band MMIC subharmonically pumped resistive mixer,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 1, no. 6–11, pp. 235–238. [17] H. Zirath, I. Angelov, N. Rorsman, and C. Karlsson, “A W -band subharmonically pumped resistive mixer based on pseudomorphic heterostructure field effect transistor technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1993, vol. 1, no. 14–18, pp. 341–344. [18] J. G. M. Yip, A. K. Jastrzebski, R. J. Collier, and D. Li, “The design of waveguide-to-finline taper transitions at millimeter wave frequencies,” in Proc. Microw., Radar, Wireless Commun., 2002, vol. 1, pp. 282–285.

REFERENCES [1] L. D. Nguyen, A. S. Brown, M. A. Thompson, and L. M. Jelloian, “50-nm self-aligned-gate pseudomorphic AlInAs/GaInAs high electron mobility transistors,” IEEE Trans. Electron Devices, vol. 39, no. 9, pp. 2007–2014, Sep. 1992. [2] M. Wojtowicz, R. Lai, D. C. Streit, G. I. Ng, T. R. Block, K. L. Tan, P. H. Liu, A. K. Freudenthal, and R. M. Dia, “0.10 m graded InGaAs ,” IEEE Elecchannel InP HEMT with 305 GHz f and 340 GHz f tron Device Lett., vol. 15, no. 11, pp. 477–479, Nov. 1994. [3] A. Endoh, Y. Yamashita, M. Higashiwaki, K. Hikosaka, M. Mimura, S. Hiyamizu, and T. Maysui, “High f 50-nm-gate lattice-matched InAlAs/InGaAs HEMTs,” in Proc. 12th Int. InP and Rel. Mater. Conf., Williamsburg, May 2000, pp. 87–90. [4] P. M. Smith, S. M. J. Riu, M. Y. Kao, P. Ho, S. C. Wang, K. H. G. Duh, S. T. Fu, and P. C. Chao, “W -band high efficiency InP-based power HEMT with 600 GHz f ,” IEEE Microw. Guided Wave Lett., vol. 5, no. 7, pp. 230–232, Jul. 1995. [5] P. F. Marsh, S. L. G. Chu, S. M. Lardizabal, R. E. Leoni, III, S. Kang, R. Wohlert, A. M. Bowlby, W. E. Hoke, R. A. McTaggart, C. S. Whelan, P. J. Lemonias, P. M. McIntosh, and T. E. Kazior, “Low noise metamorphic HEMT devices and amplifiers on GaAs substrates,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., 1999, vol. 1, pp. 105–108. [6] H. Rohdin, A. Nagy, V. Robbins, C. Su, C. Madden, A. Wakits, J. Raggio, and J. Seeger, “Low-noise high-speed Ga.47In.53As/Al.48In. 52As 0.1-m MODFET and high-gain/bandwidth three-stage amplifier fabricated on GaAs substrate,” in Proc. 7th Int. Indium Phosphide and Rel. Mater. Conf., 1995, pp. 73–76. [7] P. C. Chao, A. J. Tessmer, K. G. Duh, P. Ho, M. Kao, P. M. Smith, J. M. Ballingall, S. M. J. Liu, and A. A. Jabra, “W -band low-noise InAlAs/InGaAs lattice-matched HEMTs,” IEEE Electron Device Lett., vol. 11, no. 1, pp. 59–62, Jan., 1990. [8] P. F. Marsh, S. Kang, R. Wohlert, P. M. McIntosh, W. E. Hoke, R. A. McTaggart, S. M. Lardizabal, R. E. Leoni III, C. S. Whelan, P. J. Lemonias, and T. E. Kazior, “Millimeter-wave low-noise metamorphic HEMT amplifiers and devices on GaAs substrates,” in Proc. GaAs IC Symp., 1999, pp. 221–223. [9] B.-H. Lee, D. An , M.-K. Lee, B.-O. Lim, S.-D. Kim, and J.-K. Rhee, “Two-stage broadband high-gain W -band amplifier using 0.1-m metamorphic HEMT technology,” IEEE Electron Device Lett., vol. 25, no. 12, pp. 766–768, Dec. 2004. [10] M. Kimishima, T. Ataka, and H. Okabe, “A family of Q-, V - and W -band monolithic resistive mixers,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 115–118. [11] K. W. Chang, E. W. Lin, H. Wang, K. L. Tan, and W. H. Ku, “A W -band monolithic, singly balanced resistive mixer with low conversion loss,” IEEE Microw. Guided Wave Lett., vol. 4, no. 9, pp. 301–302, Sep. 1994. [12] U. Schaper, A. Schafer, A. Werthof, H. J. Siweris, H. Tischer, L. Klapproth, G. Bock, and W. Kellner, “70–90 GHz balanced resistive PHFET mixer MMIC,” Electron. Lett., vol. 34, no. 14, pp. 1377–1379, Jul. 1998. [13] D. An, B.-H. Lee, B.-O. Lim, M.-K. Lee, S.-C. Kim, J.-H. Oh, S.-D. Kim, H.-M. Park, D.-H. Shin, and J.-K. Rhee, “High switching performance 0.1 m metamorphic HEMTs for low conversion loss 94-GHz resistive mixers,” IEEE Electron Device Lett., vol. 26, no. 10, pp. 707–709, Oct. 2005. [14] E. W. Lin and W. H. Ku, “Device considerations and modeling for the design of an InP-based MODFET millimeter-wave resistive mixer with superior conversion efficiency,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 8, pp. 1951–1959, Aug. 1995. [15] A. R. Barnes, P. Munday, R. Jennings, and M. T. Moore, “A comparison of W -band monolithic resistive mixer architectures,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 3, no. 2–7, pp. 1867–1870.

Bok-Hyung Lee received the B.E. and M.E. degrees and Ph.D. degree in electronic engineering from Dongguk University, Seoul, Korea, in 1999, 2001, and 2005, respectively. While with Dongguk University, he has been a member of the Millimeter-Wave Innovation Technology Research Center (MINT). His research interests include GaAs-based metamorphic high electron-mobility transistor (HEMT) devices for millimeter-wave applications.

Dan An (M’03) received the B.E. degree in electronics engineering, the M.E. degree in electrical engineering, and the Ph.D. degree in electronic engineering from Dongguk University, Seoul, Korea, in 1998, 2000, and 2005, respectively. Since 1999, he has been with the Millimeter-Wave Innovation Technology Research Center (MINT), Seoul, Korea. His major field of study is MMIC design and microwave device modeling.

Mun-Kyo Lee received the B.E. degree in electronic engineering from Paichai University, Daejeon, Korea, in 1999, the M.E. degree in electronics from Dongguk University, Seoul, Korea, in 2001, and is currently working toward the Ph.D. degree at Dongguk University. His research interests include MMIC and RF system design.

Byeong-Ok Lim received the M.E. degree from Dongguk University, Seoul, Korea, in 1999, and is currently working toward the Ph.D. degree at Dongguk University. His research interests include MMIC and RF system design.

Jung-Hun Oh received the B.E. degree and M.E. degree in electronics from the Dongguk University, Seoul, Korea, in 2003 and 2005, respectively, and is currently working toward the Ph.D. degree at Dongguk University. His research interests include MMIC and RF system design.

2430

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Sam-Dong Kim received the B.E. and M.E. degrees from Seoul National University, Seoul, Korea, in 1983 and 1985, respectively, and the Ph.D. degree in material science and engineering from Stanford University, Stanford, CA, in 1992. He was a Senior Research Staff with the Hyundai Electronics Company Ltd., Icheon, Korea. He is currently a Professor with the Department of Electronic Engineering, Dongguk University, Seoul, Korea. His research interests have included millimeter-wave devices and noble semiconductor device processes.

Jin-Koo Rhee (M’80–SM’05) received the B.E. degree from Hankuk Aviation University, Goyang, Korea, in 1969, the M.E. degree from Seoul National University, Seoul, Korea, in 1975, and the Ph.D. degree in electronic engineering from Oregon State University, Corvallis, in 1982. He was a Research Scientist with the Cray Research and Microwave Semiconductor Corporation, and was with the Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor, as a Visiting Research Scientist. He is currently a Professor with Department of Electronic Engineering, Dongguk University, Seoul, Korea, and a Director of the Millimeter-wave Innovation Technology Research Center (MINT), Dongguk University. His research interests include millimeter-wave devices, circuits, and systems. Dr. Rhee is president of The Institute of Electronic Engineers of Korea (IEEK).

Jung-Dong Park received the B.S. degree in electronic engineering from Dongguk University, Seoul, Korea, in 1997, and M.S. degree in information and communications from the Gwangju Institute of Science and Technology, Gwangju, Korea, in 2000. From 2000 to 2002, he was a Member of Technical Staff with the Dual-Use Technology Center, Institute for Advanced Engineering, Gyunggi-do, Korea. In 2002, he joined the Agency for Defense Development, Daejeon, Korea, where he is responsible for the design and development of millimeter-wave passive/active sensors for various fuzing systems. His current research interests are in the area of monolithic millimeter-wave integrated circuits and subsystems.

Sang-Yong Yi received the B.E. and M.E. degrees from the University of Ajou, Suwon, Korea, in 1985 and 1988, respectively. In 1988, he joined the Agency for Defense Development, Daejeon, Korea, where he is responsible for the design and development of millimeter-wave passive/active sensors for various fuzing systems. His current research interests are in the area of monolithic millimeter-wave integrated circuits and subsystems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2431

Electronic Beam-Steering Design for UWB Phased Array Michael Yan-Wah Chia, Member, IEEE, Teck-Hwee Lim, Member, IEEE, Jee-Khoi Yin, Piew-Yong Chee, Member, IEEE, Siew-Weng Leong, Member, IEEE, and Chan-Kuen Sim, Member, IEEE Abstract—A novel electronic beam-steering subsystem providing true time-delay technique has been developed for an ultra-wideband (UWB) phased array. The relative time delay for phase interpolation is variable from 100 to 500 ps. Scanned angles from 9 to 59 were achieved. The chip is designed using 0.25- m CMOS silicon operating at 2.5 V, and its outputs are matched to 50 loads using bipolar buffers. The design of the beam-steering architecture will be presented. The measured results of the chip and the UWB phased array, including radiation patterns and pulse-width distortions, will be discussed.



Index Terms—Beam steering, BiCMOS, CMOS, phased array, ultra-wideband (UWB). Fig. 1. Phased array for UWB beam steering.

I. INTRODUCTION HERE IS a trend to exploit ultra-wideband (UWB) technology in wireless communications [1] and radar [2]. The emission mask for UWB [2] limits the radiated power of devices to avoid interferences to existing narrow-band systems. Typically, the source may transmit radio frequency (RF) impulses at certain pulse repetition frequency (PRF) which is related to the data rate. These RF impulses are further shaped or filtered using RF circuits or antenna. An antenna array capable of electronic beam steering is desirable here because it can adapt and focus its RF beam at specific directions. This may be used to locate targets in radar or minimize undesirable interferences to existing narrow-band system. Generally, beam steering in a narrow-band system uses an RF phase shifter or multiple phases of a local oscillator [3]. But UWB occupies a typical bandwidth of at least 25% or a minimum of 500 MHz. The low frequency band for UWB system operates below 1 GHz band for ground penetration radar, higher bands from about 3 to 10 GHz for communications, and about 2 to 10 GHz for imaging radars. Such wide bandwidth becomes a serious issue for designing a phase shifter since it is inherently narrow-band. Hence, a true time-delay element is needed for beam steering in a UWB antenna array. A direct digital synthesizer (DDS) may provide fine time delay on the order of subnanosecond [4]. However, a typical array requires multiple time delays for each antenna. Multiple DDSs with subnanosecond precision will lead to high power consumption. Low power consumption is critical for portable and handheld wireless devices so there is a need for integrated circuit (IC) design.

T

Manuscript received February 27, 2006. This work was supported by A-STAR. M. Y.-W. Chia, J.-K. Yin, P.-Y. Chee, S.-W. Leong, and C.-K. Sim are with the Institute for Infocomm Research, 117674 Singapore (e-mail: [email protected]). T.-H. Lim was with the Institute for Infocomm Research, Singapore 117674. He is now with BlueChip, 609927 Singapore. Digital Object Identifier 10.1109/TMTT.2006.875300

This paper will present the design of an electronic beamsteering subsystem [5], which can provide 100-ps time-delay precision using CMOS at 0.25- m process. Section II will describe the system design of the beam-steering array and requirements of a beam-steering device. Section III will discuss the silicon design of the time-delay subsystem using a digitally controlled phase tuner. Some comparisons will be made with existing works on delay line. Section IV will present the results of beam-steering IC and array. The last section will give our conclusions. II. SYSTEM DESIGN OF PHASED ARRAY A. UWB Antenna Arrays The transient properties of UWB arrays have been discussed in the literature [6]–[8]. The scanned angles of a UWB array depend on the radio pulsewidth and spacing between adjacent antenna elements . The angle between the direction of radiated signal and perpendicular to the axis of the linear array is given by , as shown in Fig. 1. Typically, the signal from the source transmits Gaussian like monopulse [9]. UWB arrays require true time-delay elements to steer the RF beam [9]. To achieve maximum signal in a direction A, as shown in Fig. 1, the outputs of the array must be in phase. For a linear array with uniform spacing between the antennas, the relative delay is given in (1) for a scanned angle of . When the output signals of the array are out of phase, the summed signal will not overlap as shown in beam B or partially overlap, causing time dispersion of the signal. The relative delay between adjacent antenna element here is given by (1) where is the speed of light. The relative time delay between the first element to the th element is such that

0018-9480/$20.00 © 2006 IEEE

for

(2)

2432

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

with a mixer in the upconverter. The next section will describe the design of our beam-steering subsystem for UWB array. III. VARIABLE TIME-DELAY SUBSYSTEM A. IC Architecture

Fig. 2. Phased array for UWB transmitter system using our true time-delay beam-steering subsystem.

The design of the time-delay element should be considered carefully [8] for UWB phased arrays. Some of the requirements for the electronic time-delay device are summarized here. 1) The relative time delay between adjacent antenna elements should be a fraction of the pulsewidth of the UWB radio pulses to steer a fine scanned angle. Longer delays are required for wider scanned angles. 2) The true time-delay element should be variable to change the scanned angle adaptively; hence, electronic control is desirable. 3) Timing jitter or deviation of the true time-delay element should be minimized. 4) For low cost and portable applications in communications and radars, implementation in silicon is highly desirable. B. Beam-Steering Array Architecture Our beam-steering subsystem consists of a phase or time shifter which provide delays of , respectively, at the output, as shown in Fig. 2. But the relative delay between adjacent outputs is uniform. The input or source information is a digital or quantized signal. The amount of delay is controlled by a phase tuner with digital controls. The output of the phase shifter is connected to an external pulse forming network (PFN) to produce RF pulse. Further amplification may be required depending on the radiated power. Implementation of PFN has been designed in silicon [10]; hence there is little issue of complexity if multiple PFNs have to be integrated into a single RF chip. The PFN performs two operations. First, it reduces the rise or fall times of the input signal. Next, it differentiates the rise or fall times of the digital signal to form the short UWB pulses. The signal may be further shaped using a bandpass or high-pass filter to meet the emission mask before sending to each antenna element. Alternatively, transmitter architecture may use this time-delay beam-steering subsystem

Electronic beam steering using analog designs can be achieved using radio-frequency (RF) [11] or baseband means. In most cases, the true time-delay element at RF is connected between RF transceiver modules and a power splitter or combiner network [11]. Each element is designed using passive transmission line or components such as resistors and capacitors (RC). If the time delay is required to operate from tens of picoseconds to several nanoseconds, implementating in a semiconductor process (silicon or gallium arsenide, etc.) may become an issue using such passive components and transmission line. A monolithic microwave IC time-delay chip for relative delay of 2 ns is realized in a pseudomorphic high electron mobility transistor 0.25- m process [12] but requires a large die size of 27 mm . Such designs are often limited to applications, such as military radars, that are not cost sensitive. In addition, multiple delay elements are required to be switched in RF to achieve the variable delay. Such RF switches have some issues of losses and isolation. Alternatively, a ring voltage-controlled oscillator (VCO) for generating multiple phase-shifted local oscillator (LO) signals in narrow-band wireless [3] may be adapted for UWB phased array. In this case, the phase angle is fixed by the number of VCOs in the ring. Finer phase resolution is achieved through phase interpolation using a phase selector. However, this approach has the limitation of varying the delay time (phase angle) as it is determined by the frequency of the LO and may not be suitable for pulse-based UWB systems that transmit a short burst of radio impulses. Analog beam steering using true delay line in baseband offers a good solution in terms of power consumption and die size. Our UWB phased array is electronically steered in baseband using a variable true time-delay beam-steering subsystem that uses a digitally controlled phase tuner to provide phase shift [5]. The phase shifter is designed using delay cells coupled to the phase tuner which uses a PLL. Others [13] have designed an analog delay line circuit using a transconductance amplifier and capacitor. Their simulated result is based on 0.12- m complementary metal–oxide–semiconductor (CMOS) and gives 2.72-ns fixed delay. In contrast, our current time-delay element can achieve a finer resolution of 100 ps. The tuning range of our beam-steering system provides variable delays from 100 ps to 5 ns, designed using 0.25- m CMOS at lower transit frequency. Quantized analog delay circuits [14] using D-flip-flops have also achieved a delay of 550 ps in simulations. In both cases, [13] and [14], each single delay element consumes 70 and 36.7 mA, respectively. If this delay circuit is replicated for multiple outputs to enable variable delay, the power consumption needed will increase to few hundred milliamperes and die size will also be significantly larger. Hence, there is a need for a variable time delay that consumes low current or power. Our design requires only 40 mA (without buffers) for all 11 outputs, giving delays from 100 ps to 5 ns.

CHIA et al.: ELECTRONIC BEAM-STEERING DESIGN FOR UWB PHASED ARRAY

Fig. 3. Timing diagram of the time-delay outputs.

PLLs have been used extensively to generate multiphased clocks for microprocessor applications to provide accurately phase interpolated clock signals [15]. PLL is a closed looped structure; therefore, closed looped clock distributions typically involve the use of on-chip PLL to compensate for the delay between the off-chip board level reference clock and the on-chip clock proceding some portion of the global distribution network [16]. Here, the tuning of our variable time-delay subsystem uses a PLL. The time-delay element is designed to generate 11 timeshifted signals as shown in Fig. 3. The input signal to the phase or time shifter is fed from an external source. Typically, the phase shift or time delay is performed by varying the frequency of the VCO in the PLL. The control voltage that sets this frequency is used to control the delay of the delay cells in the phase shifter [5]. Another phase-shifting approach for narrow-band systems [3] has a fixed multiphased clock frequency. Their multiphased frequency is tied to the LO clock frequency but not to a separate input or source signal. In our design, the time delay is achieved through delay cells coupled to a digitally controlled PLL. The time delay is independent of the input signal frequency and hence provides an additional degree of freedom. The block diagram of the beam-steering subsystem is shown in Fig. 4. The role of the PLL here is to control the amount of phase shift. This phase shift is digitally programmable from 100 to 500 ps via the phase-tuning control signals. The input signal to the variable time-delay beam-steering subsystem can be from an external source which is a pseudorandom pulse waveform. The input signal is shaped up to a square-like wave internally by a comparator. But this is optional if the input signal is digital. Using the phase shift information embedded into the control voltage by the PLL, the output of the beam-steering subsystem will form a series of phase-shifted pulses from a single input signal. These outputs are buffered by the high-speed buffers to obtain fast rise and fall times and drive external 50 loads. If further integration into the silicon is required, this buffer is optional. Since the PLL is a feedback system, it will ensure that there is minimal drift in the phase shift generated due to temperature, voltage, and process variations. Table I summarizes the specifications of the beam-steering subsystem.

2433

Fig. 4. Block diagram of beam-steering subsystem design. TABLE I PERFORMANCE SUMMARY OF THE BEAM-STEERING SUBSYSTEM

The accuracy and dynamic range of the time delay have to be considered in the design of the variable time-delay beamsteering subsystem. A programmable PLL with minimum clock jitter and wide frequency range for the VCO is needed in order to meet these requirements. With the advent of silicon process technology and lower operating voltage, the design of the variable time-delay beam-steering subsystem has to scale accordingly. System architecture should avoid stacking the transistors in voltage to ensure that the circuit works at low supply voltage. The subsequent sections will provide information of key components in the time-delay system. B. Delay Cell Based on the criteria mentioned in Section III-A, the key component in the variable time-delay subsystem is the delay cell unit. The delay cell is the found inside the VCO and also replicated in the phase shifter to generate the delayed outputs. In this design, each additional output only requires to replicate the delay cells. The power consumption and die size are significantly lower as compared with circuits in [13] and [14]. In order to achieve the objective of low output clock jitter, the delay cell should have high immunity to static and dynamic supply noise. The delay cell element is based on a self-biased technique [17] and is shown in Fig. 5. The merit of this delay cell is the

2434

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 7. Replica biasing circuit.

Fig. 5. Delay cell.

Fig. 8. Phase shifter.

C. Voltage-Controlled Oscillator

Fig. 6. Simulated symmetric load I–V plot at different V

use of symmetric load as the variable resistor intrinsic delay is defined as

The VCO uses a ring oscillator architecture that is made up of ten fully differential delay cells. As explained in the previous section, the intrinsic delay is tunable through the control voltage . The intrinsic delay is given by

voltages.

(4)

. The cell where VCO frequency; (3)

is the effective delay cell output capacitance. where The I–V characteristics of the symmetric load are symmetric about the center of the voltage swing A, as shown in Fig. 6. The unique I–V characteristics provide high dynamic supply noise rejection for the delay cell. When is varied, the effective resistance of the symmetric load is varied accordingly; hence the change in intrinsic delay. In addition, the control voltage is also used to generate the n-channel MOS current source in the delay cell through a self-biased replica-feedback current source bias circuit in Fig. 7. Owing to this self-biased technique, the output swings vary with , hence maintaining the symmetric I–V characteristics. It is noted that the center of the symmetric output voltage swing is also the quiescent biasing point of the delay cell where its gain is highest. This will provide a high oscillation frequency range for the VCO. A high impedance cascode current source is usually used to suppress static supply noise; however, this defeats the purpose of low-voltage circuit design. The replica-feedback current source with an op-amp will help to hold the bias current constant, independent of the supply voltage variations. This helps to eliminate the use of cascode current source to achieve low jitter.

number of delay cells in the VCO. If the PLL is programmed to oscillate at 500 MHz, the closedloop system will automatically adjust the to a value so that the loop delay of the ring oscillator is 1 ns. This is equivalent to 100 ps for each of the ten delay cells. By replicating the same to the phase-shifter delay cells, a 100-ps relative time delay between each of the 11 outputs is generated. Hence the relative delay between the first and 11th output is 1 ns. The frequency of the VCO can be tuned from 500 to 100 MHz. This gives a relative delay from 100 to 500 ps. D. Phase Shifter The phase shifter used in the design is shown in Fig. 8. A comparator is used to convert the input signal to a square-like waveform before feeding into the phase shifter through a singleended to differential converter. If the input is a digital stream, the comparator is optional. Phase shifting is performed by the delay cells, which are similar to those used in the ring oscillator. Thus, the control voltage from the PLL (which contains phase shift information) is also used for the delay cells in the phase shifter. These delay cells will generate the same amount of delay as those cells in the VCO of the PLL. Thus an input voltage into

CHIA et al.: ELECTRONIC BEAM-STEERING DESIGN FOR UWB PHASED ARRAY

2435

Fig. 10. Measured signals from four of the outputs of the beam-steering IC chip (including PCB layout).

Fig. 9. Die microphotograph of the beam-steering chip.

the phase shifter will experience a phase shift determined by the but PLL. The delay increases from output nodes the relative delay between adjacent nodes is constant. Unlike the ring oscillator which is a closed loop, the phase shifter is open loop. Hence there will be slight variations in the time delay of each output. In order to reduce the delay errors among the 11 tapped outputs, the layouts of metal line paths for the outputs have to be of equal length and identical geometry. The design and layout of the delay cell have to minimize the mismatched errors. An output buffer is built for each of the 11 outputs to enable the chip to drive 50 external load and maintain fast rise and fall times. IV. RESULTS A. Performance of the Chip The circuits for the beam-steering subsystem are implemented using 2.5-V CMOS devices. For testing purposes, high-speed buffers are designed using bipolar devices at 3-V supply voltage to drive 50 loads. This is fabricated in a 0.25- m SiGe BiCMOS process. The active die size is 0.9 mm (without buffer and bandgap). As there are fast rise time outputs on the chip, supply decoupling capacitors are required. The lengths of the metal lines for the outputs are matched to minimize layout dependent phase errors. A microphotograph of the fabricated design is shown in Fig. 9. The die is mounted on a printed circuit board (PCB) for testing purposes. Fig. 10 shows four of the 11 outputs captured on a real time oscilloscope. It confirms the timing requirements in Fig. 3. The phase delay was programmed to be 100 ps. As observed, the outputs were evenly spaced apart at approximately 100-ps intervals. The measured rise and fall time of the output is about 100 ps. The actual output from the die is expected to produce faster rise/fall time. The slower rise/fall time is due to the length of the PCB traces. B. Radiation Patterns To validate the performance of beam steering, each output of the beam-steering subsystem is connected to a commercial PFN from Picoseconds Pulse Lab. Implementation of PFN on silicon [10] can be considered if the size of the UWB transceiver be-

Fig. 11. Transient response of the array at a pulse repetition rate of 10 MHz.

comes an issue. A linear array aligned along the axis using four transmit antenna elements, with equal spacing of 18 cm, implies that the length of the array is 54 cm. The radiation performance of beam steering is measured in an anechoic chamber with a setup similar to that shown in Fig. 2. The transmitting antenna is a discone antenna with bandwidth from about 2 to 10 GHz. Its omnidirectional radiation pattern is in the azimuth ( – ) plane with the polarization of the electric field aligned vertically along axis (direction is pointing out of the paper according to Figs. 1 and 2). The PRF has been chosen at 10 MHz so each cycle is 100 ns with digital pulsewidth of 50 ns. Hence each digital or data pulse consisting of rising and falling edges spaced at 50 ns is sent to each PFN. The PFN differentiates the rising or falling time of about 100 ps to give a UWB pulse. To satisfy the FCC’s mask at higher frequency from 3 to 10 GHz, further differentiation may be required. This signal is also pulse-shaped by the antenna element before radiating into free space. The received signal is captured by a ridged horn antenna with bandwidth from 1 to 12 GHz connected to a low-noise amplifier (LNA) that has a bandwidth wider than that of the horn and discone antenna. Fig. 11 shows the captured signal from the output of the LNA due to the radiated response of the array at 10 MHz PRF. Notice that each pulse is separated by 50 ns as determined by the digital source at 10 MHz PRF. There are some slight differences in the rise and fall times from time-delay subsystem, hence the results are not symmetrical. The transient response of the radiated signal from a single transmitting discone antenna is shown in Fig. 12.

2436

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 12. Transient response of a single discone antenna. Fig. 14. Transient response of the array at scan angle

01

with 400-ps delay.

Fig. 13. Transient response of the array at scan angle of 133 with 400-ps delay.

The turntable is rotated about the axis centered in the middle of this array. Angle varies between 180 and 180 , where 0 is pointing perpendicular to the axis of the array. Hence, if there is no delay between each adjacent pair of antennas, the maximum peak power or bore-sight should be pointing at 0 and 180 or the broadside. The received peak voltage and peak-to-peak voltage is measured from the high-speed real time oscilloscope. The signal received from the array at 400-ps delay peaks at 133 , as shown in Fig. 13. Fig. 14 shows the signal at 1 angle, which is pointing well away from the main lobe. As compared to the transient response from the main lobe, the signal is now more dispersed, indicating that the summed signal from the arrays is out of phase. Characterization of the pulsewidth will be discussed in Section IV-C. As the radiation pattern sweep across the angles, the summation of the four radiated signals does not always give a dominant peak voltage in positive or negative polarity, Hence, it is better to use the peak-to-peak voltage instead of peak voltage for the radiation pattern. When the delay is 100 ps, the measured results in Fig. 15 show that the maximum power has been steered to 9 and 171 , respectively. At 400-ps delay, it is 46 and 133 , respectively. The time-domain signal at 400-ps delay at 133 has been shown in Fig. 14. There are two main lobes, which are pointing at 0 and 180 (broadside) when there is no

Fig. 15. Radiation patterns of the array at different delay.

time delay in the beam-steering subsystem, i.e., no phase difference. This beam-steering subsystem design has not catered for the 11 outputs with the same phase. Hence, for this case at 0-ps delay, only one of the beam-steering subsystem outputs with the PFN is used. This is connected to a power splitter to give four outputs with equal amplitude and phase, which reduces the by half. This accounts for the lower voltage in Fig. 15. It is observed that the half-power beamwidth of both main lobes increases from about 8.5 to 25.5 as the time delay increases from 0 to 500 ps. The measured scanned angle from 0 to 500 ps is summarized in Fig. 16. For 100-ps delay, 9 is the first scanned angle and the second scanned angle is 171 (180 –9 ). Both agree well with the theoretical predictions given in (2). The measured results show good agreement with the predicted peak value up to 500-ps delay. In addition, the results show that increasing delay for this array will steer the beam towards 90 to give an end-fire radiation pattern. It can also be observed in Fig. 15 that there are four side-lobes at about 7 dB from the peak value of the main lobe for 0- and

CHIA et al.: ELECTRONIC BEAM-STEERING DESIGN FOR UWB PHASED ARRAY

2437

Fig. 16. Scanned angle versus time delay (linear array is aligned along 90 and 90 ).

0

Fig. 18. Pulsewidth of the array versus angles at 100-ps delay.

Fig. 17. Pulsewidth of the array versus angles at 0-ps delay.

100-ps delay. At 400-ps delay, however, the maximum side-lobe level rises to 5 dB. C. Pulsewidths The full width at half-maximum (FWHM) power in time domain is used to characterize the pulsewidth of the UWB signal. FWHM measures the time interval at 3 dB level from peak power. Wider time dispersion is measured at 6 dB. The pulsewidth at 3 dB (FWHM) and 6 dB is shown in Figs. 17–19 at 0-, 100-, and 400-ps delay. At 0-ps delay. The main lobe is pointing at the bore-sight at 0 and 180 , as shown in Fig. 16. The FWHM is about 90 ps at 0 and 180 . This increases to about 1.9 ns at 90 and 90 . The pulsewidth at 6 dB widens rapidly at these two angles as compared to the FWHM. The pulsewidth across the angles looks quite symmetrical with 0 ps. At 100-ps delay, the main lobe is directed at 9 and 171 , respectively. Here, the FWHM at these angles is close to 90 ps. The FHWM increases to 2.2 ns at 90 as shown in Fig. 18, which is higher than 1.5 ns at 90 . At 400-ps delay, the main lobe is directed at 46 and 133 . Here, the FWHM is slightly wider than 90 ps. This is probably due to the jitter of the delay unit. This increases to a maximum value of 3.28 ns at 90 , as shown in Fig. 19. This is much larger than the FHWM at 90 with 369 ps. In general, the changes of pulse width at 6 dB with angles follow closely with FWHM but the time dispersion is wider.

Fig. 19. Pulsewidth of the array versus angles for 400-ps delay.

V. CONCLUSIONS A true time-delay beam-steering subsystem using a digitally controlled phase tuner (PLL) with coupled delay cells (phase shifter) has been designed using 0.25- m CMOS silicon. It has been successfully tested for electronic beam steering in an experimental UWB phased array, scanning from 9 and 171 to 59 and 123 using time delay from 100 and 500 ps, for a linear array of four antennas at spacing of 18 cm apart. As the scanned angle increases, the maximum remains relatively constant and the beam widths of the main lobes and side lobes increase. The pulse width of the array also increases rapidly away from the main lobe. The mask requirements for the array has to be considered carefully if it is to be used in communication and radar since there is a change of spectrum across angles. Current beam-steering design can achieve up to 25 MHz data rate or PRF but can be scaled to higher data rate. The design can be easily ported to more advanced CMOS processes and operates at higher input frequency or data rate as the system architecture design has taken low supply voltage into consideration. ACKNOWLEDGMENT The authors would like to thank X. M. Qing, T. See, and A. Tan for help in the initial measurements setup and providing the antennas.

2438

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

REFERENCES [1] WPAN Low Rate Alternative PHY Task Group 4a, IEEE 802.15.4 [Online]. Available: http://www.ieee802.org/15/pub/TG4a.html [2] First report and order in the matter of revision part 15 of the Commission’s rules regarding ultra-wideband transmission systems FCC, Apr. 22, 2002, released, ET Docket 98-153, FCC -2-48. [3] X. Guan, H. Hashemi, and A. Hajimiri, “A fully integrated 24-GHz eight-element phased-array receiver in silicon,” IEEE J. Solid-State Circuits, vol. 39, pp. 2311–2320, Dec. 2004. [4] R. M. Rudish and E. G. Magill, “Direct digital synthesizer driven phased array antenna,” U.S. Patent 5 943 010, Aug. 24, 1999. [5] T. H. Lim, J. K. Yin, and M. Y. W. Chia, “Variable time delay apparatus for UWB,” U.S. patent pending. [6] R. W. Ziolkowsky, “Properties of electromagnetic beams generated by ultra-width bandwidth pulse-driven arrays,” IEEE Trans. Antennas Propag., vol. 40, no. 8, pp. 888–905, Aug. 1992. [7] A. Shlivinski and E. Heyman, “A unified kinematic theory of transient arrays,” in Proc. Ultra-Wideband, Short-Pulse Electromagn., New York, 2002, vol. 5. [8] S. Werner, C. Strum, and W. Wiesbeck, “Impulse response of linear UWB antenna arrays and the application to beam steering,” in Proc. Int. Ultrawideband Conf. , Sep. 2005, pp. 275–280. [9] M. G. M. Hussain, “Principles of space-time array processing for ultrawide-band impulse radar and radio communications,” IEEE Trans. Veh. Technol., vol. 51, pp. 393–403, May 2002. [10] A. E. C. Tan, M. Y. W. Chia, and S. W. Leong, “Sub-nanosecond pulse forming network on SiGe BiCMOS for UWB,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1019–1024, Mar. 2006. [11] T. Nilsson, C. Samuelsson, M. Alfredson, and A. Quacha, “Key circuits for a reconfigurable and bi-directional beamformer for ultra wideband applications,” in 33th Eur. Microwave Conf., Munich, Germany, Oct. 2003, pp. 735–738. [12] A. Ouacha, M. Alfredson, and H. Wilden, “638 mm relative delay 9-Bits MMIC TTD for active phased array SAR/MTI,” in 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 309–312. [13] S. Bagga, A. P. Haddad, A. Serdijin, J. R. Long, and E. B. Busking, “A delay filter for an IR-UWB front end,” in Proc. Int. Ultraeideband Conf., Sep. 2005, pp. 323–327. [14] S. Bagga, L. Zhang, A. Serdijin, J. R. Long, and E. B. Busking, “A quantized analog delay filter for an IR-UWB quadrature downconversion autocorrelation receiver,” in Proc. Int. UltraWideband Conf., Sept. 2005, pp. 328–332. [15] T. Hirata and T. Iwata, “Multi-phase clock transmission circuit and method,” U.S. Patent 6 794 912B2, Sep. 21, 2004. [16] A. V. Mulé, E. N. Glytsis, T. K. Gaylord, and J. D. Meindl, “Electrical and optical clock distribution networks for gigascale microprocessors,” IEEE Trans. Very Large Scale (VLSI) Syst., vol. 10, no. 10, pp. 582–594, Oct. 2002. [17] J. Maneatis, “Low-jitter process-independent DLL and PLL based on self-biased techniques,” IEEE J. Solid-State Circuits, vol. 31, no. 11, pp. 1723–1732, Nov. 1996.

Michael Yan-Wah Chia (M’94) was born in Singapore. He received the B.Sc. (first-class honors) and Ph.D. degrees from Loughborough University, U.K., in 1990 and 1994, respectively. He joined the Center for Wireless Communications (CWC), Singapore, in 1994 as a Member of Technical Staff (MTS), promoted to Senior MTS, then Principal MTS, and finally Senior Principal MTS. Currently, he is a Principal Scientist and Division Director of the Communications Division with the Institute for Infocomm Research, A-STAR. He holds adjunct positions in the National University of Singapore and Nanyang Technological University of Singapore. He is a member of the Radio Standards (IDA), Telecommunications Standards Advisory Committee (IDA), and Technical Advisory Member of Rhode & Schwartz Communications & Measurements (Asia). He has been an active member of organizing committees in various international conferences and was Program Cochair of IWAT 2005. He was a Keynote Speaker at the International Conference of UWB in 2005. He is General Chair of ICUWB 2007. To date, he has more than 120 publications in international journals and conferences. He has received ten patents, some of which have been commercialized. He started fundamental work on UWB research at I2R in 1999. Since then, his team has reported

UWB transmission at a data rate of 500 Mbps in April 2003 and 1 Gbps in June 2004 conforming to FCC’s mask. He also led the development of a direct conversion transceiver design for wireless LAN in collaboration with IBM in 2002. Since April 2004, his team has been invited into the IBM Business Partner Program for UWB-MBOA silicon design. His main research interests are UWB, beam-steering, wireless broadband, RFID, antenna, transceiver, radio over fiber, RFIC, amplifier linearization, and communication and radar system architecture. Dr. Chia received the Overseas Research Student Award and Studentship from British Aerospace, U.K.

Teck-Hwee Lim (M’99) was born in Singapore. He received the B.Eng. degree in electrical engineering from Nanyang Technological University, Singapore, and the M.Sc. (Electrical) degree from the National University of Singapore. In 1998, he joined Cadence Design Systems, working on fingerprint sensor application-specific integrated circuits. In 1999, he joined the Institute for Infocomm Research, working on high-frequency and high-precision mixed-signal integrated circuits for wireless communications. He is now with Bluechip, Singapore. His current research interests includes data converters, phase-locked loops, phase interpolators, and mixer design. Jee-Khoi Yin was born in Johor Bahru, Malaysia, in 1976. He received the B.Eng. degree in electrical engineering from the University of Malaya, Kuala Lumpur, Malaysia, in 2000. From 2000 to 2001, he was with Altera Corporation, Penang, Malaysia, as an IC Design Engineer. Since 2001, he has been with the Institute for Infocomm Research, Singapore. His research interests are RF and analog circuits.

Piew Yoong Chee (S’90–M’00) received the B.E. degree in electrical engineering from the University of Malaya, Kuala Lumpur, Malaysia, in 1988 and the M.Eng. degree from Nanyang Technological University, Singapore, in 1992. In 1999, he joined the Institute for Infocomm Research, Singapore, where he is currently a Research Manager in the Communications Division. His research interests and activities include analog and RF IC designs for wireless communications applications.

Siew-Weng Leong (M’96) received the diploma in electronic and communication engineering from Singapore Polytechnic, Singapore, in 1987, the B.Eng. degree in electrical engineering from Nanyang Technological University, Singapore, in 1994, and the M.Sc. (Electrical) degree from the National University of Singapore. He is currently a Principal Research Engineer with the Institute for Infocomm Research, Singapore. His research interests include RF transceiver architecture, RFID, and UWB sensing for medical applications. Chan-Kuen Sim (M’99) received the Electrical and Electronics Engineering degree from Nanyang Technological University, Singapore, in 1999, and the Master’s degree in engineering from the National University of Singapore, Singapore, in 2005. Currently he is with the Institute for Infocomm Research, Singapore, as a Research Engineer. His research interests are in RF, analog designs, and UWB.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2439

Quasi-Planar High-Q Millimeter-Wave Resonators Kenneth J. Vanhille, Student Member, IEEE, Daniel L. Fontaine, Christopher Nichols, Member, IEEE, Dejan S. Filipovic´, Member, IEEE, and Zoya Popovic´, Fellow, IEEE

Abstract—Several low-profile 250- m-high -band cavity resonators are demonstrated with resonant frequencies near 26 GHz and unloaded quality ( ) factors greater than 400. The air-filled copper cavity resonators are fabricated on a silicon substrate using a photolithographic process. A microrectangular coaxial transmission-line feed is integrated in the same process. Four resonators with different mechanical support structures are demonstrated. The resonators are designed using the finite-element method and simulation of both the resonant frequency and factor agree well with measurements. Index Terms—Cavity resonator, coaxial transmission line, photolithography, quality ( ) factor.

I. INTRODUCTION IGH-QUALITY (high- ) factor resonators are important building blocks for low phase-noise oscillators and highperformance filters at microwave and millimeter-wave frequencies [1]–[3]. factors on the order of 10 can be obtained with electrically larger resonators, e.g., Bragg reflection sapphire resonators inside conductive cavities [4]. On the other hand, with compact planar resonators, such as microstrip resonators, it is difficult to obtain factors larger than a few hundred [5]. This paper discusses quasi-planar 250- m-high airfilled -band cavity resonators with unloaded factors in the range of 400–500. The resonators are fabricated using photolithography with a high metal layer aspect ratio, and they are air filled in order to reduce the loss, as shown in Fig. 1(a). Four different resonators are compared in this paper, all with similar resonant frequencies, but with varying dimensions and mechanical support structures. The motivation for this study is to demonstrate high- resonators which can be integrated with other passive components on the same wafer. These components include TEM transmission lines [6], directional couplers, divider and combiner networks, etc. [7]. The primary aim is to achieve a high- factor while maintaining a very low profile, matching that of the other circuit components. To demonstrate this, a rectangular coaxial feed is implemented for the resonators discussed in this paper [8], as shown in Fig. 1(b).

H

Manuscript received February 3, 2006. This work was supported by BAE Systems under the Defense Advanced Research Projects Agency Three-Dimensional Micro Electromagnetic Radio Frequency Systems Program Contract W911QX-04-C-0097. K. J. Vanhille, D. S. Filipovic´, and Z. Popovic´ are with the Department of Electrical and Computer Engineering, University of Colorado at Boulder, Boulder, CO 80309-0425 USA (e-mail: [email protected]; zoya.popovic @colorado.edu; [email protected]). D. L. Fontaine is with BAE Systems, Nashua, NH 03060 USA (e-mail: [email protected]). C. Nichols is with Rohm and Haas Electronic Materials LLC, Blacksburg, VA 24060 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875794

Fig. 1. (a) Sketch of one half of a quasi-planar air-filled copper cavity resonator showing all relevant design dimensions (the values of specific dimensions are given in Table I). The longest dimension of the cavity (L + 2t) is less than 1 cm, while H = 250 m for all resonators. (b) Cross-sectional view of the rectangular coaxial feed. The recta-coax is air filled, with the exception of a thin 15-m dielectric support insulation layer.

A number of impressive - through -band microfabricated miniature resonators have been demonstrated over the past few years [9]–[16]. Different dimensions, frequencies, fabrication processes, miniaturization techniques, and other design factors produce resonators with vastly different factors so it is difficult to meaningfully compare them. Due to the comparatively small cavity heights of the resonators of this paper, a normalized cavity height is defined as follows:

(1) is the physical cavity height, is the resonant frewhere quency, and is the normalizing frequency (26 GHz). The frequency ratio takes into account lower skin-effect conductor losses at lower frequencies. The demonstrated unloaded factors as a function of the normalized height of the resonators in [9]–[14], along with a value demonstrated in this paper, are given in Fig. 2. The solid line represents the highest theoretical value of an ideal smooth silver-lined resonant cavity for a given height. This allows one to determine how well a fabrication method creates resonators approaching the theoretical

0018-9480/$20.00 © 2006 IEEE

2440

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 2. Comparison of unloaded Q versus normalized electrical height (H= ) f =f for resonators in [9]–[14]. The resonators that use a miniaturization technique, e.g., a dielectric filling or capacitive loading, are indicated with an “*” in the legend. The solid line is the theoretical unloaded Q for an ideal silver-walled =2 square resonator with a normalized height specified by the horizontal axis, and f = 26 GHz.

limit for the factor. The stripline resonators in [9] are included in this comparison because they exhibit factors near levels achieved using extreme miniaturization techniques for cavity resonators. The of the resonator in [12] compared to that of [13], both fabricated by the same authors, demonstrates the extent to which miniaturization of a resonator can decrease the achievable for a given height. This paper is organized as follows. • Section II gives a brief description of the fabrication process and the design of the resonators and feeds. The design tools (analytical and numerical) are also discussed. • Section III describes in detail the modeling of four different resonators. The resonators are designed to have resonant frequencies around 26 GHz, but their dimensions and support structures are varied in order to investigate the effects on the factor and mechanical stability. • Section IV provides information about the measurements and a comparison of measured and modeled resonant frequency, loaded factor , and for the four resonators. • Section V discusses other relevant resonator parameters such as fabrication effects, different feed designs, loss mechanisms, etc. • Section VI presents a conclusion and discusses a few areas of future work. II. FABRICATION, ANALYSIS, AND DESIGN The basic design of the resonators is a half-wavelength airfilled square cavity supporting a mode in a two-port configuration. The fabrication technique imposes certain design constraints, which are discussed below. A. Fabrication To obtain an air-filled coax and other components in a printed-circuit-type topology, five layers of copper and photoresist are alternately deposited on a silicon substrate [see Fig. 3(a)] [8]. The copper layer thickness ranges from 10 to

Fig. 3. (a) Simplified sketches of the steps used in the fabrication process for a transmission line. The cross section shown here is compatible with the resonator fabrication. (b) Photograph of the top view of a resonator with four posts. (c) Photograph showing a vertical microcoaxial launch used to couple to and from the resonator using 150-m CPW probes.

100 m with an aspect ratio (height to width) of approximately 2 : 1. The 100 m by 100 m inner conductor of the air-filled

VANHILLE et al.: QUASI-PLANAR HIGH-

MILLIMETER-WAVE RESONATORS

2441

TABLE I DIMENSIONS OF THE MAJOR FEATURES OF THE RESONATOR CORRESPONDING TO THE DIAGRAM SHOWN IN FIG. 1 FOR THE FOUR RESONATORS

Q

Fig. 4. For a fixed footprint, the unloaded factor is computed for a copper using an analytical formula, cavity resonator with different cavity heights and both driven and eigenmode 3-D FEM analyses.

H

coaxial transmission line is supported with periodically placed 15- m-thick 250 m 100 m dielectric straps. The outer conductor dimensions are 250 m 250 m. The photoresist used during deposition and geometry definition is removed through 200 200 m holes in the top layer and 75 200 m holes on the side layers, referred to as “release” holes. For the demonstrated cavity resonators, the inner conductor of the microcoax is used in the feed and additional supports are included for mechanical stability of the top layer. A photograph of one of the four resonators is shown in Fig. 3(b) with a detail of the feed in Fig. 3(c). Other air-filled miniature rectangular coax structures using different fabrication processes have been published [17]–[19]. In [20]–[22], a process has been demonstrated for several millimeter-wave devices with a higher loss conductor (nickel). These processes seems to be limited to having all the components on a single layer. B. Analysis The different resonators and feed are analyzed with Ansoft’s three-dimensional finite-element method (3-D FEM) software package High Frequency Structure Simulator (HFSS) using both eigenmode and driven analyses [23]. Fig. 4 shows a comparison of the computed of a cavity resonator using three different modeling techniques. The analytical technique calculates the using the common formula for a cavity resonator based upon the cavity dimensions, the surface resistance of the cavity metal, and the frequency of interest [24]. The finite-element models include the feeds and associated losses. As a result, the factors calculated from the finite-element models are lower than those found using the analytical formula, but the difference is less than 1.5%. The two FEM approaches give results within 0.2%. There is a near constant offset between the three curves over the full frequency range. The resonant frequency changes less than 0.4% over the range of values utilized for this demonstration. The challenge for the analysis is the large range of relevant electrical sizes in the resonator models. The full resonators have dimensions on the order of , and the release holes have dimensions less than . A large number of tetrahedra are re-

quired to mesh the volume. Symmetry planes of the resonators are exploited to reduce the size of the computational models. The eigenmode analyses use models constituting one-quarter of the geometry. The driven analyses use one-half of the geometry, similar to what is shown in Fig. 1(a). The factors of the resonators are extracted from the measurements of the devices using the following [3]: (2) dB

(3)

and (4) is the resonant frequency, is the 3-dB bandwhere width and is the loaded, is the unloaded, and is the external factor of the resonator. C. Design of Resonator and Feed Four different resonators are designed taking into account the fabrication and mechanical constraints. The parameters that are varied between the four designs are the cavity length, number of support posts, and method for attaching the posts to the resonator bottom wall. Each design results in a different factor and a different mechanical–electrical tradeoff. A diagram showing the relevant dimensions of the resonators is given in Fig. 1. The values corresponding to the dimensions of the different resonators are given in Table I. All four resonators are fed with identical inductive shorts, attaching the center conductor of the feed to the bottom wall of the cavity, as shown in Fig. 1(b). The transition from the rectangular coax to standard coplanar waveguide (CPW) probes is accomplished through an integrated vertical open-ended coaxial launch, a photograph of which is presented in Fig. 3(c). These launches allow measurement of the resonators using standard CPW probes. A column is designed to support the center conductor of the launch in order to increase its mechanical stability

2442

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 5. Feed inset I from Fig. 1(b) is varied for a simple cavity resonator. S and Q are given for different values of the feed inset. The feed inset design value for the resonators is chosen to be 0.1 mm.

so that the pressure of the probes does not damage it. Notwithstanding the need for support, it is necessary to provide electrical isolation between the inner and outer conductors of the launch. A thin layer of dielectric is placed between the support column and center conductor. The metal floor of the launch is removed to allow the column to extend to the substrate below rather than to the outer conductor. To first order, the net effect of these capacitances in series is to decrease the electrical discontinuity of the launch, as full-wave analysis validates. The resonator feed is an extension of the center conductor of the rectangular coax that is shorted to the floor of the resonator. The inner conductor short has a footprint of 100 200 m dictated by fabrication constraints. This method uses magnetic coupling. By changing the feed inset distance ( shown in Fig. 1), it is possible to achieve a wide range of usable transmission values, as shown in Fig. 5. The results are obtained using the 3-D FEM. As the coupling increases, the unloaded factor of the cavity decreases. This is partially due to the increased conductor losses as the feed extends into the cavity; however, for normal coupling levels, the decrease in the unloaded factor is less than 10%. III. RESONATOR MODELING Descriptions of the detailed analysis for the four different resonators, each with the following characteristics are presented here. • is a cavity with dimensions like the ideal one from Fig. 5, but with the release holes required for photoresist removal. • has a mechanical support post in addition to the release holes. The copper support post rests on the silicon substrate in the center of the cavity and is insulated from the bottom wall with an annular slot. • has four support posts resting on the Si substrate as in . The posts are symmetrically placed inside the cavity. • has four support posts, but they are connected directly to the copper bottom wall (shorted). Specific loss mechanisms are studied using the 3-D FEM in addition to the fabricated designs. Where appropriate, the models are simplified to highlight the salient effects.

Fig. 6. Sketches of cross-sectional views of the four resonators with feeds are shown. For clarity, the resonators are not drawn to scale and the number of release holes is not accurate. (a) R1. (b) R2. (c) R3. (d) R4.

A. R1-Cavity Resonator This initial resonator serves as a baseline model with which to compare the subsequent designs. Fig. 6(a) shows a conceptual cross section of . This topology is the simplest conceivable resonator using this technology. The cavity resonator is designed to operate near 26 GHz. From Fig. 4, the theoretical value of the unloaded factor for this geometry with a cavity height of 250 m is near 575 if the cavity is perfectly constructed of smooth copper. However, this ideal model does not account for several loss mechanisms encountered in the presented microfabrication process. One of the loss mechanisms is in the feed structure. With the coupling levels in our case, this loss is a few percent. The release holes contribute to the loss as well. The resonator is modeled by effectively placing the resonator within a numerical anechoic chamber. The release holes are open to the anechoic chamber, allowing radiative losses to be examined. The holes are 200 200 m, which puts the cutoff frequency of the holes as rectangular waveguides above 750 GHz. Evanescent modes in the release holes can allow some energy leakage out of the cavity and decrease the unloaded factor of the cavity. A numerical study reveals that reducing thethickness of the top wall from 50 to 10 m decreases the factor by 2%. The simulated given in Table II including all loss mechanisms is 5% smaller than the value given in Fig. 4, for which the effect of the release holes in not included. It is seen that the release holes do affect the achievable of the resonator despite having a cutoff frequency much higher than the resonant frequency, as will be discussed in Section V. B. R2-Cavity Resonator With a Single Post to the Substrate A support post in the center of the resonator is designed to increase the mechanical stability of the top wall. This post con-

VANHILLE et al.: QUASI-PLANAR HIGH-

MILLIMETER-WAVE RESONATORS

2443

TABLE II SUMMARY OF THE SIMULATION AND MEASUREMENT RESULTS IS GIVEN FOR THE FOUR DIFFERENT RESONATOR CONFIGURATIONS

nects from the top wall to the substrate below the resonator, as shown in the diagram in Fig. 6(b). A slot is opened in the metal floor of the resonator around the post to electrically isolate the top and bottom walls. The metal post and slot form a series – circuit, but at 26 GHz, the capacitive gap between the bottom wall and the post dominates the electrical behavior. This capacitance, in parallel with that between the top and bottom walls, increases the overall capacitance and decreases the dimensions of the resonator slightly compared to the baseline resonator for a fixed .

R

Fig. 7. Simplified version of 3 is modeled with the relative permittivity and loss tangent of the substrate varied. Two general trends are observed: an increase of the permittivity makes the effective size of the support post holes larger and decreases the of the resonator; and the substrate losses are not negligible. A marker (O) is placed to indicate the corresponding to the high-resistivity Si substrate parameters of this design.

Q

Q

C. R3-Cavity Resonator With Four Posts to the Substrate The third design has four posts to the substrate. Fig. 6(c) shows a cross-sectional view of including two of the support posts and slots. Using four posts increases the mechanical stability as compared to that of a single post. However, the electrical effect of the four off-centered posts individually is reduced from the case of a single centered post due to the lower fields. A design parameter is the post offset from the resonator center in Fig. 1(a). Smaller values of decrease the resonator size for a given and reduce the . To a certain limit, decreasing the offset of the posts from the center increases the resonant frequency of the resonator. The effect of the substrate losses on the performance of without release holes and without the feed is studied with all other dimensions kept the same as in Table I. Energy from the resonator leaks into the substrate through the annular slots used to isolate the support posts from the bottom wall of the resonator. Fig. 7 shows the effects of the substrate parameters ( and ) on the of the resonator. The mean circumference of the annular slot is approximately and for an Si substrate with a quasi-static approximation of , the circumference increases to approximately , influencing the dramatic increase in losses with larger substrate relative permittivities. D. R4-Cavity Resonator With Four Posts to the Bottom Metal Layer The previously described post/slot combination decreases the size and of the cavity. Alternatively, metal inductive posts can be used. The design eliminates the slot to silicon for the support posts, as depicted in Fig. 6(d). The inductive effect of the 150- m-diameter posts is less if they are spaced further

O

Fig. 8. Offset of the support posts from the center is swept from near the center of the resonator towards the outside for a simplified 4 resonator. This is done for three different sizes of support posts. The resonant frequency and unloaded are shown.

R

Q

from the center of the resonator, but the mechanical advantage decreases as the support posts are moved closer to the outer walls of the resonator. This effect makes the overall footprint of the resonator larger than would be the case for an empty cavity resonator, but this tradeoff in size may be preferred to a lower if mechanical stability is necessary and the resonator would otherwise be opened to a lossy substrate. Fig. 8 shows the unloaded and the resonant frequency of a resonator similar to for different support post offsets . Three different support post diameters are used for the simulation, i.e., 100, 150, and 200 m. The simulation, performed using 3-D FEM eigenmode analysis, neither includes the feed, nor the release holes. The cavity behaves similarly to a coaxial cavity resonator for values of less than 1.2 mm, as can be verified by the examining the field profile. However, as continues to increase, the resonator behaves more like a rectangular cavity resonator. The diameter of the post has a large effect on the resonant frequency of the resonator, but a small effect on

2444

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 9. Simulated and measured S -parameter coupling data for R1 and R3 are shown and the difference in frequencies is less than 0.5%.

. 150- m support posts are chosen, making the resonant frequency of the cavity less sensitive to than would occur with smaller post diameters. IV. MEASUREMENT The measurement setup includes an HP-8510C network analyzer with Cascade Microtech 150- m-pitch CPW microwave probes and a Cascade Summit 9000 probe station. An external short-open-load-thru (SOLT) calibration implementing CPW on an alumina substrate is used for the two-port calibration. It would be preferable to fabricate thru-reflect-line (TRL) standards on the same substrate as the resonators, and this is planned for the future. A comparison of the measurement and simulation for two representative resonators and is given in Fig. 9. The measurement and simulation results for all of the resonators are compared in Table II. The agreement between measurement and simulation is generally comparable for the four resonators for both frequency and . The coupling is less than 1.5 dB lower for the measurements than predicted by simulations. Fig. 5 shows how sensitive the coupling levels can be to the feed inset. A slight error in the fabricated feed inset could account for the coupling levels being lower than predicted. In addition, loss due to the surface roughness is not taken into account, but would result in a consistent decrease in for the resonators. V. DISCUSSION Several interesting aspects of the presented resonators remain to be discussed, which are as follows: • effects of upward bowing of the top wall of the resonator; • effects of the probe placement on measurement accuracy; • feed structures other than microrectangular coax; • loss mechanisms, which affect the factor. The top wall of the resonators in the initial fabrication batch exhibited an upward “bowing” effect due to top-wall strain. The process was subsequently refined to produce flat walls, however, it is interesting to note the bowing increased both the resonant frequency and the of the resonator. The resonant frequency increases because the overall capacitance between the top and

Fig. 10. (a) Surface profile for a quarter of the top wall of a bowed R1 is shown in the graph. An inset indicating the location of the cut planes with dashed gray lines is shown in the upper right corner of the figure. This bowing was subsequently eliminated by changing the fabrication process. (b) Measured and simulated S -parameter data for the cavity resonator are given for the cases with and without bowing. The frequency shift exhibited by resonators with bowing can be accounted for by including the bowing in the models.

bottom walls decreases with the increased separation. The increase of the interior volume from the bowing also increases the . Surface profile cuts for a resonator with the bowing are shown in Fig. 10(a). The cuts are made for the center to the edge of the resonator between the rows of release holes over one-quarter of the geometry. The surface profile measurements were made using a Zygo laser interferometer. The bowing increases by 60 m near the center of the cavity. Fig. 10(b) illustrates a comparison of performance for with and without the top-wall bowing. The resonant frequency and loaded of the resonator are predicted using the 3-D FEM and the simulation and measurement for the two cases are shown in this figure. With bowing, the measured is 684 and the resonant frequency is 26.82 GHz. The simulated is 661 and the simulated resonant frequency is 26.78 GHz, calculated using eigenmode analysis. The bowing of the top surface of the resonator is approximated using 16 planar faces in the model geometry. Referring to Fig. 3(c), CPW probes are placed directly on the open end of the microrectangular coax. The repeatability of the manual probe placement has an effect of around a 0.5-dB

VANHILLE et al.: QUASI-PLANAR HIGH-

MILLIMETER-WAVE RESONATORS

2445

Good agreement between the performance of the models and the measurements is obtained. Accommodations for structural modifications to increase the stability of the resonators have been accounted for, and it is shown that the modifications can be implemented without a big impact on the electrical response of the resonators. Future work will address other components using this technology, as well as an extension to multilayer structures. ACKNOWLEDGMENT Fig. 11. Machined microstrip-fed resonator. The operating frequency is 3.4 GHz and a Q > 800 is measured. The microstrip board is backside processed to remove coupling slots in the ground plane to couple between the resonator and the microstrip transmission lines. The dashed lines indicate the outline of the coupling slots. The light dotted square indicates the outline of the cavity.

TABLE III LOSS BUDGET DETAILING THE RELATIVE CONTRIBUTION IN PERCENTAGE OF EACH STRUCTURAL FEATURE TO THE OVERALL LOSSES OF THE FOUR RESONATORS IS SHOWN

The authors would like to thank G. Potvin, BAE Systems, Nashua, NH, D. Sherrer and the Rohm and Haas Microfabrication Team, Blacksburg, VA, Dr. J. Evans, Defense Advanced Research Projects Agency (DARPA), Arlington, VA, and E. Adler, Army Research Laboratory (ARL), Adelphi, MD, for their support. The authors would also like to thank M. Lukic´ , Dr. S. Rondineau, and P. Bell, Electrical Engineering Department, University of Colorado at Boulder, for helpful technical input, and S. Lee, Mechanical Engineering Department, University of Colorado at Boulder, for aid with the surface profile measurements. REFERENCES

variation on , which will affect the of Table II. With a better transition design or an automated measurement system, this variability can be avoided. Other feed techniques such as microstrip or CPW can be used for these resonators. As an example, Fig. 11 shows a scaled model of the general resonator configuration, machined from brass for operation near 3.4 GHz (for use in a local oscillator (LO) at one-half of the hyperfine transition of rubidium in miniature atomic clocks). The microstrip feed network is milled on a 508- m Duroid 5880 substrate. Slot coupling from microstrip to the cavity is used, demonstrating a using mm [ using the normalized height calculated using (1)]. This performance is comparable with that of the resonator in [11] if plotted as in Fig. 2. Loss budgets for the resonators are summarized in Table III. It is clear that the conductor losses of the walls unavoidably dominate, but the other losses may be minimized. For instance, the metal losses in the release holes have a much larger effect on the overall losses of the resonator than the radiation from the holes. One could conceivably change the placement or shape of the holes to decrease losses without drastically increasing the radiation losses. The 50% lower losses of the feed of compared to the other resonators is due to the smaller coupling of the feed to the resonator (smaller fields around the feed), as seen by being lower by approximately 3 dB in Table II, thus the coupling levels are seen to have an effect on the unloaded . VI. CONCLUSION In summary, four quasi-planar resonators have been designed and fabricated for operation around 26 GHz and the measurement results are compared with behavior predicted by modeling.

[1] M. Odyniec, RF and Microwave Oscillator Design. Norwood, MA: Artech House, 2002, pp. 35–36. [2] G. Conciauro, M. Guglielmi, and R. Sorrentino, Advanced Modal Analysis. Chichester, U.K.: Wiley, 2000, pp. 190–193. [3] G. Matthaei, L. Young, and E. Jones, Microwave Filters, ImpedanceMatching Networks, and Coupling Structures. New York: McGrawHill, 1964, pp. 651–723. [4] J. Krupka, M. Tobar, J. Hartnett, D. Cros, and J.-M. L. Floch, “Extremely high-Q factor dielectric resonators for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 702–712, Feb. 2005. [5] A. Gopinath, “Maximum Q-factor of microstrip resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 2, pp. 128–131, Feb. 1981. [6] M. Lukic´ , S. Rondineau, Z. Popovic´ , and D. Filipovic´ , “Modeling of realistic rectangular -coaxial lines,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2068–2076, May 2006. [7] D. S. Filipovic´ , Z. Popovic´ , K. Vanhille, M. Lukic´ , S. Rondineau, M. Buck, G. Potvin, D. Fontaine, C. Nichols, D. Sherrer, S. Zhou, W. Houck, D. Fleming, E. Daniel, W. Wilkins, V. Sokolov, and J. Evans, “Modeling, design, fabrication, and performance of rectangular -coaxial lines and components,” IEEE MTT-S Microw. Symp. Dig., accepted for publication. [8] D. Sherrer and J. Fisher, “Coaxial waveguide microstructures and the method of formation thereof,” U.S. Patent 7 012 489 B2, Mar. 14, 2006. [9] C.-Y. Chi and G. Rebeiz, “Conductor-loss limited stripline resonator and filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 4, pp. 626–630, Apr. 1996. [10] J. Papapolymerou, J.-C. Cheng, J. East, and L. Katehi, “A micromachined high-Q X -band resonator,” IEEE Microw. Guided Wave Lett., vol. 7, no. 6, pp. 168–170, Jun. 1997. [11] M. Hill, J. Papapolymerou, and R. Ziolkowski, “High-Q micromachined resonant cavities in a K -band diplexer configuration,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., pp. 307–312, Oct. 2001. [12] K. Strohm, F. Schmuckle, B. Schauwecker, J.-F. Luy, and W. Heinrich, “Silicon micromachined RF MEMS resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2003, pp. 1209–1212. [13] K. Strohm, F. Schmuckle, O. Yaglioglu, J.-F. Luy, and W. Heinrich, “3D silicon micromachined RF resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1801–1804. [14] X. Gong, A. Margomenos, B. Liu, S. Hajela, L. Katehi, and W. Chappell, “Precision fabrication techniques and analysis on high-Q evanescent mode resonators and filters of different geometries,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2557–2566, Nov. 2004. [15] M. Stickel, P. Kremer, and G. Eleftheriades, “High-Q silicon micromachined cavity resonators at 30 GHz using the split-block technique,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., pp. 450–454, Oct. 2004.

2446

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[16] A. Brown and G. Rebeiz, “A Ka-band micromachined low-phasenoise oscillator,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1504–1508, Aug. 1999. [17] I. Jeong, S.-H. Shin, J.-H. Go, J.-S. Lee, and C.-M. Nam, “High performance air-gap transmission lines and inductors for millimeter wave applications,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2850–2855, Dec. 2002. [18] J.-B. Yoon, B.-I. Kim, Y.-S. Choi, and E. Yoon, “3-D construction of monolithic passive components for RF and microwave ICs using thick metal surface micromachining technology,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 279–288, Jan. 2003. [19] R. Chen, “Micro-fabrication techniques,” Wireless Des. Develop., pp. 16–20, Dec. 2004. [20] R. Chen, E. Brown, and C. Bang, “A compact low-loss Ka-band filter using 3-dimensional micromachined integrated coax,” in IEEE Int. MEMS Conf., Maastricht, The Netherlands, Jan. 2004, pp. 801–804. [21] J. Reid and R. Webster, “A 60 GHz branch line coupler fabricated using integrated rectangular coaxial lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 441–444. [22] “A compact integrated V -band bandpass filter,” in Proc. IEEE AP-S Int. Symp., Monterey, CA, Jul. 2004, pp. 990–993. [23] “HFSS 9.2.1 User Manual,” Ansoft Corporation, Pittsburgh, PA, 2004. [24] D. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, pp. 300–350.

Kenneth J. Vanhille (S’00) received the B.S. degree in electrical engineering from Utah State University, Logan, in 2002, the M.S.E.E. degree from the University of Colorado at Boulder, in 2005, and is currently working towards the Ph.D. degree at the University of Colorado at Boulder. From 2000 to 2003, he was with the Space Dynamics Laboratory, Logan, UT, where he designed space science instrumentation for sounding rocket campaigns. He was a member of the 2002 National Aeronautics and Space Administration (NASA) Academy, Goddard Space Flight Center. His professional experience also includes internships with The Aerospace Corporation, El Segundo, CA (2001), the Ball Aerospace and Technologies Corporation, Boulder (2003), and the First RF Corporation, Boulder (2003–2004). His current interests include millimeter-wave components and antenna design.

Daniel L. Fontaine was born in Holyoke, MA, on February 17, 1966. He received the B.S. and M.S. degrees in electrical engineering from the University of Massachusetts at Amherst, in 1988 and 1991, respectively. From 1988 to 1996, he was a Senior Design Engineer with the Raytheon Company, Tewksbury, MA. Since 1996, he has been with BAE Systems, Nashua, NH, as a Principal Design Engineer with the Advanced Systems and Technology Division. His professional design experience and interests include microwave and millimeter-wave patch antennas and arrays, quasioptical feed networks, transmitter/receiver (T/R) modules, and frequencyselective surfaces.

Christopher Nichols (M’03) received the B.S. degree in physics from Arkansas State University, Jonesboro, in 1991, the M.S. degree in physics and Ph.D. degree in applied science from The College of William and Mary, Williamsburg, VA, in 1992 and 1996, respectively. His doctoral research involved the engineering of a novel hyperthermal neutral stream etch process tool for charge-free wafer stripping. He was with IBM, Yorktown Heights, NY, where he was involved with ionized physical vapor deposition. His post-doctoral appointment with Sandia National Laboratories focused on advanced sub-0.25 m polysilicon and metal etch processes, as well as advanced in situ plasma diagnostics. While with Texas Instruments Incorporated, he developed integrated diagnostic sensor systems and intelligent neural-network-based data reduction algorithms. In 1998, he rejoined Sandia National Laboratories, as a Senior Member of Technical Staff involved with process engineering, developing and maintaining etch processes for a variety of CMOS and microelectromechanical systems (MEMS) standard processes. In November 2000, he joined Standard MEMS, where he was responsible for program definition, customer technical interface, and product management, with a primary focus on utilization of surface micromachining technologies with the Telecommunications Business Unit. He is currently a Senior Engineer and Microfabrication Program Manager with Rohm and Haas Electronic Materials LLC, Blacksburg, VA.

Dejan S. Filipovic´ (S’97–M’02) received the Dipl. Eng. degree in electrical engineering from the University of Nis, Nis, Serbia and Montenegro, in 1994, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1999 and 2002, respectively. From 1994 to 1997, he was a Research Assistant with the School of Electrical Engineering, University of Nis. From 1997 to 2002, he was a Graduate Student Research Assistant with The University of Michigan at Ann Arbor. He is currently an Assistant Professor with the University of Colorado at Boulder. His research interests are antenna theory and design, modeling and design of passive millimeter-wave components for future microelectromagnetic RF systems, as well as computational and applied electromagnetics. Mr. Filipovic´ was the recipient of the prestigious Nikola Tesla Award for his outstanding graduation thesis. He and his students were corecipients of the Best Paper Award presented at the IEEE Antennas and Propagation Society (AP-S)/ URSI and Antenna Application Symposium conferences.

Zoya Popovic´ (S’86–M’90–SM’99–F’02) received the Dipl. Ing. degree from the University of Belgrade, Serbia, Yugoslavia, in 1985, and the Ph.D. degree from the California Institute of Technology, Pasadena, in 1990. Since 1990, she has been with the University of Colorado at Boulder, where she is currently a Full Professor. She has developed five undergraduate and graduate electromagnetics and microwave laboratory courses and coauthored the textbook Introductory Electromagnetics (Prentice-Hall, 2000) for a junior-level core course for electrical and computer engineering students. Her research interests include microwave and millimeter-wave quasi-optical techniques, high-efficiency microwave circuits, smart and multibeam antenna arrays, intelligent RF front ends, RF optical techniques, batteryless sensors, and broadband antenna arrays for radio astronomy. Dr. Popovic´ was the recipient of the 1993 Microwave Prize presented by the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) for the best journal paper. She was the recipient of the 1996 URSI Isaac Koga Gold Medal. In 1997, Eta Kappa Nu students chose her as a Professor of the Year. She was the recipient of a 2000 Humboldt Research Award for Senior U.S. Scientists from the German Alexander von Humboldt Stiftung. She was also the recipient of the 2001 Hewlett-Packard (HP)/American Society for Engineering Education (ASEE) Terman Award for combined teaching and research excellence.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2447

A Reflectometer Calibration Method Resistant to Waveguide Flange Misalignment Zhiyang Liu, Member, IEEE, and Robert M. Weikle II, Senior Member, IEEE

Abstract—A new reflectometer calibration method is described that utilizes four standards: a flush short, two delay shorts with unspecified but different phases, and an open-ended waveguide. The calibration method eliminates the requirement for a precision waveguide matched load, which can be problematic to realize at submillimeter wavelengths. Importantly, it is shown that the technique is resistant to waveguide flange misalignment, which is among the most serious factors that degrade the calibration accuracy of vector network analyzers operating above 100 GHz. Scaled measurements using this method have been performed in -band (75–110 GHz) where it is readily compared to other the calibration methods such as thru reflect line to assess its utility and performance. Measurement results demonstrate the robustness of this new calibration method and have verified it is superior to the commonly utilized short/delayed–short/load technique with respect to the influence of waveguide flange misalignment. Index Terms—Calibration, submillimeter-wave measurements, waveguides.

I. INTRODUCTION ECTOR network analyzers (VNAs) operating up to the WR-3 waveguide band (220–325 GHz) are commercially available today. However, for frequency bands above 100 GHz (where the waveguide is the most common transmission medium), their measurement performance is often limited by the fabrication tolerances of calibration standards that are used along with the precision with which the waveguide flanges can be mated. Flange misalignment (illustrated in Fig. 1) resulting from the limited precision of waveguide machining techniques is among the most serious challenges to repeatable scattering-parameter measurements at the upper end of the millimeter-wave spectrum [1]. In fact, waveguide flange misalignment can often be as large as 100 m with standard machining and 65 m using precision fabrication methods [1]. Waveguide flange alignment is crucial to the performance of millimeter and submillimeter-wave systems and the issues pertaining to proper flange design have been discussed in detail by Kerr et al. [2], [3]. Flange misalignment can limit the calibration accuracy of VNAs in several ways. Foremost, misalignment can result in a significantly degraded return loss for waveguide matched terminations. As an illustrative example,

V

Manuscript received December 9, 2005; revised March 9, 2006. This work was supported by the U.S. Army Research Office under Grant ARO-MURIDAAD19-00-R-0010, by the U.S. Army National Ground Intelligence Center under Grant DASC01-01-C-0009, by the National Science Foundation under Grant ECS-0524284, and by the W. M. Keck Foundation under a grant. The authors are with the School of Engineering and Applied Science, University of Virginia, Charlottesville, VA 22904 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875795

Fig. 1 Illustration of waveguide flange misalignment due to limited machining tolerances and fabrication errors associated with alignment pins and holes.

Fig. 2(a) shows the simulated [using Ansoft’s High-Frequency Structure Simulator or (HFSS)] return loss of a WR-3 waveguide matched load that is misaligned with the test port by 76 m along the waveguide -plane. The return loss is as low as 17 dB at the upper end of the waveguide band and is significantly worse than what is typical for a high-quality matched load (which is usually better than 35 dB). Misalignment of waveguide flanges can also result in considerable phase error in the response of high-reflection loads (such as delay shorts) that are used as calibration standards. Fig. 2(b) shows the simulated phase error (again based on HFSS) of a WR-3 waveguide quarter-wavelength offset short that is misaligned to the test port by 76 m along the -plane. In this case, the phase error exceeds 20 . Finally, flange misalignment can also cause the “thru” and “line” standards used in the thru reflect line (TRL) calibration technique [4] to be mismatched to the test ports, resulting in substantial degradation of calibration accuracy. As greater use is made of the millimeter- and submillimeter-wave bands, better calibration performance is needed from VNAs. The most common calibration techniques currently used for VNAs are subject to the above-mentioned problems and little work has been directed at addressing these shortcomings. A reflectometer calibration method that does address the issue of phase error associated with misaligned delay shorts by using four standards (a short-circuit two delay shorts with unspecified, but different phases and a matched termination) was reported recently by Chahine et al. [5]. With this method (abbreviated as SDDL), the short circuit is realized with a flush metal panel, which is not susceptible to flange misalignment. Moreover, because exact knowledge of the phases associated with the delayed shorts are not needed and their magnitudes are unity, this calibration method mitigates phase errors associated with misaligned delayed shorts. The SDDL technique, however, relies on the availability of a high-quality matched

0018-9480/$20.00 © 2006 IEEE

2448

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

recently developed approximation techniques [8], [9]. For this work, the numerical results for the open waveguide reflection coefficient are obtained by the method described in [8] where anisotropic perfectly matched layers (PMLs) are applied to the half-space into which the waveguide aperture radiates. In the following, the algorithm used for the new calibration method is described and measurement results on a -band (75–110 GHz) implementation are presented. II. ANALYSIS It is well documented that the apparent (or uncalibrated) reflection coefficient measured by a reflectometer is a bilinear transformation of the true reflection coefficient [10]

(1) are complex calibration constants of the where , , and measurement system. The algorithm presented below solves for the constants , , and in terms of the measured apparent reflection coefficients of a flush short , two delay shorts ( and ), and an arbitrary known load . In this study, the known load is realized with an open-ended waveguide that is modeled using the result from Shen et al. [8]; however, the general method can be applied with any known load. The following four equations result from the measurement of these calibration standards:

flush short Fig. 2 (a) HFSS simulated return loss of a matched load (in the WR-3 band, a = 0:864 mm and b = 0:432 mm) that is misaligned to the test port by 76 m in the E -plane. (b) Simulated phase error of a WR-3 =4-delayed short (at 273 GHz) that is misaligned to the test port by 76 m in the E -plane.

termination that is not misaligned to the measurement port. In addition to the difficulty of obtaining quality matched loads at submillimeter wavelengths, flange misalignment can seriously degrade their return loss [as illustrated in Fig. 2(a)] and, thus, compromise the accuracy of the calibration [6]. In this study, a new reflectometer calibration technique is described that augments that developed by Sigg and Simon [6] and Chahine et al. [5] and is more general in that the requirement for a matched termination is relaxed and replaced with an arbitrary known standard. This proposed method utilizes an open-ended waveguide as the fourth standard and is realized by allowing the test port waveguide aperture radiate into empty space. Since the open-ended waveguide does not require a mating flange, this standard is not vulnerable to misalignment at the test port. Furthermore, this choice eliminates the performance limitations and costs associated with precision-machined matched terminations. Considering that none of the four standards utilized rely on precise flange mating, the calibration technique as a whole is resistant to misalignments at the test port. The return loss of open-ended waveguides (which is typically greater than 10 dB) has been studied exhaustively in the technical literature and can be solved exactly by the correlation matrix method [7] or with

(2)

delay short 1

(3)

delay short 2

(4)

load

(5)

is the true reflection coefficient of the arbitrary known where load. From (2), can be expressed in terms of and

(6) Substituting (6) into (3)–(5) gives

(7) (8) (9) where

,

,

, and

(10)

LIU AND WEIKLE: REFLECTOMETER CALIBRATION METHOD RESISTANT TO WAVEGUIDE FLANGE MISALIGNMENT

2449

It is well known that for an arbitrary complex number (18) where the overhead line represents the complex conjugate. Applying (18) to (16) yields

(19) which is rearranged to Fig. 3. Geometry described by (11) and (12) represented on the complex C -plane.

(20)

Equations (7) and (8) can be rearranged into the following form:

Substituting (20) into (9) results in a second-order equation of the form

(11)

(21)

(12) Expressions (11) and (12) describe two circles on the complex -plane. Clearly, is one point where the circles intersect [5]. The constant is the other intersection point of the circles and can be solved as an explicit function of from (11) and (12) with the visual aide of Fig. 3. In Fig. 3, segment is a rotation of segment as follows:

where

(22) and

(23) (13) where

is the angle between

Breaking (21) into real and imaginary parts, we obtain from its imaginary part

and

(24)

(14) Dividing each side of (13) by

is found by substituting (24) into the real The real part of part of (21) as follows:

yields

(15) (25) Substituting (14) into (15) yields

(16) where

can be solved subsequently from (24) The imaginary part of and, thus, the complex parameter is determined. With known, the system calibration coefficients , , and are found directly from (10), (20), and (6), respectively. III. MEASUREMENTS

(17)

Due to the scarcity of verification standards for frequencies above 100 GHz, the calibration technique and algorithm described above was demonstrated and assessed in the -band

2450

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

W

Fig. 4. Modified -band waveguide flange. The alignment holes accommodate the alignment pins of the device-under-test’s waveguide flange. Fig. 5. Measured return loss (TRL corrected data) of the misaligned matched load used for SDDL calibration.

(75–110 GHz) where alternative calibration methods such as the TRL can be used as a basis for comparison. A quarter-wavelength section of -band waveguide (2.54 mm 1.27 mm and nominal length of 1.105 mm) with both flanges purposely offset with respect to the VNA test port flange by 228 m in the -plane was fabricated to serve as a misaligned -delayed short for the purpose of demonstration. This 228- m offset of the -band delay standard is equivalent to a 76- m offset when scaled to the WR-3 band. Consequently, the scaled measurements presented here simulate WR-3 band measurements under the influence of waveguide flange misalignment in the -plane. Two additional waveguide delay lines (of nominal lengths 0.726 and 1.389 mm) were also fabricated to be used for the proposed calibration technique. Exact knowledge of their lengths is not required. However, when terminated with a waveguide short, they should result in reflection coefficients that are reasonably well separated from each other and do not coincide with a short circuit on the Smith chart. To implement the proposed calibration method, one test port of an HP8510C network analyzer with a -band millimeterwave extension unit (HP W85104A) was modified to closely approximate an open-ended waveguide when no termination is connected to it. Compared to a standard waveguide flange (such as the MIL-F-3922/67B), the modified flange (shown in Fig. 4) is flat, includes no alignment pins, and has a significantly larger area. When used as an open-ended waveguide, thin metal shims are inserted onto the flange to cover the alignment holes. All of these modifications are done to minimize the disturbance to the currents flowing on the flange surface, thus approximating an ideal open-ended waveguide as closely as possible. Moreover, a microwave absorber is placed in front of the waveguide flange (approximately 30 cm away from the waveguide aperture) to minimize power scattered back into the test port. A set of five calibrations were performed for this study. A TRL calibration using a commercially available set of standards (HP W11644A) is chosen to serve as a reference for comparison. The remaining calibration techniques investigated include the newly proposed method using an open-ended waveguide, a standard short/delayed–short/load (SDL) calibration in which only the -delayed short is misaligned to the test port, an SDL calibration in which no standard is misaligned, and the SDDL calibration in which the intentionally misaligned delay line is

inserted between the test port and matched load. The measured (TRL corrected) return loss of this misaligned matched load is shown in Fig. 5. In addition two other loads, a delay short (of length 0.539 mm) and a waveguide – tuner with one port terminated in a matched load are measured and their response corrected using each of the five calibrations methods outlined above. The measured reflection coefficient for the delay short using each of the five calibration schemes is shown in Fig. 6. Using the TRL-calibrated measurement as a reference, the measured magnitude and phase error for the misaligned-SDL calibration is as large as 2.7 dB and 8 , respectively. This clearly illustrates the deleterious effect a misaligned waveguide flange can have on SDL-corrected measurements. The SDDL corrected data exhibits a magnitude error less than 0.1 dB over the entire -band. However, the phase error exceeds 15 above 100 GHz, where the misaligned matched load has a return loss less than 20 dB (refer to Fig. 5). This demonstrates the susceptibility of the SDDL calibration technique to flange misalignment. The SDL-calibrated data without flange misalignment exhibits a magnitude error of less than 0.4 dB and a phase error of less than 2.6 . Measurements done using the new calibration technique to correct for systematic errors displays a magnitude error of less than 0.1 dB and a phase error no greater than 2 . For measurements performed with the – waveguide tuner, sets of reflection coefficient data corresponding to different tuning positions were recorded. Fig. 7 illustrates a typical response measured with the network analyzer. Since it is difficult to discriminate between the different sets of data in Fig. 7, the distance between the measured and TRL-referenced data on the -plane, i.e., , is calculated and plotted. is defined as (26) where is the measured reflection coefficient and is the reflection coefficient corrected using the TRL calibration data. Fig. 8 shows plotted in decibels for the measurements presented in Fig. 7 Over the entire band, the SDDL corrected data and misaligned SDL-calibrated data

LIU AND WEIKLE: REFLECTOMETER CALIBRATION METHOD RESISTANT TO WAVEGUIDE FLANGE MISALIGNMENT

Fig. 6 (a) Magnitude and (b) phase of 0 versus frequency for the offset short verification standard (nominal length of 0.539 mm) using the different calibration methods.

exhibit the largest deviations with maximum of 7 and 10 dB, respectively. The largest errors correspond to measurement of reflection coefficient magnitudes near unity. Data corrected with the newly proposed calibration method and the properly aligned SDL calibration yield errors of less than 27 and 25 dB, respectively over the entire -band. It should be mentioned that Figs. 7 and 8 show measurements performed with the – tuner for only one fixed position. Measurements done for other tuner positions, however, give similar results as those shown in Figs. 7 and 8. The most significant source of measurement error associated with the properly aligned SDL calibration is expected to be the finite return loss of the matched load (which varies over 35 40 dB). Uncertainties in the electrical length of the -delayed short also contribute to this error. For the newly proposed calibration method, measurement error arises primarily from the imperfections of the open-ended waveguide fixture. At points where the inserted shims covering the alignment holes do not make intimate electrical contact to the flange surface, the current distribution flowing on the surface of the fixture is disturbed and this results in uncertainty in the predicted reflection coefficient. Nevertheless, this issue will be less problematic for higher frequency waveguide bands because the alignment holes, electrically, are further from the waveguide aperture for a standard flange layout.

2451

Fig. 7. (a) Magnitude and (b) phase of 0 versus frequency for the E –H waveguide tuner using the different calibration methods.

Fig. 8 j10j versus frequency for the calibration methods.

E –H waveguide tuner using different

The results presented above highlight the limitations of the SDL calibration technique under the influence of waveguide flange misalignment. These measurements also demonstrate that the proposed calibration method incorporating an open-ended waveguide aperture as a standard yields an accuracy that is comparable to a properly aligned SDL calibration, but without the requirement for a high-quality waveguide matched termination.

2452

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

IV. SUMMARY A new reflectometer calibration method has been proposed and it has been shown that this method is robust in regard to waveguide flange misalignment. Measurements performed on a set of prototype standards in -band have demonstrated the utility of this new method and have also shown that it is superior to the commonly utilized SDL technique with respect to misaligned waveguide flanges. It is anticipated that the proposed calibration method will be advantageous for calibrated -parameter measurements at frequencies exceeding 100 GHz and extending into the submillimeter-wave bands. ACKNOWLEDGMENT The authors are grateful to Dr. Z. Shen, Nanyang Technological University, Singapore, for providing numerical results for the reflection coefficient of an open-ended waveguide. The authors also thank Dr. T. Crowe, Virginia Diodes Inc., Charlottesville, VA, for his suggestions on the scaled measurements.

[6] W. Sigg and J. Simon, “Reflectometer calibration using load, short and offset shorts with unknown phase,” Electron. Lett., vol. 27, no. 18, pp. 1650–1651, Aug. 1991. [7] R. H. MacPhie and A. I. Zaghloul, “Radiation from a rectangular waveguide with infinite flange-exact solution by the correlation matrix method,” IEEE Trans. Antennas Propag., vol. AP-28, no. 7, pp. 497–503, Jul. 1980. [8] Z. Shen, C. L. Law, and R. H. MacPhie, “Application of anisotropic PML in mode-matching analysis of open-ended waveguides,” IEEE Trans. Magn., vol. 38, no. 3, pp. 733–736, Mar. 2002. [9] Z. Shen and R. H. MacPhie, “A simple method for calculating the reflection coefficient of open-ended waveguides,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 4, pp. 546–548, Apr. 1997. [10] R. F. Bauer and P. Penfield, “De-embedding and unterminating,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 3, pp. 282–288, Mar. 1974. Zhiyang Liu (S’02–M’04) received the B.S. degree in astrophysics from Peking University, Beijing, China, in 1997, and the M.A. degree in astronomy and Ph.D. degree in electrical engineering from the University of Virginia, Charlottesville, in 1999 and 2004, respectively. He is currently a Post-Doctoral Research Associate with the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia, Charlottesville.

REFERENCES [1] C. Oleson and A. Denning, “Millimeter wave vector analysis calibration and measurement problems caused by common waveguide irregularities,” OML Inc., Morgan Hill, CA, Applicat. Notes, 2005 [Online]. Available: http://www.omlinc.com/download/mwvacamp.pdf [2] A. R. Kerr, E. Wollack, and N. Horner, “Waveguide flanges for ALMA instrumentation,” Nat. Radio Astron. Observatory, Charlottesville, VA, ALMA Memo 278, Nov. 1999 [Online]. Available: http://www.alma. nrao.edu/memos [3] A. R. Kerr, L. Kozul, and A. A. Marshall, “Recommendations for flat and anti-cocking waveguide flanges,” Electron. Div., Nat. Radio Astron. Observatory, Charlottesville, VA, Tech. Note 192, 2003 [Online]. Available: http://www.gb.nrao.edu/electronics/edtn [4] G. F. Engen and C. A. Hoer, “Thru-reflect-line: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979. [5] S. A. Chahine, B. Huyart, and J. Achkar, “Reflectometer calibration without an open circuit,” IEEE Trans. Instrum. Meas., vol. 52, no. 5, pp. 1488–1493, Oct. 2003.

Robert M. Weikle II (S’89–M’92–SM’05) received the B.S. degree in electrical engineering and physics from Rice University, Houston, TX, in 1986, and the M.S. and Ph.D. degrees from the California Institute of Technology, Pasadena, in 1987 and 1992, respectively. In 1992, he was a Post-Doctoral Researcher with Chalmers University of Technology, Göteborg, Sweden. Since 1993, he has been a member of the faculty with the University of Virginia, Charlottesville, where he leads a research group in the study of submillimeter-wave electronics, terahertz semiconductor and superconductor devices, and high-frequency measurement techniques. During the 2001–2002 academic year, he was a visiting Fulbright Scholar with Chalmers University of Technology. Prof. Weikle is a member of Phi Beta Kappa, Tau Beta Pi, and Eta Kappa Nu. He was a corecipient of the 1993 IEEE Microwave Prize for his work on quasi-optical power-combining techniques.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2453

(S)PEEC: Time- and Frequency-Domain Surface Formulation for Modeling Conductors and Dielectrics in Combined Circuit Electromagnetic Simulations Dipanjan Gope, Member, IEEE, Albert E. Ruehli, Life Fellow, IEEE, Chuanyi Yang, Student Member, IEEE, and Vikram Jandhyala, Senior Member, IEEE

Abstract—The partial element equivalent circuit (PEEC) formulation is an integral-equation-based approach for the solution of combined circuit and electromagnetic (EM) problems. In this paper, a surface-based PEEC formulation is presented to complement the existing volume-based method. With the rise in the operating frequencies and the increasing complexity of test structures on boards, packages, and chips, a surface-based formulation is more efficient for many problems in terms of the number of unknowns generated. The composite conductor dielectric modeling is based on the PMCHWT formulation which is transformed into a PEEC representation using equivalent magnetic and electric circuits connected by mutual coupling. Both time- and frequency-domain analyses are discussed, similar to a Spice-type circuit solver. The new formulation is compared with the volume-based PEEC approach in terms of accuracy and the number of unknowns generated. Index Terms—Circuit-electromagnetic (circuit-EM), integral equation, partial element equivalent circuit (PEEC), PMCHWT.

I. INTRODUCTION ITH THE increase in operating frequencies, a combined circuit-electromagnetic (circuit-EM) solution is necessary to accurately predict the electrical performance of a wide range of electronic equipment from mobile products to computer systems [1], [2]. In existing literature, transmission-line methods [3] and other related analytical approaches [4] are usually much faster than the numerical methods and can be easily coupled to circuit simulation. However, they are not general enough for analyzing irregular three-dimensional (3-D) structures. Among numerical methods developed to model the coupled circuit and EM problems, those based on finite-difference time domain (FDTD) [5], [6] are effective for time-domain analysis and inherently not suitable for frequency-domain analysis. Furthermore, FDTD requires the discretization of the entire 3-D space where the object under consideration resides,

W

Manuscript received December 15, 2005; revised March 20, 2006. D. Gope was with the Electrical Engineering Department, University of Washington, Seattle, WA 98195 USA. He is now with the Circuit Technology Computer-Aided Design Group, Intel Corporation, Santa Clara, CA 95052 USA (e-mail: [email protected]). A. E. Ruehli is with the IBM Research Division, Yorktown Heights, NY 10598 USA (e-mail: [email protected]). C. Yang and V. Jandhyala are with the Electrical Engineering Department, University of Washington, Seattle, WA 98195 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875796

which can lead to substantially more system unknowns than in surface-based methods [7]. The finite-element method (FEM) [8] has been applied to both time- and frequency-domain coupled EM-circuit simulation using schemes including port models [9], via paralleling each coupling circuit element to an FEM edge [10] or through a fully coupled approach [11]. In recent years, methods based on integral equations [12] have gained momentum. The nonorthogonal PEEC approach [13] has been developed as a volume-based integral equation solver that transforms the EM problems consisting of arbitrarily shaped 3-D objects into the circuit domain, by the use of circuit elements such as resistors, partial inductances, capacitances, and dependent current and voltage sources, whose values are obtained by solving Maxwell’s equations on an appropriately discretized 3-D mesh. However, owing to the complexity and the variable nature of the test structures, the modeling scheme for most problems requires extreme flexibility. Volumetric formulations are suitable for certain structures while surface-based schemes are more efficient for others. Recently, surface-based PEEC models have been developed for conductors with surface impedance approximations [14]–[16]. However, such approximations are valid at frequencies where the conductor cross-section dimensions are larger than the skin-depth. Moreover, the presented methods do not encompass dielectric surface models. In this study, we develop a surface-based formulation for modeling arbitrarily shaped 3-D composite conductor and dielectric structures as a systematic extension to the existing PEEC solvers. Initial results of this study were presented recently [17]. The advantage of the surface-based formulation over the volumetric counterpart lies in the reduced number of mesh units and, consequently, the reduced number of unknowns generated for a large class of problem structures. The solution of the EM part is based on the surface equivalence principle [18] and the PMCHWT formulation [19], which has been effectively applied in the past to solve EM scattering problems [20], [21]. The problem has been modeled so as to represent the system by a separate equivalent electric circuit and an equivalent magnetic circuit connected by mutual cross-couplings. The electric circuit represents the electric field equation and the unknowns are the electric node voltages and electric branch currents . The magnetic circuit similarly represents the magnetic field equation and has magnetic node voltages and magnetic branch currents . Both circuits have special geometry-derived lumped equivalent elements in the form of

0018-9480/$20.00 © 2006 IEEE

2454

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 1. Conductor dielectric structure under consideration.

partial inductances, capacitances, and dependent current and voltage sources. The derived circuit elements of the two circuits are related by the reciprocity of Maxwell’s equations. The main contributions of this paper are as follows. 1) Conversion of surface-based EM formulation for arbitrarily shaped 3-D conductors and dielectric objects in the circuit domain, using specialized surface PEEC stamps. In a combined circuit-EM solution scheme, these geometry-based elements are connected to the topology-based circuit elements from the input SPICE net-list, and the entire system is solved as a single-matrix combined circuit-EM problem. 2) The values of the geometry-derived circuit elements are shown to be the same for both the time and frequency domains and hence permit both .ac and .tran simulations similar to a regular SPICE solver. 3) The solver is capable of handling both circuit excitations, namely, voltage and current sources as well as EM excitations, in the form of localized or global incident electric fields. This paper is organized as follows. The surface equivalence principle and the PMCHWT integral equation formulation are explained in Sections II and II, respectively. In Section IV, the surface-PEEC formulation is presented: the subcircuit-based treatment of the geometry and derivation of PEEC stamps in time and frequency domains is detailed. In Section V, different conductor models in an overall surface approach is discussed. The advantages of the surface formulation over its volumetric counterpart are discussed in Section VI. In Section VII, numerical experiments are presented to demonstrate the accuracy and efficiency of the surface-based scheme as compared with the volume-based counterpart. Section VIII concludes the paper. II. SURFACE EQUIVALENCE PRINCIPLE The surface equivalence principle [18] states that a closed 3-D object can be modeled by an interior region problem and an exterior region problem wherein equivalent magnetic and electric currents on the surface can be effectively used to accurately model the internal and external fields, respectively. Fig. 1 shows an example problem that consists of a dielectric object with permittivity and permeability surrounded by a background dielectric . Two conducting objects, and , are embedded inside the dielectric object and the surrounding dielectric , respectively. Using the surface equivalence principle, the above problem can be represented by two equivalent problems, as shown in Fig. 2: the problem replaces the physical surface by equivalent electric surface current density and equivalent

Fig. 2. Problem definitions for modeling the true magnetic and electric fields inside (a) the dielectric d and (b) the dielectric d . (a) Region problem. (b) Region problem.

magnetic surface current density , which together model the accurate electric field and magnetic field in region 1. Similarly, in the region 2 problem, the physical surface is replaced by electric and magnetic current densities and , which together model the exterior fields . The electric and magnetic current densities are related by (1) (2) It should also be noted that these current densities correspond to equivalent currents in contrast to and , which represent actual current densities flowing through conductors. III. PMCHWT INTEGRAL EQUATION FORMULATION A traditional EM approach for solving scattering from arbitrarily shaped 3-D conductor and dielectric objects employ the boundary condition (3) (4) where is the outward unit normal vector to the surface and is the incident electric field in region 2. The electric and magnetic fields, at any point in the global coordinate system, in the frequency domain are given by

(5)

(6) where

and

is the frequency of operation

(7)

GOPE et al.: (S)PEEC: TIME- AND FREQUENCY-DOMAIN SURFACE FORMULATION FOR MODELING CONDUCTORS AND DIELECTRICS

2455

(8) (9) (10) The free-space full-wave Green’s function is given by

(11) Using (5)–(11) in boundary condition (3), the basic integral equations are formulated as

Fig. 3. (a) Problem geometry consisting of two dielectrics in free space. Each dielectric contains an embedded conductor. (b) Subsystem 1 and its hypothetical surfaces are shown. There are two types of surfaces, one which demarcates the boundary of dielectric 1 and free space and the other that demarcates the boundary between dielectric 2 and free space and, consequently, the two types of electric and magnetic currents. (c) Subsystem 2 models the boundaries of dielectric 1 and also has two types of hypothetical surfaces. (d) Subsystem 3 models the boundaries of dielectric 2.

(12)

(13) IV. SURFACE PEEC FORMULATION Here, the surface-based integral equations of Section III are represented in the circuit domain by equivalent PEEC elements whose values are derived by performing integrations on an appropriately discretized surface mesh. Though the entire discussion is based on the frequency domain, it can be shown that the same circuit elements apply to the time domain. The entire process, starting from the region-based processing of geometry to derivation of the equivalent PEEC circuit, can be decomposed into five distinct steps which are explained below. A. Decomposition of Geometry Into Region-Based Subcircuits The surface equivalence principle (Section II) lends itself to the decomposition of the entire geometry into mutually decoupled regions called subsystems. The properties of subsystems are as follows. 1) A subsystem is characterized by unique constitutive parameters. Consequently, the same Green’s function applies to the entire subsystem. 2) A subsystem contains hypothetical surfaces which demarcate the boundaries at which the constitutive parameters change in the actual geometry. These surfaces support hypothetical electric and magnetic surface currents. A subsystem may contain embedded conducting objects with physical electric currents. 3) A subsystem can be further decomposed into an electrical subsystem containing electric currents and a magnetic subsystem containing magnetic currents. The electric and magnetic counterparts of each subsystem are mutually coupled through controlled sources.

4) Subsystems are decoupled from each other. Consequently, computation of PEEC circuit elements for a given subsystem is only dependent on the constitutive parameters and the geometry of that particular subsystem. The concept of subsystems is explained in detail through the following example. Fig. 3(a) demonstrates a typical geometry with two dielectric layers in free space, lying on top of each other. Each dielectric layer contains an embedded conductor object. Fig. 3(b)–(d) illustrate the three subsystems corresponding to the geometry in Fig. 3(a). Each subsystem has a distinct permittivity and permeability. Subsystem 1 corresponds to the freespace problem . Subsystem 2 corresponds to , and subsystem 3 corresponds to . From the circuit point of view, the PEEC elements derived from different subsystems are grouped together in different subcircuits, , where is the total number of subcircuits. Therefore, there exists a one-to-one mapping between a subcircuit and its corresponding subsystem . Each subcircuit is a self-contained unit and the derivation of its constituent PEEC elements is dependent only on the geometry and properties of the corresponding subsystem. Similar to a general-purpose circuit solver, the constituent subcircuits are flattened to the top level before final solution. B. Local Coordinates and Basis Functions for Conductors and Hypothetical Surfaces in Subsystems In order to convert each subregion to its corresponding subcircuit, basis functions need to be defined on appropriately discretized surfaces. There are two types of surfaces that could be present in a given subregion: 1) surfaces of conductors for which surface-impedance is a valid option and 2) hypothetical surfaces demarcating dielectric boundaries as explained in the previous subsection. If a conductor and dielectric touch each other, the interface is treated as a conductor surface supporting only electric current. It should be noted here that conductors, for

2456

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Each PEEC inductive cell forms the domain of definition for one PEEC electric current basis function and one magnetic current basis function, whereas each PEEC capacitive cell supports a PEEC electric charge basis function and a PEEC magnetic charge basis function. An inductive cell in the direction is designated as , where is the total number of PEEC inductive cells in that direction. Similarly, an inductive cell in the direction is designated as and a capacitor cell is designated as , where is the total number of capacitive cells. If the quadrilateral surface is discretized using subunits in the direction and subunits in the direction, then the following is true:

Fig. 4. Basic surface quadrilateral with local coordinates.

(17) Fig. 5. Examples of PEEC inductive and capacitive cells are demonstrated. ^ direction. (b) PEEC inductive cell in the ^b (a) PEEC inductive cell in the a direction. (c) PEEC capacitive cell.

which the surface impedance approximation is not valid, will be addressed either using a volume discretization in a hybrid surface-volume formulation, or treated as surface-based dielectric objects wherein the conductivity is expressed through the imaginary part of the permittivity. Local Coordinates: The surfaces can be represented in terms of basic quadrilaterals. Each quadrilateral can be appropriately discretized into (S)PEEC capacitor and inductor cells using a local nonorthogonal coordinate system [22]. The local coordinate system defined for a basic quadrilateral is shown in Fig. 4. The local coordinate axes and have corresponding unit vectors and , respectively. is the outward normal to the plane of the quadrilateral. Any point on or inside the quadrilateral can be uniquely characterized by scalar values and , such that . Some important parameters for the local coordinate system are the tangential vectors and at a given point with global coordinate

(15) (15) The unit vectors are given by

(16) Basis Functions: Using the local nonorthogonal coordinate system, each quadrilateral can be discretized into PEEC inductive cells and PEEC capacitive cells, as shown in Fig. 5. The quadrilateral surface of Fig. 4 is discretized using two subunits in the direction and three subunits in the direction. A PEEC inductive cell stretches from one PEEC node to another, whereas a PEEC capacitive cell surrounds a PEEC node.

A PEEC electric current or magnetic current basis function is defined as in inductive cell elsewhere.

(4.4)

C. Subcircuit-Level Derivation of Stamps for the Electric and Magnetic (S)PEEC Circuitry Here, the (S)PEEC circuit elements are derived using the integral (12) and (13) and the (S)PEEC basis functions. As previously mentioned, the circuit elements are computed for every subsystem separately, giving rise to individual subcircuits. The derivation of subcircuit elements only concerns the geometry and properties of the corresponding subsystem. However, it should be noted that the (S)PEEC circuitry derived from separate subcircuits may be connected to the same nodes after flattening of the top circuit. In this section, the elements for a given subsystem are derived. Each subsystem can be further classified as an electric subsystem and a magnetic subsystem, both consisting of the same geometry and properties, but differing in the type of current they support. The electric and magnetic counterparts corresponding to subsystem 3 of Fig. 3 are demonstrated in Fig. 6. The electric and magnetic subsystems are converted to electric and magnetic circuits, respectively. As can be seen from Fig. 5, the geometry is consistent for the two counterparts barring the exclusion of conductors from the magnetic subsystem. The common surfaces are discretized similarly. Therefore, the same geometrical node on the discretized surface yields a node in the electric circuit graph as well as a node in the magnetic circuit graph. The circuit elements are collected in the same subcircuit because the electric and magnetic counterparts are mutually coupled. Since both electric and magnetic circuits obey Kirchoff’s Voltage Law (KVL) and Kirchoff’s Current Law (KCL), they can be simultaneously solved using a SPICE type solver. The unknowns in the solution process include: 1) node voltages of the electric circuit on hypothetical surfaces and conductors;

GOPE et al.: (S)PEEC: TIME- AND FREQUENCY-DOMAIN SURFACE FORMULATION FOR MODELING CONDUCTORS AND DIELECTRICS

2457

Each of the three terms on the rhs of (12) yields a voltage drop across a circuit element by applying the inner product operation (21). The first two terms are similar to that encountered in the volume formulation [13] and yields partial inductors and partial capacitors. The partial inductor voltage drop is given by

(22) Substituting the value of the vector potential from (7) into (22) yields

Fig. 6. (a) Electric subsystem supports the electric current density on hypothetical surfaces and conductors. (b) Magnetic subsystem supports the magnetic current density on hypothetical surfaces.

(23)

2) branch currents of the electric circuit (I) on hypothetical surfaces and conductors; 3) node voltages of the magnetic circuit on hypothetical surfaces; 4) branch currents of the magnetic circuit (K) on hypothetical surfaces. Derivation of Electric Circuit: The integral (12) is converted into a KVL and yields the elements of the electric circuit. It should be noted here that there are three main terms on the right-hand side (rhs) of (12), and each of these terms further have two components coming from two separate subcircuits. In this section, we focus on the evaluation of the component contributed by a single subcircuit. The combination of the two components is handled in the flattening of the subcircuits discussed in the next section. The conversion to the circuit domain is based on two fundamental relations between popular EM parameters and their circuit counterparts. The electric current density is related to the electric current as

(24)

(19) Using (19) and vector identity

, we obtain

It should be noted here that a current in direction may contribute nonzero partial inductance to a current in the direction and vice versa, since the local coordinates are not necessarily orthogonal to each other. This voltage drop is represented by an inductor and current-controlled voltage source (CCVS) in the PEEC circuit, as shown in Section V. The second term in the integral equation can be converted into coefficients of partial potential using the relationship between surface charge density and total charge in a capacitive cell (25) The coefficients of potential evaluates to (26) This is represented by capacitors and current-controlled current sources (CCCSs) in the PEEC circuit, as shown in Section V. The third term in (12) is unique to the surface formulation since the corresponding voltage drop depends on the magnetic currents. The voltage difference from the third term can be expressed as

(20) It should be noted that a similar relation holds for the direction, and and correspond to linear current densities and have a unit of ampere per meter. The other fundamental relation connects the electric field to the circuit voltage through the inner product

(27)

(21) (28)

2458

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Using the vector identity for scalar and vector , we obtain

(29)

The second term in (29) vanishes because the curl is in nonprime coordinates. The remaining term can be rearranged to give Fig. 7. (a) A basic PEEC surface cell unit. (b) Corresponding circuit consisting of magnetic and electric parts which are mutually coupled through controlled sources.

(30) D. Subcircuit Representation Let

, and let the unit vector in the direction of be and , where and is the speed of light in that subsystem medium. Then

(31) Using (31) in (30), we get the final expression for the voltage drop in the electric circuit due to the currents in the magnetic circuit as

(32) The first term in (32) yields a special kind of voltage drop, which is finite even at dc. All other voltage drops discussed for the surface formulation either vanish or tend to infinity at zero frequency. In fact, if a lossy conductor is treated as a dielectric with imaginary permittivity in a surface problem, this voltage drop yields the dc resistance for the problem. The second term in (32) indicates that there is an inductive coupling between the currents in the electric circuit and that in the magnetic circuit similar to . Derivation of Magnetic Circuit: The magnetic circuit looks exactly similar to its electric counterpart. Equation (13) is multiplied on both sides with . The right-hand side (RHS) of the resulting equation resembles that of (12) if the relative permittivity and the relative permeability are interchanged. This leads to similar expressions for the individual voltage drops and, therefore, (13) can be represented by the same circuit as (12) with scaled element values. The only other difference is the negative sign in front of the third rhs term, which is captured by reversing the polarity of the corresponding controlled sources.

The elements derived in the previous subsection can be put together to form the circuit diagram. In Fig. 7, the circuit representation for a unit PEEC cell is demonstrated. The circuit graph contains an electric and a magnetic part which are connected only through mutual coupling. The superscript in each element name indicates the index of the subcircuit (here 1) and whether the element forms a part of the electric or the magnetic circuit. The subscript indicates the index of the victim PEEC cell and that of the aggressor PEEC cell contributing to the value of the particular element. The individual elements are explained below. Inductive Voltage Drop: The inductive voltage drop, given by (24), is modeled by a self-inductor and CCVSs. The self-inductor models the voltage drop where the victim and the aggressor cell is the same PEEC inductive cell, e.g., . The , which CCVSs are lumped together in a single element models the voltage drop due to the mutual inductance between the electric current through the victim PEEC cell and that through all other PEEC inductive cells. Since the same basis functions are chosen for both electric and magnetic currents, the values of the electric circuit elements can be scaled by to obtain the corresponding magnetic circuit elements. At zero frequencies, the inductive elements are shorted. Capacitive Voltage Drop: The PEEC node voltage can be derived using (26) as (33) which is applicable to both the electric and magnetic circuit, where is the corresponding charge of the PEEC capacitive cell. By applying a time derivative to both sides of (33), we obtain

(34) In the electric circuit, this can be modeled by a self-capacitor and CCCSs lumped into a single element

GOPE et al.: (S)PEEC: TIME- AND FREQUENCY-DOMAIN SURFACE FORMULATION FOR MODELING CONDUCTORS AND DIELECTRICS

2459

. The aggressor currents are all the electric currents . The same is true for the magnetic circuit counterparts, only the self capacitor is scaled by . At zero frequencies, the capacitive elements are opened. EM-Coupling Voltage Drop: This is a special kind of voltage drop which models the effect of the magnetic currents on the electric currents and vice versa. Unlike the previous two varieties, this has a component which is finite at zero frequency, as can be seen from (32). In the electric circuit, this voltage drop is modeled by CCVSs lumped together into a single element, e.g., , where the aggressor currents actually belong the

Fig. 8. Representation for combined capacitive circuit for the two corresponding subsystems.

magnetic circuit. The electric circuit elements when scaled by yield their magnetic circuit counterparts.

E. Stitching of Constituent Subcircuits In a typical circuit hierarchy, subcircuits are flattened recursively and connected to global nodes at the top level. However, for the subcircuits discussed here, the top-level circuit representation is expected to achieve the effect of combining the contributions from two different subsystems in building the voltage drop between the nodes. For example, in Fig. 3(c) and (d), the shaded quadrilateral appears in subsystems 2 and 3, and the final circuit is expected to satisfy the EM boundary conditions given by (12) on the quadrilateral. It will be shown that, due to these considerations, stitching is required instead of flattening. Inductive Voltage Drop: For the shaded quadrilateral in Fig. 3(c) and (d), the voltage drop for the top-level circuit is given by

(35) The first term is contributed from subsystem 2 and the second term from subsystem 3. From the circuit perspective, this implies that the inductors between the nodes on the shaded quadrilateral, coming from the two different subcircuits, should be placed in series as shown in Fig. 8. Flattening the two subcircuits will place the inductors in parallel and, therefore, stitching is preferred here. In practice, the self-inductor values arising from the two subsystems are lumped together in a single inductor and the CCVS values are lumped in a single element. Capacitive Voltage Drop: For a PEEC node on the same shaded quadrilateral in Fig. 3(c) and (d), the equivalent combined capacitive circuit can be derived as follows using (33). For subcircuit 2, we have

(36)

Fig. 9. Complete circuit diagram for a unit PEEC cell on a surface quadrilateral which gets contribution from subcircuits 1 and 2. The electric and the magnetic parts are coupled through controlled sources.

For subcircuit 3, we have

(37) Using (12), the two equations can be added to obtain the equivalent circuit for the capacitive drop, as shown in Fig. 8. In practice, to reduce the number of nodes in the entire problem, the two capacitors are lumped in a single element and the CCCSs are all lumped in another element. EM-Coupling Voltage Drop: Similar to previous cases, it can be observed from (12) that the CCVS elements contributing to the EM-coupling voltage drop can be stitched in series in a toplevel circuit representation. The entire circuit diagram after stitching of constituent subcircuits at the top level is demonstrated in Fig. 9. The unit PEEC cell belongs to a surface quadrilateral that appears in subsystems 1 and 2. V. CONDUCTOR MODELING Depending on its dimensions and the frequency of application, a conductor can be modeled differently for optimizing the number of unknowns generated in the system matrix. The different models can be classified as under the following categories: 1) Surface-Based Conductors With Skin Impedance Approximation in Frequency Domain: If the cross-sectional dimensions of the conductor are much larger than the effective skin depth for the frequency of operation, the surface impedance approximation is valid. For those cases, the ideal model is to represent the conductor by its surface as in Fig. 3. This model does not require

2460

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 10. Circuit representation for a conductor surface unit PEEC cell.

frequency-dependent meshing, as is required in the volume formulation. The conductor surface has the following properties. 1) After formation of subsystems for the entire problem, the conductor surface is delegated to only one subsystem. 2) The conductor surface supports only electric currents and therefore has no associated magnetic circuit. 3) However, the electric circuit on the conductor surface however affected by the magnetic currents elsewhere in the subcircuit through a CCVS. 4) The electric circuit on the conductor contains a resistance that is derived from the surface impedance formulation as shown below. The boundary condition for the conductor surface is given by

Fig. 11. Test structure for comparison between surface and volume model efficiencies. The structure has l; b, and h cells along the length, breadth, and height.

This type of modeling is preferable if the conductor is thin and long and embedded in thick dielectrics. 3) Surface-Based Conductors Without Surface Impedance Approximation for Time and Frequency Domains: If the conductor dimensions do not allow a surface impedance approximation, this model can be used as an alternative to the previous model. In case of a thick conductor, this leads to a smaller number of basis functions and, consequently, a smaller number of system unknowns. In this model, the conductor is treated as a dielectric object with permittivity given by

(42) (38) where (39) The equivalent circuit on the conductor surface is demonstrated in Fig. 10. The resistance term is unique to this kind of modeling and can be derived as

(40)

where is the conductivity of the material. If the conductor dimensions do not suit the criterion for model 1 or if a time-domain analysis is desired, the conductor can be modeled in any of the following two ways. 2) Volume-Based Conductors in the Time and Frequency Domains: The conductor is discretized as a volume object even though the remainder of the geometry is modeled using a surface-based formulation. This class of conductor model demonstrates the same properties and circuit representation as in the previous case. However, the resistance in this case is derived as

(41)

where is the real part of the permittivity is the permittivity of the surrounding dielectric. The conductor surface is treated as a hypothetical surface which supports both electric and magnetic circuits similar to the ones demonstrated in Fig. 8.

VI. (S)PEEC VERSUS (V)PEEC The surface-based formulation is more efficient for many problem geometries, leading to a reduced number of basis functions and, consequently, fewer system unknowns [25]. The complete scenario is studied with reference to an orthogonal dielectric test structure which is discretized using segments along the length, segments along the breadth, and segments along the height, as shown in Fig. 11. The relative number of cells and corresponding number of integrations is numerated in Table I. The cost for computing the integrals (matrix setup) is compared in Table II for different values of , and . For the following table, it is assumed that one surface integral takes the same amount of time as a volume integral and is given by . Also, it is assumed that the solve procedure for a matrix of size is . From the previous table, it can be inferred that, for a typical problem consisting of many arbitrarily shaped conductors and dielectrics, some objects should be discretized using the surface formulation while others should be discretized in the volume. The choice depends on the shape of the structure and the frequency of operation.

GOPE et al.: (S)PEEC: TIME- AND FREQUENCY-DOMAIN SURFACE FORMULATION FOR MODELING CONDUCTORS AND DIELECTRICS

2461

TABLE I COMPARISON BETWEEN SURFACE AND VOLUME QUANTITIES

TABLE II COMPARISON OF COST BETWEEN SURFACE AND VOLUME FORMULATIONS

VII. NUMERICAL RESULTS A. Frequency-Domain Examples 1) Conductor Surface Impedance Model: In the first example, a transmission-line structure with two conductors, as shown in Fig. 12(a), is modeled. Each of the two traces is 100 m in length and has a square cross section of 25 m 25 m. An ac current source of 1 A is connected between the traces on one side, while the other side is electrically shorted. The problem is solved using two kinds of formulation at each frequency point: 1) a surface formulation with surface impedance approximation and 2) a volume-based formulation. The inductance and the resistance of the structure obtained from the surface and volume formulations are compared in Fig. 12(b) and (c). The surface impedance model can be seen to be accurate for frequencies where the skin depth is smaller than the conductor cross sections. At those frequencies, the volume formulation requires very fine discretization towards the edges. Therefore a considerable speedup is obtained with the surface model at high frequencies, e.g., in this case a 50 speedup is obtained at 5 GHz. At lower frequencies, it can be seen that the surface impedance approximation fails to give accurate results. Under such conditions, any one of the two other conductor modeling schemes detailed in Section V can be employed. 2) Conductor and Dielectric Surface Model: In the next example, we validate the surface formulation against volumetric results for the case of a dielectric embedded between two conductors. All structures have 1 mm 1 mm cross sections. The conductors are 0.1-mm thick each and the dielectric is centrally placed between them, as shown in Fig. 13(a). For experimentation, two dielectrics with identical relative permittivity 20, but

Fig. 12. (a) Transmission-line structure is excited by a current source at one end and is shorted at the other. (b) Inductance versus frequency for surface and volume formulations. (c) Resistance versus frequency for surface and volume formulations. It can be seen that at high frequencies the surface impedance approximation is a better alternative while at low frequencies the volume formulation is more desirable.

different thicknesses of 0.05 and 0.09 mm are used. The imaginary impedance of this capacitive setup is plotted in Fig. 13(b) for both thick and thin dielectrics using the surface and volume models. 3) Touching Conductor and Dielectric Surface Model: In this example, the surface model is validated for touching structures as in the transmission-line setup of Fig. 14. The cross section of the conductors is 0.001 mm 0.02 mm and that of the sandwiched dielectric is 0.018 mm 0.02 mm. All structures are 0.3 mm long. The structure is excited by a voltage source between the conductors at one end of the transmission line and the problem is simulated for many frequencies. In Fig. 15(a) and (b), the frequency response of the structure is shown for the surface and the volume models for a dielectric constant of 10. In Fig. 13(c) and (d), the same are plotted for a dielectric with permittivity 20. The surface results show very good agreement with its volume

2462

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2

2

Fig. 13. (a) Capacitive structure with two conductors of size 1 mm 1 mm 0.1 mm and a centrally placed dielectric of varied thickness. (b) The imaginary part of the impedance as a function of frequency using surface and volume formulations for dielectric thicknesses of 0.05 and 0.09 mm.

Fig. 14. Geometry of the problem structure consisting of a transmission-line structure with a dielectric embedded between two conducting traces. The structure is excited with a 1-V voltage source connected across the conductor cross section at one end. There is no wire connection at the other end.

Fig. 15. (a) Real part of the current flowing through the voltage source for a relative permittivity 10. (b) Imaginary part of current for relative permittivity 10. (c) Real part of current for relative permittivity 20. (d) Imaginary part of current for relative permittivity 20.

counterpart. It can also be seen that the position of resonance shifts with the change in the dielectric constant. B. Time-Domain Example The same geometry as in Fig. 14 is considered. The structure is excited with a voltage source, 1-V amplitude sin-square waveform with a rise time of 9.5 ps, in series with a 10- resistor connected across the conductors at the near end, while the far

Fig. 16. (a) Voltage difference across the conductors at the near end. (b) Voltage difference between the conductors at the far end.

end is left open. The voltage differences across the conductors at the far and near ends are plotted in Fig. 16. The surface-based results are in very good agreement with the volume results. VIII. CONCLUSION In this paper, a surface-based PEEC formulation is presented for modeling conductors and dielectrics in the presence of

GOPE et al.: (S)PEEC: TIME- AND FREQUENCY-DOMAIN SURFACE FORMULATION FOR MODELING CONDUCTORS AND DIELECTRICS

lumped circuit elements. The surface equivalence principle and the PMCHWT formulation is enforced using region-based subcircuits containing derived PEEC circuit elements. The electric and magnetic field integral equations are represented by separate electric and magnetic PEEC circuits connected by mutual coupling. The resultant circuitry and the individual values of the derived PEEC circuit elements are invariant for time and frequency domain analysis. The resultant system is also amenable to fast solution using any of the existing techniques [26]–[30]. REFERENCES [1] K. Kundert, H. Chang, D. Jefferies, G. Lamant, E. Malavasi, and F. Sendig, “Design of mixed-signal systems-on-a-chip,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 19, no. 12, pp. 1561–1571, Dec. 2000. [2] N. K. Verghese, T. J. Schmerbech, and D. J. Allstot, Simulation Techniques and Solutions for Mixed-Signal Coupling in Intergrated Circuits. Norwell, MA: Kluwer, 1995, p. 160. [3] C. Christopoulos, The Transmission-Line Modeling Method: TLM. Piscataway, NJ: IEEE Press, 1995. [4] S. Chun, M. Swaminathan, L. D. Smith, J. Srinivasan, Z. Jin, and M. K. Iyer, “Modeling of simultaneous switching noise in high speed systems,” IEEE Trans. Adv. Packag., vol. 24, no. 2, pp. 132–142, May 2001. [5] I. Erdin and M. Nakhla, “Mixed circuit/electromagnetic analysis of field coupling to high speed interconnects in inhomogeneous medium,” in Proc. IEEE Int. Symp. Electromagn. Compat., Aug. 1999, vol. 1, pp. 446–449. [6] W. Sui, D. A. Christensen, and C. H. Durney, “Extending the two-dimensional FDTD method to hybrid electromagnetic systems with active and passive lumped elements,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 4, pp. 724–730, Apr. 1992. [7] M. N. Abdullaa and M. B. Steer, “Extraction of network parameters in the electromagnetic analysis of planar structures using the method of moments,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 94–103, Jan. 2001. [8] J. M. Jin, The Finite Element Method in Electromagnetics. New York, NY: Wiley, 1993. [9] A. Canova, M. Ottella, and D. Rodger, “A coupled field-circuit approach to 3D FEM analysis of electromechanical devices,” in Proc. IEEE 9th Int. Conf. Electrical Mach. Drive, Sep. 1999, pp. 71–75. [10] M. Feliziani and F. Maradei, “Circuit-oriented FEM: Solution of circuit-field coupled problems by circuit equations,” IEEE Trans. Magn., vol. 38, no. 2, pp. 965–968, Mar. 2002. [11] M. C. Costa, S. I. Nabeta, and J. R. Cardoso, “Modified nodal analysis applied to electric circuits coupled with FEM in the simulation of a universal motor,” IEEE Trans. Magn., vol. 36, no. 4, pp. 1431–1434, Jul. 2000. [12] A. E. Ruehli, “Equivalent circuit models for three-dimensional multiconductor systems,” IEEE Trans. Microw. Theory Tech., vol. MTT22, no. 3, pp. 216–221, Mar. 1974. [13] A. E. Ruehli, G. Antonini, J. Esch, J. Ekman, A. Mayo, and A. Orlandi, “Nonorthogonal PEEC formulation for time and frequency-domain EM and circuit modeling,” IEEE Trans. Electromagn. Compat., vol. 45, no. 2, pp. 167–176, May 2003. [14] A. Rong, A. C. Cangellaris, and L. Dong, “Comprehensive broadband electromagnetic modeling of on-chip interconnects with a surface discretization-based generalized PEEC model,” in Proc. IEEE Elec. Perf. Electron. Packaging Conf., Oct. 2003, pp. 367–370. [15] Y. Wang, V. Jandhyala, and C. J. Shi, “Coupled electromagnetic-circuit simulation of arbitrarily-shaped conducting structures,” in Proc. Electrical Performance Electron. Packag. Conf., Boston, MA, Oct. 2001, vol. 10, pp. 233–236. [16] Y. Wang, D. Gope, V. Jandhyala, and C. J. R. Shi, “Integral equationbased coupled electromagnetic-circuit simulation in the frequency domain,” in Proc. IEEE APS-URSI Ohio, Jun. 2003, vol. 3, pp. 328–331. [17] D. Gope, A. Ruehli, and V. Jandhyala, “Surface-based PEEC formulation for modeling conductors and dielectrics in time and frequency domain combined circuit electromagnetic simulations,” in Dig. IEEE Electr. Perf. Electronic Packaging, Portland, OR, Oct. 2004, vol. 13, pp. 329–332.

2463

[18] A. F. Peterson, S. L. Ray, and R. Mittra, “Computational methods for electromagnetics,” in IEEE/Oxford Univ. Press Series on Electromagnetic Wave Theory. Oxford, U.K.: Oxford Univ. Press, 1998. [19] A. Poggio and E. Miller, “Integral equation solutions for three dimensional scattering problems,” in Computer Techniques for Electromagnetics, R. Mittra, Ed. New York, NY: Pergamon, 1973, ch. 4. [20] K. Umashankar, A. Taflove, and S. Rao, “Electromagnetic scattering by arbitrary shaped three-dimensional homogeneous lossy dielectric objects,” IEEE Trans. Antennas Propag., vol. 34, no. 6, pp. 758–766, Jun. 1986. [21] B. M. Kolundzija, “Electromagnetic modeling of composite metallic and dielectric structures,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1021–1032, Jul. 1999. [22] C. T. Tai, Generalized Vector and Dyadic Analysis. New York, NY: IEEE Press, 1992. [23] S. Chakraborty and V. Jandhyala, “Evaluation of Green’s function integrals in conducting media,” in Proc. IEEE Antennas Propag. Symp., Columbus, OH, Jun. 2003, vol. 3, pp. 320–323. [24] W. C. Chew, Waves and Fields in Inhomogeneous Media. New York, NY: IEEE Press, 1995. [25] B. M. Kolundzija and V. V. Petrovic, “Comparison of MoM/SIE, MoM/VIE and FEM based on topological analysis of two canonical problems,” in Proc. IEEE AP-S Int. Symp, Atlanta, GA, 1998, pp. 274–277. [26] G. Antonini, A. Orlandi, and A. E. Ruehli, “Harten’s scheme for PEEC method,” in Proc. IEEE Int. Symp. Electromagn. Compat., Aug. 2001, vol. 1, pp. 340–344. [27] M. Kamon, M. J. Ttsuk, and J. K. White, “Algorithms in FastImp: A fast and wide-band impedance extraction program for complicated 3-D geometries,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, no. 7, pp. 981–998, Jul. 2005. [28] S. Kapur and D. E. Long, “IES : A fast integral equation solver for efficient 3-dimensional extraction,” in IEEE/ACM Int. Conf. Comput.Aided Design Dig., Nov. 1997, pp. 448–455. [29] A. Ruehli, D. Gope, and V. Jandhyala, “Block partitioned Gauss-Seidel PEEC solver accelerated by QR-based coupling matrix compression techniques,” in Dig. IEEE Electr. Perf. Electron. Packag., Portland, OR, Oct. 2004, vol. 13, pp. 325–328. [30] A. E. Yilmaz, J.-M. Jin, and E. Michielssen, “A parallel FFT accelerated transient field-circuit simulator,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2851–2865, Sep. 2005.

Dipanjan Gope (M’05) received the B.Tech. degree in electronics and electrical communication engineering from the Indian Institute of Technology (IIT), Kharagpur, India, in 2000, and the M.S. and Ph.D. degrees in electrical engineering from the University of Washington, Seattle, in 2003 and 2005, respectively. He has been a Research Assistant with the Applied Computational Electromagnetics Laboratory, University of Washington, and has held a summer internship position with the IBM T.J. Watson Research Center. Currently, he is with the Design and Technology Solutions Department, Intel Corporation, Santa Clara, CA. His research interests include fast solver algorithms, integral equation formulations, computational electromagnetic solvers for circuit applications, and signal integrity analysis.

Albert E. Ruehli (M’65–SM’74–F’84–LF’03) received the Ph.D. degree in electrical engineering from the University of Vermont, Burlington, in 1972. He has been a member of various projects with IBM, including mathematical analysis, semiconductor circuits and devices modeling, and as Manager of a VLSI design and CAD group. Since 1972, he has been with the IBM Thomas J. Watson Research Center, Yorktown Heights, NY, where he is currently a Research Staff Member with the Electromagnetic Analysis Group. He is the editor of two books, Circuit Analysis (North-Holland, 1986) and Simulation and Design (North–Holland, 1987), and he is an author or coauthor of over 100 technical papers. He has given talks at universities including keynote addresses and tutorials at conferences, and has organized many sessions.

2464

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Dr. Ruehli has served in numerous capacities for the IEEE. In 1984 and 1985, he was Technical and General Chairman, respectively, of the ICCD International Conference. He has been a member of the IEEE ADCOM for the IEEE Circuit and Systems Society and an Associate Editor for the IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS. He received IBM Research Division for IBM Outstanding Contribution Awards in 1975, 1978, 1982,1995, and 2000. In 1982, he received the Guillemin–Cauer Prize Award for his work on waveform relaxation, and in 1999, he received a Golden Jubilee Medal, both from the IEEE Circuits and Systems (CAS) Society. In 2001, he received a Certificate of Achievement from the IEEE EMC Society for inductance concepts and the Partial Element Equivalent Circuit (PEEC) method. He received the 2005 Richard R Stoddart Award from the IEEE Electromagnetic Compatibility (EMC) Society for outstanding technical performance. He is a member of SIAM.

Chuanyi Yang (S’00) received the B.S. degree in physics from Jilin University, Changchun, China, in 1998, and the M.S. and Ph.D. degrees in electrical engineering from the University of Washington, Seattle, in 2003 and 2005, respectively. From 1997 to 1999, he was a Research Assistant with the National Laboratory of Super-hard Materials, Jilin University, where he was involved with the synthesization and study of nano-materials. From 2001 to present, he has been a Graduate Research Assistant with the Applied Computational Electromagnetics Laboratory, University of Washington. In the summer of 2004, he was with the T. J. Watson Research Center, IBM, Yorktown Heights, NY, where he was involved with high-speed electrical interconnect and package modeling. His research interests include computational electromagnetics applied to mixed-signal circuit simulation, signal integrity for high-speed digital/analog circuit and electromagnetic interference, and compatibility. He is currently a Research Associate with the Department of Electrical Engineering, University of Washington.

Vikram Jandhyala (M’00–SM’03) received the B.Tech. degree in electrical engineering from the Indian Institute of Technology (IIT), Delhi, India, in 1993, and the M.S. and Ph.D. degrees from the University of Illinois at Urbana-Champaign, in 1995 and 1998, respectively. As part of his graduate work, he codeveloped the steepest-descent fast-multipole method for rapid simulation of a large class of EM problems. From 1998 to 2000, he was a Research and Development Engineer with the Ansoft Corporation, Pittsburgh, PA. He was involved in the acceleration of Ansoft’s integral-equation solvers, and co-developed a fast multipole-based extraction tool in Ansoft’s Q3D versions released in 1999 and 2000. Since 2000, he has been an Assistant Professor with the Electrical Engineering Department, University of Washington, Seattle. He directs the Applied Computational Electromagnetics Laboratory, with research interests and projects in several areas of computational electromagnetics, including fast solvers and integral-equation formulations in the frequency and time domains, high-speed circuits and devices, coupled multiphysics simulation, novel materials, and propagation. He has visiting research status with the Lawrence Livermore National Laboratories. He has authored or coauthored over 70 journal and conference papers. Dr. Jandhyala is a full elected member of the International Scientific Radio Union (URSI) Commission B. He has served as a reviewer for several IEEE journals and conferences and national and international proposal panels. He is on the Technical Program Committee of the IEEE Design Automation Conference and the IEEE Antennas and Propagation Society (IEEE AP-S) Symposium. He was a recipient of the 2001 National Science Foundation CAREER grant, a 1998 Outstanding Graduate Research Award presented by the University of Illinois, and a 1996–1997 IEEE Microwave Graduate Fellowship.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2465

Coupled Electrothermal, Electromagnetic, and Physical Modeling of Microwave Power FETs David Denis, Christopher M. Snowden, Fellow, IEEE, and Ian C. Hunter, Senior Member, IEEE

Abstract—This paper presents a coupled electrothermal, electromagnetic, and physical model for microwave power field-effect transistors (FETs). The resulting model is used to investigate large gate periphery pseudomorphic high electron-mobility transistor devices. The contribution to the output power of each cell of the transistor is simulated, as well as their contribution to the heating of the device. This approach allows the investigation of the interaction between the thermal behavior, the dc bias, and the microwave circuit operating conditions. This paper reveals for the first time a more complex interaction between the thermal and microwave behavior of large-power FETs. Index Terms—Electrothermal, physical modeling, power fieldeffect transistor (FET), pseudomorphic high electron-mobility transistor (pHEMT).

I. INTRODUCTION OMPREHENSIVE microwave modeling of power transistors is particularly demanding because of the interactive coupling between thermal, electrical, and electromagnetic (EM) effects. This requires the combination of three different simulations, which are: 1) a temperature-dependent physical or equivalent-circuit simulation to describe the electronic behavior of the device; 2) an EM simulation to take into account the geometrical dependence of the fields around and within the transistor; and 3) a thermal simulation to include self-heating arising from power dissipation. Ideally a self-consistent solution of these three interacting models is required. Improvements in physical modeling of semiconductor devices have led to interactive investigations of device fabrication, as well as improvements in the understanding of intrinsic behavior. With the aid of fast quasi-two-dimensional simulators, these investigations emerge as a complementary tool along with the equivalent-circuit model for the circuit designer [1]–[3]. This allows the device to be designed and optimized for particular applications (such as low noise or power) and for the operating frequency. Extensive empirical evidence has shown that the design of power transistors is significantly more demanding than would appear from the behavior of smaller low-power counterparts. A similar evolution followed the development of EM simulators where they are used to investigate the EM effects arising

C

Manuscript received December 2, 2005; revised February 28, 2006. This work was supported by the U.K. Engineering and Physical Sciences Research Council and Filtronic Compound Semiconductor. D. Denis and I. C. Hunter are with the School of Electronic and Electrical Engineering, The University of Leeds, Leeds LS2 9JT, U.K. (e-mail: d.y.x. [email protected]; [email protected]). C. M. Snowden is with the Vice-Chancellor’s Department, University of Surrey, Guildford GU2 7XH, U.K. Digital Object Identifier 10.1109/TMTT.2006.875797

Fig. 1. 96-mm gate periphery microwave power pHEMT (0.5-m gate length). Courtesy of Filtronic plc.

within active devices. Several authors have proposed and refined the approach to comprehensive modeling by including EM and distributed effects within active devices. The first models were analytical and only considered the propagation along a single finger [4]. Following these results, and with more practical experience in the design of individual transistor cells (containing one or more gate fingers and source/drain contacts), the number of gate fingers has to be increased to facilitate the modeling of higher power devices. The added difficulty of analytical investigation of multiple coupled conductors and the improvements in simulation capacities lead to a move to numerical investigations [5]–[7]. EM simulations can readily investigate the effects on the transversal direction (coupling between the fingers), the distributed effects, and further can include the effects of peripheral passive elements. The desire to achieve higher output powers is typically achieved by scaling up smaller devices by multiplying the number of fingers (Fig. 1) and this is usually associated with a degradation in the performance relative to the smaller devices [8]–[11] resulting from the larger transversal size. In high power devices, the impact of power dissipation is also an issue and a description of the thermal behavior of the whole chip should also be included [12]–[17]. Thermal modeling should also include details of the mounting and die structure. The combined model presented here incorporates EM, physical, and thermal simulations to analyze large pseudomorphic high electron-mobility transistors (pHEMTs). In addition to analyzing large multifinger field-effect transistor (FET) structures, this model permits the investigation of the individual finger cell contributions to the total output power and to the heating of the device [18]. The resulting temperature gradients presented in these large devices are also investigated for power transistors operating with varying microwave loads (Fig. 2). II. DEVICE MODELING The simulation of the device is achieved by considering two separate regions: the electrode metallizations on top of the substrate and the regions inside the semiconductor located beneath the electrodes in the active epitaxial region. The EM simulation is performed for all the metallized surfaces of the device, including the immediate surroundings. The active region located

0018-9480/$20.00 © 2006 IEEE

2466

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

circuit design tool (in this study, Agilent’s ADS), retaining ease of use and design methods already applied with computer-aided design (CAD) packages. Entire larger more practical devices can then be considered. The resulting model is used to investigate the large-signal operating conditions of large gate periphery multicell GaAs power pHEMTs and particular attention is placed on the thermal operation of the active device and its interaction with the electronic and EM aspects of device operation. Fig. 2. Thermal image (IR camera) of a 48-mm device for two different microwave load conditions at 2 GHz. Courtesy of Filtronic plc.

underneath the electrodes is simulated using a physical simulation. The thermal properties of the device are also included using a thermal resistance matrix, extracted from a three-dimensional (3-D) thermal simulation (validated with infrared (IR) measurements). The three different simulations are then combined using any commercially available harmonic-balance simulator. A. FET Models Equivalent-circuit models still remain the most popular and convenient approach to model and characterize active devices in circuit simulators. Their main advantages are their wide availability in simulators and their speed of computation. This approach relies on assumptions concerning the operating conditions and it requires that the active device be fabricated and characterized before a circuit can be designed, requiring extensive microwave and dc data to extract the model. This is particularly challenging for large power devices. Physical models, however, are predictive and produce results based on physical geometry and material properties directly from the process, though they are usually slower and numerically intensive. Several approaches have been proposed to extend the accuracy of equivalent circuits by incorporating some of the distributed effects while retaining the lumped-element representation [19], [20]. A more comprehensive way to take into account all the distributed and coupling effects arising inside the device is to use an EM simulation, which considers the complete device metallization, passivation, and in some circumstances, any packaging. The EM emphasis in this study is on the transversal distributed effects associated with the die. The same treatment could also be achieved along a longitudinal axis (along the gatewidth) [6]. Our choice is justified by the aspect ratio of the devices under investigation (Fig. 1) with a much larger traversal dimension than longitudinal. The different simulations can be combined together using a dedicated simulator [17], [21], [22]. This rigorous approach couples the EM solver to the physical solver. The total interaction between the EM and physical simulations is then completely taken into account, and this scheme has been investigated in this study. However, this approach is not very practical when more than a few cells are considered, and for designers, this approach lacks the flexibility of a full circuit simulator. We have chosen instead to integrate the self-consistent simulation results from EM, physical, and 3-D thermal models in a conventional

B. Physical Model of the pHEMT The physics of carrier transport in semiconductor devices can be described by a set of partial differential equations that describe the position, momentum, and energy of the electrons and holes. Physical models are derived from these equations and form the basis of investigations into the internal behavior of active components, yielding the terminal currents and voltages by solving the continuity, current density, energy, and momentum conservation equations self-consistently with the Poisson equation [1]. Complete simulations can be performed using a minimum of measurements since they are directly based on the physical parameters of the process, although the exact knowledge of some actual values may be difficult to assess. Deriving an exact solution to these equations requires at least a two-dimensional description. The numerical solution is then very time consuming and is neither practical for process investigation, nor suitable for circuit design [2] (see [2] for a review of different physical simulation approaches). However, several conclusions can be drawn from the observations of the solutions using two-dimensional descriptions, which are: 1) there is no current flow in the depletion region and 2) the current density is largely one-dimensional in the undepleted active channel and equipotential contours in the undepleted part of the channel and substrate are parallel and are perpendicular to electron flow. Those observations lead to the conclusion that the electric field in the undepleted part of the channel is approximately one-dimensional. This supports the development of faster models termed quasi-two-dimensional models. In these models, the electric field in the undepleted part of the active channel is assumed to be one-dimensional, but the active channel retains its two-dimensional description, which can also be applied to thin multilayer epitaxial structures such as those found in HEMTs. The model used in this study (the Leeds physical model) solves for a simplified solution of the hot electron transport equations in the active channel of a pHEMT and is then coupled with the Poisson-Schrödinger equation (the charge control model) [23]. The equations are discretized using the finite-difference method. The boundary conditions are set using the source current and gate voltage. The charge control model is solved only once for a given epitaxial layer structure and the results are stored in several lookup tables. The transport model is then solved by coupling the transport equations with the stored solution for the charge control model [24]–[26]. The complete model also includes thermal effects and breakdown [27]. This approach results in a more efficient and quicker solution, solving in a few seconds on a personal computer. A more detailed description of this particular model can be found in [23].

DENIS et al.: COUPLED ELECTROTHERMAL, EM, AND PHYSICAL MODELING OF MICROWAVE POWER FETs

2467

Fig. 3. Active region description for the physical simulation.

The model is used to solve the active region of one “unitary cell” (one source–gate–drain electrode association) of the transistor. The active region is described in the model by its cross section and the complete wafer structure. The pHEMT cross section is described by the geometrical parameters (electrode widths and spacings, as well as recess depths and positions) and the epitaxial wafer structure (Fig. 3). The layers of the epitaxial structure are defined by the thickness, physical properties of the different materials, and the doping concentrations including delta and bulk dopings. The simulation gives access to the dc characteristics and the -parameters. A time-domain simulation can also be performed to characterize the large-signal nonlinear behavior of the active region [28]. C. EM Simulation The metallizations are simulated as a whole for the complete device using EM simulation based on the method of moments. The Momentum simulator has been used. The simulation is not limited to the actual transistor, but includes its environment. The effects of surrounding via-holes and passive components are also taken into account. The simulation can be used to extract and predict the parasitics associated with the device metallization, passivation, and substrate using [29]. The information provided gives the opportunity to rate and compare different metallization patterns easily from the point-of-view of performance and ease of realization. To model the device, the EM simulation data is directly used inside the circuit simulator in the form of an -matrix. This allows to retain all the distributed and coupling effects arising within the transistor to be readily taken into account. D. Thermal Simulation The thermal behavior of the die is governed by the heat flow equation (1) This equation is solved at the top of the substrate using the methodology described in [12]. Exact or approximated analytical solutions can be found to this differential equation depending on the considered complexity of the substrate. Thermal simulations are performed using HeatWave [14], a 3-D thermal analysis program written using a double Fourier expansion technique. In these simulations, the bottom of the semiconductor substrate is attached to a heat sink, where the temperature is

Fig. 4. Simulated temperature distribution in the middle of a 36-finger device. Using a uniform power dissipation of 125 mW per finger (4.5 W in total).

held at a constant level, and all other surfaces, except for the heat sources, are assumed for simplicity to be adiabatic. A detailed explanation of this approach to the thermal model is described in [30]. The power of the distributed dissipating heat sources is determined by the local product of operating dc voltages and channel currents flowing in that locality of the device. For the heat sources, a gate-finger approximation is used: the heat sources are assumed to be located where the gate fingers are located in the active region (a reasonable approximation as the electric field peaks under the drain end of the gate). At this stage, it is also assumed that the heat dissipation is distributed uniformly over the metal surface of each gate finger. The temperature dependence of the semiconductor substrate thermal conductivity is taken into account using the Kirchhoff transform. The thermal simulation provides the temperature distribution at the surface of the substrate (Fig. 4). The thermal simulation is used to extract the thermal resistance matrix of the device. The matrix relates the temperature elevation to the dissipated power (2) To calculate the elements of the thermal matrix, each heat source (each finger) is turned on to the required operating voltage and current level sequentially and the resulting temperature increase on each of the other fingers is obtained by integrating the temperature over the finger’s area. In that way, the thermal resistance of each individual finger (cell) is characterized depending on its position inside the device. In practice, coupling between cells decreases quickly for distantly separated fingers. E. Integrated modeling The physical simulation is done for the active region of one “unitary cell” (each source–gate–drain association) or active finger and then combined with the EM simulation. Due to the scale of the simulation (e.g., over 200 gate finger simulations in a 96-mm gate-periphery FET), each cell is subsequently represented by a nonlinear equivalent circuit extracted from the physical simulation results, compatible with conventional CAD software. The linear results can also be directly inserted using

2468

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 5. Modeling steps and combination of the different simulations.

the -parameters from the physical simulation. In the case of the nonlinear model, the results of the physical simulation used in a similar manner to the measurement data would be used to extract the equivalent-circuit parameters. At this stage, the resulting temperature-dependent finger model is nested inside the EM model inside the circuit simulator. For this, each of the “active” cells (of an finger device) is connected to the corresponding source, gate, and drain contacts of the EM structure located in the middle of the fingers, subsequently giving the response of the complete transistor in a way presented in Fig. 5. The thermal matrix is easily included in the form of an -port impedance matrix with the temperature represented by the voltage and the dissipated power by the current. Each cell providing its dissipated power and being provided with the temperature increase resulting from both the dissipated power and the thermal coupling with all other cells. In this way, each finger is simulated individually. This allows the extraction of the intrinsic currents and voltages from all the fingers and their individual contribution to the total device output power. The temperature distribution associated with nonuniform power dissipation in the complete device is then accessed as a result of that dynamic calculation. III. DEVICE INVESTIGATION The simulations are conducted on a 36-finger device with 0.5- m gate length and 18-mm gate periphery (rated at 20-W output at 2.1 GHz) similar in layout to Fig. 1. The actual layout of the device studied here is shown in the background of Fig. 4. Using our modeling approach, the performance of each individual cell is accessed and can be compared to the performance of the entire device. Fig. 6 shows load–pull simulations data for the complete transistor and the individual cells inside the

Fig. 6. Output power load–pull contours of individual fingers inside the device (solid) compared to the complete device (dots). Units in dBm. (a) Outside finger. (b) Finger located at the gate pad. (c) Middle finger. (d) Corresponding finger’s temperature. Class-A operation, f = 8 GHz.

Fig. 7. Power contribution of the individual fingers in the complete device. Saturated class-A operation, f = 8 GHz.

device. All the individual fingers would require a different optimum load to deliver the maximum output power as a function of their position. As seen in plot (b), it is interesting to note that, for some load conditions, not all the fingers are actively contributing to the total output power of the device. The associated characteristic temperature plot for one individual finger is also given. These graphs show how the position of the finger on the manifolds influences the performances of each active cell. The relative power contribution (Fig. 7) of each of the fingers shows a significantly increased contribution from the external fingers. This effect is attributed to the better grounding of the most external source fingers/areas. In order to appreciate the effects of the manifolds, Fig. 8 presents the performances when both the gate and source manifolds are removed in the EM simulation. The plot compares the modeled behavior of the actual distributed structure with a response from a simplified approach assuming that the fingers are all lumped in parallel. These simulations show the influence of

DENIS et al.: COUPLED ELECTROTHERMAL, EM, AND PHYSICAL MODELING OF MICROWAVE POWER FETs

2469

Fig. 10. Thermal results of the combined simulation (harmonic balance). Temperature increase in the middle of the individual fingers corresponding to the power distribution of Fig. 7.

Fig. 8. Gate and source manifolds removed. Output power load–pull contours of individual fingers inside the device (solid) compared to the whole device (dots). (a) Outside finger. (b) Finger located at the gate pad. (c) Middle finger. (d) Finger contributions to the total output power. Class A, f = 8 GHz.

spreading of the heat in the direction of the fingers. Our thermal simulation is not including the effect of the metallization, resulting in the heat being more concentrated in the center. However, the behavior in the middle of the device is well described in Fig. 10. It can be seen from the output power contribution of each cell that the temperature distribution is more complex with multiple peak “finger” temperatures when this phenomenon occurs (Fig. 7). The simulations show that this process is both load and frequency dependent: at lower frequencies, the simulations show almost uniform finger temperature variation for all the loads. The coupled-modeling studies have shown that this is due to the interaction between the EM and thermal properties of the device arising from the distributed current flow in both the metallization and active channel regions. IV. CONCLUSION

Fig. 9. HeatWave thermal simulation with the nonuniform power distribution of Fig. 7. Temperature distribution in degrees Celsius at the top of the substrate.

the gate and source manifolds on the distribution of the output power among the fingers. As the manifolds are removed, the optimum loads of the individual fingers inside the device tend to the same load as for the complete device. All their contributions to the output power are also made uniform, as opposed to Fig. 7. This means that the load mapping effect of the manifolds with the finger position is removed. The manifolds also affect the nonlinear behavior of the device: without them, the harmonic content present at the input of each cell is decreased. The simulation provides insight into the unusual temperature distributions that appear in larger devices. An example of this can be seen in the measured data of Fig. 2 where a 48-mm gate periphery (0.5- m gate length) pHEMT device shows two very different temperature distributions for two different load conditions with a similar output power. The modeling approach allows the replication of this behavior, as shown in Figs. 9 and 10. These simulation results compare reasonably with the measurements of Fig. 2. The major difference, apart the lower number of gate finger considered in the simulation, is the

A comprehensive pHEMT model, which combines physical (electronic), EM, and electrothermal simulations has been presented. The model has been used to study the operation of GaAs pHEMT power transistors, revealing the interaction between the thermal behavior of these transistors and the embedding microwave circuit. The effect of gate and drain manifolds both in terms of parasitics and nonlinear coupling between the cells has been illustrated. The interaction between cells in power FET structures can, in some circumstances, become complex, and this type of coupled modeling approach allows the design of power devices with large gate peripheries and many cells to be reliably deduced prior to fabrication. REFERENCES [1] C. M. Snowden and R. R. Pantoja, “Quasi-two-dimensional MESFET simulations for CAD,” IEEE Trans. Electron Devices, vol. 36, no. 9, pp. 1564–1574, Sep. 1989. [2] F. Filicori, G. Ghione, and C. U. Naldi, “Physics-based electron device modeling and computer-aided MMIC design,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 7, pp. 1333–1352, Jul. 1992. [3] F. M. Rotella, G. Ma, Z. Yu, and R. W. Dutton, “Modeling, analysis, and design of RF LDMOS devices using harmonic-balance device simulation,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 991–999, Jun. 2000. [4] W. Heinrich and H. L Hartnagel, “Wave propagation on MESFET electrodes and its influence on transistor gain,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 1, pp. 1–6, Jan. 1987. [5] R. H. Jansen and P. Pogatzki, “Non-linear distributed modeling of multifinger FETs/HEMTs in terms of layout geometry and process data,” in 21st Eur. Microw. Conf., Stuttgart, Germany, 1991, pp. 609–614.

2470

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[6] E. Larique, S. Mons, D. Baillargeat, S. Verdeyme, M. Aubourg, R. Quéré, P. Guillon, C. Zanchi, and J. Sombrin, “Linear and nonlinear FET modeling applying an electromagnetic and electrical hybrid software,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 915–918, Jun. 1999. [7] M. Farina, L. Pierantoni, and T. Rozzi, “Electromagnetic 3-D model for active linear devices: Application to pHEMTs in the linear regime,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 469–474, Feb. 2004. [8] J. V. Dilorenzo and W. R. Wisseman, “GaAs power MESFET’s: Design, fabrication and performance,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 5, pp. 367–378, May 1979. [9] E. W. Bryerton, M. D. Weiss, and Z. Popovic´ , “Efficiency of chip-level versus external power combining,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1482–1485, Aug. 1999. [10] C. Ito, T. Fujioka, I. Yoshida, and R. W. Dutton, “Performance improvement in larger RF LDMOSFET power amplifiers,” in Proc. Asia–Pacific Microw. Conf., 2002, pp. 172–174. [11] M. Akkul, M. Sarfraz, J. Mayock, and W. Bosch, “50 watt MMIC power amplifier design for 2 GHz applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1355–1358. [12] G.-B. Gao, M.-Z. Whang, X. Gui, and H. Morko, “Thermal design studies of high-power heterojunction bipolar transistors,” IEEE Trans. Electron Devices, vol. 36, no. 5, pp. 854–863, May 1989. [13] G. Ghione and C. U. Naldi, “High-resolution self-consistent thermal modeling of multi-gate power GaAs MESFETs,” in Int. Electron Devices Meeting Tech. Dig., Dec. 1989, pp. 147–150. [14] C. M. Snowden, “Large signal characterization of AlGaAs/GaAs HBT’s based on a physics-based electrothermal model,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 1, pp. 58–71, Jan. 1997. [15] W. Batty, C. E. Christoffersen, A. J. Panks, S. David, C. M. Snowden, and M. B. Steer, “Electro-thermal CAD of power devices and circuits with fully physical time-dependent compact thermal modelling of complex non linear 3-D systems,” IEEE Trans. Compon. Packag. Technol., vol. 24, no. 4, pp. 566–590, Sep. 2001. [16] A. Cidronali, G. Collodi, C. Accillaro, C. Toccafondi, G. Vannini, A. Santarelli, and G. Manes, “A scalable pHEMT model taking into account electromagnetic and electro-thermal effects,” in Proc. 11th GaAs Symp., 2003, pp. 251–254. [17] M. Guyonnet, R. Sommet, R. Quéré, and G. Bouisse, “Non-linear electro-thermal model of LDMOS power transistor coupled to 3D thermal model in a circuit simulator,” in Proc. 34th Eur. Microw. Conf., 2004, vol. 2, pp. 573–576. [18] D. Denis, C. M. Snowden, and I. C. Hunter, “Design of power FETs based on coupled electro- thermal-electromagnetic modeling,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 461–464. [19] W. Heinrich, “Limits of FET modeling by lumped elements,” Electro. Lett., vol. 22, no. 12, pp. 630–632, Jun. 1986. [20] B. Mallet-Guy, Z. Ouarch, M. Prigent, R. Quéré, and J. Obregon, “A distributed, measurement based, nonlinear model of FETs for high frequencies applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1997, pp. 869–872. [21] M. A. Alsunaidi, S. M. Sohel Imtiaz, and S. El-Ghazali, “Electromagnetic wave effects on microwave transistors using a full wave time domain model,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 6, pp. 799–808, Jun. 1996. [22] R. O. Grondin, S. El-Ghazaly, and S. Goodnick, “A review of global modeling of charge transport in semiconductors and full-wave electromagnetic,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 817–829, Jun. 1999. [23] R. Drury and C. M. Snowden, “A quasi-two-dimensional HEMT model for microwave CAD applications,” IEEE Trans. Electron Devices, vol. 42, no. 6, pp. 1026–1032, Jun. 1995. [24] C. M. Snowden and R. R. Pantoja, “GaAs MESFET physical models for process oriented design,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 7, pp. 1401–1409, Jul. 1992. [25] C. G. Morton, J. S. Atherton, C. M. Snowden, R. D. Pollard, and M. J. Howes, “A large signal physical HEMT model,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1996, pp. 1759–1762. [26] R. Singh and C. M. Snowden, “Small-signal characterization of microwave and millimeter-wave HEMT’s based on a physical model,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 1, pp. 114–121, Jan. 1996. [27] J. S. Atherton, C. M. Snowden, and J. R. Richardson, “Characterization of thermal effects on microwave transistor performance using an efficient physical model,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 1181–1184, 1993.

[28] P. J. Rudge, R. E. Miles, M. B. Steer, and C. M. Snowden, “Twotone intermodulation distortion simulations in the time domain using a quasi-2D physical pHEMT model,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, pp. 439–442. [29] G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small signal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 7, pp. 1151–1159, Jul. 1988. [30] R. G. Johnson, W. Batty, A. J. Panks, and C. M. Snowden, “Fully physical coupled electro-thermal simulations and measurements of power FETs,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, pp. 461–464. David Denis was born in Limoges, France, in 1975. He received the Ph.D. degree from the University of Limoges, Limoges, France. His doctoral thesis concerned design and optimization techniques for actively compensated planar filters using monolithic microwave integrated circuits (MMICs). He is currently a Research Fellow with the Institute of Microwaves and Photonics, School of Electronic and Electrical Engineering, The University of Leeds, Leeds, U.K. His research is focused on the design of high-efficiency microwave power amplifiers and large-signal and thermal characterization of power transistors. Christopher M. Snowden (S’82–M’82–SM’91– F’96) received the B.Sc. (Hons.), M.Sc., and Ph.D. degrees from The University of Leeds, Leeds, U.K. Upon graduation in 1977, he was an Applications Engineer with Mullard. His Ph.D. research was later conducted in association with Racal-MESL. From 1992 to 2005, he held the personal Chair of Microwave Engineering with The University of Leeds. From 1995 to 1998, he was Head of the Department and subsequently Head of the School of Electronic and Electrical Engineering. He was the first Director of the Institute of Microwaves and Photonics located in the School. From 1989 to 1998, he was a Consultant to M/A-COM Inc. In 1998, he joined Filtronic plc, as Director of Technology and became Joint Chief Executive in 1999. Until April 2005, he was Chief Executive of Filtronic ICS and Professor of Microwave Engineering with The University of Leeds. He is currently the Vice-Chancellor and Chief Executive of the University of Surrey, Surrey, U.K. His main research interests include compound semiconductor devices and microwave circuit technology and design. He has authored eight books, over 300 refereed journal and conference papers, and many other papers. Prof. Snowden is a Fellow of the Royal Society, a Fellow of the Royal Academy of Engineering, and a Fellow of the Institute of Electrical Engineers (IEE), U.K. He was a Distinguished Lecturer for the IEEE Electron Devices Society until 2005. He was the recipient of the 1999 Microwave Prize of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He was also the recipient of the 2004 Silver Medal of the Royal Academy of Engineering. Ian C. Hunter (M’82–SM’94) was born in Fleetwood, Lancashire, U.K., in 1957. He received the B.Sc. (first-class honors) and Ph.D. degrees from The University of Leeds, Leeds, U.K., in 1978 and 1981, both in electrical engineering. Upon graduation, he was a Microwave Engineer involved with broadband microwave components and subsystems for electronic warfare (EW) applications with Aercom Industries Inc., Sunnyvale, CA, and with Filtronic Components Ltd., Leeds, U.K. From 1991 to 1995, he was with the University of Bradford, Bradford, U.K., where he was a Senior Lecturer. From 1995 to 2001, he was a Fellow Engineer with Filtronic Comtek, where he was involved with filters for cellular radio applications. He is currently a Professor with the Institute of Microwaves and Photonics, School of Electronic and Electrical Engineering, The University of Leeds. He teaches courses on circuit theory, RF and microwave engineering, and RF systems. His research include microwave filters, dielectric resonators, wideband amplifier design, power amplifiers, MMIC design, and EW receivers. He has authored or coauthored numerous papers in the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the Proceedings of the IEE. He also authored Theory and Design of Microwave Filters (IEE Press, 2001). Prof. Hunter is a Fellow of the Institution of Electrical Engineers (IEE), U.K.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2471

H

Nonsymmetrical -Plane Corners for TE10–TEq 0-Mode Conversion in Rectangular Waveguides Anatoliy A. Kirilenko, Senior Member, IEEE, Leonid A. Rud, Senior Member, IEEE, and Vladimir I. Tkachenko Abstract—Compact high-efficient TE10 -TE 0 -mode con-plane angular junctions of verters based on truncated single-mode and overmoded rectangular waveguides are designed and investigated. The comparison analysis of converters without and with an inductive iris in the input port is carried out. Iris-free converters are broader in bandwidth, while iris-matched ones provide a better purity and higher conversion efficiency. It is found that the same configuration can provide a high-efficient conversion to different TE 0 modes in different parts of the frequency operation range. Two interpretations of the conversion physical mechanism are discussed. Index Terms—Mode converters, nonsymmetrical rectangular waveguides, TE 0 modes.

-corners,

I. INTRODUCTION AVEGUIDE components serving for a transformation of a certain mode at the input port to a predetermined mode at the output port find numerous applications in the waveguide and antenna techniques, high-power electron devices, microwave heating, and other areas. Cross-sectional shapes of both ports and features of the field distributions of the input and the desired output mode are main factors determining the choice of a physical principle of mode transformation, adequate converter configuration, and method of its simulation. The coupled-mode theory is a basic tool for the simulation of converters built on gradually tapered, periodically wall-perturbed, or bent waveguides. By using this theory, circular waveguide-mode converters of various configurations and functional destinations have been analyzed and designed (see, e.g., [1]–[5]). A classical example of the taper-type converter consisting of three distinct-shaped sections is the Marie dominant rectangular to higher order circular -mode transducer numerically analyzed in [6] and [7]. However, mode converters based on a gradual change of a waveguide cross section and/or its axis direction, as a rule, have the lengths essentially larger than the transverse dimensions. This is the main reason to search for the other approaches providing shorter converters while keeping high conversion efficiency. One of these approaches consists of using a set of stepwise discontinuities to form the circular waveguide and parallel-plate waveguide -mode

W

Manuscript received November 25, 2005; revised February 8, 2006. This work was supported in part under INTAS’01-0373 project. The authors are with the Institute for Radiophysics and Electronics, National Academy of Sciences of Ukraine, 61085 Kharkov, Ukraine (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875798

converters [8]–[10]. These configurations are suitable for the numerical simulation by the mode-matching technique. A radically different way to reduce converter dimensions consists of the search for single waveguide discontinuities providing a high-level mode conversion. As it is shown in [11], a symmetrical -plane angled bend in an overmoded rectangular waveguide can play the role of such a discontinuity for the modes. Similar bends with circularly curved outer corners [12] have the same properties. The results of [11] were applied in [13] to convert the mode in the multimode output of a Gunn diode power combiner to the dominant mode. Drawbacks of the simplest angled bend converters [11]–[13] are: 1) overmoded input waveguide that requires using a transition to a single-mode waveguide (as in [13]) and 2) loss of the conversion efficiency with an increase in the mode index . The converters based on the truncated -corners [14] have single-mode input waveguides and provide the conversion efficiency of 99%-80% for the output mode indices . This type of converters has been used later to design compact three- and four-channel power dividers [15]. In this paper, an electromagnetic model, optimization procedure, and results of comparison analysis of two types of – -mode converters in a rectangular waveguide are presented. One of the considered converters, hereinafter called the basic one, has a configuration that was published in [14]. The modified converter configuration contains an additional one-sided inductive iris in the input port to improve the matching and the level of conversion to the required mode. II. ELECTROMAGNETIC MODELS OF CONVERTERS – -mode conConfigurations of basic and modified verters are shown in Fig. 1(a) and (b), respectively. The converters are -plane structures and are formed by two rectangular waveguides of widths and connected at the angle . The outer corner of such an angled bend is truncated by a metal plane, whose spatial location is defined by parameters and [see Fig. 1(a)]. The modified configuration contains a one-sided inductive iris characterized by the window width , thickness , and by the distance to the iris measured as shown in Fig. 1(b). Mathematical models of the converters are based on the generalized -matrix technique. Two simple nonsymmetrical angled-bend junctions are chosen as key building blocks when using this technique for the basic configuration. The first junction is formed by the waveguides with widths and connected at the angle that is shown in Fig. 1(a). The second junction has the parameters and , respectively. These

0018-9480/$20.00 © 2006 IEEE

2472

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

H

Fig. 1. Nonsymmetrical -corners in rectangular waveguide. (a) Basic and (b) modified configurations.

junctions are joined through a zero-length waveguide of the width to form the converter configuration in Fig. 1(a). The generalized -matrices of the above-mentioned key building blocks are calculated with one of the methods of analytical regularization, namely, the semi-inversion method presented in detail in [16]. The finite-thickness iris is considered as a junction of two one-sided step discontinuities, whose -matrices are calculated using the mode-matching technique. Knowing the generalized -matrices of the basic converter and the iris allows the analysis of the modified converter as a whole. Along with the angle , we will use the following relative parameters to characterize the converter geometry and . As a frequency parameter, the quantity is used where is the free-space wavelength. The range corresponds to the single-mode operation of the input port that is of our interest. III. OPTIMIZATION STRATEGY The following characteristics are considered as the principal ones during the – converter optimization and analysis: • efficiency of conversion to the desired mode; • output -mode purity; • input matching; • bandwidth (BW) of the efficient conversion. The optimization procedure employed is based on the descent method and consists of the minimization of the following cost function:

is a In (1): 1) is the vector of objective variables; 2) relative power of the scattered mode in the th port calculated at the current values of objective variables and where corresponds to the given frequency; and 3) and are weight coefficients for the power of the converted mode, reflected mode, and passing flow level (PFL), respectively. The PFL characterizes the conversion purity and is calculated as a sum of powers of all the propagating modes at the output, except for the desired mode. In most cases, the used cost function requests a maximization of the conversion into the required mode. In this case, the values of are set in (1). Along with the requirement of high conversion, the cost function provides a capability to minimize the input reflection or to improve the conversion purity when setting or in (1). The vector of objective variables in (1) can include all the geometrical parameters listed above. However, it turns out that the basic converter shown in Fig. 1(a) has a maximum conversion to the mode with a small index when , i.e., at the output width close to the critical one for the next mode [14]. This leads to a sharp degradation of the conversion efficiency above the frequency of maximum conversion that makes these converters not always acceptable. The studies showed that both types of converters keep a high conversion level when the quantity is close to the middle of the range

(2) Taking into account this circumstance, the converters with the output width

(3) are mainly investigated and discussed further. The value of iris thickness is not a principal one to achieve a high conversion and can be chosen by taking into account manufacturing reasons. That is why the normalized iris thickness is chosen as the fixed one for all the modified converters considered below. Except for and (in most cases), all other geometrical parameters are included in the vector of objective variables. The parameters of basic – converters, initially designed at under condition (3), were approximated with respect to the mode index . As a result, the following approximations for choosing the initial values of (in degrees), , and at the desired were obtained:

(4) (5) (6)

(1)

These dependences are used further as the initial guess when optimizing converters of both types at other values of . As

KIRILENKO et al.: NONSYMMETRICAL

-PLANE CORNERS FOR

for modified configurations, the initial values of determined as



and

-MODE CONVERSION IN RECTANGULAR WAVEGUIDES

2473

are

(7) (8) The optimization procedure is realized as a multistage one with a given number of iterations at each stage. During the first stage, the above-mentioned approximations are used. Each next stage uses the results of a previous stage as a new initial guess. Usually, three or four stages with ten iterations are required to stabilize the cost function. IV. RESULTS OF CONVERTERS OPTIMIZATION AND ANALYSIS A. Comparative Analysis of the



Converters

We will analyze the capabilities of the considered converters – -mode converters. in detail by the example of the At first, both types of converters with the output width defined according to (3) are optimized under the requirement of the maximum conversion at several . The resulting conversion are shown in Fig. 2 for the basic and characteristics modified converters optimized for and . The dotted curve corresponds to the basic converter whose optimization has been carried out at with inclusion of the normalized output width in the vector of objective variables. The optimized value of this parameter is such that , i.e., the output electrical width is practically equal to the critical one for the higher mode. As one can see, the conversion efficiency of such a configuration is higher at than that for the converter with the fixed , but is narrower in BW and decreases rapidly above this point. These features are the main reasons of choosing according to (3). In addition, the following data for the basic (B) with the predetermined and modified (M) converters, illustrated in , Fig. 2, are presented in Table I: conversion coefficient standing wave ratio (SWR), and PFL formed by unwanted and modes at the output. The last column in Table I . characterizes the conversion BW at the level of From the analysis of results presented in Fig. 2 and Table I, we can conclude that, in comparison to the basic converters, the modified configurations are full matched and provide higher conversion ( 99%) and lower passing flow ( 0.6%) levels of the output mode at the given frequency. As for the BW characteristics, the basic converters are preferable if other characteristics (matching and purity) are not as critical in possible applications. The BW for the modified converter decreases noticeably in the higher part of the range. For example, this BW at is almost 4 narrower than it is for the basic converter. In many cases, when analyzing the converter characteristics, it is important to know how the rest of the input power is distributed among the reflected and unwanted modes over the conversion BW. As an example, we will consider the – converters of both configurations designed at (their geometrical parameters are listed in the caption of Fig. 2). The relative power dependences on of

Fig. 2. Frequency responses of the output TE -mode power in the basic converters with the predetermined and optimized A (solid and dotted curves, respectively) and the modified converters (dashed curves) designed at different  . Bend angles and relative dimensions of the basic converters with the predetermined A :  = 0:6 = 67:2 ; D = 0:721; D = 2:195;  = 0:7 = 70:1 ; D = 0:541; D = 1:790;  = 0:8 = 73:6 ; D = 0:400;D = 1:468;  = 0:9 = 76:4 ; D = 0:311;D = 1:231. Basic converter with the optimized A :  = 0:6 A = 3:332; = 77:6 ; D = 0:625;D = 1:752. Modified converters:  = 0:6 = 65:7 ; D = 0:662;D = 2:488;W = 0:850;L = 0:958;  = 0:7 = 68:5 ; D = 0:490;D = 2:047;W = 0:820;L = 0:574;  = 0:8 = 70:7 ; D = 0:343;D = 1:728;W = 0:756;L = 0:402;  = 0:9 = 73:1 ; D = 0:160;D = 1:480;W = 0:605;L = 0:319.

0

0

0

0

0

0 0 0 0

TABLE I CHARACTERISTICS OF THE TE –TE -MODE CONVERTERS DESIGNED AT DIFFERENT 

( ) and four transmitted modes the reflected ) are presented in Fig. 3(a) and (b) for ( the basic and modified converters, respectively. Comparing these dependences, we can conclude that in the conversion BW, the rest of the input power is distributed mainly among the transmitted and reflected modes in the basic converter or is reflected in the modified one. The similar properties are typical to the -mode converters designed at other values of as well. The comparison with the results simulated by Ansoft’s High Frequency Structure Simulator (HFSS) confirms our design results. The HFSS data are obtained for the discussed converters with the WR-90 input waveguides and are denoted in Fig. 3(a) and (b) by squares and triangles for the reflected and converted modes, respectively. The results for the other modes are not presented to avoid the figures overloading. Summarizing the results of the above-mentioned studies, we can state that the basic converters are broader in BW and provide

2474

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 4. TE –TE -mode-conversion efficiency of the basic (squares) and modified (circles) converters designed at  = 0:8.

Fig. 3. Frequency responses of the scattered-mode powers for the converters designed at  = 0:8. (a) Basic converter. (b) Modified converter.

basic configuration. As one can see, the larger mode index , the closer the conversion efficiencies of both configurations. It is significant that the conversion at the level of can be achieved for all modes with and at the level of if . Our numerical analysis shows that the SWR magnitude decreases from 1.35 to 1.2 for the basic converters and increases from 1.0 to 1.02 for the modified converters if the mode index is varied from 2 to 27. The BW of efficient conversion decreases with increasing for both configurations as it follows from condition (2). With an increase in , the converter geometry is changed similarly to approximations (4)–(7) with numerical coefficients that are different for basic and modified converters and depend on the value of . C. Mode Alternation due to Frequency Variation

a lower return-loss level over this BW, whereas the modified converters have a higher conversion efficiency and better purity within a narrower BW. The same is valid for the converters with other output modes. B. Capabilities of Converters for Arbitrary

Modes

modes with small indices The converters to the can be applied to develop or improve the performance of the power combiners (e.g., such as in [13]), dividers [15], multibeam-forming systems, and other microwave devices. In the systems for microwave heating and drying, the modes with attract considerable interest because the fields with a more uniform distribution than that for the dominant mode are required. As a rule, in these applications, the matching level at the given operation frequency is a more critical specification than the conversion purity and efficiency. Fig. 4 shows the -mode-conversion efficiency of both type of converters designed at with an increase in the mode index . It is remarkable that the conversion efficiency of the -mode converter is the best among converters of the

Geometrical parameters of the above-considered converters are different for different output mode indices . At the same time, Fig. 3 shows that, outside of the band of efficient conversion to the required mode, the designed converters can provide good excitation of other modes. This effect becomes more evident for the converters operating with output modes of higher indices. As an example, the frequency responses of the basic converter, designed at under condition (3), are pre. A demonstrasented in Fig. 5. For this converter, tive fact is that the same configuration is capable of providing a high conversion to the other modes when varying the frequency over the single-mode range of the input waveguide. The efficiency of conversion to several modes is comparable mode (e.g., at with that for the specified and at ). The same is valid for the modified converters. The discussed property of the higher order mode converters can find application in microwave heating systems supplied with sweep oscillators, thereby providing more uniform averaged field distributions within the heating chamber.

KIRILENKO et al.: NONSYMMETRICAL

-PLANE CORNERS FOR



-MODE CONVERSION IN RECTANGULAR WAVEGUIDES

2475

The plane waves are incident on a sidewall of the output waveguide at the angle . If , where is the propagation angle of the output mode, an increased amplitude of this mode could , we can define the be expected. Using the identity values of providing the expected maximum conversion to the desired mode at the given and as follows:

(9)

Fig. 5. Frequency responses of output mode powers in the basic converter demode at  = 0:75 ( = 65:7 ; D = 1:081; D = signed for the TE 4:949).

Fig. 6. Illustration of the mode conversion as a re-reflection of the plane waves.

V. ON PHYSICAL MECHANISM OF MODE CONVERSION A. Ray-Optics Approach An application of the ray optics to explain mode conversion has been demonstrated in [13] and [14] in the case of simple -plane angled bends whose input and output rectangular waveguides are oversized ones. This provides the propagation directions of the plane waves, corresponding to the incident mode, close to parallel with the input waveguide axis. In this case, the comparison analysis showed rather good coincidence of the ray optics and exact results for symmetrical angled bends [14]. In contrast to simple angle bends investigated in [12]–[14], the considered converters have a single-mode input port and the propagation angles of the plane waves associated with the mode are limited by the range if . The re-reflections of these plane waves are illustrated in Fig. 6 for the converter configuration whose characteristics are presented in Fig. 5.

The values of calculated by (9) are marked in Fig. 5 by short vertical lines at the figure’s top. One can conclude that these define the locations of the dependences maxima rather accurately for the modes with indices close to the desired only. As for the – converter configuration illustrated by Fig. 3(a), from (9), it follows that the values of should be and . In reality [see Fig. 3(a)], they are and . These data show that the largest disagreement in maximum takes place for the dethe location of the sired mode. If the frequency parameter and converter configuration are such that one of the plane waves reflects from the truncating metal plane, the resulting angle becomes smaller and corresponds to the output modes with smaller indices than that for the desired mode. These modes can give an additional contribution to the passing flow. Using the identity , one can seemingly determine the angle providing maximum conversion to the required mode as . However, the obtained values of differ strongly from the true ones and from those calculated by (3) and (4) at . From the above-mentioned results, it follows that the ray-optics approach is suitable for a qualitative explanation of the conversion physics mechanism rather than for a quantitative estimation. B. Study of Scattered Field Distribution A more comprehensive idea of the conversion physical mechanism can be obtained from the analysis of the scattered field distribution within the converter cavity. The used algorithm of the field calculation is based on an integral representation of a function that satisfies the homogeneous Helmholtz equation inside a closed contour and is given on together with its outer normal derivative [17]

(10) We have applied (10) successively to the functions corresponding to the electrical fields inside four right-angled triangles that form the converter irregular region. In (10), is a point on the contour of a triangle, is a point of observation inside the triangle area bounded by , is the outer normal

2476

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

more acceptable approach consists in the interpretation of the converter irregular region as an open resonator whose field is closely coupled to the field of the desired output mode. REFERENCES

0 -mode converter ; D = 2:536). The asterisk indicates the location of the field maximum jE j = 1:1. Hairlines

Fig. 7. Electrical field distribution for the TE



(

: ;

= 0 75

= 70

;A

:

= 4 333

;D

TE = 0 578

:

near curves show the direction of the field decrease.

to , and is the Green’s function of the Dirichlet problem for a parallel-plate waveguide bordering the triangle. In the considered converter configurations, we use three such waveguides with the widths and , respectively. The functions and on the hypotenuse and open (not metallized) leg of each triangle are determined by using the semi-inversion method described in [16]. As an example, the electrical field pattern is presented in Fig. 7 for the – converter. Such a converter provides 96% conversion efficiency. Fig. 7 demonstrates that the field pattern corresponding to the mode is formed practically in the irregular region. This is due to the standing-wave formation between the aperture of the input waveguide and the truncating metal plane. In fact, one can interpret the irregular region as an open resonator with low-quality oscillations excited by the input mode and coupled with the output higher order modes. The maximum number of the field variation along the irregular region is determined by the output waveguide electrical width. The function of the truncating metal plane (along with the bend angle) consists of providing such a location and phasing of the standing-wave loops that are peculiar to the desired output mode. VI. CONCLUSION -plane corners in rectangular wavegNonsymmetrical uides are able to serve as simple, compact, and efficient – -mode converters providing the conversion level higher than 80% up to . The converters with an additional inductive iris in the input port have higher conversion efficiencies (nearly 100% for small mode indices ) and better purity in comparison with iris-free configurations, while the latter are broader in BW. It has been found that the same converter configuration can provide an efficient conversion of the input mode to a sequence of the output modes when the frequency is varied. The comparison analysis has shown that the ray-optics approach fails to provide a reliable physical explanation of the mode-conversion mechanism in the considered converters. A

[1] M. J. Buckley and R. J. Vernon, “Compact quasi-periodic and aperiodic TE mode converters in overmoded circular waveguides for use with gyrotrons,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 6, pp. 712–721, Jun. 1990. [2] D. B. McDermott, J. Pretterebner, C. K. Chong, C. F. Kinney, M. M. Razeghi, and N. C. Luhmann, “Broadband linearly polarized beat-wave TE /TE mode converters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 2, pp. 311–317, Feb. 1996. [3] S. Yang and H. Li, “Optimization of novel high-power millimeter-wave TM –TE mode converters,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 4, pp. 552–554, Apr. 1997. [4] E. Luneville, J.-M. Krieg, and E. Giguet, “An original approach to mode converter optimum design,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 1, pp. 1–9, Jan. 1998. [5] R. L. Eisenhart, “A novel wideband TM01-to-TE11 mode converter,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 1998, pp. 249–252. [6] S. S. Saad, J. B. Davies, and O. J. Davies, “Computer analysis of gradually tapered waveguide with arbitrary cross sections,” IEEE Trans. Microw. Theory Tech., vol. 25, no. 5, pp. 437–440, May 1977. [7] W. A. Hurting and K. J. Webb, “Comparison of mode-matching and differential equation technique in the analysis of waveguide transitions,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 280–285, Feb. 1991. [8] T. Haq, K. J. Webb, and N. C. Gallagher, “TE to TM compact mode converter for circular waveguide,” in IEEE MTT-S Int. Microw. Symp. Dig, Orlando, FL, Jun. 1995, pp. 1613–1616. [9] T. Haq, K. J. Webb, and N. C. Gallagher, “Scattering optimization method for the design of compact mode converters for waveguides,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 3, pp. 559–565, Mar. 1995. [10] M.-C. Yangm and K. J. Webb, “High functionality mode transformers with bandwidth control and mode selectivity,” in IEEE MTT-S Int. Microw. Symp. Dig, Seattle, WA, Jun. 2002, pp. 2013–2016. [11] A. A. Kirilenko and L. A. Rud, “Symmetrical bend in a rectangular waveguide as a mode converter,” Radiophys. Quantum Electron., vol. 21, no. 9, pp. 961–962, Sep. 1978. [12] O. Wada, M. Nakajima, T. Yukawa, and J.-I. Ikenoue, “TE –TE mode conversion in circularly curved rectangular waveguides,” Trans. Inst. Electron. Commun. Eng. Jpn., vol. B65, no. 9, pp. 1164–1171, Sep. 1982. [13] J. Bae, M. Fujita, and K. Mizuno, “A W -band overmoded-waveguide oscillator with Gunn diodes,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2554–2559, Dec. 2001. [14] A. A. Kirilenko, L. A. Rud, and V. I. Tkachenko, “Angled bend H mode exciters,” in Proc. 24th Eur. Microw. Conf., Cannes, France, Oct. 1994, pp. 284–288. [15] ——, “Full wave analysis and optimization of microwave power dividers based on H mode exciters,” in Proc. 25th Eur. Microw. Conf., Bologna, Italy, Oct. 1995, pp. 733–736. [16] ——, “Semi-inversion method for an accurate analysis of rectangular waveguide H -plane angular discontinuities,” Radio Sci., vol. 31, no. 5, pp. 1271–1280, Sept.-Oct. 1996. [17] P. M. Morse and H. Feshbach, Methods of Theoretical Physics. New York: McGraw-Hill, 1953, ch. 7, pt. 1. Anatoliy A. Kirilenko (M’96–SM’99) received the M.S., Ph.D., and D.Sc. degrees in radiophysics from Kharkov State University, Kharkov, Ukraine, in 1965, 1970 and 1980, respectively, and the Professor title in radiophysics from the U.S.S.R. Academy of Sciences, St. Petersburg, U.S.S.R., in 1989. Since 1965, he has been with the Institute of Radiophysics and Electronics, National Academy of Sciences of Ukraine, Kharkov, Ukraine, where he is currently a Head of the Department of Computational Electromagnetics. His research interests are analytical and numerical methods in electromagnetics, resonance phenomena in waveguides and gratings, microwave computer-aided engineering (CAE) and computer-aided design (CAD). Prof. Kirilenko was a recipient of the 1989 State Prize of Ukraine in Science and Technology and the 1991 U.S.S.R. Award for the best software in microwave electronics.

KIRILENKO et al.: NONSYMMETRICAL

-PLANE CORNERS FOR



-MODE CONVERSION IN RECTANGULAR WAVEGUIDES

Leonid A. Rud (M’99–SM’01) received the Radiophysics Engineering degree from the Kharkov Institute of Radioelectronics, Kharkov, Ukraine, in 1964, the Ph.D. and D.Sc. degrees in radiophysics from Kharkov State University, Kharkov, Ukraine, in 1976 and 1990, respectively, and the Senior Scientist title in radiophysics from the U.S.S.R. Academy of Sciences, St. Petersburg, U.S.S.R., in 1984. Since 1971, he has been with the Institute of Radiophysics and Electronics, National Academy of Sciences of Ukraine, Kharkov, Ukraine, where he is currently a Leading Scientist with the Department of Computational Electromagnetics. His research interests include mathematical simulation of wave scattering from waveguide discontinuities, spectral theory of open waveguide resonators, CAD of waveguide and antenna components, and frequency-selective devices. Dr. Rud was a recipient of the 1989 State Prize of Ukraine in Science and Technology.

2477

Vladimir I. Tkachenko received the M.S. and Ph.D. degrees in radiophysics from Kharkov State University, Kharkov, Ukraine, in 1973 and 1986, respectively. From 1973 to 1981, he was with the Low Temperature Physics Institute, National Academy of Sciences of Ukraine, Kharkov, Ukraine, where he was involved in the development of superconductive antennas theory. In 1981, he joined the Institute of Radiophysics and Electronics, National Academy of Sciences of Ukraine, where he is currently a Senior Scientist with the Department of Computational Electromagnetics. His research interests are numerical algorithms and software for the design of microwave devices and large-scale modeling systems for the waveguide electromagnetics. Dr. Tkachenko was a recipient of the 1991 U.S.S.R. Award for the best software in microwave electronics.

2478

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

A New Class of Wideband Multisection 180 Hybrid Rings Using Vertically Installed Planar Couplers Chun-Hsiang Chi and Chi-Yang Chang, Member, IEEE

Abstract—A new class of wideband multisection 180 hybrid rings using the vertically installed planar (VIP) coupler is proposed. On the basis of the reconfigured ideal single-section 180 hybrid ring (i.e., the 180 hybrid ring with an ideal phase inverter), the multisection 180 hybrid rings can be realized by properly cascading of single-section 180 hybrid rings. Compared with the conventional hybrid ring, the two-section hybrid rings exhibit wide bandwidth, size reduction, and easily achievable high power-division ratios. Design equations based on the equal-ripple functions are derived. Design curves for the equal and unequal power-division ratio are also described. In addition, a cascade of single-section cascadable hybrid rings with a unit element at each I/O port can be used for bandwidth enhancement. Good agreement is obtained between the experimental and simulated results. Index Terms—Multisection 180 hybrid ring, phase inverter, vertically installed planar (VIP) coupler, wideband 180 hybrid ring.

I. INTRODUCTION HE 180 hybrid ring coupler is an important and fundamental component in microwave circuit applications such as balanced mixers, push–pull amplifiers, phase shifters, and feeding networks of antenna arrays. In the conventional hybrid transring, the 180 phase shifter is implemented by a mission line and its phase-shift is only 180 at the center frequency. Therefore, the conventional hybrid ring using a line section results in large size and narrow bandwidth. In addition, it requires a quite high impedance line for large power-division ratios. There are many approaches to overcome these transmission limitations [1]–[5]. March [1] replaced the coupled line (we line with an opposing-end short-circuited simply call it the “short-ended coupled line”) to increase the bandwidth of the hybrid ring. A theory of cascading of several quarter-wave transmission-line sections to each I/O port of an ideal 180 hybrid ring for bandwidth improvement has been proposed by Rehnmark [2]. Chang et al. [3] have proposed a method to implement the hybrid ring proposed in [2]. However, to implement these hybrid rings requires extremely low and/or high impedance lines or extremely tight coupled lines, especially for large power-division ratio situations. To reline section of the hybrid duce the impedance values, the lines [4]. However, the required ring can be split into three

T

Manuscript received November 21, 2005; revised January 24, 2006. This work was supported in part by the National Science Council, R.O.C., under Grant NSC 95-2752-E-009-003-PAE. The authors are with the Department of Communication Engineering, National Chiao Tung University, Hsinchu City 300, Taiwan, R.O.C. (e-mail: kavh. [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875799

high impedance values still increase with power-division ratios. Therefore, the realizable highest and/or lowest impedance values limit the achievable power-division ratios of those hybrid rings proposed in [1]–[4]. The 90 branch-line coupler [6] realized with a multisection structure for bandwidth enhancement is well known. The multisection 180 hybrid ring was first proposed by Ang et al. [5] to achieve broad bandwidth and high power-division ratios. However, because each single-section unit in [5] was based on the conventional hybrid ring with the narrow-band phase inverter, the amplitude and the phase performances of two output ports cannot achieve broad bandwidth simultaneously. Therefore, in [5], only conditions at the center frequency are derived, and the responses out of the center frequency have not been discussed analytically. In addition, the bandwidth of the hybrid ring will dramatically decrease with increasing of power-division ratios. In this paper, the multisection 180 hybrid rings are presented to overcome the above shortcomings. The basic building block of the proposed multisection 180 hybrid ring is the reconfigured ideal 180 hybrid ring, as shown in Fig. 1(a) and (b). The proposed single-section hybrid ring can be easily cascaded like the 90 branch-line coupler, as depicted in Fig. 1(c). The reconfiguration proposed in Fig. 1(b) results in size reduction. Furthermore, the hybrid ring in Fig. 1(b) with two output ports on the same side is convenient for the applications such as the balanced mixer or the push–pull amplifier. Synthesis procedures to realize the Chebyshev-response two-section cascaded 180 hybrid rings shown in Fig. 1(c) with various power-division ratios will be described. The reconfigured single-section 180 hybrid ring with a unit element at each port [2], [3] can be cascaded to exhibit extended bandwidth as shown in Fig. 2. As an example, the 3-dB two-section hybrid ring with 15-dB return loss yields a bandwidth of 5:1. However, the realization of a broadband phase inverter on microstrip circuits could be a problem. Fortunately, as described in [1] that a short-ended coupled line can provide 270 phase shift, and the ratio of the even- and odd-mode impedance of the coupled line determines the bandwidth. The higher even-to-odd-mode impedance ratio produces wider bandwidth. As the ratio approaches an asymptotic value, the shortended coupled line is equivalent to a line cascaded with an ideal phase inverter. To achieve enough bandwidth, a very tight coupled line must be implemented. In this paper, the VIP coupler described in [7] is proposed to implement the very tight coupled lines. With the VIP coupler, the proposed wideband multisection hybrid rings can be easily implemented by microstrip circuits. Several design examples show that the proposed multisection 180 hybrid rings not only exhibit broad bandwidth but also depict a wide range of power-division ratios.

0018-9480/$20.00 © 2006 IEEE

CHI AND CHANG: NEW CLASS OF WIDEBAND MULTISECTION 180 HYBRID RINGS USING VIP COUPLERS

2479

Fig. 1. Schematics of hybrid rings using an ideal phase inverter (a) Conventional hybrid ring. (b) Reconfigured hybrid ring. (c) Proposed two-section hybrid ring.

Fig. 2. Schematic of the proposed two-section hybrid ring with a unit element at each I/O port.

II. THEORETICAL ANALYSIS OF THE TWO-SECTION HYBRID RING

-parameters of the single-section hybrid ring can be expressed as

In the reconfigured single-section hybrid ring shown Fig. 1(b), the admittance and are normalized values with respect to system admittance . Using the even- and oddmode analysis, the ABCD matrices for the even- and odd-mode cascade element are given by

(1)

(2)

where the polynomials

and the subscripts indicate the degree of , and . Therefore, the

(3) The conventional even- and odd- mode analysis method to obtain the -parameters of the cascaded 90 hybrid ring [6] cannot be used in this newly proposed two-section hybrid ring

2480

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 3. Characteristic impedances Z ; Z , and bandwidth versus return loss for the equal-ripple response 3-dB two-section hybrid ring.

shown in Fig. 1(c) because the symmetrical planes for even- and odd-mode excitation are not exist. Here, the multiport connection method [8] is used. The results at the center frequency are shown as follows:

Fig. 4. Characteristic impedances Z ; Z , and bandwidth versus coupling level for the single- and two-section hybrid rings with 15-dB equal-ripple return loss.

rings, the synthesis procedure can be largely simplified. Again, applying the multiport connection method to (3), we obtain (5a), shown at the bottom of this page, and (5b)

(5c)

(5d)

(5e)

(4) (5f) and , all ports can be perfectly matched When and the signal incident at the input port will be split between ports 3 and 4. Although all ports can be perfectly matched for the case of and , a 0-dB coupler, which means that no signal can be obtained from one of the output ports, is obtained. Therefore, we concentrate our attention on the case of and . Because in this case the two-section hybrid ring consists of two identical single-section hybrid

It should be noted that the interchange between and results in the interchange between the sum port and the delta port. Assuming that the input is matched well in the passband, we have (6)

(5a)

CHI AND CHANG: NEW CLASS OF WIDEBAND MULTISECTION 180 HYBRID RINGS USING VIP COUPLERS

Fig. 5. Another set of characteristic impedances Z ; Z , and bandwidth versus coupling level for the two-section hybrid rings with 15-dB equal-ripple return loss.

2481

Fig. 6. Characteristic impedances Z ; Z ; Z ; Z , and bandwidth versus return loss for the equal-ripple response 3-dB two-section hybrid ring with a unit element at each I/O port.

The output power-division ratio is

(7) and (6) can be written as

(8) Now, considering the function

(9) Fig. 7. Cross-sectional view of the VIP coupler.

where is approximately equivalent to the reflection coefficient of the two-section hybrid ring over the passband because the denominator is very close to unity [see (8)]. Therefore, the insertion loss function can be shown as given in (10), shown at the bottom of this page. As described by Riblet [9] and Carlin

and Kohler [10], the insertion loss function of a Chebyshev response has the form given by (11), shown at the bottom of this page, where is the Chebyshev polynomial of the first kind of degree , and is the parameter

(10)

(11)

2482

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 8. Equivalent circuit of a short-ended coupled line.

Fig. 11. Fabricated circuits of the conventional and reconfigured single-section hybrid rings.

TABLE I VIP COUPLER PARAMETERS EXTRACTED BY HFSS (f = 2 GHZ)

Fig. 9. Simulated 15-dB equal-ripple return loss bandwidth of a 3-dB singlesection hybrid ring with a short-ended coupler versus different Z .

Fig. 12. Fabricated two-section hybrid rings. (a) 3-dB hybrid ring. (b) 20-dB hybrid ring. (c) 3-dB hybrid ring with a unit element at each I/O port. Fig. 10. 3-D structure of the single-section hybrid ring using the VIP coupler.

to control the ripple level. Substituting A, B, C, and D in (1) into (10) and fitting (10) to (11) with , we obtain (13) The relationship between m and return loss is (12)

(14)

CHI AND CHANG: NEW CLASS OF WIDEBAND MULTISECTION 180 HYBRID RINGS USING VIP COUPLERS

2483

Fig. 13. Measured and simulated results of the 3-dB two-section hybrid ring. (a) Out-of-phase operation. (b) In-phase operation.

According to (7) and (12)–(14), the unknown variables and can be solved numerically. It should be emphasized that there exists two set of solutions. Let us discuss this in the following. Fig. 3 shows the plot of characteristic impedances of , and bandwidth versus return loss for the 3-dB two-section hybrid ring shown in Fig. 1(c). The 96.3% bandwidth for return loss better than 15 dB can be achieved. A similar synthesis procedure can be used to solve the unknown variables for the single-section hybrid ring. Fig. 4 shows the plots of charac, and bandwidth versus coupling teristic impedances of level, which is defined by the power at the coupling port divided by the incident power, for the single- and two-section hybrid rings with 15-dB return loss. It can be seen that, for the single-section hybrid ring, the bandwidth increases with increasing power-division ratios, but the high impedance value of limits the realizable power-division ratios to about 10 dB. The bandwidth of the two-section hybrid ring decreases with and increasing of the power-division ratios but the values of are both located in the reasonable range for power-division from as strong as 3 dB to weaker than 20 dB. Fig. 5 shows another set of solutions for the two-section hybrid ring. Comparing with the characteristics of the singlesection hybrid ring in Fig. 4, it depicts a larger bandwidth, but impedance value. requires a higher The two-section hybrid ring shown in Fig. 2 comprises two single-section units, where each unit has a unit element at each I/O port. This two-section hybrid ring can achieve larger bandwidth than the above-described two-section hybrid ring. However, the analysis method described above is too complicated to obtain similar design equations. Fortunately, values of un, and can be obtained by optimizaknown variables tion with the known relationship between and . The design curves for a two-section 3-dB hybrid of this type are shown in Fig. 6.

III. CHARACTERISTICS OF VIP COUPLERS Fig. 7 shows the cross-sectional view and the parameters of the VIP coupler. Coupling coefficients can be readily controlled by changing the dielectric constants or the thicknesses of the substrates or the height and width of the coupler. Therefore, the newly added vertical substrate can significantly increase the freedom of design. From [7], we know that the electric field is mainly confined in the vertical substrate for odd-mode excitation and in air and main substrate for even-mode excitation. The equivalent circuit of a short-ended coupled line is shown in Fig. 8 where and are the even- and odd-mode characteristic impedances and is the electrical length of the coupled line. When , the two shunt-shorted stubs of the characteristic impedance are open-circuited. Therefore, at the center frequency, the short-ended coupled line is reduced to a transmission line of characteristic impedance cascaded with an ideal phase inverter. It should be emphasized that the previously described theory is based on an ideal single-section 180 hybrid ring. However, the two shunt-shorted stubs in Fig. 8 are only open-circuited at the center frequency. Out of the center frequency, the influence of this shunt-shorted stub decreases as the value of increases. Until approaches infinity, the short-ended coupled line is equivalent to the phase-inverting arm required in an ideal single-section 180 hybrid ring. To obtain higher , we can increase the height of the coupler. Comparing Figs. 1(b) and 8, it can be found that the value of is close to as long as is much higher than . The VIP coupler cannot only realize low values of but also implement high values of . Here, the main circuit is fabricated on a RO4003 substrate with 20-mil thickness and a dielectric constant of 3.38, and the VIP coupler is implemented on same RO4003 substrate with 8-mil thickness and same dielectric constant of 3.38. The characteristic impedances for even- and odd-modes of the VIP couplers can be obtained by the fully three-dimensional

2484

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 14. Measured amplitude and phase imbalances of the 3-dB two-section hybrid ring. (a) Out-of-phase operation. (b) In-phase operation.

(3-D) EM simulator HFSS [11]. Four cases of VIP couplers are calculated for the prototype circuits in the next section. The physical parameters in Fig. 7 and the calculated electrical parameters of the VIP couplers are listed in Table I, where is the required physical length of the short-ended VIP coupler to provide 270 phase-shifting at the center frequency of 2 GHz. Fig. 9 shows the simulated 15-dB equal-ripple return loss bandwidth of a 3-dB single-section hybrid ring with a short-ended coupler versus different . It can be seen that the bandwidth approaches an asymptotic value as long as is higher than about 250 . Therefore, if the VIP coupler can have this high value, a nearly ideal single-section unit can be implemented. Besides, the VIP coupler can also provide a good crossover in the proposed circuit. Because the signal going through the short-ended VIP coupler is mainly odd-mode and the field of odd-mode is mostly confined in the VIP substrate, the crossover has negligible influence on circuit performance. IV. EXPERIMENTAL RESULTS AND DISCUSSION As we mentioned previously, the VIP coupler is a good candidate to realize the phase inverter and the crossover on microstrip circuits. Four prototype circuits are fabricated, all with 15 dB of equal-ripple return loss. The four prototype circuits are a single-section reconfigured 3-dB hybrid ring to observe the performance of the single-section building block, a two-section 3-dB hybrid ring with the equivalent circuit shown in Fig. 1(c) to verify the validity of the theory derived in previous section, a two-section 20-dB hybrid ring to show the high power-division ratio of the proposed design in Fig. 1(c), and a two-section 3-dB hybrid ring with the equivalent circuit shown in Fig. 2 to depict the bandwidth extension performance. The 3-D view of the reconfigured single-section hybrid ring is shown in Fig. 10, and the photograph of the reconfigured and conventional 3-dB hybrid rings is depicted in Fig. 11. The required VIP coupler parameters with are also shown in Table I. It can be seen that the reconfigured single-section hy-

Fig. 15. Measured and simulated results of the 20-dB two-section hybrid ring.

brid ring is compact compared with the conventional one. The measured performance, although not shown, is very close to theoretical results. This is the basic building block of the next three two-section hybrids. The two-section 3-dB hybrid ring with the equivalent circuit shown in Fig. 1(c) and a photograph shown in Fig. 12(a) has the line impedances of and . The designed passband is 1.04–2.96 GHz (96% bandwidth). Fig. 13 shows the simulated and measured results and the measured insertion loss is approximately 0.4 dB. The measured return losses are better than 10 dB from 1.06 to 3 GHz for out-of-phase operation and from 1.16 to 3.04 GHz for in-phase operation, respectively. The measured isolation is typically better than 20 dB

CHI AND CHANG: NEW CLASS OF WIDEBAND MULTISECTION 180 HYBRID RINGS USING VIP COUPLERS

2485

Fig. 16. Measured and simulated results of the 3-dB two-section hybrid ring with a unit element at each I/O port. (a) Out-of-phase operation. (b) In-phase operation.

Fig. 17. Measured amplitude and phase imbalances of the 3-dB two-section hybrid ring with a unit element at each I/O port. (a) Out-of-phase operation. (b) In-phase operation.

from 0.5 to 3.5 GHz. The measured amplitude and phase imbalances are shown in Fig. 14. For out-of-phase operation, the amplitude imbalance is within 1 dB from 1.06 to 3.1 GHz and the phase imbalance is within 10 from 1.08 to 3.5 GHz. For in-phase operation, the amplitude imbalance is within 1 dB from 0.96 to 3.5 GHz and the phase imbalance is within 10 from 0.5 to 3.5 GHz. The required VIP coupler parameters with are shown in Table I. The two-section 20-dB hybrid ring with the equivalent circuit shown in Fig. 1(c) and a photograph shown in Fig. 12(b) has line impedances of and . The measured and simulated results are shown in Fig. 15. The designed passband is 1.144–2.856 GHz (85.5% bandwidth). The measured

equal-ripple return loss bandwidth is a little narrower than the simulated result. The measured isolation is better than 25 dB from 0.5 to 3.5 GHz. The measured phase performances are not shown here because they are not important for loose coupling. The required VIP coupler parameters with are shown in Table I. In contrast, a single-section 20-dB hybrid ring with unit element should have and impedance of a unit element which can hardly be realized by microstrip or CPW circuit. The single-section 20-dB hybrid ring without unit element has higher impedance values that are more difficult to implement. The two-section 3-dB hybrid ring with the equivalent circuit shown in Fig. 2 and a photograph shown in Fig. 12(c) has the line

2486

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

impedances of , and . The designed passband is 0.66–3.34 GHz (134% bandwidth). Fig. 16 shows the simulated and measured results, and the measured insertion loss is approximately 0.6 dB. The measured return losses are better than 10 dB from 0.72 to 3.38 GHz for out-of-phase operation and from 0.82 to 3.36 GHz for in-phase operation, respectively. The measured isolation is better than 20 dB from 1.16 to 3.5 GHz. The measured amplitude and phase imbalances are shown in Fig. 17. For out-ofphase operation, the amplitude imbalance is within 1 dB from 0.72 to 3.5 GHz and the phase imbalance is within 10 from 0.9 to 3.3 GHz. For in-phase operation, the amplitude imbalance is within 1 dB from 0.72 to 3.36 GHz and the phase imbalance is within 10 from 0.76 to 3.38 GHz. The required VIP coupler parameters with are shown in Table I. The above measured results indicate the bandwidth of the twosection cascadable hybrid rings using the VIP coupler is a little narrower than that using an ideal phase inverter, especially for in-phase operation. It should be point out that the simulated performances in Figs. 13, 15, and 16 are based on an ideal singlesection unit. The bandwidth shrinkage is due to the fact that the infinity value of is impractical to be fabricated. In previous section, we have shown that if the higher than 250 , the performance of a single-section unit approaches an ideal hybrid ring. Nevertheless, the characteristics of a single-section unit equal to those of an ideal hybrid ring only when is infinity. Therefore, the bandwidth is shrunk due to finite especially in the cascaded case. In addition, the junction effect (especially junctions at microstrip and VIP coupler) and circuit fabricating imperfections degrade the bandwidth further. V. CONCLUSION In this paper, by reconfiguring the ideal single-section hybrid ring, the wideband two-section hybrid rings with Chebyshev characteristics have been developed for bandwidth enhancement, size reduction, and high power-division ratios. Design equations and design curves have been presented. Using these design curves, the two-section hybrid rings of any power-division ratio can be readily realized. In addition, the two-section hybrid ring by cascading of two single-section unit with a unit element at each I/O port is presented for further bandwidth improvement. The short-ended VIP coupler has been successfully used to approach an ideal single-section unit. It also provides a good crossover for realization of the circuit. Thus, the wideband multisection hybrid rings using the VIP coupler are suitable for microstrip implementation. The measured results show good agreements with the simulated responses. REFERENCES [1] S. March, “A wideband stripline hybrid ring,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 6, p. 361, Jun. 1968.

[2] S. Rehnmark, “Wide-band balanced line microwave hybrids,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 10, pp. 825–830, Oct. 1977. [3] C. Y. Chang and C. C. Yang, “A novel broad-band chebyshev-response rat-race ring coupler,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 455–462, Apr. 1999. [4] A. K. Agrawal and G. F. Mikucki, “A printed circuit hybrid-ring directional coupler for arbitrary power divisions,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 12, pp. 1401–1407, Dec. 1986. [5] K. S. Ang, Y. C. Leong, and C. H. Lee, “A new class of multisection 180 hybrids based on cascadable hybrid-ring couplers,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2147–2152, Sep. 2002. [6] R. Levy and L. F. Lind, “Synthesis of symmetrical branch-guide directional couplers,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 2, pp. 80–89, Feb. 1968. [7] Y. Konishi, I. Awai, Y. Fukuoka, and M. Nakajima, “A directional coupler of a vertically installed planar circuit structure,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 6, pp. 1057–1063, Jun. 1988. [8] J. A. Dobrowolski, Introduction to Computer Methods for Microwave Circuit Analysis and Design. Norwood, MA: Artech House, 1991, pp. 81–90. [9] H. J. Riblet, “The application of a new class of equal-ripple functions to some familiar transmission-line problems,” IEEE Trans. Microw. Theory Tech., vol. MTT-12, no. 7, pp. 415–421, Jul. 1964. [10] H. J. Carlin and W. Kohler, “Direct synthesis of band-pass transmission line structures,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 5, pp. 283–297, May 1965. [11] HFSS. ver. 9.2, Ansoft, Pittsburgh, PA, 2004.

Chun-Hsiang Chi was born in Kaohsiung, Taiwan, R.O.C., on August 13, 1980. He received the B.S. degree in electrical engineering from the National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C., in 2002, the M.S. degree in communication engineering from the National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., in 2004, and is currently working toward the Ph.D. degree in communication engineering at the National Chiao Tung University. His research interests include the analysis and design of microwave and millimeter-wave circuits.

Chi-Yang Chang (S’88–M’95) was born in Taipei, Taiwan, R.O.C., on December 20, 1954. He received the B.S. degree in physics and the M.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1977 and 1982, respectively, and the Ph.D. degree in electrical engineering from the University of Texas at Austin, in 1990. From 1979 to 1980, he was with the Department of Physics, National Taiwan University as a Teaching Assistant. From 1982 to 1988, he was with the Chung-Shan Institute of Science and Technology (CSIST), as an Assistant Researcher, where he was in charge of development of MICs, microwave subsystems, and millimeter-wave waveguide E -plane circuits. From 1990 to 1995, he was with CSIST as an Associate Researcher, where he was in charge of development of uniplanar circuits, ultra-broadband circuits, and millimeter-wave planar circuits. In 1995, he joined the faculty of the Department of Communication, National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., as an Associate Professor and became a Professor in 2002. His research interests include microwave and millimeter-wave passive and active circuit design, planar miniaturized filter design, and MMIC design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2487

Design and Analysis of a 44-GHz MMIC Low-Loss Built-In Linearizer for High-Linearity Medium Power Amplifiers Jeng-Han Tsai, Student Member, IEEE, Hong-Yeh Chang, Member, IEEE, Pei-Si Wu, Student Member, IEEE, Yi-Lin Lee, Student Member, IEEE, Tian-Wei Huang, Senior Member, IEEE, and Huei Wang, Fellow, IEEE

Abstract—A 44-GHz monolithic microwave integrated circuit (MMIC) low-loss built-in linearizer using a shunt cold-mode high-electron mobility transistor (HEMT), based on the predistortion techniques, is presented in this paper. The proposed cold-mode HEMT linearizer can enhance the linearity of the power amplifier 2 dB), a compact die-size, and (PA) with a low insertion loss (IL no additional dc power consumption. These advantages make the linearizer more suitable for millimeter-wave (MMW) applications. The physical mechanism of the gain expansion characteristics of the proposed linearizer is analyzed. A systematic design procedure for a low-loss linearizer is developed, which includes: 1) insertion loss minimization through a device-size selection and 2) linearity optimization through a two-tone test. To demonstrate the general usefulness of the proposed linearizer, the linearizer was applied to a two-stage 44-GHz MMIC medium PA and a commercial MMW PA module. After linearization, the output spectrum regrowth is suppressed by 7–9 dB. To keep the adjacent channel power ratio below 40 dBc, the output power has been doubled from 15 to 18 dBm at 44 GHz. The error vector magnitude of the 16-quadrature amplitude modulation signal can be reduced from 6.11% to 3.87% after linearization. To the best of our knowledge, this is the first multistage MMW PA with a low-loss built-in linearizer. Index Terms—Linearizer, millimeter-wave (MMW), monolithic microwave integrated circuit (MMIC), nonlinear, power amplifier (PA).

I. INTRODUCTION INCE microwave frequency bands have become saturated with various applications, there are increasing demands for high-data-rate digital communications in millimeter-wave (MMW) frequency bands, such as gigabit MMW broadband systems [1] and satellite communication systems [2]. These high-data-rate digital communication systems tend to use complex digital modulation schemes like quadrature phase-shift keying (QPSK) and -quadrature amplitude modulation ( -QAM), which requires a high-linearity MMW power amplifier (PA) to minimize the spectral regrowth and maintain modulation accuracy. Conventional PAs are usually operated at reduced power levels (called “power back-off”) to satisfy the stringent linearity requirements. Nevertheless, the output power in the MMW frequency is an expensive resource. Therefore, to

S

Manuscript received October 26, 2005; revised February 28, 2006. This work was supported by the National Science Council of Taiwan, R.O.C., under Grant NSC 94-2219-E-002-011. The authors are with the Department of Electrical Engineering and the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan 10617, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875800

satisfy the linearity specifications of the MMW PA under the best available power, the linearization techniques are introduced to reduce the amount of power back-off [3]–[5]. One of the solutions to improve the linearity of the PA is using a predistortion linearizer. It has the advantages of smaller size, less complexity, and lower cost than other linearization techniques [6], [7]. Various predistortion linearizers, such as the diode linearizer [8], [9], the passive field-effect transistor (FET) [10]–[12], and the base-emitter diode of the heterojunction bipolar transistor (HBT) [13] have been applied to microwave applications below 20 GHz. However, these linearizers [9], [10] usually cause high insertion losses of 6–11 dB, which means we need to add an extra buffer amplifier to compensate for the power-gain loss in microwave frequencies. In addition, when these linearizers [8]–[12] are integrated with MMIC PAs, they required separate bias circuits which increase the die area. Furthermore, a systematic bias optimization procedure is needed for these linearizers to obtain the optimized linearity. In this paper, a 44-GHz MMIC low-loss built-in linearizer using a shunt cold-mode high-electron mobility transistor (HEMT) is presented. The cold-mode HEMT is the transistor operated in the resistive region, which is biased at a zero drain– source voltage. It is usually applied to the passive HEMT switches, resistive HEMT mixers, or variable attenuators. At large-signal operation, the cold-mode HEMT has a positive gain-expansion characteristic which compensates for the compression of the amplifier. In addition, using the shunt coldmode HEMT topology, the negative gate bias of the amplification HEMT transistor can be fed directly through the built-in linearizer without additional bias circuits. To minimize the insertion loss caused by the linearizer, a systematic design approach is proposed to select the device size of the linearizer. Finally, for the linearity of the MMW PAs, an effective two-tone test method is introduced to select the bias point of the linearizer which provides the appropriate gain expansion and the best intermodulation distortion (IMD) cancellation of the PA. Near the very good IMD results region, an excellent adjacent channel power ratio (ACPR) performance of the PAs can be achieved [14], [15]. This paper is organized as follows. An explanation of the shunt cold-mode HEMT linearizer operation principle is given in Section II. To minimize the insertion loss and optimize the linearity, a systematic design approach for the MMW linearizer is proposed in Section III. In Section IV, the systematic design approach is validated through a two-stage MMW MMIC PA design example. Finally, an amplifier with a built-in linearizer as a

0018-9480/$20.00 © 2006 IEEE

2488

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE I PARAMETER VALUES FOR THE ANGELOV CURRENT MODEL

Fig. 1. Principle of predistortion linearization.

Fig. 2. Equivalent circuit model of the low-loss built-in linearizer with an amplification-stage transistor.

predistortion driver is utilized to linearize a commercial MMW PA module in Section V. II. OPERATION PRINCIPLE OF THE LOW-LOSS BUILT-IN LINEARIZER USING A SHUNT COLD-MODE HEMT Usually, a PA exhibits gain compression at high power levels, which results in a signal distortion. The predistortion linearizer has a gain expansion characteristic to compensate for the gain compression and to extend the linear output power region of the amplifier, as shown in Fig. 1. Therefore, we need a component whose real-part impedance is increased along with RF input power that results in a gain-expansion characteristic for MMW linearizer applications. In this paper, a MMW MMIC predistortion linearizer using a shunt cold-mode HEMT structure is proposed. Following is the analysis to identify the physical mechanism of the gain expansion characteristic in the proposed linearizer. Fig. 2 shows the schematic and simplified equivalent circuit model of the proposed linearizer. The core part of the linearizer is composed of a shunt cold-mode HEMT2, two bias-feed resistors, and two bypass capacitors. HEMT1 is the amplificationstage transistor. The cold-mode operation transistor HEMT2 has zero drain–source voltage and current, so it requires zero dc consumption. Using this topology, the negative gate bias of the amplification transistor HEMT1 can be fed directly through of the built-in linearizer HEMT2. Therefore, the built-in linearizer has a compact die-size and can easily be integrated with the bias circuit of the MMW MMIC PAs. The cold-mode HEMT for linearizer applications can be expressed as the parallel combination of a capacitor series with a small resistor and a current source [12], [16]

Fig. 3. Dots are the measured dc I –V curve of the transistor (HEMT2) at = 0:9 V, the solid line is the calculated dc I –V curve, and the short V dashes are dynamic load lines along with the dc I –V curve.

0

shown in Fig. 2. The and of the two-finger 150- m device are 0.1 pF and 28 , respectively, from measurement. The current equations of the current source use the Angelov model [17] to describe the knee voltage, passive region, and negative drain-to-source region. The current model is based on the symbolically defined model in the Agilent ADS software. The parameter values of the two-finger 150- m HEMT device of the current equations are listed in Table I. The and are the drain current and the gate-to-source voltage at peak transconductance, respectively, is the channel length modulation parameter, and is the saturation voltage parameter. The solid lines plotted in Fig. 3 are the calculated results of the current model while the dots represent the measurements. The measured and calculated curves show an excellent agreement. The gain expansion phenomenon can be explained by the simplified equivalent circuit model. The current source is considered as a drain-to-source resistor that varies with and in (1) where the indicates the slope of dc – curve. According to (1), the is inversely proportion to the slope of the dc – curve in Fig. 3. When input power is increased, the slope of the dc – curve decreases, especially near the knee-voltage region of the HEMT transistors, which results in increased . A two-finger 150- m HEMT device is used as an example; by using the circuit simulator Agilent ADS software, the dynamic load line of different input power along with the dc – curve of the transistor was also plotted in Fig. 3, and the ’s varying with input power for different HEMT2 sizes

TSAI et al.: DESIGN AND ANALYSIS OF 44-GHz MMIC LOW-LOSS BUILT-IN LINEARIZER FOR HIGH-LINEARITY MEDIUM PAs

Fig. 4. Calculated R

of HEMT2 as a function of the input power levels.

2489

Fig. 6. Flowchart of the systematic design approach for an amplifier with a built-in linearizer.

HEMT2 can be calculated and plotted in Fig. 5, which demonstrates a good agreement between the simplified model and the foundry model provided by WIN Semiconductors [18]. It is clearly shown that the cold-mode HEMT linearizer achieves positive gain and negative phase deviations. Therefore, the is the key element of the linearizer, which generates the gain-expansion characteristic. This gain-expansion characteristic can be utilized to compensate for the gain compression of the PAs in the nonlinear region. III. SYSTEMATIC DESIGN APPROACH OF AN MMW AMPLIFIER WITH A LOW-LOSS BUILT-IN LINEARIZER Fig. 5. Simplified modeled (connected lines) and foundry modeled (non-connected lines) gain and phase deviation of linearizer as a function of the input power levels at V = 0:9 V.

0

were plotted in Fig. 4. In low-input-power mode, the dynamic load line “A” in Fig. 3 swings along the dc – curve’s linear region. The slope of the dc – curve in the linear region is fixed and the linearizer acts as a resistor with constant (21 at input power of 5 dBm). As can be observed, when the input power is increased, the swing area of the dynamic load line “B” widens and approaches the nonlinear region. The dynamic load line is limited by the knee voltage and the maximum current, so the drops, which results in an increased (26 at input power of 8 dBm). For different input power levels, the variation of is significantly larger than the variations of and [12]. Therefore, the and of the cold-mode HEMT are assumed to be constants in this study. From the equivalent circuit model of the proposed linearizer in Fig. 5, of the circuit is given by (2)

is a 50- characteristic impedance. From (2), the where gain and phase deviation for a two-finger 150- m device of the

To demonstrate the capability of the linearizer, a 44-GHz MMIC amplifier with a low-loss built-in linearizer have been implemented using a 0.15- m GaAs HEMT process. A flowchart of the systematic design approach has been shown in Fig. 6. The device size of the amplifier is selected to satisfy the output power specification first. Then, a procedure of the device-size selection of the linearizer is proposed to minimize the insertion loss. After that, the two-port -parameter model, including both amplifier and linearizer, is extracted by ADS simulation tool. The output matching network was designed according to the power contour to achieve the maximum RF output power. The input matching network is simply conjugate matched for maximum gain. In addition, the stability is an important consideration for amplifier design. Finally, a two-tone test method for bias point selection of the linearizer is introduced to optimize the linearity. Compared with the traditional amplifier design, this flowchart highlights two special procedures for the built-in linearizer design, including device-size selection and bias-point selection for the linearizer. A. Device-Size Selection for Insertion-Loss Minimization The most important design consideration of the linearizer is the tradeoff between insertion loss and gain expansion. Fig. 7 is the schematic of an amplifier with a low-loss built-in linearizer [19]. The common source (CS) connected transistor HEMT1 is the amplification stage, which utilizes a two-finger 150- m

2490

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 7. Schematic of the 44-GHz amplifier with a built-in linearizer. Fig. 9. Simulated gain deviation of the different HEMT2 device size versus input power levels at V = 0:9 V.

0

Fig. 8. Insertion loss versus the ratio of the HEMT1 gate input impedance Z . to HEMT2 drain input impedance Z

j

j

j

j

HEMT device. In front of the input of the HEMT1, a shunt transistor HEMT2 is added as the linearizer with bias functions. The insertion loss depends on the ratio of the HEMT1 gate input impedance to HEMT2 drain input impedance. From the simplified equivalent circuit of the HEMT1 and HEMT2 in Fig. 8, the insertion loss caused by the shunt HEMT2 is given by

(3)

where is the equivalent gate input impedance of the HEMT1. Through (3), the insertion loss versus the ratio of the HEMT1 gate input impedance to HEMT2 drain input impedance can be plotted in Fig. 8. When is increased, the insertion loss can be reduced. Therefore, a smaller device has a larger , which is important for the low-insertion-loss design. However according to Fig. 9, the simulated gain deviation of the different HEMT2 device size, the gain expansion of a small device, like a size of two-finger 50- m, is also smaller than the gain expansion of a device size of two-finger 150- m. To maintain the insertion loss less than

2 dB and provide appropriate gain expansion, the selection procedure is as follows. 1) In this amplifier design, the of the HEMT1, using a two-finger 150- m HEMT device, is close to 12 according to the foundry model. 2) To maintain the insertion loss less than 2 dB, the optimum ratio of the to must be larger than 1.8 times based on (3) and Fig. 8. This means that should be higher than 21 . 3) According to Fig. 9, although a device size of two-finger 50- m has a higher , 53.4 , than a device size of two-finger 150- m, , the gain expansion of a two-finger 150- m device is better than a two -finger 50- m device which is important for gain compensation capabilities of the linearizer. 4) A two-finger 150- m HEMT device with of 21.2 is selected as the linearizer to minimize the insertion loss and to provide appropriate gain expansion. The MMW MMIC medium PA circuit design has been mentioned detail in [19]. The whole circuit was simulated with Agilent ADS. The passive components include the discontinuities of the transmission lines and MIM capacitors, were simulated by a full-wave electromagnetic (EM) simulator (Sonnet software) [20]. The chip photograph and -parameters measurement results of the MMIC were also shown in [19] and demonstrate a measured small-signal gain of 8-dB. The simulation of maximum available gain (MAG) of the two-finger 150- m HEMT transistor is also shown in [19], which shows a MAG of 10.5 dB at 44 GHz. We also use the same transistor to design a similar common source amplifier without a built-in linearizer. It demonstrates a 9.5-dB small signal gain at 44 GHz from the simulation results. Therefore, the insertion loss caused by the low-loss built-in linearizer is less than 2 dB, which agrees with the analysis. B. Bias Point Selection for Linearity Optimization The gain expansion performance of the linearizer can be adjusted by varying the gate bias point of the shunt cold-

TSAI et al.: DESIGN AND ANALYSIS OF 44-GHz MMIC LOW-LOSS BUILT-IN LINEARIZER FOR HIGH-LINEARITY MEDIUM PAs

2491

Fig. 11. QPSK spectrum measurement results of the medium power amplifier with a channel power of 13 dBm in 1-MHz channel bandwidth at 44 GHz.

Fig. 10. (a) Measured gain and phase deviation characteristics. (b) Measured IMD3 versus output power, of the medium power amplifier with a low-loss . built-in linearizer at different V

mode HEMT. Fig. 10(a) shows the measured gain deviation and phase deviation characteristics of the amplifier with a low-loss built-in linearizer at different . However, the optimal of the linearizer needs to be found out to provide both the appropriate gain-expansion characteristics and phase-deviation characteristics of the amplifier. For the MMW ACPR measurement, we encountered some inconveniences, such as procurements of the MMW upconverter and calibrations of the system setup. For the initial design phase, we suggest using a two-tone test as an immediate and convenient method to select the bias point of the linearizer for linearity optimization in the MMW frequency. The MMW distortionless two-tone test signal can be generated easily by using a power combiner and two signal generators. The bias point selection procedure of the linearizer for linearity optimization is as follows. 1) When two-tone signals are injected into an amplifier, the amplitude of the generated third IMD (IMD3) products can be easily measured with a spectrum analyzer. Fig. 10(b) shows the measured IMD3 performance of the amplifier at different bias point of the linearizer at 44 GHz, and the frequency offset of the two-tone signal is 100 kHz.

2) It can be observed that good IMD3 results can be obtained at a particular bias point of the linearizer and fixed output power of the amplifier. 3) According to amplifier output operation power level, a bias point of the linearizer can be selected which has the desired IMD3 results. In this study, a of 1.5 V for the linearizer gate bias point is selected, which provides the best IMD3 performance among these three curves at an output power of 13 dBm. For final system linearity verification, the custom-design MMW vector signal measurement system has been set up. It must be calibrated carefully to confirm the MMW test signal accuracy. The vector signal generator (ESG 4438C) is used to generate the QPSK and 16-QAM digital modulation signal with a symbol rate of 1 Ms/s at 6 GHz. The subharmonically pumped mixer module (Wisewave Module FDS-2F210812-01) and buffer amplifier module (Wisewave Module AHP-42042530-01) are used to provide the 44-GHz modulation signal. The fundamental LO of the subharmonically pumped mixer is fixed at 19 GHz. The 44-GHz modulation signal is fed into the device under test (DUT), the medium power amplifier with built-in linearizer, for linearity analysis. The output spectrum can be observed by using a performance spectrum analyzer (PSA E4448A). The PSA is also used for high-quality down-conversion of the output signal to an intermediate frequency (IF) (IF of 70 MHz). This 70-MHz IF signal is fed into a vector signal analyzer (VSA 89601A) for the analysis of the digital modulation quality. The linearizer is turned off first and the gate bias of the amplifier is fed through in Fig. 7. Then, the linearizer is turned on with bias at of 0.6 V and of 1.5 V. The digital modulation signal is used to test the amplifier under these two different conditions. Fig. 11 shows the QPSK spectrum measurement results with a channel power of 13 dBm in 1-MHz channel bandwidth at 44 GHz. The spectral regrowth suppressed by 7–9 dB after linearization. Apparently, the amplifier with a low-loss built-in linearizer has better linearity than the amplifier without a linearizer.

2492

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 12. Schematc of a two-stage medium PA with a built-in linearizer.

IV. INTEGRATE LINEARIZER IN TWO-STAGE MMW MMIC MEDIUM PA The systematic linearizer design approach is also used in multistage medium PA design. Fig. 12 shows the schematic of a two-stage medium PA with a low-loss built-in linearizer. To achieve large output power, the output stage device HEMT3 of the amplifier utilizes an eight-finger 504- m HEMT device. The gate input impedance of the PA output stage devices is usually very low. For example, the real part of the gate input impedance of an eight-finger 504- m device is close to 4 from the WIN Semiconductors library model. According to Figs. 4 and 8, the relationship between impedance and insertion loss, the eight-finger 504- m HEMT device of the linearizer which has of 8 must be selected to minimum insertion loss less than 2 dB and provide appropriate gain expansion. However, such a large device will increase not only the chip size but also the complexity of the matching network for the power stage. To overcome these problems, the linearizer is placed at the driver stage in this study. The driver stage amplifier with a built-in linearizer will provide both gain and gain-expansion functions, which can be used as an active predistorter to compensate for the distortion of the output-stage PA. For the circuit design, the driver amplifier utilizes a two-finger 150- m HEMT device. To keep the insertion loss less than 2 dB, the linearizer design approach in Section III can be applied here. The output matching network of the power stage was designed according to the power contour to achieve the maximum RF output power. The input and inter-stage matching networks are simply conjugate match for return loss. The input-, output-, and inter-stages have on-chip dc blocking capacitors. DC bias networks utilize 0.4-pF capacitor bypass to ground, and several shunt RCs are used to ensure low-frequency stability and out-of band RF bypass. The whole circuit draws a total 125-mA dc current from a 5-V supply voltage. Two-stage MMIC medium PAs with and without built-in linearizer on a single chip have been implemented using a 0.15- m GaAs HEMT process. Fig. 13(a) illustrates the chip photograph of the two-stage MMIC medium PA without built-in linearizer. The physical size of this MMIC is 2.0 1.0 mm including input and output matching networks and on-wafer probing pads. The measurement results show a small-signal gain of 16.7 dB and input and output return loss better than 10 dB at 44 GHz. The single-tone saturated output power of the MMIC PA is 21 dBm at 44 GHz. Fig. 13(b) illustrates the chip photograph of the two-stage MMIC medium PA with a

Fig. 13. Photograph of the MMIC medium P: (a) without a built-in linearizer and (b) with a built-in linearizer.

Fig. 14. 40–50-GHz S -parameters simulation (dashed lines) and measurement results (solid lines) of the MMIC medium PA with a built-in linearizer at V = = V = 0:6 V, and Vgc = 1:5 V. V d2 = 5 V, and V

0

0

low-loss built-in linearizer at driver-stage. Because the input matching of the amplifier is changed after adding the linearizer, the matching circuits of the amplifier must be tuned for gain consideration. The major contribution of the linearity improvement is from the linearizer, which has been verified by using ADS simulator with the foundry model. The physical size of this MMIC is also 2.0 1.0 mm including input and output matching networks, built-in linearizer, and on-wafer probing pads. Therefore, the linearized MMIC medium PA needs no additional die size. The measured -parameters of the MMIC medium PA with built-in linearizer is also plotted in Fig. 14. It shows a linear gain of 15 dB and input and output return

TSAI et al.: DESIGN AND ANALYSIS OF 44-GHz MMIC LOW-LOSS BUILT-IN LINEARIZER FOR HIGH-LINEARITY MEDIUM PAs

Fig. 15. Measured IMD3 and gain deviation versus output power of the MMIC medium PA with and without built-in linearizer at 44 GHz.

2493

Fig. 17. QPSK spectrum measurement results of the MMIC medium PA with and without built-in linearizer with a channel power of 17.5 dBm in 1-MHz channel bandwidth at 44 GHz.

Fig. 18. Block diagram of the commercial MMW PA module with predistortion driver amplifier.

Fig. 16. Measured ACPR versus output power of the MMIC medium PA with and without built-in linearizer at 44 GHz.

loss better than 10 dB at 44 GHz. Therefore, the insertion loss caused by the low-loss built-in linearizer is less than 2 dB. The single-tone saturated output power of the MMIC medium PA with built-in linearizer is also 21 dBm at 44 GHz. The two-tone test method is also introduced to optimize the bias point of the linearizer at drive stage, which can provide the appropriate gain expansion to improve the linearity of the power stage. The gain deviation and IMD3 measurement results of the MMIC medium PA with and without built-in linearizer at 44 GHz are shown in Fig. 15. With the linearizer, an IMD improvement of 15 dB has been achieved at output power 17.5 dBm. Fig. 16 shows the measured ACPR using QPSK modulated signal with symbol rate of 1 Msps at 44 GHz. The offset frequency is 1.25 MHz, and the channel bandwidth is 1 MHz. As can be observed, the output power at an ACPR of 35 dBc has been increased from 16 to 18 dBm. The ACPR is improved by 7 dB at an output power level of 17.5 dBm and 5 dB at 18 dBm. Fig. 17 shows the QPSK spectrum measurement results with a channel power of 17.5 dBm in 1-MHz channel bandwidth at 44 GHz. After linearization, the spectral regrowth suppressed by

7 dB can observed. Therefore, the linearity of the MMW MMIC two-stage medium PA with a built-in linearizer can be improved without additional die size and dc consumption. V. APPLICATION TO LINEARIZE COMMERCIAL MMW PA MODULE The amplifier with a built-in linearizer, which proposed in [19], can provide both gain and gain-expansion functions. Therefore, it can be utilized as an active predistorter for predistortion linearization application. Due to the inherent gain, an additional buffer amplifier is not needed. To demonstrate the capability of the amplifier with predistortion functions, it has been applied to linearize a commercial MMW PA module. Fig. 18 shows the block diagram of the commercial MMW PA module with a predistortion driver amplifier stage. In this study, the commercial MMW PA module is manufactured by QuinStar technology (QGW-38481728-JO). It has a linear gain of 28 dB and the 1-dB gain compression output power is 19 dBm at 44 GHz. The predistortion driver amplifier is the MMIC amplifier with a built-in linearizer proposed in [19]. The linearity optimization procedure using two-tone test method is also introduced to optimize the linearizer bias point of the predistortion driver amplifier which can provide the appropriate gain expansion to compensate for the commercial MMW PA

2494

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 19. Measured IMD3 and gain deviation versus output power of the commercial MMW PA with and without predistortion drive amplifier at 44 GHz.

Fig. 20. Measured ACPR versus output power of the commercial MMW PA with and without predistortion drive amplifier at 44 GHz.

module. Fig. 19 compares the gain deviation and IMD3 measurement results of the commercial MMW PA module with and without pre-distortion driver amplifier at 44 GHz. With the predistortion driver amplifier, an IMD3 improvement of 15 dB has been achieved at an output power 17 dBm. The measured ACPR using QPSK modulated signal with symbol rate of 1 Ms/s at 44 GHz are compared in Fig. 20. With the predistortion driver amplifier, the ACPR is improved by 8 dB at an output power level of 17.5 dBm and 3.5 dB at 18 dBm. To keep the ACPR below 40 dBc, using the linearizer, the output power has been increased from 15 to 18 dBm, which means the output power has been doubled after linearization. Fig. 21 shows the QPSK spectrum measurement results of the commercial MMW PA module with a channel power of 17.5 dBm in 1-MHz channel bandwidth at 44 GHz. The spectral regrowth is suppressed by 7 dB after linearization. After that, we down-converted the 44-GHz digital modulated signal by spectrum analyzer and measured the modulation quality through VSA. Fig. 22 shows the measured 16-QAM constellation diagram with and without linearization. The points in the 16-QAM constellation can be

Fig. 21. QPSK spectrum measurement results of the commercial MMW PA with and without predistortion driver amplifier with a channel power of 17.5 dBm in 1-MHz channel bandwidth at 44 GHz .

Fig. 22. 16-QAM constellation diagram measurement results with a channel power of 17.5 dBm in 1-MHz channel bandwidth at 44 GHz.

spread out more uniformly into a “square” after linearization. The EVM of the 16-QAM modulation signal is reduced from 6.11% to 3.87%. The magnitude error is improved from 5.43% to 2.64%. Therefore, for the same linearity requirements, the linear output power of the commercial MMW PA module has been doubled after adding the predistortion driver amplifier with the proposed linearizer. VI. CONCLUSION Based on the predistortion, a low-loss built-in linearizer using shunt cold-mode HEMT in a 0.15- m GaAs HEMT MMIC process has been developed and investigated at 44 GHz. The linearizer provides gain expansion characteristics to compensate the gain compression in power amplifier’s nonlinear operation region. Using this shunt cold-mode HEMT topology, the negative gate bias of the amplification transistor is fed directly through the built-in linearizer without additional bias of circuits. An analysis has been performed to identify the the linearizer as the key parameter that produces the gain-expansion characteristic in the shunt cold-mode HEMT linearizer.

TSAI et al.: DESIGN AND ANALYSIS OF 44-GHz MMIC LOW-LOSS BUILT-IN LINEARIZER FOR HIGH-LINEARITY MEDIUM PAs

In addition, to minimize the insertion loss caused by the linearizer less than 2 dB, which is a systematic design approach to select the device size of the built-in linearizer is proposed. Finally, for the linearity of the MMW PAs, an effective two-tone test method is introduced to optimize the bias point of the linearizer which can provide the appropriate gain expansion, the best IMD cancellation, and the best ACPR performance of the MMW PA. The proposed MMIC built-in linearizer has advantages of low insertion loss, compact die-size and zero dc consumption. Therefore, it is attractive for MMW PA linearization applications. The systematic design approach of the linearizer was applied to single-stage and two-stage MMIC medium PAs at 44 GHz. It shows that the output spectrum re-growth of the amplifier with a built-in linearizer can be suppressed by 7–9 dB. In addition, the single-stage amplifier with the built-in linearizer was utilized as a predistortion driver amplifier to linearize a commercial MMW PA module. To keep the ACPR below 40 dBc, the output power has been doubled from 15 dBm to 18 dBm at 44 GHz. The EVM of the 16-QAM signal can be reduced from 6.11% to 3.87% after linearization.

2495

[12] G. Hau, T. B. Nishimura, and N. Iwata, “A highly efficient linearized wide-band CDMA handset power amplifier based on predistortion under various bias conditions,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1194–1201, Jun. 2001. [13] Y. Noh and C. S. Park, “Linearized InGaP/GaAs HBT MMIC power amplifier with active bias circuit,” Proc. Radio Wireless Conf., pp. 249–252, Aug. 2001. [14] K. A. Remley, “Multisine excitation for ACPR measurements,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 2141–2144, 2003. [15] J.-S. Ko, J.-K. Kim, B.-K. Ko, D.-B. Cheon, and B.-H. Park, “Enhanced ACPR technique by class AB in PCS driver amplifier,” in Proc. VLSI CAD Conf., 1999, pp. 376–379. [16] H. Mizutani, N. Funabashi, M. Kuzuhara, and Y. Takayama, “Compact DC-60-GHz HJFET MMIC switches using ohmic electrode-sharing technology,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1957–1603, Nov. 1998. [17] I. Angelov, H. Zirath, and N. Rosman, “A new empirical nonlinear model for HEMT and MESFET devices,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2258–2266, Dec. 1992. [18] 0.15 m InGaAs pHEMT Power Device Model Handbook. Taoyuan, Taiwan, R.O.C.: WIN, Inc., 2003. [19] J.-H. Tsai, H.-Y. Chang, P.-S. Wu, T.-W. Huang, and H. Wang, “A 44-GHz high-linearity MMIC medium power amplifier with a low-loss built-in linearizer,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 1575–1578, 2005. [20] Sonnet User’s Manual, Release 9.0. North Syracuse, NY: Sonnet Software Inc., May 2003.

ACKNOWLEDGMENT The MMIC chip was fabricated by WIN Semiconductors through the Chip Implementation Center (CIC), Taiwan, R.O.C. REFERENCES [1] K. Tsukamoto, S. Komaki, K. Takanashi, A. Kanazawa, Y. Shoji, H. Ogawa, M. Yoshikawa, S. Asami, M. Kaneta, Y. Suzuki, and Y. Serizawa, “Development of gigabit millimeter-wave broadband wireless acces system-system overview,” in Proc. Asia–Pacific Microwave Conf., Seoul, Korea, 2003, pp. 957–960. [2] B. Hughes, J. Orr, and G. Martin, “MMIC 20 GHz low-noise and 44 GHz power amplifiers for phased array communication antennas designed for manufacturability,” Proc. Gallium Arsenide Applications Symp. (GAAS 1993), pp. 367–370, Oct. 1993. [3] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Boston, MA: Artech House, 1999, ch. 7. [4] A. Katz, “Linearization: reducing distortion in power amplifiers,” IEEE Microw. Mag., vol. 2, pp. 37–49, Dec. 2001. [5] Y. Jaehyok, Y. Youngoo, P. Myungkyu, K. Wonwoo, and K. Bumman, “Analog predistortion linearizer for high-power RF amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2709–2713, Dec. 2000. [6] K. Mahesh, J. C. Whartenby, and H. J. Wolkstein, “Predistortion linearize using GaAs dual-gate MESFET for TWTA and SSPA used in satellite transponders,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 12, pp. 1479–1488, Dec. 1985. [7] K. Morris and P. Kenington, “Power amplifier linearisation using predistortion techniques,” in IEE RF and Microwave Comp. Commun. Syst. Colloq. Dig., Bradford, U.K., Apr. 1997, pp. 1/6–6/6. [8] P. Narozny, H. Tobler, G. K. Kornfeld, R. A. Nunn, B. Adelseck, M. Ludwig, and G. Eggers, “Pseudomorphic Ku-band GaAs HFET linearizer preamplifier front end for satellite TWT-amplifiers,” Proc. Gallium Arsenide Applications Symp., pp. 292–295, Oct. 1995. [9] K. Yamauchi, M. Nakayama, Y. Ikeda, H. Nakaguro, N. Kadowaki, and T. Araki, “An 18 GHz-band MMIC linearizer using a parallel diode with a bias feed resistance and a parallel capacitor,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 1507–1510, 2000. [10] A. Katz, S. Moochalla, and J. Klatskin, “Passive FET MMIC linearizers for C , X , and Ku-band satellite applications,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 353–356, 1993. [11] S. Ogura, K. Seino, A. Kamikokura, and H. Hirose, “Development of a compact, broadband FET linearizer for satellite use,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 1195–1198, 1997.

Jeng-Han Tsai (S’04) was born in Tainan, Taiwan, R.O.C., on December 20, 1980. He received the B.S. degree in electric engineering from National Central University, Chung-Li, Taiwan, R.O.C., in 2002, and is currently working toward the Ph.D. degree at the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include the microwave and millimeter-wave circuit designs.

Hong-Yeh Chang (S’02–M’05) was born in Kinmen, R.O.C., in 1973. He received the B.S. and M.S. degrees in electric engineering from National Central University, Chung-Li, Taiwan, R.O.C., in 1996 and 1998 respectively, and the Ph.D. degree from the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, R.O.C., in 2004. From 1998 to 1999, he was with Chunghwa Telecom Laboratories, Taoyuan, Taiwan, R.O.C., where he was involved in the research and development of code-division multiple-access (CDMA) cellular phone systems. In 2004, he was a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University, where he was involved with research on advanced millimeter-wave integrated circuits. He joined the faculty of the Department of Electrical Engineering, National Central University, as an Assistant Professor in February 2006. His research interests are microwave and millimeter-wave circuit and system designs.

Pei-Si Wu (S’02) was born in Changhua, Taiwan, R.O.C., in 1980. He received the B.S. degree in electric engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2002, and is currently working toward the Ph.D. degree at the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include the microwave and millimeter-wave circuit designs.

2496

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Yi-Lin Lee (S’06) was born in Taipei, Taiwan, R.O.C., in 1981. He received the B.S. degree in communication engineering from the National Chiao-Tung University, Hsinchu City, Taiwan, R.O.C., in 2004, and is currently working toward the M.S. degree at the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include monolithic microwave/millimeter-wave circuit designs.

Tian-Wei Huang (S’91–M’98–SM’02) received the B.S. degree from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 1987, and the M.S. and Ph.D. degrees from the University of California at Los Angeles (UCLA), in 1990 and 1993, respectively, all in electrical engineering. In 1993, he joined the TRW RF Product Center, Redondo Beach, CA. His research has focused on the design and testing of MMICs and RF integrated circuits (RFICs). During 1998–1999, he was with Lucent Technologies, working on the local multipoint distribution system (LMDS) fixed wireless systems. From 1999 to 2002, he was involved with RF/wireless system testing at Cisco Systems. In August 2002, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan, R.O.C. His current research interests are MMIC/RFIC design, packaging, and RF system-on-chip (SOC) integration.

Huei Wang (S’83–M’87–SM’95–F’06) was born in Tainan, Taiwan, R.O.C., on March 9, 1958. He received the B. S. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1980, and the M.S. and Ph.D. degrees from Michigan State University, East Lansing, in 1984 and 1987, respectively, all in electrical engineering. During his graduate studies, he was engaged in the research on theoretical and numerical analysis of electromagnetic radiation and scattering problems. He was also involved in the development of microwave remote detecting/sensing systems. He joined the Electronic Systems and Technology Division, TRW Inc., in 1987. where he has been an MTS and Staff Engineer responsible for MMIC modeling of CAD tools, MMIC testing evaluation and design and became the Senior Section Manager of MMW Sensor Product Section in RF Product Center. He visited the Institute of Electronics, National Chiao-Tung University, Hsin-Chu, Taiwan, R.O.C., in 1993 to teach MMIC-related topics and returned to TRW in 1994. He joined the faculty of the Department of Electrical Engineering, National Taiwan University, as a Professor in February 1998. Dr. Wang is a member of Phi Kappa Phi and Tau Beta Pi. He received the Distinguished Research Award of National Science Council, ROC (2003–2006). He was also elected as the first Richard M. Hong Endowed Chair Professor of National Taiwan University in 2005.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2497

Compact Second Harmonic-Suppressed Bandstop and Bandpass Filters Using Open Stubs Wen-Hua Tu, Student Member, IEEE, and Kai Chang, Fellow, IEEE

Abstract—Integration of bandstop filters with the bandstop or bandpass filter are presented in this paper. By replacing the series quarter-wavelength connecting lines of conventional open-stub bandpass/bandstop filters with the equivalent T-shaped lines, one could have compact open-stub bandstop/bandpass filters with second harmonic suppression. Transmission-line model calculation is used to derive the design equations of the equivalent T-shaped lines. Experiments have also been done to validate the design concept. Compared with the conventional open-stub bandpass/bandstop filters, over 30-dB improvement of the second harmonic suppression and 28.6% size reduction are achieved. Index Terms—Bandpass filter, bandstop filter, compact filters, harmonic suppression.

I. INTRODUCTION ANDSTOP/BANDPASS filters are essential components in microwave and millimeter-wave systems for suppressing/passing unwanted/desired signals. Several literatures of distributed filters like end-coupled filters [1], parallel-coupled filters [2], and open-stub filters [3] have been reported. These filters could meet the specification at the fundamental frequency band. However, due to the distributed characteristics of the transmission lines, these filters suffer from the problem of spurious passbands. Many useful methods [4]–[11] have shown promising results dealing with the harmonic problems. Filters using a stepped-impedance resonator move the second passband higher than 2 the fundamental frequency [4]. Furthermore, controlling the input and output tapping could have extra transmission zeros in the stopband [5]. The electromagnetic-bandgap (EBG)-based filter has shown over 25-dB rejection at the second harmonic [6]. The shunt quarter-wavelength open stubs were introduced to create transmission zeros at the stopband [7]. The corrugated [8] and wiggly [9] line filters have second harmonic suppression improvement over 30 dB. Cascading additional bandstop filters [10], [11] is the most straightforward method to suppress the harmonics. However, this would also increase the insertion loss in the passband and the overall circuit size. In this paper, the concept of integrating bandstop filters into the conventional bandstop or bandpass filter is described. By replacing the series connecting lines of the conventional open-stub bandstop and bandpass filters with the equivalent T-shaped lines, the proposed filters have compact sizes and improved second harmonic suppression. Similar method has

B

Fig. 1. Schematics of the: (a) conventional bandpass or bandstop filter, (b) original connecting transmission line, and (c) the equivalent T-shaped transmission line.

been reported [12], [13]. However, there is no design equation for the equivalent lines and the design is dependent on a time-consuming iterative procedure [12]. In [13], although the design equations are given, the equations are only for a special case (all lines are of the same characteristic impedance). In [14], a quarter-wavelength shunt open stub is added to a fixed half-wavelength resonator to introduce an attenuation pole and work as a -inverter. A spurline has been used to improve the stopband rejection for a bandstop filter [15]. In this paper, on the other hand, general design equations for generating the parameters of the equivalent T-shaped lines are derived by using transmission-line model calculations, as described in Section II. The equivalent T-shaped lines show good similarities with the original line around the specific fundamental passband. Hence, the passband response of the proposed filters is the same as the conventional ones. Furthermore, the T-shaped line works like a bandstop filter at the second harmonic. Therefore, the proposed filters have better second harmonic rejection than conventional ones. The concept of the proposed filters is validated by simulations and experiments, as shown in Sections III and IV. Both of the proposed filters have improved second harmonic suppression with compact sizes. II. EQUIVALENT T-SHAPED TRANSMISSION LINES

Manuscript received October 26, 2005; revised March 2, 2006. The authors are with the Department of Electrical Engineering, Texas A&M University, College Station, TX 77843-3128 USA (e-mail: [email protected]. edu). Digital Object Identifier 10.1109/TMTT.2006.875802

Fig. 1(a) shows the conventional bandpass or bandstop filter with open-stub sections separated by quarter-wavelength connecting transmission lines. The connecting transmission line and its equivalent T-shaped transmission-line model can

0018-9480/$20.00 © 2006 IEEE

2498

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

be shown in Fig. 1(b) and (c), where is the characteristic impedance and is the electrical length of the transmission lines . The T-shaped transmission-line model is consisted of two identical series transmission lines and one shunt open stub located in the center of the two series lines. To study how the T-shaped transmission-line model can be equivalent to the original transmission line, transmission-line model calculation is used. The matrix of the original transmission line is

(1) The model is

matrix of the equivalent T-shaped transmission line Fig. 2. Design curves for Z and Z versus  . (Z = 50;  = 90 ; and  = 45 )

(2) where

(2a) and (2b) Since the T-shaped transmission line is used to replace the series quarter-wavelength connecting line, is assigned to be 90 . Therefore, the matrix of the original transmission line becomes

(3) On the other hand, since the T-shaped transmission line is designed to have rejection at the second harmonic of the filters, is assigned to be 45 at the fundamental frequency. Therefore, at the second harmonic, the electrical length of the shunt stub would be 90 , and the shunt stub works like a bandstop filter to suppress the second harmonics. Hence, the matrix of the shunt stub becomes

(4) Given that would be assigned after completing the conventional filter synthesis, there are three unknown variables remaining, which are and . By equating (1) and (2), and could be solved in terms of (5a) and

, Fig. 2 plots the values of and versus . When is close to 45 is approaching 50 and is going to infinity. The impedance looking into the shunt stub is . Therefore, at the T-junction, the shunt stub acts like an open circuit and could be neglected. Hence, as expected, the T-shaped transmission-line model becomes a 90 50- line, which is the original transmission line. Theoretically, there are numerous values of and satisfying (5). However, due to the limitations in the photolithography, etching ability, and circuit size, some combinations are impractical. Generally, for high-impedance microstrip lines, low dielectric-constant substrates are preferred to have practical narrow linewidth. For low-impedance microstrip lines, high dielectric-constant substrates are preferred to save circuit area. To study how the equivalent T-shaped lines work, is arbitrarily chosen as 30 , and then and . Fig. 3 plots the simulated results of the original connecting transmission line and the T-shaped transmission line at center frequency of 3 GHz. Since and (at 3 GHz), the simulated return loss of the original line is very small. At 3 GHz, similar to the original line, the equivalent T-shaped line has a good impedance matching and a 90 phase delay. In addition, it should be noted that at the second harmonic (6 GHz), the equivalent T-shaped line shows a transmission zero because of the shunt stub. The equivalent T-shaped line not only shows a compact size, but also has the same characteristics as the original series 90 line at the passband and a transmission zero at the second harmonic, which improves out-band rejection. It should be noted that (5) is derived for second harmonic suppression only, where . In order to suppress higher order harmonics, should be changed accordingly. For example, for third harmonic suppression, should be equal to 30 . Therefore, more general equations for and are derived as follows:

(5b) (6a) In order to have a compact equivalent T-shaped model, should be less than 45 (i.e., ). When

(6b)

TU AND CHANG: COMPACT SECOND HARMONIC-SUPPRESSED BANDSTOP AND BANDPASS FILTERS USING OPEN STUBS

2499

Fig. 4. Schematics of: (a) conventional quarter-wavelength open-stub bandstop filter and (b) proposed bandstop filter.

Fig. 3. Simulated results of the original 50- 90 connecting line and equivalent T-shaped line (center frequency = 3 GHz). (a) Magnitude. (b) Phase. Fig. 5 Simulated results of conventional open-stub bandstop filter.

III. INTEGRATION OF BANDSTOP AND BANDSTOP FILTERS Fig. 4(a) shows a conventional quarter-wavelength open-stub bandstop filter. The lengths of the shunt stubs and series connecting line are all 0.25 , where is the guided wavelength at the center frequency. When the center frequency GHz and , the simulated results of the conventional bandstop filter are shown in Fig. 5. There are two transmission zeros at 3 and 9 GHz, and a passband is located at the second harmonic frequency of 6 GHz. In order to have a compact bandstop filter with second harmonic suppression, the series connecting line ( , ) is replaced by an equivalent T-shaped line. By the analysis in Section II, the parameters of the equivalent T-shaped line are calculated as , , , and . Since the electrical length of the shunt stub of the T-shaped line is 90 at the second harmonic, the T-shaped line acts as another bandstop filter with its stopband located at the second harmonic, while the T-shaped line has the same characteristics as the original line at the fundamental frequency. To validate the design concept, two filters are built on a 20-mil RT/Duroid 5880 ( ) substrate for comparison. Fig. 6 shows the measured results. The two filters have

S

M

Fig. 6. Measured and simulated results of the conventional bandstop and proposed bandstop filters. represents simulation, represents measurements, . and circular markers are for

S

similar measured insertion loss of 45 dB around 3 GHz. At the second harmonic frequency of 6 GHz, the conventional bandstop filter shows a passband, while the proposed filter does not. Instead, the proposed filter shows an insertion loss of

2500

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 7. Schematics of: (a) conventional half-wavelength open-stub bandpass filter and (b) proposed bandpass filter.

Fig. 8. Simulated results of conventional open-stub bandpass filter.

30 dB. The size of the conventional filter (excluding two feed lines) is 18.6 19.6 mm , while the size of the proposed filter (excluding two feed lines) is only 18.6 14 mm . Compared with the conventional bandstop filter, the proposed filter not only shows good second harmonic suppression, but also has a 28.6% size reduction. At the third harmonic frequency of around 9 GHz, although the two filters both have suppression, the levels are different. This could be explained by referring to Fig. 3, the T-shaped line has identical amplitude and phase responses with those of the original line only at the desired frequency of 3 GHz. At the third harmonic, responses are different and distortion is observed. Fig. 6 shows the comparison of the measured results with the simulated results for the new filter. The simulation is carried out by IE3D [16]. The full-wave simulated results agree well with the measured results. Besides compact size, the proposed bandstop filter is very useful as a dc-pass output filter for a rectenna. The dc-pass filter requires suppression in harmonics, as well as the fundamental frequency. The efficiency of the rectenna [17] increases because

Fig. 9. Measured results of conventional bandpass and proposed filters. (a) Return loss. (b) Insertion loss. (c) Passband response.

more energy is reflected by the output filter and remixes within the diode to generate higher dc output. Although the filters are fabricated using a low dielectric-constant substrate, the same design procedure can also be applied to a high dielectric-constant substrate. However, this would require narrower linewidth. For example, on the 25-mil RT/Duroid 6010.8 substrate, would require a

TU AND CHANG: COMPACT SECOND HARMONIC-SUPPRESSED BANDSTOP AND BANDPASS FILTERS USING OPEN STUBS

2501

passband. On the other hand, the proposed filter shows a 30-dB suppression on the undesired second harmonic at 6 GHz. Furthermore, the size of the conventional filter (excluding two feed lines) is 19.2 18.3 mm , while the size of the proposed filter (excluding two feed lines) is only 13.6 17.9 mm . Compared with the conventional filter, the proposed filter shows a 30.7% size reduction and a 30-dB second harmonic rejection improvement. Fig. 10 shows the comparison of the measured results with the simulated results for the new filter. The simulation is carried out by IE3D [16]. The full-wave simulated results agree well with the measured results. V. CONCLUSION

Fig. 10. Measured and full-wave simulated results of the proposed filter.

narrow linewidth of 0.13 mm. Fortunately, this is still under fabrication tolerances [12]. On the other hand, the narrow lines are with poorer power-handling capability. One way to alleviate this problem is that one can use and , which corresponds to the intersection of and in Fig. 2. Furthermore, the T-shaped line has introduced more discontinuities (open-ends, junctions) in comparison with the original line. These discontinuities cannot be ignored, especially at high frequencies, and should be taken into considerations by full-wave simulations.

A design concept of the integrating bandstop filters into a bandstop or bandpass filter has been investigated. Without affecting the fundamental frequency response, the proposed filters not only have the advantage of compact size, but also show good second harmonic suppression. The design concept has been validated through simulations and experiments. Over 30-dB improvement of the second harmonic suppression and 28.6% size reduction have been achieved. The design concept and the proposed filters should find many applications in microwave circuits and systems. ACKNOWLEDGMENT The authors would like to thank M. Li, Texas A&M University, College Station, for his technical assistance. REFERENCES

IV. INTEGRATION OF BANDPASS AND BANDSTOP FILTER The equivalent T-shaped line could be also integrated into a conventional half-wavelength open-stub bandpass filter for second harmonic rejection and a compact size. Fig. 7 shows a conventional three-pole bandpass filter and the proposed filter. For a Chebyshev low-pass prototype filter with a passband ripple dB, the element values are , , , , and . With the fractional bandwidth , the parameters of the microstrip lines are , , , , and . When the filter center frequency GHz, Fig. 8 shows the simulated results of the conventional bandpass filter. Besides a passband at center frequency, it should be noted that there is another undesired passband at the second harmonic (i.e., 6 GHz). To suppress the spurious passband, the two series connecting lines ( , ) are then replaced by the equivalent T-shaped lines. Using the design equations given in Section II, the parameters for the T-shaped lines are , , , and . Again, to validate the design concept, the two filters are built on a 25-mil RT/Duroid 6010.8 substrate. The measured results are shown in Fig. 9. The two filters have a similar passband response around 3 GHz. The insertion losses are all less than 1.4 dB, and the 10-dB return-loss bandwidths are all 1.1 GHz. The center frequency of the proposed filter increases by approximately 150 MHz (5%). This is because all the transmission lines are determined by the transmission-line model. Discontinuities such as open-end effects and T-junctions are not considered. At 6 GHz, the conventional filter shows a spurious

[1] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. New York: McGraw-Hill, 1980. [2] S. Cohn, “Parallel-coupled transmission-line resonator filters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 223–231, Apr. 1958. [3] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001, ch. 5. [4] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [5] J.-T. Kuo and E. Shih, “Microstrip stepped impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [6] Y. W. Kong and S. T. Chew, “EBG-based dual mode resonator filter,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 124–126, Mar. 2004. [7] L. Zhu and W. Menzel, “Compact microstrip bandpass filter with two transmission zeros using a stub-tapped half-wavelength line resonator,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 1, pp. 16–18, Jan. 2003. [8] J.-T. Kuo, W.-H. Hsu, and W. T. Huang, “Parallel coupled microstrip filters with suppression of harmonic response,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 383–385, Oct. 2002. [9] T. Lopetegi, M. A. G. Laso, J. Hernandez, M. Bacaicoa, D Benito, M. J. Garde, M. Sorolla, and M. Guglielmi, “New microstrip ‘wigglyline’ filters with spurious passband suppression,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1593–1598, Sep. 2001. [10] L.-H. Hsieh and K. Chang, “Piezoelectric transducer tuned bandstop filter,” Electron. Lett., vol. 38, no. 17, pp. 970–971, Aug. 2002. [11] J. Garcia-Garcia, F. Martin, F. Falcone, J. Bonache, I. Gil, T. Lopetegi, M. A. G. Laso, M. Sorolla, and R. Marques, “Spurious passband suppression in microstrip coupled line bandpass filters by means of split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 416–418, Sep. 2004. [12] C. Quendo, E. Rius, C. Person, and M. Ney, “Integration of optimized low-pass filters in a bandpass filter for out-of-band improvement,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2376–2383, Dec. 2001.

2502

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[13] A. Manchec, C. Quendo, E. Rius, C. Person, and J.-F. Favennec, “Synthesis of dual behavior resonator (DBR) filters with integrated low-pass structures for spurious responses suppression,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 4–6, Jan. 2006. [14] J.-R. Lee, J.-H. Cho, and S.-W. Yun, “New compact bandpass filter using microstrip =4 resonators with open stub inverter,” IEEE Microw. Guided Wave Lett., vol. 10, no. 12, pp. 526–527, Dec. 2000. [15] W.-H. Tu and K. Chang, “Compact microstrip bandstop filter using open stub and spurline,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 4, pp. 268–270, Apr. 2005. [16] IE3D. ver. 10.2, Zeland Software Inc., Fremont, CA, 2004. [17] Y.-H. Suh, C. Wang, and K. Chang, “Circularly polarized truncatedcorner square patch microstrip rectenna for wireless power transmission,” Electron. Lett., vol. 36, no. 7, pp. 600–602, Mar. 2000. Wen-Hua Tu (S’04) received the B.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1999, the M.S. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2001, and is currently working toward the Ph.D. degree in electrical engineering at Texas A&M University, College Station. Since 2003, he has been a Research Assistant with the Electromagnetics and Microwave Laboratory, Texas A&M University, where his research interests include phased array antennas and microwave devices and circuits. Mr. Tu is a member of Phi Kappa Phi.

Kai Chang (S’75–M’76–SM’85–F’91) received the B.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1970, the M.S. degree from the State University of New York at Stony Brook, in 1972, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 1976. From 1972 to 1976, he was a Research Assistant with the Microwave Solid-State Circuits Group, Cooley Electronics Laboratory, The University of Michigan at Ann Arbor. From 1976 to 1978, he was with Shared Applications Inc., Ann Arbor, MI, where he was involved with computer simulation of microwave circuits and microwave tubes. From 1978 to 1981, he was with the Electron Dynamics Division, Hughes Aircraft Company, Torrance, CA, where he was involved in the research and development of millimeter-wave solid-state devices and circuits, power combiners, oscillators, and transmitters. From 1981 to 1985, he was

with TRW Electronics and Defense, Redondo Beach, CA, as a Section Head, where he developed state-of-the-art millimeter-wave integrated circuits and subsystems including mixers, voltage-controlled oscillators (VCOs), transmitters, amplifiers, modulators, upconverters, switches, multipliers, receivers, and transceivers. In August 1985, he joined the Electrical Engineering Department, Texas A&M University, College Station, as an Associate Professor and became a Professor in 1988. In January 1990, he was appointed Raytheon E-Systems Endowed Professor of Electrical Engineering. From 1972 to 1976, he was a Research Assistant with the Microwave Solid-State Circuits Group, Cooley Electronics Laboratory, The University of Michigan at Ann Arbor. From 1976 to 1978, he was with Shared Applications Inc., Ann Arbor, MI, where he was involved with computer simulation of microwave circuits and microwave tubes. From 1978 to 1981, he was with the Electron Dynamics Division, Hughes Aircraft Company, Torrance, CA, where he was involved in the research and development of millimeter-wave solid-state devices and circuits, power combiners, oscillators, and transmitters. From 1981 to 1985, he was with TRW Electronics and Defense, Redondo Beach, CA, as a Section Head, where he developed state-of-the-art millimeter-wave integrated circuits and subsystems including mixers, voltage-controlled oscillators (VCOs), transmitters, amplifiers, modulators, upconverters, switches, multipliers, receivers, and transceivers. In August 1985, he joined the Electrical Engineering Department, Texas A&M University, College Station, as an Associate Professor and became a Professor in 1988. In January 1990, he was appointed Raytheon E-Systems Endowed Professor of Electrical Engineering. He has authored and coauthored several books, including Microwave Solid-State Circuits and Applications (Wiley, 1994), Microwave Ring Circuits and Antennas (Wiley, 1996; 2nd ed. 2004), Integrated Active Antennas and Spatial Power Combining (Wiley, 1996), RF and Microwave Wireless Systems (Wiley, 2000), and RF and Microwave Circuit and Component Design for Wireless Systems (Wiley, 2002). He has served as the Editor of the four-volume Handbook of Microwave and Optical Components (Wiley, 1989 and 1990; 2nd ed. 2003). He is the Editor of Microwave and Optical Technology Letters and the Wiley Book Series on “Microwave and Optical Engineering” (over 70 books published). He has authored or coauthored over 450 papers and numerous book chapters in the areas of microwave and millimeter-wave devices, circuits, and antennas. He has graduated over 25 Ph.D. students and over 35 M.S. students. His current interests are microwave and millimeter-wave devices and circuits, microwave integrated circuits, integrated antennas, wide-band and active antennas, phased arrays, microwave power transmission, and microwave optical interactions. Dr. Chang has served as technical committee member and session chair for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the IEEE Antennas and Propagation Society (IEEE AP-S), and numerous international conferences. He was the vice general chair for the 2002 IEEE International Symposium on Antennas and Propagation. He was the recipient of the 1984 Special Achievement Award presented by TRW, the 1988 Halliburton Professor Award, the 1989 Distinguished Teaching Award, the 1992 Distinguished Research Award, and the 1996 Texas Engineering Experiment Station (TEES) Fellow Award presented by Texas A&M University.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2503

Bandstop Filters With Extended Upper Passbands Ralph Levy, Life Fellow, IEEE, Richard V. Snyder, Life Fellow, IEEE, and Sanghoon Shin, Member, IEEE

Abstract—Previous microwave distributed bandstop filters have had their second harmonic response centered at no more than three times the fundamental bandstop mid-band frequency, due to the use of quarter-wave resonators. This limitation has now been removed by the use of compound resonators having shorter electrical length. Some configurations incorporate lumped capacitors, resulting in additional design degrees of freedom and wider passbands. The new theory has been developed to apply to both wide and narrow stopbands. Example filters having upper passband widths of up to six times the fundamental bandstop center frequency are presented. Index Terms—Bandstop filters, filters, inhomogeneous filters, microwave filters, mixed lumped distributed, noncommensurate lines, redundant lines.

I. INTRODUCTION ANDSTOP filters are frequently employed to reject narrow-to-broad frequency bands located within a wide passband. Up to now, bandstop filters comprised of distributed elements have encountered a severe restriction on the extent of the upper passband imposed by the periodicity of the distributed elements [1]. This causes the stopbands to repeat at odd multiples of the fundamental stopband center frequency. In particular, the bandstop filters using quarter-wavelength resonators as previously described [1] give a first upper stopband center frequency located at three times the fundamental stopband. This paper describes how this restriction may be overcome and how the first upper stopband center frequency may be raised to a much higher multiple, e.g., as high as six times the fundamental stopband center frequency.1 This paper addresses the design of distributed bandstop filters normally realized in coaxial, stripline, or microstrip form. In [2], a capacitive-loading technique applicable to extending the width of the upper passband was proposed, with a good illustration given in [2, Figs. 1–3]. Other important references include [3], which presents a modern synthesis design technique, and [4], the results from which will be used later in this paper. Modern single-variable synthesis is used to obtain the basic rational functional form of the transfer function of a new class of commensurate-line bandstop filters having the desired broader upper passbands. Although exact synthesis could almost certainly be performed, a relatively new modern synthesis technique is employed, which may be termed “synthesis by

B

Manuscript received October 24, 2005; revised February 28, 2006. R. Levy is with R. Levy Associates, La Jolla, CA 92037 USA. R. V. Snyder and S. Shin are with RS Microwave Inc., Butler, NJ 07405 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875804 1The work of Snyder and Shin [2], presented at the 2005 IMS Symposium, employed a capacitive loading technique to extend the passband, using approximate techniques rather than exact synthesis. Independent work had been carried out by the first author, and it was decided to combine these efforts.

Fig. 1. New commensurate bandstop filter. (Stubs are connected in shunt to the main lines.)

optimization.” This method obtains a new transfer function, using a combination of exact synthesis and approximation. This transfer function is used as a good starting point for optimization, ensuring that the optimization proceeds efficiently and rapidly. The optimization gives designed transfer functions having the correct number of passband ripples, for example, as predicted from the theoretical transfer function. The circuits are then modified to incorporate lumped capacitors and short capacitive lines (“short” implies noncommensurate). Optimization is required also because some of the circuits employ both distributed (commensurate and noncommensurate lines) and/or lumped elements, and, presently, direct two-variable polynomial-based element extraction is not available. The combination of network synthesis and both circuit and E-M based optimization is shown to yield practical circuits having stopband widths as high as 25% of the rejection center frequency, and passbands as wide as six times the fundamental rejection-band center frequency. Example designs are given, including those capable of operating at power levels high enough to function well in difficult co-site scenarios (i.e., transmitters for one system located in close proximity to wideband receivers). II. THEORY A. Basic Filter Circuit The new bandstop filter prototype circuit is shown in Fig. 1. It consists of “compound” stubs, the th one consisting of a unit element having a relatively high impedance and a lower impedance open-circuited stub of impedance . These compound stub (resonant) elements are spaced by a pair of transmission lines of varying impedances and with each line being of the commensurate length. If this electrical length at resonance is 45 and the compound stubs are each of uniform impedance, i.e., , then the conventional bandstop filter prototype is recovered [1], [3]. The second harmonic or spurious resonance occurs when has increased to , i.e., the ratio of the center frequencies of the first spurious to the fundamental first harmonic is ( . When is 45 , then this ratio is 3, but when is less, the ratio increases, e.g., if is 36 , the ratio increases to 4.

0018-9480/$20.00 © 2006 IEEE

2504

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

The input impedance of the compound stub looking out from the main through line is

(1)

case. It has been found that it is necessary to include these extra unit elements in the general case in order to obtain equiripple well-matched passbands. These additional unit elements will be further discussed in Section V. The transfer matrix of the th cell is

and expressing in terms of the Richards variDividing by able , obtained by substituting for using (2)

(5) where

we then obtain (6) (3) The fundamental resonance condition at maintained if and are constrained by the equation

is

where is given by (3). Multiplication of the three matrices in (5) leads to the cell transfer matrix (7), shown at the bottom of this page. Substituting for given by (3) and (6), the polynomial form of the cell matrix becomes

(8)

(4) This condition applies to Chebyshev filters, but is relaxed in the case of bandstop filters that have an elliptic type of response where the loss poles are distributed across the stopband at various frequencies. In order for the filter prototype circuit to be commensurate, then, as stated previously, the connecting lines should also consist of a cascade of two unit elements of electrical length . In general, this cascaded pair no longer has a total length of 90 at resonance and, thus, does not directly approximate an ideal impedance inverter. The question then arises, can the filter be matched in the entire passband regions, especially that between the fundamental resonance and the first harmonic? The answer is affirmative, since the commensurate nature of the circuit means that the transfer function of the filter is the ratio of two rational polynomials, and, in theory, this may be synthesized to give equal ripple passband response. B. Polynomial Formation The rational polynomial form of the transfer function is derived in the following section. The filter is treated as a cascade of n unit cells. A typical cell (index r) consists of a compound stub connected to unit elements of impedance and on each side, as indicated in Fig. 1. Here, the subscript denotes a “main line” element. Note that the main line includes end unit elements and , which are not present in the conventional bandstop filter having , i.e., the uniform stub

where , and are simple polynomials in , each of degree indicated by their respective suffices, with the and polynomials being even and the and polynomials odd functions of The overall transfer matrix of the -cell filter is given by multiplication of matrices of the form given by (8), leading to the transfer matrix

(9) The and polynomials remain even, and the and polynomials odd functions of . It is seen that the real frequency loss poles are given by equating the product in the denominator to zero, i.e., the th such pole is given by

or (10) as in (4).

(7)

LEVY et al.: BANDSTOP FILTERS WITH EXTENDED UPPER PASSBANDS

2505

The reflection coefficient is given by the well-known formula

(11) (a)

zeros of distributed along the In general there are real frequency axis (where ) of the complex plane, corresponding to the range . Note that this range includes two stopbands corresponding to the loss poles occurring at and . Hence, there are three passband regions in occurring in the ranges 0 to to , and to 180. Symmetry considerations imply that there are zeros in the range 0 to , zeros in to , and zeros in to 180. An interesting exception occurs when the filter is electrically symmetric, i.e., when

Symmetry condition

(12)

given by In this case, the degree of the numerator of (11) reduces from to . The “missing” loss zero is the central one in the to region, which then has zeros. Additionally, since in the symmetrical case the degree of the denominator in (11) is one greater than that of the numerator, one of the zeros will occur at , i.e., at , which is the mid-point of the the central passband to . Examples will be presented to demonstrate these characteristics.

(b) Fig. 2. (a) Conventional prototype bandstop filter. (Impedance values shown,  = 45 at resonance). (b) Performance of conventional bandstop filter.

by a compound stub by equating the reactance slope parameters of the two circuits, which for the 90 stub is [1, Fig. 5.08-1]

C. Synthesis by Optimization It is now fairly obvious that formal synthesis techniques should exist for these commensurate bandstop filter networks. However, such a development is quite a time-consuming task, especially since it will be shown that the simplest case having a Chebyshev all-pole response, i.e., with all of the loss poles coincident at one frequency in the fundamental stopband, does not give an entirely satisfactory result, and more complicated elliptic function responses are more desirable. Although formal synthesis programs have not yet been written, the certainty of their existence encouraged the development of an optimization technique using commercial optimizers.2 This has enabled various filter responses to be investigated, and filters having excellent equiripple passband responses have been derived having either Chebyshev or pseudoelliptic function stopband response. The process is facilitated by knowledge of the zero responses, as described in Section II-B. Hence the optimization method gives rapid designs having a variety of responses and is initially much simpler to develop than formal synthesis programs. An initial design may be obtained commencing from an exact prototype bandstop filter having uniform 90 shunt open-circuited stubs, as in [1]. Each uniform shunt stub is then replaced 2For example, “Touchstone,” which is no longer commercially available but remains in widespread use.

(13) where the stub impedance is denoted by . The reactance slope parameter for the compound stub is given by differentiation of (1), and, at the resonant angle , this leads to (14) where (4) is used to give (14) in remarkably simple form. It is seen that (14) degenerates to (13) when . The new filter design now proceeds by equating (13) and (14), giving (15) and the value of is, of course, given by (4). The above procedure is applied to each stub of the exact prototype filter. It will be illustrated here by presenting an example with stubs. The basic prototype has a fractional bandwidth of 33.95% and a ripple VSWR of 1.2:1 or a return loss of 20.83 dB, and the circuit is depicted in Fig. 2(a). The performance of this bandstop filter with the electrical length of the half unit element being at the fundamental resonance, is shown in Fig. 2(b). It is seen that the second harmonic occurs

2506

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

(a)

(a)

(b)

(b)

Fig. 3. (a) Chebyshev prototype bandstop filter prior to optimization.  25:7 . (b) Performance of Chebyshev filter prior to optimization.

=

at the expected three times the fundamental bandstop mid-band frequency, i.e., the ratio of the frequencies of the second to the first harmonic is the normal 3:1. It is now desired to double this ratio to 6:1, which means that the commensurate angle must be reduced from 45 to 25.7 . Application of (15) and (4) leads to the preliminary circuit shown in Fig. 3(a) that has the response shown in Fig. 3(b). The ratio of the second to first harmonic stopbands is , as desired, but the equiripple characteristics are distorted, but not to a severe degree, as the return loss remains better than 10 dB. In some cases, the performance obtained may be acceptable without further optimization, as demonstrated further in Section IV. The ideal match may be recovered almost exactly by optimization, allowing the impedances of the main lines, i.e., the of Fig. 1, and the impedance of the stubs, i.e., the of Fig. 1, to vary. In the Chebyshev case, the stub impedances are constrained by (4) so that the resonances occur at . The resulting circuit is shown in Fig. 4(a), and the response is shown in Fig. 4(b). Note that there are the predicted zeros in the main central passband. Fig. 4(c) is an expanded view of Fig. 4(b) for the range of normalized frequency ( ) 0–1.6, and it is seen that there are the expected zeros in the lower passband. The filter characteristic is symmetrical about 3.5 times normalized frequency ( ). A disadvantage of the new filter is the rather poor upper stopband skirt as depicted clearly in Fig. 4(b) and (c). This may be contrasted with the perfectly symmetrical response of the prototype filter shown in Fig. 2(b). Considerably improved characteristics may be obtained by allowing the loss poles to spread

(c) Fig. 4. (a) Optimized Chebyshev filter.  = 25:7 . (b) Performance of optimized Chebyshev filter. (c) Expanded view of (b), 0 < f =f o < 1:6.

out at different frequencies across the stopband, resulting in a pseudoelliptic filter having equiripple stopband rejection. Such a design is shown in Fig. 5(a), where the circuit was constrained to be electrically symmetric, and the rejection level was set to be 40 dB. The performance shown in Fig. 5(b) indicates that the ripple level is not absolutely ideal, which is rarely the case for an optimization, but it is very close to this. The passband reflection zeros (or minima in this case) are retained in the range between the two stopbands. An expanded view of the lower pass and stop bands is shown in Fig. 5(c). The stopband loss poles occur at normalized frequencies ( ) of 0.94, 1,

LEVY et al.: BANDSTOP FILTERS WITH EXTENDED UPPER PASSBANDS

2507

(a)

(a)

(b)

(b)

(c)

(c)

Fig. 5. (a) Pseudoelliptic symmetric bandstop filter.  = 25:7 . (b) Performance of pseudoelliptic symmetric bandstop filter.  = 25:7 . (c) Expanded view of Fig. 5(b), 0 < f =f o < 1:6.

Fig. 6. (a) Full elliptic asymmetric bandstop filter.  = 25:7 . (b) Performance of full elliptic asymmetric bandstop filter.  = 25:7 . (c) Expanded view of Fig. 6(b), 0 < f =f o < 1:6.

and 1.1, in agreement with the values for the stub impedances given in Fig. 5(a), with application of (4). The lower passband shows four zeros clearly, but it is fairly obvious that the “lost” zero is either coincident with one of the four shown or would appear with further optimization. This type of slightly nonoptimal behavior is frequently demonstrated in optimization techniques, where the optimization terminates when a specification is obtained closely rather than giving perfect agreement with a design theory. Fig. 5(c) in particular demonstrates the considerably improved upper stopband rejection compared with that shown in Fig. 4(c).

In another transformation of the original prototype of Fig. 2(a), a full elliptic function filter was designed by allowing the circuit to become electrically asymmetric so that the five loss poles were allowed to separate distinctly across the stop band. The circuit is shown in Fig. 6(a), and the performance is given in Fig. 6(b) and (c). Here we see that the tenth minimum of has appeared, which is in agreement with the theory presented in Section II-B. The expanded view of Fig. 6(c) demonstrates the five loss poles occurring at normalized frequencies ( ) of 0.90, 0.91, 0.96, 1.04, and 1.09. There

2508

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 7. Shunt compound stub equivalence relating: (a) a unit element cascaded with the series-connected compound stub, (b) the compound stub replaced by a series connection of open and short-circuited stubs connected in shunt with the main line, and (c) the parallel-coupled-line realization.

Fig. 8. Series compound stub equivalence relating: (a) a unit element cascaded with the shunt-connected compound stub, (b) the compound stub replaced by a parallel connection of open and short-circuited stubs connected in shunt, with the main line, and (c) the parallel-coupled-line realization.

are four distinct minima in the lower passband, and it is apparent that the fifth one is “hidden” at about 0.4 normalized frequency. In a similar fashion, an optimization procedure is employed in the design of the wide-stopband, wide-passband filter (i.e., 24% stopband width, with more than 5.5:1 upper passband width), discussed as Example 2. With the initial circuit topology based on commensurate circuits (such as those illustrated in Figs. 6–10), implemented as capacitively loaded shunt resonators parallel to a stepped center conductor, the stopband upper and lower slopes are unacceptably asymmetric. Because the shunt transmission line resonators are capacitively loaded, it was hypothesized that providing similar capacitive loading on the series transmission-line portions parallel to the shunt resonators (i.e., on the through lines, clearly implementing a physically more symmetrical configuration) would also achieve better electrical symmetry, while still achieving asymmetric loss pole placement. The resulting circuit resembles the commensurate line configurations derived with exact theory earlier in this paper, but includes the addition of short (i.e., lengths less than ) “redundant” capacitive sections. Several sections of the initial topology, development of the modified topology, and response characteristics are illustrated in Section V. While the

argument for such a circuit modification might be considered heuristic, the insight resulting in this “modified topology” has proven to be effective. The modification was performed with addition of short low-impedance (i.e., capacitive) transmission lines (with lengths less than 7 at fo) preceding each coupled section. These additional degrees of freedom, during optimization, allow the asymmetric placement of loss poles and the consequent achievement of essentially symmetrical attenuation slopes, both above and below the center of the rejection band. Use of the “redundant” short low-impedance lines also results in a reduction of the initially synthesized very large difference between and and, thus, facilitates implementation without very thin line sections or very small gaps. These details will be further illustrated in Section V covering example designs, but it is to be noted that the starting point for circuit modification and optimization is the commensurate network resulting from exact synthesis as presented herein, with the addition of the aforementioned capacitive sections. D. Realization of Bandstop Filters of Narrow Bandwidth Using Parallel-Coupled Lines Filters having rather broad stop bandwidths may be realized directly if the impedance levels are not too high. However, in

LEVY et al.: BANDSTOP FILTERS WITH EXTENDED UPPER PASSBANDS

2509

Fig. 9. (a) Schematic of a five-section bandstop filter having short-circuited shunt stubs. (b) Schematic of a five-section bandstop filter having open-circuited shunt stubs.

many cases (e.g., narrower stop bandwidths and/or small values of ), it is necessary to use resonators having loose couplings to the main through line in order to give realizable impedances. This is certainly the case with the examples presented in Section II-C. It has been found that it is convenient to use one of two types of equivalent circuits of the compound stub combined with an adjacent unit element as shown in Figs. 7 and 8. Fig. 7(a) shows the shunt stub case, which is easily converted into the circuit of Fig. 7(b). The exactly equivalent parallel-coupled-line realization of this is shown in Fig. 7(c). The equivalence between the circuits of Fig. 7(b) and (c) has been given in [4, Fig. 4]. In the present representation, the ideal :1 transformer of [4] has been absorbed into the circuit elements of Fig. 7(b). Another simplification is to consider only symmetrical coupled lines, so that and . Application of the equations given in [4] then leads to the design equations given in Fig. 7. The dual circuits to those given in Fig. 7 are of equal interest and are shown in Fig. 8. This dual case starts from the series-connected version of the prototype bandstop filter, which is shown in Fig. 8(a). For a given bandstop filter specification, the impedances of Fig. 7(a) are equal to the admittances in Fig. 8(a). This circuit is converted into that of Fig. 8(b) with the element values shown. The final conversion into the parallel coupled line form with is shown in Fig. 8(c), with the equations being derived from the expressions given in [4, Fig. 3]. It is seen that the topological differences between the circuits of Figs. 7(c) and 8(c) are the reversals of the open and short-circuited ends of the lower coupled lines on the left and of the shunt stubs on the right. However, there are also large differences in the impedance levels. The shorted stub of Fig. 7(c) tends to have a high impedance, while the open-circuited stub of Fig. 8(c) has a low impedance. Later, it will be shown that the open-circuited stubs may be replaced by lumped capacitors. In addition to being an important modification from design and construction points of view, this substitution also gives a significant increase in the width of the upper passband. It enables better element values to be achieved since the band-edge angle may be increased.

(a)

(b) Fig. 10. (a) Parallel-coupled-line n = 5 Chebyshev filter with open-circuited shunt stubs,  = 36 , bandwidth 11.11%. (b) Filter of (a) with the stubs replaced by lumped capacitors.

Schematic diagrams of five-section filters of the two classes are shown in Fig. 9(a) and (b). The shunt lines between the par-

2510

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE I SHUNT NETWORK PARAMETERS [SEE FIG. 9(a)]

allel-coupled-line resonators as introduced by the prototype circuit of Fig. 1 are very useful to space these from each other to reduce cross couplings, enabling thick short-circuiting walls to ground to be incorporated. These are useful also in an optimization procedure, where their impedances and lengths are useful and effective variable parameters. III. CIRCUIT PARAMETERS AS A FUNCTION OF BANDWIDTH AND Reference has been made to the effect of the bandwidth and band-edge angle on the impedance levels within the bandstop filter structures. This will now be illustrated by examples having two values of the bandwidth, namely, 11.11% and 33.95%, and two values of , which are 25.7 and 36 . These give ratios of the second to first harmonic bandstop resonances of 6:1 and 4:1, respectively. There are also the two types of parallel-coupled-line realizations to consider, and both are demonstrated. The results are summarized in Tables I and II. The various impedance values are defined mainly by reference to Fig. 9, and the even- and odd-mode impedances are derived from and of Figs. 7 and 8 using the formulas

(16)

The values of the various impedances in the stub versions of the filters are normalized to unity, but the values of Zoe, Zoo, and Zs are normalized to 50 in order to give a more direct impression of the realizability of the coupled-line versions. The impedances of the shunt stub protototypes are given in Table I, and, in Table II, the same numerical values appear as the for the series networks, but since they are dual networks they are now admittances. Significant items that arise include the following. 1) In Table I, it is seen that the values of the stub impedances are much higher for the smaller value of and become higher for the narrower bandwidth. High values of stub impedances mean a lack of support for the coupled line in air-line coaxial realizations. 2) Table II shows that the shunt open-circuited stubs have lower impedance for smaller values of , making them more difficult to realize directly. Section IV explains how this problem may be alleviated by replacing them with lumped capacitances to ground. 3) Table I indicates that a direct realization of the filters using shunt stubs is feasible for wide bandwidth and the larger . In both tables, it is seen that the Zoe and Zoo values are less realizable for broad than narrow bandwidths. 4) The prototype circuits have physical symmetry about the center of the circuit, but the parallel-coupled-line realizations are slightly asymmetric since the individual coupled line sections are themselves physically asymmetric.

LEVY et al.: BANDSTOP FILTERS WITH EXTENDED UPPER PASSBANDS

2511

TABLE II SERIES NETWORK PARAMETERS [SEE FIG. 9(b)]

IV. REPLACEMENT OF OPEN-CIRCUITED STUBS BY LUMPED CAPACITORS As stated above in 2), it is often advantageous to replace the open-circuited stubs in the realization of Figs. 8 or 9(b) by lumped capacitors. A capacitance value is selected to give the same susceptance to ground as the open-circuited stub at the mid-band frequency of the bandstop region, i.e., at the electrical length . It is important to note that such a selection provides a good starting point for optimization, reducing the time required to optimize this mixed (both lumped and distributed variable) circuit. As an example, we consider the case with and the 11.11% bandwidth, as this is the second design given in Table II. The performance of the initial fully distributed filter with stubs is shown in Fig. 10(a). This is an example where optimization may not be required, as the worst return loss ripple in the main central passband is better than 15 dB and mainly better than the 20-dB return loss level of the original prototype having uniform 90 lines. The performance after replacing the stubs with lumped capacitors is shown in Fig. 10(b). The return loss has degraded to about 13 dB, but the most interesting feature is the much widened central passband, where the second harmonic has been increased from the normalized frequency ( ) of 4 to over 5. Thus, rather than having the wider central passband, if the objective were to realize a 4:1 ratio using the lumped capacitors,

then it would be possible to increase , giving more realizable element values. The result of optimization on this mixed lumped and distributed circuit is shown in Fig. 11(a), where the return loss has improved to 18 dB over the central region up to five times normalized frequency ( ), and the stopband has been allowed to become elliptic, as shown more clearly by the expanded plot of Fig. 11(b). Inclusion of the additional main-line transmission-line elements preceding and following the coupled-line sections allows for significant improvement in both upper stopband slope and passband return loss. This will be shown in Section V. V. EXAMPLE DESIGNS A. Example 1 This is an example of a five-section pseudoelliptic bandstop filter of the symmetrical pseudoelliptic type as shown in Fig. 5(a). In terms of the electrical length , the first harmonic was at , and the passband edges for 20-dB return loss were at 30.5 and 44.5 , i.e., a fractional bandwidth of 37.33%. The wide bandwidth and rather large value of meant that the impedances as shown in Fig. 12(a) were realizable directly without requiring a parallel-coupled-line realization. The ripple level in the stopband was 68 dB from to , which is a 68-dB fractional bandwidth of 16%. The edge of the second harmonic passband was at , giving an upper passband extending from

2512

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

(a)

(a)

(b) Fig. 12. (a) Pseudoelliptic filter of Example 1.  = 37:35 . (b) Theoretical and measured performance of the filter shown in (a). Solid line: theoretical data; dotted line: measured data.

(b) Fig. 11. (a) Filter of Fig. 10(b) optimized to give improved return loss and elliptic-function response. (b) Expanded view of the filter of Fig. 11(a), 0:6 < f =f o < 1:3.

to , i.e., a ratio of 3.045:1. This is a considerable improvement over the case with , where the passband edges for the same fractional bandwidth would be at and , giving a ratio of only 2.37:1. The filter design giving the impedance values is shown in Fig. 12. The filter was built in suspended substrate stripline using 0.01-in-thick Rogers Duroid 5880. The stopband was centered at about 5.65 GHz, and the upper passband extended to beyond 18 GHz. The specified return loss up to 18 GHz was 10 dB, and the initial measured results compared with the theory are shown in Fig. 12(b). Thus, it achieved the specified performance with no iterations being required. The practical return loss may be improved using a field-based optimization routine. B. Example 2 Fig. 13 illustrates development of a 13-section pseudoelliptic bandstop filter example using 21 long coupled lines, loaded with parallel-plate lumped capacitors. Fig. 13(a) shows the

Fig. 13. Design approach for example 2. (a) Several sections of coupled line configuration with open circuit stubs replaced by loading capacitors and no redundant transmission lines between the coupled lines, i.e., “initial topology” as per Figs. 6–10 (see text). (b) Several sections of coupled-line configuration with open circuit stubs [as in Fig. 9(b)] replaced by loading capacitors and with the addition of redundant transmission lines (Zm Zm Zm ), i.e., “modified topology” (see text). (c) Asymmetric rejection response for no redundant transmission line [from Fig. 13(a) and (d)]. Symmetric rejection response due to the redundant transmission lines [from Fig. 13(b)].

LEVY et al.: BANDSTOP FILTERS WITH EXTENDED UPPER PASSBANDS

2513

(a) Fig. 14. 13-section parallel-coupled-line pseudoelliptic band-rejection filter with parallel-plate lumped capacitors for filter from example 2.

initial topology, which is a commensurate circuit with asymmetric loss poles, derived as in Figs. 6–10. Fig. 13(b) shows the modified topology, in which the “redundant” low-impedance lines have been included in the main line of the filter. Fig. 13(c) shows the asymmetric response resulting from the use of Fig. 13(a), while Fig. 13(d) shows the result of incorporating the “redundant” lines and optimizing. Fig. 14 presents photographs of the implemented filter. The desired first notch is centered at 1.08 GHz with 24% bandwidth, at the 45-dB rejection level. The second-harmonic passband is at 6 GHz, with a resulting extended passband ratio of 5.56:1. However, due to the impedance mismatch at the end of passband near 6 GHz, the effective passband ratio is 5:1. It is important to note that the coupled-line lengths were reduced slightly (during optimization) to allow for the effects over the passband of the lead inductance required to implement the physical connection for the lumped capacitors. Each coupled-line section is connected through 6 long noncommensurate transmission lines for improved matching. Fig. 15 displays the measured performance for this noncommensurate and mixed-variable example. It is interesting to note that the measured return loss performance is actually better than the “theoretical” performance. The so-called theoretical performance is based upon circuit simulation, using the tabulated impedance and length data in Table III. This shows that the theoretical model using simple TEM approximation (as in Table III) is inadequate, because it does not fully incorporate the effects of tuning screws and other tuning elements. However, full analysis of the entire structure using electromagnetic simulation is very time consuming, and the results are satisfactory. The design was accomplished with an initial synthesized structure analyzed using Genesys,3 followed by co-simulation in Ansoft HFSS,4 (electromagnetic analysis and parameter extraction), followed 3Genesys, 4HFSS,

by Eagleware. by Ansoft.

(b) Fig. 15. (a) Measured performance of 13-section parallel-coupled-line pseudoelliptic bandstop filter with plate lumped capacitors,  = 21 , bandwidth 22%, passband ratio = 5 : 1. (b) Expanded view of Fig. 14(a). Solid line: measured data; dotted line: theoretical data.

by optimization at the circuit level in Ansoft Designer.5 The design was implemented as a machined, air-slab structure and is intended for rejection of the military MIDS/JTIDS passband, at high power levels (300-W peak with a 120-W average), to alleviate certain communication co-site interference issues.

VI. CONCLUSION Bandstop filters of narrow or moderate rejection bandwidth having upper passbands which are much wider than those previously reported are presented, enabling systems designers to specify such designs. The new design technique is based on an exact analytical response function for the filters which could 5Designer,

by Ansoft.

2514

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE III (FOR FIGS. 13–14) LUMPED LOADING CAPACITORS, 24% BANDWIDTH, 50- TERMINATIONS

be used as the basis for a conventional single-variable exact synthesis to obtain element values. However, an almost equivalent design procedure which may be termed “synthesis by optimization” has been used, giving essentially identical and certainly very acceptable results. Both directly coupled stub and two types of parallel-coupled-line designs are described. One of the latter may be modified by replacing its shunt open-circuited stubs by lumped capacitors, further extending the upper passband range. The procedure presented herein called “synthesis by optimization” obtains satisfactory filter response without the need for two-variable exact synthesis. The power-handling ability of the bandstop filters may be limited by the impedance levels of the open-circuited stubs or the physical design of the lumped capacitors that may be used in their place in some designs. The physical design of such capacitors includes choice and thickness of dielectric, as well as location within the physical structure. An example has been presented showing the application of the new design technique to filters capable of handling at least 300-W peak, 120-W average power in the 960–1220-MHz frequency range. Passband return loss values of a maximum of 10 dB have been attained in practice over a 6:1 passband ratio of the second to first stopband center frequencies. There is no intrinsic limitation on the passband return loss based on the initial synthesis or modified topology. In fact, levels of less than 15 to 20 dB are attained over much of the passband region, and further work on both matching stub inclusion and connector transition design is ongoing, with the goal of improving this return loss.

REFERENCES [1] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. New York: McGraw-Hill, 1964, see Ch. 12. [2] R. V. Snyder and S. Shin, “Parallel coupled line notch filter with wide spurious-free passbands,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, Paper TU4A-3, CD ROM.

[3] O. P. Gupta and R. J. Wenzel, “Design tables for a class of optimum microwave bandstop filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 7, pp. 402–404, Jul. 1970. [4] H. C. Bell, “L-resonator bandstop filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2669–2672, Dec. 1996. [5] J. Reed and G. J. Wheeler, “A Method of analysis of symmetrical four port networks,” IRE Trans. Microw. Theory Tech., vol. MTT-4, pp. 246–252, Oct. 1956. Ralph Levy (SM’64–F’73–LF’99) received the B.A. and M.A. degrees in physics from Cambridge University, Cambridge, U.K., in 1953 and 1957, respectively, and the Ph.D. degree in applied sciences from London University, London, U.K., in 1966. From 1953 to 1959, he was with GEC, Stanmore, U.K., where he was involved with microwave components and systems. In 1959, he joined Mullard Research Laboratories, Redhill, U.K., where he developed a widely used technique for accurate instantaneous frequency measurement using several microwave discriminators in parallel known as digital IFM. This electronic countermeasures work included the development of decade bandwidth directional couplers and broad-band matching theory. From 1964 to 1967, he was a member of the faculty of The University of Leeds, Leeds, U.K., where he carried out research in microwave network synthesis, including distributed elliptic function filters and exact synthesis for branch-guide and multiaperture directional couplers. In 1967, he joined Microwave Development Laboratories, Natick, MA, as Vice President of Research. He developed practical techniques for the design of broad-band mixed lumped and distributed circuits, such as tapered corrugated waveguide harmonic rejection filters, and the synthesis of a variety of microwave passive components. This included the development of multioctave multiplexers in SSS, requiring accurate modeling of inhomogeneous stripline circuits and discontinuities. From 1984 to 1988, he was with KW Microwave, San Diego, CA, where he was mainly involved with design implementations and improvements in filter-based products. From August 1988 to July 1989, he was with Remec Inc., San Diego, CA, where he continued with advances in SSS components, synthesis of filters with arbitrary finite frequency poles, and microstrip filters. In July 1989, he became an independent consultant and has worked with many companies on a wide variety of projects, mainly in the field of passive components, especially filters and multiplexers. He has authored approximately 70 papers and two books, and holds 12 patents. Dr. Levy has been involved in many IEEE Microwave Theory and Techniques Society (IEEE MTT-S) activities, including past editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (1986–1988). He was chairman of the Central New England and San Diego IEEE MTT-S chapters, and was vice-chairman of the Steering Committee for the 1994 IEEE MTT-S International Microwave Symposium (IMS). He was the recipient of the 1997 IEEE MTT-S Career Award.

LEVY et al.: BANDSTOP FILTERS WITH EXTENDED UPPER PASSBANDS

Richard V. Snyder (S’58–M’63–SM’80–F’97– LF’05) received the B.S. degree from Loyola-Marymount University, Lost Angeles, CA, in 1961, the M.S. degree from the University of Southern California, Los Angeles, in 1962, and the Ph.D. degree from the Polytechnic Institute of New York, Brooklyn, NY, in 1982. He is President of RS Microwave, Butler, NJ. He teaches and advises at the New Jersey Institute of Technology (NJIT), Newark. He is a Visiting Professor with The University of Leeds, Leeds, U.K. He was previously Chief Engineer for Premier Microwave. He has authored 69 papers and two book chapters. He holds 17 patents. His interests include E-M simulation, dielectric and suspended resonators, high power notch filters, and active filters. Dr. Snyder served the North Jersey Section as chairman and 14-year chair of the IEEE Microwave Theory and Techniques (MTT)-Antennas and Propagation (AP) chapter. He is currently chair of the North Jersey Electron Device Society (EDS) and Circuits and Systems (CAS) chapters. He served as general chairman for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) 2003 International Microwave Symposium (IMS2003), Philadelphia, PA. In January 2005, he began a three-year term as an elected member of the Administrative Committee (AdCom). Within the AdCom, he serves as vice-chair of the TCC, vice-chair of the IMSCC, and chair of the Standards Committee. He is a member

2515

of the American Physical Society, the American Association for the Advancement of Science (AAAS), and the New York Academy of Science. He is a reviewer for IEEE MTT-S publications and Microwave Journal. He is active in the IEEE MTT-S Speaker’s Bureau and the three above-mentioned AdCom committees. He served seven years as chair of MTT-8 and continues in MTT-8/TPC work. He was a two-time recipient of the Region 1 Award. He was the recipient of the 2000 IEEE Millennium Medal.

Sanghoon Shin (S’98–M’02) was born in Seoul, Korea, in 1967. He received the B.S. degree from Hanyang University, Seoul, Korea, in 1993, the M.S. degree in electrical engineering from the Polytechnic University of New York, Brooklyn, in 1996, and the Ph.D. degree in electrical engineering from the New Jersey Institute of Technology (NJIT), Newark, in 2002. In 2002, he joined RS Microwave Inc., Butler, NJ, where he is currently a Research Engineer. His research interest has focused on analysis and design of RF and microwave filters.

2516

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Accurate Modeling, Wave Mechanisms, and Design Considerations of a Substrate Integrated Waveguide Dominic Deslandes, Member, IEEE, and Ke Wu, Fellow, IEEE

Abstract—A new method of analysis is presented in this paper for the determination of complex propagation constants in substrate integrated waveguides (SIWs). This method makes use of the concept of surface impedance to model the rows of conducting cylinders, and the proposed model is then solved by combining a method of moments and a transverse resonance procedure. The proposed method is further applied to extract results in terms of parametric curves and graphs which demonstrate fundamental and interesting wave guidance and leakage properties of this type of periodic waveguide. Useful design rules are extracted from this analysis, suggesting that appropriate design parameters and regions should be carefully selected for practical applications. In addition, comprehensive review and comparisons with published results are also presented to show the performance and accuracy of the proposed modeling technique. Practical measurements of fabricated samples with different levels of loss have confirmed the accuracy of this new method and validity of design rules. Index Terms—Guided wave, leakage, method of moments (MoM), periodic structures, substrate integrated waveguide (SIW), surface impedance.

I. INTRODUCTION HE substrate integrated waveguide (SIW), also called postwall waveguide or laminated waveguide, is a promising candidate for millimeter-wave and terahertz applications. This periodic waveguide, as illustrated in Fig. 1, is composed of two rows of conducting cylinders embedded in a dielectric substrate that connect two parallel metal plates. In this way, a synthetic rectangular metallic waveguide filled with dielectric material is constructed in planar form, thus allowing a complete integration with other planar transmission-line circuits such as microstrip and coplanar waveguide on the same substrate. The first mention of this type of waveguide, to the best of the authors’ knowledge, dates back to 1994 [1]. Since that time, a vast range of SIW components, such as filters, antennas, transitions, couplers, power dividers, and oscillators, have been proposed and studied. Furthermore, some analysis techniques have already been presented for modeling and characterizing this structure [2]–[10]. These analyses may briefly be classified into the following three groups. 1) Solution of transcendental equations generated from a method of moments (MoM) framework [2], [3].

T

Manuscript received October 24, 2005; revised February 23, 2006. The authors are with the Poly-Grames Research Center, Ecole Polytechnique de Montreal, Montreal, QC, Canada H3C 3A7 (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875807

Fig. 1. Three-dimensional view of an SIW, with two rows of metallic cylinders.

2) Modeling through the classical eigenvalue formulations , obtained from a full-wave derivation [4]–[6], possibly together with a calibration technique [7], [8]. 3) Analysis based on a surface impedance concept [9], [10]. Generally, the MoM-based analysis techniques make use either a spatial discretization [2] or a spectral transformation [3] for unknown currents on the conducting cylinders. The Floquet–Bloch theorem is then applied to restrict the computational domain related to such currents within one period. The unknowns’ induced currents are determined by reinforcing the boundary conditions that the tangential electric fields vanish on conducting cylinder surfaces. These techniques are versatile and simple to implement. However, they require the summation of electric fields generated by the currents on the cylinders. These fields are represented by a set of Hankel functions, which usually lead to a very slow convergence of the summation [11]. The convergence becomes even slower when the semi-unbounded waveguide presents large leaky-wave losses, leading to an ineffective calculation of propagation constant, whose accuracy can also be severely downgraded. On the other hand, analysis schemes based on the classical eigenvalue problem are generally formulated in two steps. First, a number of numerical methods, namely, the boundary integral-resonant mode expansion (BI-RME) [4], the finite-difference frequency domain (FDFD) [5], and the method of lines (MoL) [6], are used to calculate the transmission matrix of one period. Second, the Floquet–Bloch theorem is applied in obtaining propagation constants of any susceptible modes in the waveguide. The main disadvantage of such methods is the difficulty of accurately calculating the attenuation constant due to leakage. It becomes known that the analyses through calibration techniques are versatile and easy to implement. Furthermore, the method proposed in [8] has proved to be very accurate. Despite those attractive features, the methods are still slow

0018-9480/$20.00 © 2006 IEEE

DESLANDES AND WU: ACCURATE MODELING, WAVE MECHANISMS, AND DESIGN CONSIDERATIONS OF SIW

for design purposes, as they require full-wave simulations for two guiding structures of different length. The rows of cylinders can be represented by two complex impedance walls in the analysis based on the surface impedance concept. The propagation constant is then calculated either by applying a transverse resonance method [9] or by an iterative technique [10]. Such modeling strategies lead to simple methods for the analysis of SIWs. However, those proposed methods are limited to certain regular geometries. In [9], only square plates and truncated square plates were considered, while in [10] the method was restricted to structures having small cylinders compared with wavelength. The above discussions suggest that previously proposed methods are not well suited for both fast and accurate analysis of this periodic structure. In this paper, we propose and develop a novel method that is able to achieve a fast calculation of the complex propagation constant in SIWs. The proposed method is then applied to extract useful design rules and reveal interesting wave mechanisms of this planar waveguide. To be more specific, the proposed method on the basis of the concept of surface impedance calculated from the MoM is presented in Section II. Various wave guidance and leakage characteristics of the SIW are discussed in Section III. In Section IV, results obtained from this modeling technique are compared with results available in the literature, and, in Section V, an experimental validation is presented.

2517

Fig. 2. Top view of the SIW with the concept of impedance walls.

where and . With the help of separating the real and imaginary parts of (2), the distance between the reference planes and , denoted , can be found by solving the following quadratic equation: (3) in which

II. ANALYSIS METHOD It is known that the electromagnetic field of a mode in a rectangular waveguide can be represented by the superposition of two plane waves propagating at an angle with respect to the -axis (the propagation direction of energy). This angle is equal to 90 at the cutoff frequency . For a lossless waveguide, the real and imaginary parts of the propagation constant are equal to zero at . If the waveguide involves losses, there exists no frequency at which the complex propagation constant becomes null. The cutoff frequency is then defined such that the real part and the imaginary part of the propagation constant are equal

(1) At this frequency, the normal incident transverse electromagnetic (TEM) waves are scattered by the rows of metallic cylinders in the case of our synthetic SIW. Each row of cylinders can then be represented by a normalized impedance , which is calculated from the reflection coefficient by a well-known formula . This normalized impedance is further transformed into a normalized surface impedance following a methodology presented in [10]. Such two impedances, and , are related to each other as follows:

(2)

(4) Equations (2),–(4) formulated above correspond to [10, eqs. (5)–(7)], evaluated at the SIW cutoff frequency, with the notation shown in Fig. 2. The surface impedance becomes

(5) , the When the surface impedance is equal to zero waveguide is lossless and the equivalent width is simply given by . However, when the surface impedance is not zero, the equivalent width is no longer equal to half a wavelength because the impedance walls are reactive. The equivalent width can be calculated in this case using a transverse resonance procedure. The transverse equivalent circuit is shown in Fig. 3 with a symmetric magnetic wall in the center of the waveguide. The summation of the impedances looking into both sides of plane must be equal to zero, , which gives

(6) Solving (6) for

, we have

(7)

2518

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 4. Structure used to calculate the reflection coefficient of a plane wave scattered on a row of metallic cylinders.

Fig. 3. SIW transverse equivalent circuit.

The propagation constant in the waveguide frequency is given by

at the cutoff

, which In a rectangular waveguide, we have can be rewritten as . Therefore, we can formulate by

(8) (14) Separating the real and imaginary parts with and and substituting (1) into the real part of (8) leads to the following expression:

Substituting (14) into (7), the complex propagation constant is given by

(9)

(15)

(10)

where . The reflection coefficient of a plane wave normal incident on a row of metallic cylinders, calculated at a desired cutoff frequency, is sufficient to accurately characterize the SIW. This scattering problem can be solved with an MoM technique. Fig. 4 shows the structure of symmetric walls. The incident TEM wave induces -directed current on the cylinder. This current in turn generates transverse electric (TE) reflected waves. To solve this problem numerically, the current on the cylinder is discretized into -directed current filaments. The Green’s function of a current filament in a TEM waveguide in terms of waveguide modes is given, following the procedure presented in [13], by

Combining (9) and (7), we have

Solving (10) for function of as

, we can obtain the equivalent width as a

(11) The distance between the two rows of cylinders is finally given by (16) (12)

where

The guide is now completely characterized at the cutoff frequency. However, the surface impedance is a function of the incident angle of the plane wave . When a plane wave is incident on a surface impedance, the value of the surface impedance is proportional to the cosine function of the incident angle [12, p. 200]. We then have

The moment solution for EM scattering by a single inductive post in a rectangular waveguide presented in [14] is applied to the present problem. The incident field is expressed as

(13)

(17)

and

if if

DESLANDES AND WU: ACCURATE MODELING, WAVE MECHANISMS, AND DESIGN CONSIDERATIONS OF SIW

2519

The reflected field by the th current filament is given by (18) Applying the boundary condition on the surface of cylinder , we have

(19) points on The currents are calculated by evaluating (19) at the surface of cylinder. Finally, the reflection coefficient in the plane of cylinder is found by

(20) where and is the -axis position of the th current filament. Accurate results are obtained with 20 current filaments per one wavelength circumference in accordance with the results discussed in [14]. Also, the truncation of infinite series in (16) is required. The truncation error can be evaluated using the power conservation law [14]. It is found that 50 modes are sufficient to obtain an error of less then 0.1%. The fundamental difference between the technique presented in this section and the other surface impedance methods discussed in [9] and [10] lies in the approach to calculating the surface impedance. In the present case, a semi-analytical formulation is solved with an MoM technique. In this way, any topology can be accounted for and the analysis is not limited anymore to small posts or truncated squares, as in [9] and [10]. Furthermore, any cross sections of the post can be considered using the proper surface in (19). The calculation of electric field in (18) is limited to the inside of the TEM waveguide shown in Fig. 4. The Green’s function of a current filament placed inside this waveguide is written in terms of waveguide modes in (16). In this way, the convergence problem resulting from the summation of Hankel functions in [2] and [3] is effectively avoided. III. FUNDAMENTAL SIW CHARACTERISTICS

Fig. 5.  parameter varying from 0.7 to 1.1 as functions of the post diameter and period length normalized to the cutoff wavelength.

Fig. 6. Leakage losses varying from 10 to 10 Np/rad as functions of the post diameter and period length normalized to the cutoff wavelength.

implies a physical superposition of the cylinders. This graph gives an interesting qualitative outline of the SIW behavior as a function of its principal design parameters. B. Leakage Losses

A. Design Graph The equivalent width of an SIW is a function of the period length and the diameter of cylinders. However, it is possible to normalize these two parameters with respect to the cutoff frequency wavelength. A useful design parameter can then be extracted, namely, the ratio between the equivalent width and the distance between the two rows of cylinders (21) The curves in the planes of for different values of are shown in Fig. 5. The section in which delimited by a dotted line, is impossible to realize because it

When the period length increases, the EM field may no longer be confined within the two arrays of cylinders. Part of the energy may also propagate outside the two rows of cylinders, thus resulting in leakage losses. These losses arise in the form of a coupling between the waveguide mode and the parallel-plate modes. On the basis of (15), the attenuation curves are calculated in the plane of . These values are obtained at the center of the operating bandwidth, at . Fig. 6 shows such characteristic curves of varying from 10 to 10 Np/rad. It is of critical importance to know from which point the leakage losses in the SIW become prohibitive. We can use the conventional rectangular waveguide equation to calculate the dielectric and conductor losses in an SIW [6]. Analytical equa-

2520

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

C. Bandgap Effects Periodic structures are subject to electromagnetic bandgaps or stopband effects. In the design of SIW components, one must ensure that there is no bandgap over the waveguide bandwidth of interest. The method presented in Section II, nevertheless, does not allow the evaluation of these bandgaps. However, it is possible to calculate the maximum period length allowed avoiding bandgaps in the operating bandwidth. The bandgaps appear in a periodic structure in accordance with the following condition: (25)

Fig. 7. Guided-wave region, leaky-wave region, and wave-forbidden region in the plane of d= ; p= .

. Equation (25) is used to The first bandgap appears when find the period length required to generate the bandgap centered at the end of the waveguide operating bandwidth . If the leakage losses are small, we have and

tions for the dielectric and conductor losses in a rectangular waveguide, given in [12, pp. 340, 351], can be rewritten as (22)

(26) Substituting (26) into (25), we obtain

(23) where represents the dielectric loss factor, and is the conductivity of the metal. The SIW is an interesting practical structure over the frequency range of 10–300 GHz judging from the existing low-cost dielectric materials and microfabrication techniques. Dielectric substrates used in this frequency band have a relative permittivity that usually ranges from 2 to 13 (high dielectric constant materials may also be used in the design of SIW components at frequencies lower than 10 GHz). The dielectric loss factor of these substrates lies in between 10 and 10 . Furthermore, the SIW circuits are generally covered with copper having a conductivity . Combining in a suitable way these parameters in (22) and (23), we figure out that the order of magnitude for the dielectric and conducting losses is about the same and ranges between 10 and 10 Np/rad. Therefore, dimensions and must be selected in order to obtain leakage losses lower than 10 Np/rad so as to not increase the total waveguide losses, as indicated in Fig. 7. The leakage losses can also be used to design leaky wave antennas. In this case, the leakage losses must be larger than the dielectric and conductor losses in waveguide such that nepers/radians. A large leakage losses region is also shown in Fig. 7. The area between the low and high leakage losses should be avoided. This is because its losses are too high to design passive components but too weak to design effective antennas. The method presented in Section II can be used to only calculate the leakage losses. The dielectric and conductor losses must be added on to evaluate the total waveguide losses. Using (22) and (23), the total losses are given by (24) where

.

(27) This equation presents the condition to have a bandgap centered at . Fig. 8 shows the and diagrams for structures having a period for three different values of cylinder diameter and . These diagrams are obtained by using a method presented in [8]. The bandgap is obvious in the diagram and is centered at , as predicted. The bandwidth of the bandgap increases when the diameter of the cylinder decreases. Furthermore, half of the bandgap appears inside the single-mode operation bandwidth. A more restrictive condition, for example, , should then be used to avoid any bandgap effects in the operating bandwidth. D. High-Order Modes The method discussed here can be used to calculate the propagation constant of any modes. However, the proposed method is not applicable to all other high-order modes. In the SIW structure, the side walls have physical discontinuities which prevent the -directed currents to circulate. All of the modes having a -directed magnetic field, namely, , and , might present significant leakage losses if excited. In the design of -plane discontinuities, one must ensure that the structures do not present strong leakage losses. E. Design Rules There is a region in the plane of where the SIW is equivalent to a conventional rectangular waveguide that has negligible leakage losses and does not present any bandgap in its operating bandwidth. This region is defined by (28a) (28b)

DESLANDES AND WU: ACCURATE MODELING, WAVE MECHANISMS, AND DESIGN CONSIDERATIONS OF SIW

Fig. 9. Region of interest for the SIW in the plane of d= (27).

0

p 0k

Fig. 8. (a) k diagram. (b) presented in [8], with p= = 1=2 3.

diagram obtained with a method

(28c) (28d) The condition (28a) states that the period length must be larger than the cylinder diameter so that the circuit is physically realizable. The condition (28b) is required to avoid any bandgap in the operating bandwidth. To be negligible, the leakage losses must respect the condition (28c). Finally, a nonessential but desirable condition for the manufacturing process is to minimize the number of cylinders. These cylinders are usually mechanically drilled and chemically electroplated, and the production time is proportional to their number. Moreover, if the length of the period is very small, the mechanical rigidity is adversely affected. The number of cylinders should therefore not exceed 20 per wavelength, as stated in (28d). The region defined in (28) is shown in Fig. 9. IV. COMPARISONS WITH OTHER PUBLISHED RESULTS A. Transcendental Equation With MoM First of all, the results presented in [2, Fig. 5] are compared with those obtained by our method in Fig. 10. A major differ-

2521

; p=

defined by

ence is visible. In order to explain this difference, we have implemented the method with a spectral discretization [3]. Our first observation is that the convergence of the method is extremely slow in accordance with [11]. In fact, with the available resources, it is impossible to obtain a converged result. Contrary to [11], the current on each cylinder is not the same but is related with . Even with very small leakage losses, the current increases infinitely for cylinders on the negative -axis. The convergence problem is obvious when we look at [15, Fig. 16], where we can see that the attenuation coefficient decreases as the period length increases. These results thus lead us to conclude that the MoM as described in [2] and [3] does not offer an appropriate degree of accuracy. B. Eigenvalue Problem and Calibration Techniques With Full-Wave Simulations The results presented in [8, Figs. 5 and 6] are compared with those of our method in Fig. 11. In [8], two techniques were used, namely, a classical eigenvalue problem combined with an FDFD numerical method and a calibration technique combined with a finite-element-method (FEM) numerical method. All three techniques agree very well over the entire waveguide bandwidth. The calibration technique combined with the FEM numerical method has been used to compare several other points in the plane of . The correlation is very good for all values compared. The computational time to evaluate the propagation constant using the calibration technique combined with an FEM numerical method is usually more than 15 min for 31 points over the frequency range of 15–30 GHz. With the method presented in Section II, the computational time is reduced to less than 3 s for 301 points spread over the same bandwidth. Both results have been obtained on the same PC, i.e., a 2-GHz Pentium 4 with 512 MB of RAM. Furthermore, an experimental validation was presented in [8]. Those results are compared with our method in Fig. 12. A very good agreement between calculated and measured results can be observed.

2522

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 10. Comparison between the results presented in [2, Fig. 5] and those obtained by our method. (a) Attenuation constant. (b) Propagation constant with d = 0:6 mm, a = 4:43 mm, " = 2:17, and f = 40 GHz.

Fig. 11. Comparison between the results presented in [8, Figs. 5 and 6] and those obtained by our method. (a) Attenuation constant. (b) Propagation constant with d = 0:8 mm, p = 2:0 mm, a = 7:2 mm, b = 0:508 mm, and " = 2:33.

C. Surface Impedance The method presented in [10] is valid in the region delimited by (29a) (29b) This region and the region defined in (28) are compared in Fig. 13. It is obvious that the method presented in [10] is not valid to analyze SIWs of low leakage losses. However, this method can be used to design SIW leaky-wave antennas. At a certain point, the width of an equivalent guide is equal to the distance between the two lines of metallic cylinders, that is, . It is stated that these two distances are identical when [10]. However, our results show that it is a ratio which gives .

Fig. 12. Comparison between the measured attenuation constant presented in [8, Fig. 4] and those obtained by our method with d = 0:8 mm, p = 2:8 mm = 7:2 mm, b = 0:508 mm, and " = 2:33.

D. Empirical Analytical Equations In [4, eq. (5)], an empirical analytical equation was proposed to determine the equivalent width of guides. This equation is compared with our method in Fig. 14. The error increases when

decreases. Moreover, the proposed equation in [4] cannot be used to evaluate SIWs having . An improved equation was proposed in [16, eq. (9)]. However, our comparison shows that

DESLANDES AND WU: ACCURATE MODELING, WAVE MECHANISMS, AND DESIGN CONSIDERATIONS OF SIW

Fig. 13. Comparison between the region of validity for a method presented in [10] and the region of interest defined in (28).

Fig. 14. Comparison of  calculated with (21) and [4, eq. (5)].

2523

Fig. 15. Comparison of  calculated using (21) and [6, eq. (21)]. The dashed quadrilateral shows the 1% accuracy region.

Fig. 16. Comparison of =k calculated using (15) and [6, eq. (22)].

the improvement is not significant; both equations yield almost the same results. A more accurate equation was proposed in [6, eq. (21)]. As we can see in Fig. 15, the correlation is good for , but decreases when

In [7] two design rules were presented. At the center of the operating bandwidth, these rules can be rewritten as follows:

(31a) (31b)

(30) However, if (28a) is replaced by (30), which, from the manufacturing point of view, is usually a necessary condition, the accuracy of this equation is better than 1% in the region of low leakage losses shown in Fig. 15. An equation for the attenuation constant was also proposed in [6, eq. (23)]. A comparison between this equation and our method is presented in Fig. 16. The accuracy of this equation is also excellent for the low-leakage-losses region.

The design rule (31a) corresponds to the design rule (28b). However, the condition (31a) was not properly defined because it includes bandgaps in the operating bandwidth. The design rule (31b) corresponds to the design rule (28c). Indeed, Fig. 17 shows similarities between the two curves. A sufficient condition to ensure negligible leakage losses in the SIW is to have a cylinder diameter two times smaller than the period length. The design rule (31b) can then more simply replace the design rule (28c). However, it is more restrictive.

2524

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 17. Comparison between the design rule (28c) and the design rule (30b).

Fig. 19. Comparison of calculated and measured results for the following structure: d = 0:775 mm, p = 5:165 mm, a = 11:759 mm, b = 0:508 mm, and " = 2:2. (a) Attenuation constant. (b) Propagation constant. Fig. 18. Comparison of calculated and measured propagation constants for the following structure: d = 1:778 mm, p = 3:556 mm, = 8:210 mm, b = 3:556 mm, and " = 1.

V. EXPERIMENTAL RESULTS In order to validate the method presented in Section II, three circuits were fabricated and measured. The first example consists of a waveguide of low leakage losses. Dimensions of the structure are the following: mm, mm, mm, mm, and . This guide corresponds to a WR28 with . In this example, we choose an air-filled SIW to minimize the dielectric and conductor losses. Coaxial transitions were used to excite the SIW. The method presented in [10] is used to extract the propagation constant from the measurements of two circuits having different lengths. We found that this method is very sensitive, and the measurement of very low attenuation constant is also impossible. A very small measurement error produces a large variation in attenuation constant. Results of the propagation constant are presented in Fig. 18. It is only possible to extract the propagation constant in the operating bandwidth of

transitions, which are designed from 25 to 40 GHz. The agreement is good over the entire bandwidth. A circuit with high leakage losses was fabricated to measure the attenuation constant in a more accurate manner. The structure dimensions are selected as follows: mm, mm, mm, mm, and . These dimensions give , which is located in the high-leakage-loss region. The transition presented in [17] is used to excite the SIW. Calculated and measured results are presented in Fig. 19. The agreement is still very good. The dominant error source is related to the finite width of the measured circuit that creates reflections and thus affects the measured results. Finally, a third structure was fabricated to measure the dielectric and conductor losses in order to validate the use of standard waveguide equations for these losses. The dimensions for the structure are as follows: mm, mm, mm, mm, and with and . With these dimensions, the leakage losses are negligible compared with the conductor losses. Microstrip transitions are also used to excite the structure. Results are presented

DESLANDES AND WU: ACCURATE MODELING, WAVE MECHANISMS, AND DESIGN CONSIDERATIONS OF SIW

2525

highlight our analysis and interesting wave mechanisms of the SIW structure REFERENCES

Fig. 20. Comparison of calculated and measured results for the following structure: d = 0:635 mm, p = 1:016 mm, a = 3:970 mm, b = 0:254 mm, and " = 9:9. (a) Attenuation constant. (b) Propagation constant.

in Fig. 20. The measurement sensitivity is evident by looking at Fig. 20(a). However, the calculated value of the losses is a good average value. VI. CONCLUSION This paper has presented a simple and fast method to accurately calculate the complex propagation constant of an SIW that involves losses. The reflection coefficient of a normal incident plane wave on a conducting cylinder is used to determine the complex propagation constant of an SIW in its operating bandwidth. With the proposed method, we have investigated the fundamental wave-propagation properties of this structure in terms of leakage losses, high-order modes, and bandgap effects. Design rules have then been extracted that allow an appropriate choice of various physical parameters such as the cylinder diameter and the period length as a function of the desired cutoff frequency. In addition, a comprehensive review of available methods used to analyze SIWs has pointed out problems in different analysis methods and misconceptions about guided-wave behaviors of SIWs. We have also studied the accuracy of previously proposed empirical analytical equations, and, finally, experimental validations have been made to

[1] F. Shigeki, “Waveguide line,” (in Japanese) Japan Patent 06-053 711, Feb. 25, 1994. [2] J. Hirokawa and M. Ando, “Single-layer feed waveguide consisting of posts for plane TEM wave excitation in parallel plates,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 625–630, May. 1998. [3] D. Pissoort and F. Olyslager, “Study of eigenmodes in periodic waveguides using the Lorentz reciprocity theorem,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 542–553, Feb. 2004. [4] Y. Cassivi, L. Perregrini, P. Arcioni, M. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 333–335, Sep. 2002. [5] F. Xu, Y. Zhang, W. Hong, K. Wu, and T. J. Cui, “Finite-difference frequency-domain algorithm for modeling guided-wave properties of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2221–2227, Nov. 2003. [6] L. Yan, W. Hong, K. Wu, and T. J. Cui, “Investigations on the propagation characteristics of the substrate integrated waveguide based on the method of lines,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 152, pp. 35–42, Feb. 2005. [7] D. Deslandes and K. Wu, “Design consideration and performance analysis of substrate integrated waveguide components,” in Proc. 32th Eur. Microw. Conf., Milan, Italy, Sep. 2002, vol. 2, pp. 881–884. [8] F. Xu and K. Wu, “Numerical multimode calibration technique for extraction of complex propagation constants of substrate integrated waveguide,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 1229–1232, Jun. 2004. [9] A. Zeid and H. Baudrand, “Electromagnetic scattering by metallic holes and its applications in microwave circuit design,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1198–1206, Apr. 2002. [10] J.R. Bray and L. Roy, “Resonant frequencies of post-wall waveguide,” Proc. IEE Microw. Antennas Propag., vol. 150, pp. 365–368, Oct. 2003. [11] P. G. Li, A. T. Adams, Y. Leviatan, and J. Perini, “Multiple-post inductive obstacles in rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 4, pp. 365–373, Apr. 1984. [12] R. E. Collin, Field Theory of Guided Waves, 2nd ed. Pîscataway, NJ: IEEE Press, 1990, p. 200. [13] C. A. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1989, pp. 894–896. [14] Y. Leviatan, P. G. Li, A. T. Adams, and J. Perini, “Single-post inductive obstacle in rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 10, pp. 806–812, Oct. 1983. [15] M. Ando, J. Hirokawa, T. Yamamoto, A. Akiyama, and N. Goto, “Novel single-layer waveguides for high-efficiency millimeter-wave arrays,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 6, pp. 792–799, Jun. 1998. [16] F. Xu and K. Wu, “Guided-wave and leakage characteristics of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 66–73, Jan. 2005. [17] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001.

Dominic Deslandes (S’04–M’06) received the B.Sc. degree in electrical engineering from the University of Sherbrooke, Sherbrooke, QC, Canada, in 1998, and the M.Sc. and Ph.D. degrees from the Ecole Polytechnique de Montreal, Montreal, QC, Canada, in 2001 and 2005, respectively, all in electrical engineering. He is currently a Post-Doctoral Research Fellow involved with the analysis and design of microwave and millimeter-wave passive substrate integrated components and systems with the Ecole Polytechnique de Montreal, Montreal, QC, Canada. Dr. Deslandes was the recipient of the Best Student Paper Award at the 2005 Asia-Pacific Microwave Conference (APMC’05).

2526

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Ke Wu (M’87–SM’92–F’01) is a Professor of electrical engineering and the Tier-I Canada Research Chair in Radio-Frequency and Millimeter-Wave Engineering at the École Polytechnique, Montreal, QC, Canada. He was a Visiting or Guest Professor with many universities around the world. He also holds an honorary visiting professorship and a Cheung Kong endowed chair professorship with Southeast University, Nanjing, China, and an honorary professorship at the Nanjing University of Science and Technology, Nanjing, China. He has been the Director of the Poly-Grames Research Center as well as the Founding Director of the Canadian Facility for Advanced Millimeter-wave Engineering (FAME). He has authored or coauthored over 450 referred papers and several books/book chapters. His current research interests involve substrate integrated circuits, antenna arrays, advanced computer-aided design and modeling techniques, and development of low-cost RF and millimeter-wave transceivers. His interests are also in the modeling and design of microwave photonic circuits and systems. He serves on the Editorial Board of Microwave Journal, Microwave and Optical Technology Letters, and Wiley’s Encyclopedia of RF and Microwave Engineering. He is an Associate Editor of the International Journal of RF and Microwave Computer-Aided Engineering. Dr. Wu is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities) and a member of the Electromagnetics Academy, Sigma Xi, and URSI. He has held many positions in and has served on various international committees, including the vice chairperson of the Technical Program Committee (TPC) for the 1997 Asia–Pacific Microwave Conference, the General Co-Chair of the

1999 and 2000 SPIE’s International Symposium on Terahertz and Gigahertz Electronics and Photonics, the general chair of the 8th International Microwave and Optical Technology (ISMOT’2001), the TPC Chair of the 2003 IEEE Radio and Wireless Conference (RAWCON’2003), and the general co-chair of the RAWCON’2004. He has served on the Editorial or Review Boards of various technical journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He served on the 1996 IEEE Admission and Advancement Committee and the Steering Committee for the 1997 joint IEEE Antennas and Propagation Society (AP-S)/URSI International Symposium. He has also served as a TPC member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium. He was elected to the Board of Directors of the Canadian Institute for Telecommunication Research (CITR). He is currently the chair of the joint IEEE chapters of MTTS/APS/LEOS in Montreal, QC, Canada, the chapter coordinator for MTT-S Region 7, and the vice chair of the IEEE MTT-S Transnational Committee. He was the recipient of a URSI Young Scientist Award, the Oliver Lodge Premium Award of the Institute of Electrical Engineer (IEE), U.K., the Asia-Pacific Microwave Prize, the University Research Award ”Prix Poly 1873 pour l’Excellence en Recherche” presented by the École Polytechnique on the occasion of its 125th anniversary, the Urgel-Archambault Prize (the highest honor) in the field of physical sciences, mathematics, and engineering from the French-Canadian Association for the Advancement of Science, and the 2004 Fessenden Medal of the IEEE Canada. In 2002, he was the first recipient of the IEEE MTT-S Outstanding Young Engineer Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2527

A New Broadband Monolithic Passive Differential Coupler for K=Ka-Band Applications Karim W. Hamed, Student Member, IEEE, Alois P. Freundorfer, Senior Member, IEEE, and Yahia M. M. Antar, Fellow, IEEE

Abstract—A new broadband monolithic passive quadrature differential coupler has been realized at millimeter-wave frequencies. The new coupler is implemented on a multidielectric layer structure to achieve a broadband performance from 15 to 45 GHz in a simple coplanar configuration. The coupler has been monolithically fabricated using the IBM SIGEHP (BICMOS 5HP) process. Measured return loss better than 20 dB, with an isolation of 15 dB, and adequate phase and amplitude mismatch have been achieved over 3 : 1 bandwidth centered at 30 GHz. This performance is especially important to the intended use with wideband double-balanced mixers and amplifiers. To shrink the overall coupler area, a slow-wave technique has been introduced, resulting in an overall size reduction of the coupler by almost 38%. The fabricated slowwave differential coupler measured results show return loss better than 25 dB, isolation better than 15 dB, and phase and amplitude mismatch within 5 and 1 dB, respectively. Index Terms—Balanced mixers, differential coupler, monolithic microwave integrated circuits (MMICs), passive devices, quadrature hybrids, SiGe process.

coupler is implemented monolithically in a compact and simple coplanar configuration. It utilizes a combination of edge coupling between adjacent strip conductors and broadside coupling between strip conductors on two different metallization layers to achieve the tight differential coupling required over a wide frequency band from 15 to 45 GHz. The coupler monolithic implementation is discussed in Section II. Theoretical analysis and approximate design equations for the coupler are presented in Section III. This is followed by the experimental results of the fabricated differential coupler in Section IV. A slow-wave technique that was applied to the coupler to reduce its overall size is described in Section V. Experimental results on the reduced size differential coupler are presented in Section VI. Finally, conclusions and discussions are presented in section VII. II. COUPLER MONOLITHIC IMPLEMENTATION

I. INTRODUCTION ALANCED amplifiers, mixers, phase shifters, and discriminators are widely used microwave circuits. Many of these circuits require broadband 3-dB quadrature couplers, which, at higher frequencies, are traditionally realized either by using tightly coupled interdigitated multiconductor lines, such as the Lange coupler, or by using broadside coupled lines [1]–[6] On the other hand, most analog integrated circuits are often differential in order to be less sensitive to common mode perturbation. Circuits with double-balanced mixers are widely used at millimeter-wave frequencies [7]–[9]. Utilizing such components necessitates the use of differential signals. To realize quadrature differential signals, millimeter-wave circuit designers normally use a single-ended quadrature coupler followed by a balun [10]. To the best of our knowledge, the concept of wideband differential couplers has not been reported previously in the literature. In this paper, we report on a novel wideband monolithic quadrature differential coupler for monolithic-microwave integrated-circuit (MMIC) applications. Some preliminary simulation results of this coupler were reported in [11]. The

B

Manuscript received September 6, 2005; revised January 18, 2006. This work was supported by the Natural Sciences and Engineering Research Council of Canada and by Queen’s University. K. W. Hamed and A. P. Freundorfer are with the Electrical Engineering Department, Queen’s University, Kingston, ON, Canada K7l 3N6 (e-mail: [email protected]). Y. M. M. Antar is with the Electrical and Computer Engineering Department, Royal Military College of Canada, Kingston, ON, Canada K7K 7B4. Digital Object Identifier 10.1109/TMTT.2006.875809

Fig. 1 illustrates the monolithic implementation for the proposed coupler using the IBM SIGE (BICMOS 5HP) process. As can be seen, the coupler is basically composed of four parallel strip conductors in which two conductors are located on the last metal (third metallization) layer (LM) and the other two conductors are located on the bottom (second metallization) layer (ME2). The two metal layers are separated by an oxide layer of thickness 1.2 m and dielectric constant ( ). All conductors have the same width ( ) and gap spacing ( ). The whole circuit sits on a silicon substrate ( and S/m) of 250- m thickness. All the input–output differential lines are 50- coplanar strip (CPS) transmission lines, and are implemented using the top layer (LM). Each of the four strip conductors is connected to its partner input–output line. Transitions between LM to ME2, which are necessary to connect the coupled section to the output lines, are realized through vias, which are available in the process. These connecting lines were designed to be as short as possible such that their effect on the coupler performance will be negligible. The coupled length is chosen to be 90 at a center frequency of 30 GHz. As can be seen in Fig. 1, if a differential RF signal is input from port 1, the coupler outputs differential signals to port 3 (coupled) and port 2 (through). In this case, port 4 is the isolated port. III. THEORETICAL ANALYSIS Exact theoretical analysis of the proposed differential coupler is difficult to realize because of the complex arrangement of the geometry, which involve both edge coupling and broadside coupling, and three material layers. Therefore, approximate design

0018-9480/$20.00 © 2006 IEEE

2528

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 1 (a) Monolithic implementation of the wideband differential coupler. (b) Cross section through the coupler at location xx shown in Fig. 1(a).

Fig. 2 (a) Cross section of the proposed differential coupler at location xx illustrated in Fig. 1(a). (b) Coupler equivalent capacitance network.

Fig. 4 (a) Even-mode excitation for the proposed differential coupler. (b) Approximate equivalent model for even-mode capacitance.

leads to an odd-mode equivalent capacitance, which is like a parallel-plate capacitor, and the capacitance per unit length can be evaluated using

(1)

Fig. 3 (a) Odd-mode excitation for the proposed differential coupler. (b) Equivalent odd-mode capacitance.

equations based on the even- and odd-mode analysis have been developed to obtain a rough estimate of the coupler critical dimensions (i.e., conductors widths , the gap spacing , and the coupled length ). These dimensions will result in the tight coupling that is required over the wide frequency band from 15 to 45 GHz, and is centered at 30 GHz. The design will then be further optimized using a full-wave electromagnetic simulator to obtain the coupler final dimensions. A cross section of the proposed differential coupler together with its equivalent capacitances network is shown in Fig. 2. A. Odd-Mode Analysis Fig. 3 shows the odd-mode excitation for the proposed differential coupler. As can be seen, the electric field lines will have even symmetry about the center line of the structure, and no current flows between the adjacent strip conductors. Instead, the fields will be mainly confined within the upper and lower conductors (broadside coupling), as illustrated in Fig. 3. This

where is the inter-metal dielectric thickness, and is the conductor width. The odd-mode characteristics impedance ( ) will be defined as

(2) is the phase velocity and is the coupling factor where and is equal to 0.7, assuming 3-dB coupling. By substituting (2) into (1), the conductor width ( ) can be calculated as

(3) where is the inter-metal dielectric thickness and is speed of light. As can been seen, the conductor width mostly depends upon the inter-metal dielectric constant and its thickness . B. Even-Mode Analysis Fig. 4(a) illustrates the even-mode excitation for the proposed differential coupler. As can be seen from this figure, due to the complexity of field distribution, which involves both edge coupling (between conductors 1, 2 and 3, 4) and broadside coupling

HAMED et al.: NEW BROADBAND MONOLITHIC PASSIVE DIFFERENTIAL COUPLER FOR

(between conductors 1, 3 and 2, 4), the exact analysis of this excitation mode is tough to realize. Consequently, it is hard to evaluate the exact equivalent even-mode capacitance. To overcome this problem, a simple method to approximately calculate the even-mode capacitance has been developed. Fig. 4(b) shows the approximate model, where as can be seen, due to the small inter-metal dielectric thickness ( m) between conductor 1, 3 and conductor 2, 4, these two pairs of strip conductors could be approximated by two solid cylindrical conducgiven by tors with diameters

-BAND APPLICATIONS

2529

Fig. 5 Fabricated wideband differential coupler implemented in IBM SIGEHP5 technology (0.6 1.5 mm ).

2

(4) where is the metal thickness of the bottom strip conductor, is the metal thickness of the top strip conductor, and is the inter-metal dielectric thickness. Also as can be seen from Fig. 4, the separation ( ) between their center lines is equal to the gap spacing between the strip conductors plus the conductor diameter . Accordingly, the equivalent total capacitance per unit length between the four strip conductors will be reduced to the capacitance per unit length for the two-wire transmission lines, which is given by [12] (5)

Since this total capacitance represents the overall capacitance between the four strip conductors, the equivalent approximate even-mode capacitance will simply be (6)

is the effective dielectric constant, and was set to where be approximately equal to that of the oxide ( ). For the IBM SIGEHP5 process, the inter-metal dielectric thickness m, the thickness of the bottom metal, M2, m, and the last metal thickness, LM, m. Given those process parameters, the coupler dimensions can be estimated using (3), (8), and (9) and they are found to be m, m, and m. These approximate dimensions were then optimized for optimum performance using full-wave electromagnetic simulators. In order to gain more confidence in the simulation results, the proposed coupler was simulated using two different full-wave electromagnetic simulators, i.e., Zeland’s IE3D (two-and-one-half-dimensional (2.5-D) method-of-moments-based simulator), and Ansoft’s High Frequency Structure Simulator (HFSS) (full three-dimensional (3-D) finite-element-method-based simulator). The coupler final dimensions were found to be m, mm and m. As can be seen, these optimum dimensions obtained based on electromagnetic simulators are close to those values estimated from the approximate design equations developed. This provides confidence in this theoretical analysis presented earlier.

and, consequently, the even-mode impedance will be reduced to IV. DIFFERENTIAL COUPLER EXPERIMENTAL RESULTS (7)

By substituting (6) into (7), the gap spacing between the conductors can be evaluated to be

(8) As can be seen from (8), the gap spacing mostly depends upon the inter-metal dielectric constant and its thickness in addition to the metal thickness. Since the coupler is designed to operate over the frequency band from 15 to 45 GHz, the coupled length will be primarily set to be equal to the quarter-wavelength at 30 GHz. Accordingly, the coupled line length could be easily evaluated by using (9)

A photograph of the differential broadband monolithic coupler fabricated using IBM SIGEHP (BICMOS 5HP) process is shown in Fig. 5. The coupler along with the probe pads measures 0.6 1.5 mm . However, in a typical application, the actual area occupied by this coupler is 0.14 1.2 mm . The measured and simulated -parameters (in Zeland’s IE3D software) of the proposed differential coupler are shown in Figs. 6 and 7. As can be seen, there is close agreement between the measured and simulated performance of the coupler. A measured return loss better than 20 dB was achieved over the entire band from 15 to 45 GHz with isolation better than 15 dB. The coupler insertion loss is around 4.5 dB. Taking into consideration the 3-dB power split, this suggests coupler losses (i.e., substrate losses, conductor losses, etc.) around 1.5 dB. The phase and amplitude mismatch between the through (port 2) and the coupled (port 3) ports are essential parameters in assessing the coupler performance. Ideally, the output from the through and the coupled ports of the coupler should be 90 out-of-phase with equal power split with respect to the input port ( dB). However, there always exists some

2530

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 6 Measured and simulated return loss and isolation for the fabricated broadband differential coupler.

Fig. 7 Measured and simulated through and coupled output for the fabricated broadband differential coupler.

deviation from the ideal case. Accordingly, in this paper, the term amplitude and phase mismatch are defined as Amplitude mismatch Phase mismatch

dB Phase

dB Phase

(10) (11)

The measured and simulated amplitude and phase mismatch of the fabricated monolithic broadband differential coupler are shown in Figs. 8 and 9, respectively. Again, it can be seen that close agreement between the measured and simulated results has been achieved with measured amplitude and phase imbalance less than 3 dB and 10 from the nominal 90 , respectively, over the 15–45-GHz frequency band. V. SLOW-WAVE MONOLITHIC WIDEBAND DIFFERENTIAL COUPLER An attractive characteristic that could be added to the wideband differential coupler previously discussed is size reduction. Accordingly, the next goal is to develop a technique that is capable of reducing the overall coupler size without affecting the good performance obtained. The technique should also utilize the same fabrication process without any additional processing

Fig. 8 Measured and simulated amplitude mismatch of the fabricated broadband differential coupler.

Fig. 9 Measured and simulated phase mismatch of the fabricated broadband differential coupler.

that could add any extra complexity to the coupler implementation. As mentioned earlier, the coupled length is designed to be a quarter-wavelength at 30 GHz, which corresponds to an electrical length ( ) given by (10) In order for the coupler to operate as designed, its electrical length should be kept constant. Therefore, in order to be able to reduce the coupled length , without affecting the electrical length, the propagation constant must be increased. This could be realized through using a slow-wave structure. However, the slow-wave technique that will be used should slow down both the even- and odd-mode phase velocities in a manner that will help enhance the coupler directivity. Fig. 10 shows a typical slow-wave technique that has been used with the proposed differential coupler. Corrugating the adjacent edges of the lines in the manner pictured slows down both the even- and the oddmode phase velocities. Consequently, it will result in an overall increase in the propagation constant, as required, without affecting the coupler performance. The depth of the corrugation

HAMED et al.: NEW BROADBAND MONOLITHIC PASSIVE DIFFERENTIAL COUPLER FOR

-BAND APPLICATIONS

2531

Fig. 10 (a) Top view of the regular straight differential coupler. (b) Top view of the corrugated slow-wave differential coupler. Fig. 12 Measured and simulated return loss and isolation for the fabricated slow-wave broadband differential coupler.

Fig. 11 Fabricated slow-wave wideband differential coupler implemented in IBM SIGEHP5 technology (0.6 1.297 mm ).

2

( ) and the periodicity of the structure ( ) will play a significant role in determining the propagation constant. It is evident that the deeper the corrugation ( ) and the smaller the periodicity ( ), the higher the propagation constant, consequently, resulting in a shorter coupled length. In order to achieve size reduction without affecting the coupler performance, the slow-wave structure dimensions should be designed in order to obtain the same performance from both the corrugated differential coupler and the one previously presented. This could be realized by setting the average conductor width and average gap spacing of the corrugated coupler to be equal to the strip and gap spacing of the straight coupler, respectively, as depicted in Fig. 10. For the slow-wave differential coupler proposed in this paper, a trench of depth m and a period m has lead to 18.8% reduction in the coupled length (from 1195 to 970 m) over the regular coupler previously presented. VI. SLOW-WAVE DIFFERENTIAL COUPLER EXPERIMENTAL RESULTS A photograph of the slow-wave differential broadband monolithic coupler fabricated using the IBM SIGEHP (BICMOS 5HP) process is shown in Fig. 11. The coupler along with the probe pads measures 0.6 1.297 mm . However, in a typical application, the actual area occupied by this coupler is 0.1 1.037 mm , which estimates an overall area reduction of 38.2% over the regular differential coupler previously presented.

Fig. 13 Measured and simulated return loss and isolation for the fabricated slow-wave broadband differential coupler.

The measured and simulated -parameter of the proposed slow-wave monolithic differential coupler are shown in Figs. 12 and 13. As can be seen from these figures, close agreement between the measured and simulated results has been achieved. A measured return loss better than 25 dB was achieved over the entire band from 15 to 45 GHz with isolation better than 15 dB. A coupling factor of 4 dB has been achieved at 30 GHz, which is close to what was obtained from the regular differential coupler. The small coupling inaccuracy between the measured and simulated of Fig. 13 at lower frequencies can be attributed to the simulation setup used. Particularly, in order to reduce the extensive computational time required to simulate the slow-wave differential coupler, only the coupled length was simulated and neither the 50- input/output feed lines, nor the testing pads were included in the EM simulation. The measured and simulated amplitude and phase mismatch of the fabricated monolithic slow-wave differential coupler, are shown in Figs. 14 and 15. Again, there exists close agreement between the measured and simulated results, where as can be seen over the frequency band from 15 to 45 GHz, the measured amplitude mismatch

2532

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

using the IBM SIGE (BICMOS 5HP) process, and measurement results estimate excellent performance with return loss better than 25 dB, isolation better than 15 dB, phase and amplitude mismatch within 5 from the nominal 90 , and 1 dB, respectively. The proposed coupler is sufficiently compact in size and is an attractive configuration for future use in MMIC applications at the -band such as balanced mixers, multipliers, and push–pull amplifiers, particularly in view of the wide bandwidth it offers. REFERENCES Fig. 14 Measured and simulated amplitude mismatch of the fabricated slowwave broadband differential coupler.

Fig. 15 Measured and simulated phase mismatch of the fabricated slow-wave broadband differential coupler.

(Fig. 14) is within 1 dB, while the phase mismatch (Fig. 15) is within 5 from the nominal 90 . VII. CONCLUSION A novel broadband monolithic passive differential coupler has been introduced. The newly developed coupler was implemented and fabricated using the IBM SIGEHP (BICMOS 5HP) process. Simple analytical analysis for the developed differential coupler were performed and approximate design equations for the coupler have been derived. The coupler was also simulated using two different commercially available full-wave electromagnetic simulators, and close agreement with the analytical results was obtained. This provides confidence in the analysis, and a design was developed and optimized. The coupler’s measured and simulated results match favorably where over the frequency band from 15- to 45-GHz return loss better than 20 dB, isolation better than 15 dB, and phase and amplitude mismatch within 10 , and 2 dB, respectively, were measured. Further modifications were performed on the coupler to reduce its size and a slow-wave structure has been proposed. This led to an overall reduction of the coupler size by 38%. The slow-wave differential coupler was also fabricated

[1] G.-H. Ryu, D.-H. Kim, J.-H. Lee, and K.-S. Seo, “A novel 3-dB coupler for MMIC using air-gap stacked microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 10, no. 1, pp. 1–3, Jan. 2000. [2] T. Gokdemir, I. D. Robertson, Q. H. Wang, and A. A. Rezazadah, “K=Ka band coplanar waveguide directional couplers using threemetal-level MMIC process,” IEEE Microw. Guided Wave Lett., vol. 6, no. 2, pp. 76–78, Feb. 1996. [3] Y. Sun and A. P. Freundorfer, “A new overlay coupler for direct digital modulator in GaAs HBT,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1830–1835, Aug. 2004. [4] C. Wang and K. Chang, “A 3-D broadband dual-layer multiaperture microstrip directional coupler,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 5, pp. 160–162, May 2002. [5] T.-Y. Chang, C.-L. Liao, and C. H. Chen, “Coplanar-waveguide tandem couplers with backside conductor,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 6, pp. 214–216, Jun. 2003. [6] H. Okazaki and T. Hirota, “Multilayer MMIC broad-side coupler with a symmetric structure,” IEEE Microw. Guided Wave Lett., vol. 7, no. 6, pp. 145–146, Jun. 1997. [7] L. M. Burns, J. F. Jensen, W. E. Stanchina, R. A. Metzger, and Y. K. Allen, “DC-to-ku-band MMIC InP HBT double-balanced active mixer,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., San Francisco, CA, 1991, pp. 124–125. [8] K. W. Kobayashi, L. T. Tran, S. Bui, A. K. Oki, D. C. Streit, and M Rosen, “InAlAs/InGaAs HBT X -band double-balanced upconverter,” IEEE J. Solid-State Circuits, vol. 29, no. 10, pp. 1238–1243, Oct. 1994. [9] P. Weger, G. Schultes, L. Treitinger, E. Bertagnolli, and K. Ehinger, “Gilbert multiplier as an active mixer with conversion gain bandwidth of up to 17 GHz,” Electron. Lett., vol. 27, no. 7, pp. 570–571. [10] K. Hamed, “A Ka-band GaAs HBT direct conversion QPSK demodulator,” M.S. thesis, Dept. Elect. Eng., Queen’s Univ., Kingston, ON, Canada, 2002. [11] K. Hamed, A. Freundorfer, and Y. Antar, “A Novel 20 to 40 GHz monolithic passive differential coupler for MMICs applications,” in IEEE Int. Microw. Symp., Long Beach, CA, Jun. 12–17, 2005, Paper WE4E-3. [12] D. M Pozar, Microwave Engineering. Reading, MA: AddisonWesley, 1990.

Karim W. Hamed (S’00) received the B.Sc. degree (with honors) from Ain Shams University, Cairo, Egypt, in 1999, the M.Sc. degree from Queen’s University, Kingston, ON, Canada, in 2002, and is currently working towards the Ph.D. degree in electrical engineering at Queen’s University. His research is focused on the design and analysis of MMICs used in wireless communications. Mr. Hamed was the recipient of the Best Paper Award presented at the Gallium Arsenide Application Symposium (GaAs2003), Munich, Germany. He was also the recipient of a Natural Sciences and Engineering Research Council (NSERC) Postgraduate Scholarship (PGS) Award and an Ontario Graduate Scholarship (OGS) Award.

HAMED et al.: NEW BROADBAND MONOLITHIC PASSIVE DIFFERENTIAL COUPLER FOR

Alois P. Freundorfer (S’80–M’82–SM’04) received the B.A.Sc., M.A.Sc. and Ph.D. degrees from the University of Toronto, Toronto, ON, Canada in 1981, 1983 and 1989, respectively. In 1990, he joined the Department of Electrical Engineering, Queen’s University, Kingston, ON, Canada. Since then, he has been involved with nonlinear optics of organic crystals, coherent optical network analysis, as well as microwave integrated circuits. He is currently focused on monolithic microwave circuits used in lightwave systems with bit rates in excess of 40 Gb/s and on monolithic millimeter-wave integrated circuits used in wireless communications.

Yahia M. M. Antar (S’73–M’76–SM’85–F’00) was born in Meit Temmama, Egypt, on November 18, 1946. He received the B.Sc. (Hons.) degree from Alexandria University, Alexandria, Egypt, in 1966, and the M.Sc. and Ph.D. degrees from the University of Manitoba, Winnipeg, MB, Canada, in 1971 and 1975, respectively, all in electrical engineering. In 1966, he joined the Faculty of Engineering, Alexandria University, where he was involved with teaching and research. At the University of Manitoba, he held a University Fellowship and National Research Council of Canada (NRC) Postgraduate and Post-Doctoral

-BAND APPLICATIONS

2533

Fellowships. From 1976 to 1977, he was with the Faculty of Engineering, University of Regina. In June 1977, he was awarded a Visiting Fellowship from the Government of Canada to work with the Communications Research Centre, Department of Communications, Shirley’s Bay, Ottawa, ON, Canada, where he was involved in research and development of satellite technology with the Space Electronics Group. In May 1979, he joined the Division of Electrical Engineering, NRC, Ottawa, ON, Canada, where he was involved with polarization radar applications in remote sensing of precipitation, radio-wave propagation, electromagnetic scattering, and radar cross-sectional investigations. In November 1987, he joined the staff of the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada, where he has been a Professor since 1990. He holds an adjunct appointment with the University of Manitoba, and a cross-appointment with Queen’s University. In May 2002, he became the Holder of a Canada Research Chair (CRC) in Electromagnetic Engineering. He has authored or coauthored over 120 journal papers on these topics. He holds several patents. He has supervised or co-supervised over 50 Ph.D. dissertations and M.Sc. theses at the Royal Military College and Queen’s University of which three have received the Governor General Gold Medal. He is a member of the Editorial Board of the RFMiCAE Journal. His current research interests include polarization and radar studies, integrated antennas, and microwave and millimeter-wave circuits. Dr. Antar is a Fellow of the Engineering Institute of Canada (FEIC). He is an associate editor (Features) of the IEEE Antennas and Propagation Magazine and an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He has chaired conferences and sessions in numerous conferences. He is currently the chairman of the Canadian National Commission for Radio Science (CNC, URSI). He was the recipient of the 2003 Royal Military College of Canada Excellence in Research Prize.

2534

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Interactions Between 60-GHz Millimeter Waves and Artificial Biological Membranes: Dependence on Radiation Parameters Maxim Zhadobov, Student Member, IEEE, Ronan Sauleau, Senior Member, IEEE, Véronique Vié, Mohamed Himdi, Laurent Le Coq, and Daniel Thouroude

Abstract—Due to the increasing interest in millimeter-wave (MMW) applications for wireless communication systems, the investigation of their potential biological effects is of utmost importance. In this paper, we report experimental results of the study of interactions between low-power radiation at 60 GHz and artificial models of biological membranes. In the first part of this study, we demonstrate an increase of superficial pressure of phospholipid monolayers during MMW exposure. Two of the most prominent in quantity lipid constituents of biological membranes, dipalmitoylphosphatidylcholine and dioleoylphosphatidylcholine, are considered. The role of different radiation parameters, namely, power density, polarization, amplitude modulation, permanent, and discontinuous exposure, is discussed. The results have proved to be reproducible in independent experiments. In the second part of this study, through atomic force microscopy analysis, we investigate the influence of MMW radiation on the microdomain distribution in mixed phospholipid monolayers with phase separation. No significant modifications are observed in microdomain distribution after 5 h of exposure. The main outcomes of this study lead to the conclusion that short-term low-power MMW exposures result in an increase of lateral pressure of the phospholipid monolayer, but their influence is not sufficiently strong to disturb phospholipid microdomain organization in biomembranes. Index Terms—Artificial biomembranes, biological effects of electromagnetic radiation, Langmuir films, millimeter-wave (MMW) communication, phospholipid monolayer.

I. INTRODUCTION IOLOGICAL effects of microwaves have become the subject of numerous in vivo and in vitro studies after the widespread occurrence of wireless communication systems (e.g., GSM, UMTS, Bluetooth, WiFi, and LMDS) [1], [2]. Nowadays, the upcoming frequencies for indoor high-data-rate communications have shifted to the millimeter-wave (MMW) frequency range [3]. In particular, special properties of signal propagation and the small size of antennas make frequencies around 60 GHz suitable for short-range radio links in wireless local area networks (WLANs) [4]. These frequencies are absent

B

Manuscript received October 13, 2005; revised March 7, 2006. M. Zhadobov, R. Sauleau, M. Himidi, L. Le Coq, and D. Thouroude are with the Institute of Electronics and Telecommunications of Rennes, Unité Mixte de Recherche Centre National de la Recherche Scientifique 6164, University of Rennes 1, 35042 Rennes, France (e-mail: [email protected]; [email protected]). V. Vié is with the Group of Condensed Matter and Materials, Unité Mixte de Recherche Centre National de la Recherche Scientifique 6626, University of Rennes 1, 35042 Rennes, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875811

in the environmental electromagnetic (EM) spectrum because of the strong resonant oxygen-induced radiation absorption in the atmosphere (16 dB/km) [5]. Consequently, frequencies around 60 GHz are not natural for the living beings and the knowledge of their possible impacts on biological systems, particularly on the human body, is of utmost importance. It is interesting to note that low-intensity MMW exposure (1–10 mW/cm ) is used for therapeutic purposes [6]. It is officially approved in a few countries as an effective treatment method, and it finds applications in clinical practice [7], [8]. In spite of the existence of numerous theories regarding nonthermal bioelectromagnetic interactions [9], [10], their exact mechanisms remain unknown. Biological effects of microwaves and MMWs can be divided into three categories, which are: 1) thermal effects of high-power radiation; 2) nonthermal short- and long-term effects; and 3) coupling between radiation emitted by communication systems and other electronic devices, especially those adjacent to or implanted in the human body. Biological effects of high-power microwaves and MMWs have been studied previously, e.g., [11] and [12]. Interstitial microwave hyperthermia (42 C) in combination with radiotherapy or chemotherapy was developed as a promising cancer treatment method [13]. Microwave coagulation therapy (60 C) is used in medical applications for the cure of small-size tumors [14]. Microwave antenna systems are also applied for cardiac arrhythmia treatment [15]. All of these methods are based on the deep heating of inner areas of biological tissues up to certain temperatures using high-power microwave radiation. Thermal effects of MMWs are similar to those reported for microwaves, but correspond essentially to the superficial heating of biological tissues [16]. Small penetration depth restricts the potential use of MMWs in the near-surface region of biological tissues or heating of very local interstitial areas during invasive therapies. Up to now, only microwaves have been exploited for thermal therapeutic applications. Recently, numerous studies have shown the existence of nonthermal effects of microwaves and MMWs. For instance, in vivo research has given evidence of tumor growth after systematic low-power MMW exposure [17]. Other studies discuss existence of nonthermal effects of microwaves and MMWs at subcellular and cellular levels, e.g., genotoxicity [18], modifications in ion transport through the biomembranes [19], changing in Ca regulation [20], and expressions of heat shock proteins [21]. As the skin is the main target for MMW radiation, a few in

0018-9480/$20.00 © 2006 IEEE

ZHADOBOV et al.: INTERACTIONS BETWEEN 60-GHz MMWs AND ARTIFICIAL BIOLOGICAL MEMBRANES

vitro studies investigated the response of human keratinocytes cells to MMW radiation [22], [23]. It was shown that low-intensity MMWs can influence biological membranes [10]. Some investigations demonstrated that MMWs could alter the physical properties and structure of artificial biomembranes [24]–[26]. Biological effects reported in literature are frequency-selective. In some cases, they depend on physical properties and on characteristics of radiation, namely, power flux, modulation, polarization, and exposure duration [20], [27], [28]. Although experimental results reveal the existence of nonthermal interactions between high-frequency radiation and biological objects, up to now, all international exposure standards for working and general population take into account only thermal effects [29], [30]. The aim of our study is to experimentally analyze the potential influence of nonthermal radiation at 60 GHz on the physical properties of phospholipid layers in biomembranes. To this end, we have studied the exposure of artificial models of biological membranes to MMWs. Two kinds of experiments have been carried out. In the first set of experiments, we studied the lateral pressure dynamics of the phospholipid monolayer membrane model during MMW exposure. We also investigated the role of different radiation characteristics, such as power density level, polarization, modulation, and exposure duration. Two of the most prominent in quantity lipid constituents of biomembranes have been considered. In the second set of experiments, we studied potential modifications of phospholipid microdomain distribution in the mixed membranes with phase separation exposed to MMWs. This paper is organized as follows. In Section II, we describe general principles of biological membrane structure and peculiarities of artificial membrane models used in our work. The experimental setup and exposure system characteristics are presented in Section III. The results of superficial pressure dynamics measurements and atomic force microscopy (AFM) analysis of phase distribution in the phospholipid monolayer are given in Section IV. Finally, conclusions are drawn in Section V. II. ARTIFICIAL MODEL OF BIOLOGICAL MEMBRANES A. Artificial Phospholipid Membranes Biological membranes are the structures delimiting cells and intracellular organoids (i.e., mitochondrion, nucleus, chloroplast, and lysosome). They include a double lipid layer in which proteins are integrated (a fluid-mosaic membrane model [31]). The phospholipid bilayer is the basic structure of all biological membranes. Lipid composition of the membrane is variable from one type of cell to another. In any case, phospholipids are the major constituent of the biomembranes. For instance, phospholipids represent 67% of the total amount of lipids in the membranes of keratinocyte cells, which constitute approximately 95% of the epidermal cells [32]. Phospholipid molecules can be used to form artificial models of biological membranes to study environmental effects [33]. In this study, we used the Langmuir–Blodgett method—one of the well-known biophysical techniques for lipid membrane model

2535

Fig. 1. Langmuir trough. 1) A film of amphiphilic molecules (50 mm 70 mm). 2) Mobile barriers with variable compression speed. 3) Pressure sensor (the Wilhelmy balance). 4) Wilhelmy plate (a Millipore paper filter). 5) Teflon trough.

2

formation [34], [35]. This method allows the synthesis of amphiphilic monomolecular layers at the air–water interface in the Langmuir trough (see Fig. 1), which are the so-called Langmuir films. Taking into account that, at the current state of knowledge, it is considered that the coupling between the two phospholipid layers forming the membrane is negligible (a very low CH –CH interaction), we restricted our approach to the analysis of the phospholipid monolayer [36]. In our experiments, we used zwitterionic (neutral) phospholipids: dipalmitoylphosphatidylcholine (DPPC) and dioleoylphosphatidylcholine (DOPC)—the principal phospholipid components of the biological membranes including the membranes of skin cells. These phospholipids have two oppositely spaced charges in the polar part, so that their total molecular charge is zero. Monomolecular films were prepared as follows. A few microliters of the phospholipid solution were spread onto the water surface. After chloroform evaporation (about 10 min), barriers are moved to compress quasi-statically the phospholipid monolayer (see 2 in Fig. 1). Fig. 2 shows a typical isotherm representing the superficial pressure of the DPPC monolayer as a function of film area. The definition of superficial (lateral) pressure is given in the Appendix. Due to the lateral interactions between the lipid molecules, the superficial pressure values are directly dependent on the surface concentration of molecules at constant temperature. Increasing the surface concentration or superficial pressure, lipid molecules adopt one of the following phases: gas, liquid-expanded (LE), liquid-condensed (LC), and solid. In the three-dimensional (3-D) case, LE and LC states correspond to fluid and gel phases, respectively. In the first part of this study, we studied phospholipid films in the LC state with superficial pressure values range from 28.5

2536

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Second, we used AFM (Molecular Imaging Pico , USA) to obtain topographic high-resolution information of the mixed membranes with phase separations. The monomolecular layer of a maximum thickness of 3–4 nm was transferred onto a solid substrate (mica) that allows the use of microscopy to visualize and analyze the molecular film surface. AFM allows image acquisition with a molecular resolution at length scales up to 5 m. III. EXPERIMENTAL SETUP AND EXPOSURE SYSTEM

Fig. 2. Measured pressure-area isotherm of the DPPC monolayer (under normal environmental conditions).

Fig. 3. Experimental setup. 1) Siemens RWON14 high-voltage generator. 2) Siemens RWO75 backward-wave oscillator with controllable output = 50 mW at 60 GHz). 3) V -band signal isolator HP V365A. power (P 4) Pyramidal (or conical) horn antenna. 5) Langmuir trough (Fig. 2). 6) Electronic interface unit. 7) Computer with monitoring software package NIMA.

to 32 mN/m. These levels correspond to the average normal superficial pressure in natural biomembranes [37]. Moreover, we studied biphase phospholipid membranes in the LC/LE state. Such a study is important because phase separation in biomembranes reflects the existence of microdomains that play an important role in some membrane processes [38]. In contrast to in vivo studies, consideration of artificial models of biological membranes yields information about biophysical properties of the membrane during the action of external stimuli such as MMW radiation. The main limitation of this kind of approach is that it does not take into account metabolic processes in living organisms, as well as thermoregulation. However, the data obtained in such experiments give physical insight into the bioelectromagnetic interaction mechanisms at the cellular level. B. Methods of Analysis of Phospholipid Membranes Two methods were chosen to monitor biophysical modifications in the artificial models of biological membranes exposed to low-power MMWs. First, the changes in the physical state of the monomolecular layers were controlled by real-time measurements of the superficial pressure dynamics using the Wilhelmy technique [33, pp. 44–59]. This technique is based on the measurement of the force acting on the Wilhelmy plate (see 4 in Fig. 1) attached to a microbalance (see 3 in Fig. 1). This force is directly proportional to the superficial pressure of the molecular film (see the Appendix). The Langmuir trough and the pressure sensor are operated and controlled by means of an electronic interface unit connected to a PC via an RS232 serial link (see Fig. 3).

Due to the increasing interest to biological effects of wireless communication systems, a large number of experimental setups for in vivo and in vitro investigations have been reported in literature. Reproducibility of such studies requires strict control of the exposure parameters (e.g., frequency, power stability, noise-to-signal ratio, modulation, and polarization) and radiation characteristics at the sample level (e.g., power density and SAR distribution). Microwave exposure systems for in vitro experiments are based on transverse electromagnetic (TEM) cells [39], rectangular waveguides [40], wire patch cells [41], radial transmission lines [42], or horn antennas and focusing dielectric lenses in far-field setups [43]. Only a few experimental systems have been presented so far for the investigations of biological effects of MMWs. Such systems usually use horn antennas with either near-field [23], [44] or far-field exposure conditions [45]. In the first case, the sample is placed close to the antenna in the Rayleigh zone where the field distribution is strongly inhomogeneous and difficult to characterize. In addition, the presence of any object in this region can disturb the equivalent current densities flowing across the antenna aperture and, thus, modify the field distribution. In this study, we use a far-field exposure system based on both pyramidal and conical horn antennas in order to ensure that the distribution of the EM field is sufficiently homogeneous at the membrane surface. This system was developed for phospholipid artificial membrane exposure with different radiation parameters, namely, incident power, polarization, modulation, and exposure duration. A block diagram of the experimental setup is shown in Fig. 3. A backward-wave oscillator (BWO) operating in the -band (50–75 GHz) is fed by control currents and voltages produced by a high-voltage generator. The BWO output is connected to a set of rectangular waveguides with a cross section of 3.75 mm 1.88 mm operating in the TE fundamental wave mode. A signal isolator (broadband isolation of 30 dB) was included in the waveguide circuitry in order to minimize the reflection effect of the source and to reduce mismatching. Linear and circular polarizations have been shown to have a significant impact upon 60-GHz radio links [46]. To investigate and compare the effects of linear and circular polarizations on the artificial phospholipid membranes we used: 1) a pyramidal horn antenna (maximum isotropic gain and horn aperture dimensions equal to 17 dB and 22.2 mm 16.7 mm, respectively) and 2) a conical horn antenna (with a maximum isotropic gain and aperture diameter equal to 20.4 dB and 23.8 mm, respectively). In our experiments, the radiated power varies between 0.5–50 mW. Different exposure modes are considered: CW, 1-kHz square-wave amplitude modulation, linear and circular

ZHADOBOV et al.: INTERACTIONS BETWEEN 60-GHz MMWs AND ARTIFICIAL BIOLOGICAL MEMBRANES

Fig. 4. Measured signal spectrum.

Fig. 5. Measured output power instability.

2537

Fig. 7. Power-density distribution computed on the membrane surface for the pyramidal horn antenna (P = 50 mW).

current distribution across the aperture of the pyramidal horn antenna. This model does not take into account multiple reflections inside the Langmuir trough. According to theoretical results, the variation of the superficial power density at the membrane level does not exceed 2.1 dB relative to the maximum value at the center of the trough. For the pyramidal horn antenna, the maximum magniand magnetic fields and the tude of the incident electric maximum superficial power density are equal to 55.5 V/m, 0.147 A/m, and 0.41 mW/cm , respectively. In the case of the conical horn antenna, V/m, A/m, and mW/cm . These values are in compliance with the international guidelines, which set the limits of the power density level at 60 GHz for the general and working population to 1 and 5 mW/cm , respectively. The thresholds for the intensity of the electric and magnetic fields range from 61 to 137 V/m and from 0.16 to 0.36 A/m, respectively [29]. IV. RESULTS

Fig. 6. Measured frequency instability.

polarizations, and permanent and intermittent radiation. Fig. 4 shows the signal spectrum for the nonmodulated signal measured with a MMW spectrum analyzer (R3182 Advantest). The half-power bandwidth is 2.8 MHz. The power instability measured during 1.5 h at the average power of 50 mW (17 dBm) is 0.58 mW (see Fig. 5), corresponding to a power instability of 1.16%. The measured frequency instability around the central frequency of 60.0015 GHz is estimated to 2.4 MHz (see Fig. 6). These characteristics ensure that the emitted signal remains in the frequency band of strong oxygen-induced absorption. The radiation parameters remain constant throughout the experiments within the above-mentioned stability. Fig. 7 shows the radiated power distribution numerically computed at the membrane surface whose dimensions are 50 mm 70 mm. These data were obtained using analytical solutions of Maxwell equations. The EM field in free space was calculated using equivalent electric and magnetic surface

Here, we describe our experimental results on the exposure of artificial phospholipid membranes to low-power 60-GHz radiations. As noted in Section II-B, two methods of characterization of phospholipid films are considered and discussed, which are: 1) real-time measurements of the superficial pressure dynamics and 2) topographic analysis of membrane surface by AFM. A. Superficial Pressure Dynamics The membrane composed of DPPC phospholipid monolayers was chosen to investigate the effects of MMW radiation. In the first set of experiments, we used membranes in the LC phase with an average superficial pressure of 28.5–32 mN/m. This state corresponds to a high compactness of lipids. The evolution of superficial pressure of the DPPC monolayer exposed to MMWs with various radiation parameters, namely output power, modulation, linear and circular polarization, and permanent or intermittent radiation, at a temperature of 20 0.3 C are shown in Fig. 8(a)–(f). Shaded areas correspond to the MMW exposure period. In each case, represents the absolute increase of the lateral pressure during exposure. Data were monitored with a time step of 5 s. During the exposure, an increase of superficial pressure is evidenced for the various experimental configurations. The data obtained for different superficial power densities

2538

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

1

8 E

~ j denote an inFig. 8. Measured superficial pressure dynamics of DPPC films exposed at 60 GHz with different radiation parameters. ; P ; ; j~ j, and jH crease of superficial pressure value, radiated power, maximum superficial power density, and magnitudes of electric and magnetic fields, respectively. (a) Linear ~j ~j polarization, P mW, : mW/cm ; jE : V/m, jH : A/m, and  : mN/m. (b) Circular polarization, P mW, ~j ~j : mW/cm ; jE : V/m, jH : A/m, and  : mN/m. (c) Circular polarization, P mW, : mW/cm (time-av~j ~j : V/m, jH : A/m, 1-kHz square-wave amplitude modulation, and  : mN/m. (d) Circular polarization, P mW, eraged), jE ~j ~j : mW/cm ; jE : V/m, jH : A/m, intermittent radiation (1 h of exposure/1 h without exposure), and  : mN/m. (e) Circular po~j ~j mW, : mW/cm ; jE : V/m, jH : A/m, and  : mN/m. (f) Circular polarization, : mW/cm ; larization, P ~j ~j ~j ~j : V/m, jH : A/m,  : mN/m; : mW/cm ; jE : V/m, jH : A/m, jE and  : mN/m. (g) Superficial pressure dynamics of biphase DPPC membrane during MMW exposure. Linear polarization, P mW, ~j ~j : mW/cm ; jE : V/m, jH : A/m, and  : mN/m.

= 50 8 = 0 41 = 55 5 = 0 147 1 = 0 32 8 = 09 = 82 4 = 0 219 1 = 0 43 = 58 3 = 0 155 1 = 0 23 8 = 0 45 = 58 3 = 0 155 = 20 8 = 0 36 = 57 6 = 0 153 1 = 0 28 = 82 4 = 0 219 1 = 0 25 8 = 0 009 1 = 01 8 = 0 41 = 55 5 = 0 147 1 =04

(0.36–0.9 mW/cm ) have not revealed any particular role of the exposure parameters, such as polarization [see Fig. 8(a) and (b)], amplitude modulation [see Fig. 8(b) and (c)], or time-interrupted regime of exposure [see Fig. 8(b) and (d)].

= 50 8 = 0 45 = 50 1 = 0 32 8 =09 =82 = 0 022 = 50

= 50

However, decrease of the output power from 50 mW ( mW/cm ) to 0.5 mW ( W/cm ) results in a decrease in the superficial pressure from 0.25 to 0.1 mN/m [see Fig. 8(f)]. This implies that even very low power densities

ZHADOBOV et al.: INTERACTIONS BETWEEN 60-GHz MMWs AND ARTIFICIAL BIOLOGICAL MEMBRANES

2539

TABLE I EXPERIMENTAL RESULTS OF THE EXPOSURE OF PHOSPHOLIPID DPPC MONOLAYERS TO 60-GHZ RADIATION

of MMW radiation at 60 GHz can lead to significant lateral pressure increase. This data confirm the results reported in the literature [25] stating that exposure to MMWs changes the phospholipid molecular packing in Langmuir films. Fig. 8(b) shows three independent experiments under the same environmental and exposure conditions. The data obtained for circular polarized radiation ( mW/cm ) demonstrate the reproducibility of our experimental results within 0.03-mN/m variations in superficial pressure for 5 h of exposure. Measured stability of the DPPC monolayer was found to be 0.05–0.1 mN/m for 10 h of observation. It should be noticed that the superficial pressure decrease after 11–12 h of observation is due to evaporation that disturbs the calibration of the pressure sensor. The essential attention should be paid to the first 10–11 h when the stability of the membrane is determined. Thus far, we have considered the phospholipid monolayer as an independent system. However, in the Langmuir trough, the lipid membrane is situated at the water surface, which is the so-called subphase. This corresponds to a more realistic representation of the membrane model because it describes the lipid–cytoplasm interface in natural biological cells. The measurements of superficial pressure dynamics of pure water and water in presence of the DPPC single layer exposed to MMW radiation are compared in Fig. 8(b). No significant modifications of superficial pressure have been observed in the case of pure water. On the contrary, as indicated above, the presence of a phospholipid single layer leads to a signifficant increase in superficial pressure ( mN/m). Thus, an increase in lateral pressure is mainly due to changes in phospholipid monolayer properties. The phospholipid constituents are not always homogeneously distributed in the membrane. They may be organized as lateral microdomains in phospholipid films with phase separation [47]. These microdomains reflect functional specialization of the different membrane regions and play an important role in membrane interaction processes [38]. Therefore, in the second set of experiments, we studied a biphase DPPC monolayer exposed to MMWs. For this purpose, the initial superficial pressure was set to 18.2 mN/m. Under normal environmental conditions, this value corresponds to LC/LE phase separation in DPPC monolayers. The membrane was exposed to a linearly polarized MMW radiation with maximal superficial power density of 0.41 mW/cm [see Fig. 8(g)]. The increase of superficial

pressure of the biphase Langmuir film is larger than that of phospholipid monolayers in the LC state (i.e., 0.4 mN/m compared with 0.3 mN/m). The detailed analysis of biphase membranes using AFM will be given in Section IV-B. A summary of the data obtained for DPPC phospholipid membranes is given in Table I. The values of the superficial pressure variations and the linear ratio of lateral pressure increase are compared for different membrane states and different radiation parameters. Any external stimuli, such as exposure to MMWs, which is responsible for local variations of the membrane parameters, can influence the membrane regulation processes. It is difficult to predict if the modifications observed for artificial models could induce some serious disfunctions in the natural biomembranes and cell functioning, for example, perturbation of ion fluxes. However, it is interesting to note that more and more models of biological membranes explain a variability of activity of some proteins by the local variations of the lateral pressure [48]. B. Topographic Analysis of the Membrane Surface Using AFM We study here the effects of MMW radiation on the mixed (two-component) monomolecular lipid films with phase separation (LC/LE state). Phases distributions in DPPC:DOPC phospholipid membranes are analyzed before and after MMW exposure using AFM as previously described [49]. To the best of our knowledge, the effects of microwaves and MMW exposure on the lipid phase distribution in artificial models of biomembranes have not been studied thus far. At low frequencies (10 kHz), phase transitions of phospholipids were predicted theoretically by Goltsov [50], who showed that a decay of the percolation structure under EM radiation is possible. It is proposed that such modifications can interfere with signal and transport processes in biological membranes. Modern microscopic techniques allow experimental investigations of monomolecular surfaces with a nanometer-scale lateral resolution. In our experiments, we used a DPPC:DOPC (2:1) phospholipid monolayer with an initial superficial pressure of 15 mN/m. This value corresponds to the co-existence of LC (for DPPC) and LE (for DPPC and DOPC) phases. The typical topographic images obtained by AFM before and after 5 h of exposure are shown in Fig. 9(a) and (b). Dark and bright regions correspond to LE and LC states of phospholipids, respectively.

2540

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

First, measurements of superficial pressure of phospholipid monolayers have shown weak but reproducible variations. These modifications are essentially determined by superficial power density incident onto the membrane, and even very low power density levels (9 W/cm ) result in a noticeable increase of lateral pressure. We have not observed any important role of the other exposure parameters, namely polarization, amplitude modulation, or intermittent radiation. Second, the AFM analysis has evidenced that low-power MMW radiation does not induce significant transformations in the phospholipid domain organization in model membranes. This observation demonstrates that short-term exposure to MMWs does not induce a sufficiently strong effect to produce disturbances in phospholipid microdomain organization. An analysis of results presented in this paper as well as the data previously reported in literature [25] leads to the conclusion that the biophysical properties of phospholipid layers of biological membranes can be modified by low-power MMW radiation. APPENDIX By definition, the superficial (or lateral) pressure is equal to the difference between the superficial tension of the air–water interface and the superficial tension in presence of molecular film as

(1)

Fig. 9. (a) and (b) Analysis of the lipid monolayer surface by AFM. Relief reconstruction of the membrane surface (c) before and (d) after exposure. (a) Lipid = 39260 (86.3%); S = 6320 phase distribution before exposure. S (13.7%). (b) Lipid phase distribution after exposure. S = 42313 (91.2%); S = 3912 (8.8%)

The experimental conditions are the following: linear polarization, mW, and mW/cm . The topographic AFM analysis allows visualization of lipid phases thanks to the difference in the height of the molecules. Fig. 9(c) and (d) represents in three dimensions the relief reconstruction of the membrane surface. The average area occupied by each phase was calculated. Statistical analysis of the ensemble of images obtained before and after exposure to MMWs demonstrated an average phase transition (LE–LC) of 5%. These modifications are not significant, and we assume that they are caused by relaxation processes. Consequently, these experimental results show the absence of statistically significant modifications in the lipid microdomain distribution in biphase mixed phospholipid membranes. V. CONCLUSION Using different techniques of lipid membrane surface analysis, potential effects of the exposure to nonthermal MMWs at 60 GHz have been investigated for diverse radiation parameters, i.e., power flux, polarization, modulation, and exposure duration. The main conclusions of this study are summarized below.

The superficial tension of a pure nonionized water at normal conditions is mN/m. The presence of surfaceactive material at the interface reduces the total surface tension . ACKNOWLEDGMENT The authors gratefully acknowledge Prof. G. Dubost, IETR, University of Rennes 1, Rennes, France, and Dr. F. Artzner, GMCM, University of Rennes 1, Rennes, France, for their scientific support, and Dr. D. Seetharamdoo, IETR, University of Rennes 1, Rennes, France, for her kind suggestions regarding this paper. REFERENCES [1] P. Stavrolakis, Biological Effects of Electromagnetic Fields. Berlin, Germany: Springer-Verlag, 2003. [2] Mobile phones. Biological effects. Paris, France: TEC&DOC, 2001, Ed.. [3] E. Giannetti, M. Luise, and R. Reggiannini, “Mobile and personal communications in the 60 GHz band: A survey,” Wireless Pers. Commun., vol. 10, pp. 207–243, Jul. 1999. [4] A. G. Siamarou, “Wideband propagation measurements and channel implications for indoor broadband wireless local area networks at the 60 GHz band,” Wireless Pers. Commun., vol. 27, pp. 89–98, Oct. 2003. [5] P. W. Rosenkranz, “Shape of the 5 mm oxygen band in the atmosphere,” IEEE Trans. Antennas Propagat., vol. AP-23, pp. 498–506, Jul. 1975. [6] A. N. Bacherikov and V. N. Derkach, “Method of EHF-therapy at treatment of endogene mental diseases,” in Proc. IEEE 3rd Int. Kharkov Symp. Phys. Eng. Microwaves, Millim., Submillim. Waves, Kharkov, Ukraine, Sep. 1998, vol. 2, pp. 774–775. [7] N. N. Lebedeva and O. V. Betskii, “Application of low intensity millimeter waves in medicine,” in Proc. 17th Annu. Meeting Bioelectromagn. Soc., Boston, MA, Jun. 1995, p. 14.

ZHADOBOV et al.: INTERACTIONS BETWEEN 60-GHz MMWs AND ARTIFICIAL BIOLOGICAL MEMBRANES

[8] V. N. Skresanov, I. V. Kas, E. A. Okhryamkina, V. P. Palamarchuk, and L. D. Tondy, “Complex treatment cardiovascular desease with a low power millimeter-wave radiation,” in Proc. IEEE 4th Int. Kharkov Symp. Phys. Eng. Microwaves, Millim., Submillim. Waves., Kharkov, Ukraine, Jun. 2001, vol. 2, pp. 939–940. [9] D. J. Panagopoulos, A. Karabarbounis, and L. H. Margaritis, “Mechanism for action of electromagnetic fields on cells,” Biochem. Biophys. Res. Commun., vol. 298, pp. 95–102, Oct. 2002. [10] B. G. Yemets and M. B. Yemets, “About the mechanism of influence of low-intense millimeter waves on biological membranes,” in Proc. IEEE 4th Int. Kharkov Symp. Phys. Eng. Microwaves, Millim., Submillim. Waves, Kharkov, Ukraine, Jun. 2001, vol. 2, pp. 946–948. [11] P. Xiao-Feng and Z. Anying, “Mechanism of thermally biological effects of the millimeter waves and its properties,” Int. J. Infrared Millim. Waves, vol. 24, pp. 1899–1912, Nov. 2003. [12] A. Rosen, M. A. Stuchly, and A. Vander Vorst, “Applications of RF/Microwaves in medicine,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 963–974, Mar. 2002. [13] K. Saito, H. Yoshimura, K. Ito, Y. Aoyagi, and H. Horita, “Clinical trials of interstitial microwave hyperthermia by use of coaxial-slot antenna with two slots,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1987–1991, Aug. 2004. [14] K. Saito, Y. Hayashi, H. Yoshimura, and K. Ito, “Heating characteristics of array applicator composed of two coaxial-slot antennas for microwave coagulation therapy,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 1800–1806, Nov. 2000. [15] R. D. Nevels, G. D. Arndt, G. W. Raffoul, J. R. Carl, and A. Pacifico, “Microwave catheter design,” IEEE Trans. Biomed Eng., vol. 45, no. 7, pp. 885–890, Jul. 1998. [16] D. A. Nelson, M. T. Nelson, T. J. Walters, and P. A. Mason, “Skin heating effects of millimeter-wave irradiation—thermal modeling results,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 2111–2120, Nov. 2000. [17] A. Bellossi, G. Dubost, J. Moulinoux, M. Ruelloux, M. Himdi, and C. Rocher, “Biological effects of millimeter-wave irradiation on mice—Preliminary results,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 2104–2110, Nov. 2000. [18] G. d’Ambrosio, R. Massa, M. R. Scarfi, and O. Zeni, “Cytogenetic damage in human lymphocytes following GMSK phase modulated microwave exposure,” Bioelectromagnetics, vol. 23, no. 1, pp. 7–13, 2002. [19] S. I. Alekseev and M. C. Ziskin, “Millimeter microwave effect on ion transport across lipid bilayer membranes,” Bioelectromagnetics, vol. 16, no. 2, pp. 124–131, 1995. [20] C. Blackman, J. Elder, C. Weil, S. Benane, D. Eichinger, and D. House, “Induction of calcium-ion efflux from brain tissue by radio-frequency radiation: Effects of modulation frequency and field strength,” Radio Sci., vol. 14, no. 6S, pp. 93–98, Nov. 1979. [21] D. Pomerai, C. Daniells, H. David, J. Allan, I. Duce, M. Mutwakil, M. Thomas, P. Sewell, J. Tattersall, D. Jones, and P. Candido, “Non-thermal heat-shock response to microwave,” Nature, vol. 405, pp. 417–418, May 2000. [22] I. Szabo, M. A. Rojavin, T. J. Rogers, and M. C. Ziskin, “Reactions of keratinocytes to in vitro millimeter wave exposure,” Bioelectromagnetics, vol. 22, no. 5, pp. 358–364, 2001. [23] Q. Chen, Q. Zeng, D. Lu, and H. Chiang, “Millimeter wave exposure reverses TPA suppression of gap junction intercellular communications in HaCaT human keratinocytes,” Bioelectromagn., vol. 25, no. 8, pp. 2–4, 2004. [24] V. M. Ioffe, S. A. Khanina, E. S. Zhilkova, Y. A. Domanov, A. F. Lyakhovsky, and G. P. Gorbenko, “Effect of radio frequency electromagnetic field on the structural state of model membranes,” in Proc. IEEE 5th Int. Kharkov Symp. Phys. Eng. Microwaves, Millim., Submillim. Waves, Kharkov, Ukraine, Jun. 2004, vol. 2, pp. 830–831. [25] G. Dovbeshko, L. B. Berezhinski, R. Bilevicz, K. Woznjak, R. Kubacki, and N. Gridina, “The experimental evidence of the effect of microwaves upon biological system: Data of spectroscopy, holography and Langmuir-Blodgett technique,” in Proc. IEEE 4th Int. Kharkov Symp. Phys. Eng. Microwaves, Millim., Submillim. Waves, Kharkov, Ukraine, Jun. 2001, vol. 2, pp. 914–916. [26] M. Zhadobov, V. Vié, R. Sauleau, M. Himdi, L. Le Coq, F. Artzner, and D. Thouroude, “Interactions between millimeter waves and artificial biological membranes,” in Proc. 11th Int. Symp. Antennas. Technol. Appl. Electromagn., St. Malo, France, Jun. 2005, pp. 148–149. [27] A. G. Pakhomov, H. K. Prol, S. P. Mathur, Y. Akiel, and C. B. Campbell, “Role of field intensity in the biological effectiveness of millimeter waves at a resonance frequency,” Bioelectrochem. Bioenerg., vol. 43, pp. 27–33, Jan. 1997.

2541

[28] I. Y. Belyaev, V. S. Shcheglov, E. D. Alipov, and V. D. Ushakov, “Nonthermal effects of extremely high-frequency microwaves on chromatin conformation in cells in vitro—Dependence on physical, physiological, and genetic factors,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 2172–2179, Nov. 2000. [29] “Guidelines for limiting exposure to time-varying electric, magnetic, and electromagnetic fields (up to 300 GHz),” Health Phys., vol. 74, pp. 494–522, 1998, ICNIRP. [30] P. Stavrolakis, Ed., “Danger levels of non ionizing EMF/Exposure criteria,” in Biological Effects of Electromagnetic Fields. Berlin, Germany: Springer-Verlag, 2003, pp. 778–793. [31] S. Singer and G. Nicolson, “The fluid mosaic model of the structure of cell membranes,” Science, vol. 175, pp. 720–731, Feb. 1972. [32] M. Ponec, A. Weerheim, J. Kempenaar, A. M. Mommaas, and D. H. Nugteren, “Lipid composition of cultured human keratinocytes in relation to their differentiation,” J. Lipid Res.,, vol. 29, no. 7, pp. 949–961, 1988. [33] G. L. Gaines, Insoluble Monolayers at Liquid–Gas Interfaces. New York: Wiley Interscience, 1966. [34] M. Petty, Langmuir–Blodgett Films: An Introduction. Cambridge, U.K.: Cambridge Univ. Press, 1996. [35] A. Barraud, “Langmuir-Blodgett films,” in Proc. IEEE 5th Int. Conf. Langmuir-Blodgett Films, Paris, France, 1991, pp. 320–323. [36] H. Brockman, “Lipid monolayers: Why use half a membrane to characterize protein-membrane interactions?,” Curr. Opin. Struct. Biol., vol. 9, no. 4, pp. 438–443, Aug. 1999. [37] R. Demel, W. Geurtsvankessel, R. Zwaal, B. Roelofsen, and L. Vandeenen, “Relation between various phospholipase actions on human red-cell membranes and interfacial phospholipid pressure in monolayers,” Biochim. Biophys. Acta-Biomembranes, vol. 406, pp. 97–107, Sep. 1975. [38] R. Welti and M. Glaser, “Lipid domains in model and biological membranes,” Biophys. J., vol. 73, pp. 121–137, Sep. 1994. [39] M. Burkhardt, K. Pokovic, M. Gnos, T. Schmid, and N. Kuster, “Numerical and experimental dosimetry of Petri dish exposure setup,” Bioelectromagn., vol. 17, no. 6, pp. 483–493, 1996. [40] F. Schönborn, K. Pokovi, A.M. Wobus, and N. Kuster, “Design, optimization, realization, and analysis of an in vitro system for the exposure of embryonic stem cells at 1.71 GHz,” Bioelectromagnetics, vol. 21, no. 5, pp. 372–384, 2000. [41] L. Laval, Ph. Leveque, and B. Jecko, “A new in vitro exposure device for the mobile frequency of 900 MHz,” Bioelectromagnetics, vol. 21, no. 4, pp. 255–263, 2000. [42] E. G. Moros, W. L. Straube, and W. F. Pickard, “The radial transmission line as a broad-band shielded exposure system for microwave irradiation of large numbers of culture flasks,” Bioelectromagnetics, vol. 20, no. 2, pp. 65–80, 1999. [43] T. Iyama, H. Ebara, Y. Tarusawa, S. Uebayshi, M. Sekijiama, T. Nojima, and J. Miakoshi, “Large scale in vitro experimental system for 2 GHz exposure,” Bioelectromagnetics, vol. 25, no. 8, pp. 599–606, 2004. [44] I. Szabo, M. A. Rojavin, T. J. Rojers, and M. C. Ziskin, “Reactions of keratinocytes to in vitro millimeter wave exposure,” Bioelectromagnetics, vol. 22, no. 5, pp. 358–364, 2001. [45] V. G. Safronova, A. G. Gabdoulkhakova, and B. F. Santalov, “Immunomodulating action of low intensity millimeter waves on primed neutrophils,” Bioelectromagnetics, vol. 23, no. 8, pp. 599–606, 2002. [46] T. Manabe, K. Sato, H. Masuzawa, K. Taira, T. Ihara, Y. Kasashima, and K. Yamaki, “Polarization dependence of multipath propagation and high-speed transmission characteristics of indoor millimeter wave channel at 60 GHz,” IEEE Trans. Veh. Technol., vol. 44, no. 5, pp. 268–274, May 1995. [47] C. A. Helm, H. Mohwald, K. Kjaer, and J. Als-Nielsen, “Phospholipid monolayers between fluid and solid states,” Biophys. J., vol. 52, pp. 381–390, Mar. 1987. [48] M. Edidin, “Lipid microdomains in cell surface membranes,” Curr. Opin. Struct. Biol., vol. 7, pp. 528–532, Aug. 1997. [49] V. Vié, N. Van Mau, J. P. Goudonnet, F. Heitz, and C. Le Grimellec, between two-component, two-phase “Distribution of ganglioside G phosphatidylcholine monolayers,” Langmuir, vol. 14, no. 16, pp. 4574–4583, May 1998. [50] A. Goltsov, “Electromagnetic-field-induced oscillations of the lipid domain structures in the mixed membranes,” Bioelectrochem. Bioenerg., vol. 48, pp. 311–316, Feb. 1999.

2542

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Maxim Zhadobov (S’05) was born in Gorky, Russia, on June 15, 1980. He received the M.S. degree in radiophysics from the Nizhni Novgorod State University, Nizhni Novgorod, Russia, in 2003, and is currently working toward the Ph.D. degree in bioelectromagnetics at the Institute of Electronics and Telecommunications of Rennes (IETR), University of Rennes 1, Rennes, France. His main subject of interest is in the field of biological effects of electromagnetic waves, including interactions of low-power millimeter waves at the cellular level. Mr. Zhadobov is a member of the IEEE Microwave Theory and Techniques and Engineering in Medicine and Biology Societies, the Bioelectromegnetics Society, and the European Bioelectromagnetics Association.

Ronan Sauleau (M’05–SM’06) received the degree in electronic engineering and radiocommunications from the Institut National des Sciences Appliquées, Rennes, France, in 1995, and the Ph.D. degree in signal processing and telecommunications and Habilitation à Diriger des Recherches from the University of Rennes 1, Rennes, in 1999 and 2005, respectively. He joined the Ecole Normale Supérieure de Cachan in 1995 and received the aggregation in 1996. Since September 2000, he has been an Associate Professor with the Institute of Electronics and Telecommunications of Rennes, University of Rennes 1. His main fields of interest are numerical modeling, millimeter-wave printed antennas, focusing devices, periodic structures (electromagnetic bandgap materials and metamaterials), and biological effects of millimeter waves. He is the author or coauthor of 28 journal papers and more than 60 contributions in national and international conferences and workshops. Dr. Sauleau was the recipient of the 2004 ISAP Conference Young Researcher Scientist Fellowship (Japan) and was the recipient of the first Young Researcher Prize in Britany, France, in 2001 for his research work on gain-enhanced Fabry–Perot antennas.

Véronique Vié received the Ph.D. degree in physics from the University of Montpellier II, Montpelier, France, in 1999. She then joined the Near-Field Optical Microscopy and Submicron Optics Group, the Physics Laboratory, University of Dijon, Dijon, France, as an Assistant Professor. She received a van Humboldt fellowship in 2000 to integrate the T. Jovin Group in Max Planck Institute for Biophysical Chemistry, Gottingen, Germany. Since September 2000, she has been an Associate Professor with the Institute of Electronics and Telecommunications of Rennes, University of Rennes 1, Rennes, France. Her research is focused on the investigations of interactions between biological molecules using mainly Langmuir methods and near-field microscopies.

Mohamed Himdi received the Ph.D. degree in signal processing and telecommunications from the University of Rennes 1, Rennes, France, in 1990. He has been a Professor with the University of Rennes 1 since 2003 and is the head of the High Frequency and Antenna Department, Institute of Electronics and Telecommunications, University of Rennes 1. His research activities concern the passive and active millimeter-wave antennas, along with theoretical and applied computational electromagnetics, development of new architectures of printed antenna arrays, and new three-dimensional antenna technologies. He is the author and coauthor of two book chapters, 36 journal papers, and more than 120 papers in conference proceedings. He holds eight patents in the area of antennas.

Laurent Le Coq was born on February 17, 1972. He received the Electronics Engineer Degree and the Ph.D. degree from INSA, Rennes, France, in 1995 and 1999, respectively. In 1999, he joined Institute of Electronics and Telecommunications of Rennes, University of Rennes 1, Rennes, as a Research Laboratory Engineer, where he is responsible for measurement technical facilities up to 110 GHz.

Daniel Thouroude received the Ph.D. degree from the University of Rennes 1, Rennes, France, in 1987. Before 1989, his main work was focused on beam dynamics in particle accelerators. Since 1989, his research interests have included the analysis and synthesis of microstrip antennas, the modeling of ferrite substrate antennas, and Fabry–Perot cavities using the finite-difference time-domain method. He is currently a Professor with the University of Rennes and Director of the Institute of Electronics and Telecommunications of Rennes (IETR), University of Rennes 1. With around 230 people, the unit is linked to the French National Center for Scientific Research (CNRS) and places emphasis on developing the skills needed to explore a wide range of emerging applications, including smart antennas, radiomobile communications, systems-on-chip, ultra-wideband technology, radar polarimetry, and silicon-based microsystems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2543

Tapped Marchand Baluns for Matching Applications Wael M. Fathelbab, Senior Member, IEEE, and Michael B. Steer, Fellow, IEEE

Abstract—Compact three-terminal structures with integrated matching and balun functionality are presented. The structures are in the form of tapped Marchand baluns and possess prescribed immittance profiles at either their single-ended or balanced ports. These prescribed profiles are important in ensuring stability of active circuits. Tapping the balanced resonators of the baluns enables the realization of an extremely wide range of load-to-source impedance or admittance ratios at no increase in overall size. Designs and implementations of two tapped Marchand baluns with octave bandwidths centered at 500 MHz are presented. Index Terms—Doubly/singly terminated prototypes, load-tosource immittance ratios, Marchand balun, matching networks, network synthesis, radio-frequency integrated circuits (RFICs), stability.

I. INTRODUCTION ALUNS [1] are key components of any radio-frequency (RF) and microwave communication system. They are used in balanced circuits, such as double-balanced mixers, push–pull amplifiers, and frequency doublers [2]. Another application of a balun is in a system using an RF integrated circuit (RFIC), where a balun transforms the differential outputs of an RFIC to unbalanced microwave circuitry. There are many types of baluns [3]–[5], with the Marchand balun [1], [2], [6]–[13] being the most popular at microwave frequencies, as it can be conveniently realized in planar or coaxial forms [1]. Generally, subsystems with active devices require matching networks which, as well as providing optimum transfer of power within an operating band, often determine the stability of the subsystem by their out-of-band characteristics. Essentially, a matching network must extract maximum power from the source for transfer to the load, but must also present the source with a specific immittance profile both in and out of its operating band. For example, the impedance level of a matching network connected to the output of a power amplifier approximating a current source must drop to a low level in the out-of-band region to ensure device stability. These design criteria influence the requirements imposed on the matching network, necessitating that its design be based on a singly terminated [14]–[17] rather than a doubly terminated network [9]. In the case of a singly terminated matching network, the real part of its input immittance function becomes the design parameter and, hence, is tailored appropriately to suit the characteristics of the source.

B

Manuscript received September 3, 2005; revised February 17, 2006. This work was supported by the U.S. Army Research Office as a Multidisciplinary University Research Initiative on Multifunctional Adaptive Radio Radar and Sensors under Grant DAAD19-01-1-0496. The authors are with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 27695-7911 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875821

Fig. 1. Marchand balun.

This paper presents structures that combine the functionality of baluns and matching networks. The structures are derived from several filter prototypes [9] that can be realized in the form of Marchand baluns. The baluns represent a solution to the problem of matching between terminals of extreme impedance (or admittance) values without using elements of extreme characteristic impedances in the balun structures. This is by virtue of tapping the balanced resonators of the baluns, thus allowing a wide range of load-to-source immittance ratios to be realized. The presentation here begins in Section II by highlighting the difference in design objective between doubly and singly terminated networks. Then, Section III proposes a method of scaling the balanced load of a balun to specific values. Finally, Section IV presents the synthesis and implementation of two tapped baluns and reports their measured performances. Size miniaturization and specific load-to-source immittance ratios are achieved. II. DOUBLY AND SINGLY TERMINATED MATCHING NETWORKS The fundamental difference between doubly and singly terminated matching networks concerns the design parameter that is synthesized to satisfy an electrical specification. A. Doubly Terminated Network A doubly terminated network attempts to achieve a constant return-loss level at its terminals over a specified passband. By referring to Fig. 1, the design focus is the input reflection coefficient

(1) for an impedance-based network where is the source impedance. The input reflection coefficient can also be expressed as

(2) is the for an admittance-based network where source admittance. In a lossless system, the transmission char-

0018-9480/$20.00 © 2006 IEEE

2544

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

acteristic is then related to the reflection coefficient through the well-known relationship (3) implying that delivery of the power from source to load is controlled by controlling the magnitude of the input reflection coefficient. B. Singly Terminated Network An ideal impedance-based singly terminated network is driven by a pure current source and presents a constant-impedance level to its driving source over its operating band. Upon analysis, it can be shown that the transmission coefficient of a singly terminated network is actually equal to the real part of its input impedance [16], [17]. This is expressed as (4) Also, for an admittance-based network driven by a pure voltage source, the transmission characteristic is (5) It is now evident from (3)–(5) that the transfer of power from the source to load is different in the two cases. C. Balun Example To demonstrate the points described above, we investigate the performance of a third-order class-A Marchand balun [9] designed from doubly and singly terminated prototypes. Fig. 2(a) presents plots of the real part of the balun’s input admittance function at the single-ended port. Here, it is shown how the characteristic of the real part changes as the return-loss level is varied from 10 to 20 dB. As expected, the passband ripple decreases as the return loss improves. However, it is also seen, from Fig. 2(a), that the real part peaks at frequencies outside the passband (which is defined by the vertical dotted lines) as the return loss improves, thus resulting in an uncontrolled increase in bandwidth. In other words, in order to improve the in-band ripple of the input admittance level, the skirt selectivity must be degraded. In almost every application, the skirt selectivity of a matching network is equally as important as the quality of the in-band match and has direct influence on the overall system performance. Now, the same type of balun is synthesized on singly terminated basis [see the characteristic in Fig. 2(b)]. Here, we see that the transition from passband to stopband is much faster than that of any of the functions presented in Fig. 2(a). In addition, there is a more controlled in-band ripple variation. The function depicted in Fig. 2(b) is Chebyshev, which is well known for its optimum performance in terms of trading off passband ripple and skirt selectivity [16]. Thus, based on the proceeding comparison, it is possible to conclude that a singly terminated balun integrated as a matching

Fig. 2. Input admittance of class-A Marchand balun normalized to a 1-

system. (a) Real part of the input admittance of a balun designed from a doubly terminated prototype for various single-ended return-loss level. (b) The same balun designed utilizing a singly terminated prototype with a specific passband ripple. TABLE I TYPE OF PARAMETER SYNTHESIZED FOR EACH CLASS OF BALUNS. (S IS THE RICHARDS TRANSFORMATION)

network in a subsystem configuration will extract maximum available power from its source and will possess the desired out-of-band characteristics for stability requirements of active circuits. Table I summarizes the type of parameter that is synthesized at either port with reference to the sets of transmission zeros used to design baluns in [9]. III. TAPPING THE BALANCED RESONATORS OF THE BALUN This section shows that the balun of Fig. 3(a) [9] can be designed for specific load-to-source immittance ratios. The equiv-

FATHELBAB AND STEER: TAPPED MARCHAND BALUNS FOR MATCHING APPLICATIONS

Fig. 3. Bandpass Marchand balun with a balanced load impedance R

2545

from [9]. (a) Its physical layout. (b) Its corresponding S -plane bandpass prototype.

alent prototype of this balun illustrated in Fig. 3(b) can be synthesized for different commensurate frequencies, . The commensurate frequency determines the electrical lengths of the transmission lines forming the balun and, thus, the larger the value of , the smaller the size of the resulting balun structure. In addition to fixing the overall size of the balun, the choice of the commensurate frequency also impacts the values of the immittances terminating the balun. In general, the inherent values of the load and source immittances of a Marchand balun are functions of the passband ripple, operating bandwidth, and the commensurate frequency. An example of a synthesized balun with an octave bandwidth centered at 500 MHz is shown in Fig. 4. It is seen that the inherent values of the single-ended and balanced impedances drop as increases from 5 to 20 GHz. In other words, miniaturizing such a structure has an impact on its terminal impedances. It is also observed that the inherent balanced-to-single-ended impedance ratios and the modal impedances in the two cases remain practically unaffected for different values of . We will now focus on tapping the balanced resonators of the balun to achieve a wide range of balanced-tosingle-ended immittance ratios. The detailed analysis of the generalized Marchand balun of [9] demonstrated that the balanced load is always much higher in value than the single-ended source impedance. It is common practice to scale down the balanced load impedance by using a pair of quarter-wavelength transmission lines. For example, see [9, Fig. 10(f)]. While this is normally an acceptable technique, the pair of transmission lines drastically increased the overall size of the balun. Note that these matching lines resonated at the passband center frequency while the balun structure com-

prised transmission lines that resonated at the commensurate frequency , where . This situation is undesirable in circumstances where size reduction is the primary objective. To overcome this drawback and to simultaneously achieve a specific load-to-source immittance ratio, we propose tapping the balanced resonators of the balun. It is common practice to alter the system impedance at either or both ports of a microwave filter (such as Combline [18]) to yield a realizable circuit. Consequently, tapping the resonators at the scaled node(s) of the filter matches to the desired system impedance with the advantage of no added hardware. This approach has potential usage in designing Marchand baluns. To begin the description of the tapped balun design, consider Fig. 5(a), which illustrates the basic output subsection of a bandpass Marchand balun. The subsection consists of a short-circuited stub, representing the balanced resonators, and an open-circuited stub connected to the balanced load . To scale the load impedance from to , an ideal transformer is inserted at the output, as shown in Fig. 5(a). If the balun is designed such that the virtual ground plane bisects the subcircuit right in the center, then it is possible to exactly represent the subcircuit of Fig. 5(a) by that of Fig. 5(b). The subcircuit of Fig. 5(b) (excluding the open-circuited stub) can then be approximated by that of Fig. 5(c). Examination of the subcircuit of Fig. 5(c) reveals that the total length of the balanced resonators is still equal to , but now the short-circuited stubs of length represent the parts of each resonator seen by each half of the scaled balanced load to the virtual ground. Also, the existence of the lumped capacitor is required for fine-tuning purposes.

2546

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 4. (a) Electrical layout of a class-A balun with an octave bandwidth centered at 500 MHz. (b) Element values for a prescribed impedance function at the balanced ports with a passband ripple of 0.5 dB. (c) Element values for a prescribed admittance function at the single-ended port with a passband ripple of 0.5 dB.

For a balun realizing a prescribed immittance function at the single-ended port, the following approximation is valid for passband bandwidths up to an octave. At the center frequency , the impedance in Fig. 5(b) is (6) where (7)

(8)

Now, the ABCD matrix of half of the circuit of Fig. 5(c) (without including the load impedance) can be derived based on the following matrix manipulations:

is the propagation In (7)–(9), constant and is the phase velocity in the transmission media. The real and imaginary parts of (6) and (10) may now be equated and solved simultaneously for the two unknowns and . It should be appreciated, however, that there is a limit as to how much the balanced load impedance can be lowered from to using the tapping approach. For example, forcing to be a very low value will likely degrade the ripple level of the real part of the input immittance at the single-ended port. In general, the approximation is increasingly valid as approaches . The above procedure can also be used to scale the balanced load of a balun realizing a prescribed immittance function at its balanced ports. In this case, it is more appropriate to approximate the subcircuit of Fig. 5(b) by that of Fig. 5(d) in a similar fashion as was just described. The process of load scaling will be demonstrated as part of the examples to be discussed in Section IV. IV. NUMERICAL SYNTHESIS EXAMPLES AND BALUN IMPLEMENTATIONS The general form of the characteristic polynomial of an -plane highpass network comprising open- or short-circuited stubs and quarter-wavelength transmission lines is [19], [20]

(9) Using (9), the input impedance

is evaluated at

(11)

to give

is the Richards transformation defined as , where and are the real and complex frequency variables, respectively, and is the commensurate where

(10)

FATHELBAB AND STEER: TAPPED MARCHAND BALUNS FOR MATCHING APPLICATIONS

2547

equal to . The FR4 substrate utilized for the with fabrication of the baluns had a thickness of 1.57 mm, a relative dielectric constant of 4.7, and a loss tangent of 0.016. A. A Balun With Prescribed Admittance Function at the Single-Ended Port A class-A balun is required to have a prescribed immittance function at its single-ended port with a passband ripple of 0.508 (i.e., dB) centered at 500 MHz. According to Table I, we will be dealing with an admittance function. The balun is also required to transform a single-ended source impedance of 7 to a balanced load of 100 . A typical situation that requires this type of balun is in the matching stage between the output of a low-impedance power amplifier and a balanced circuit such as an antenna. Using (12), the real part of the input admittance is derived from (13) Partial fraction expansion of (13) is then applied, after which the input admittance is extracted with the knowledge of the relationship [16], [17] (14) This gives

(15)

Fig. 5. Balanced resonator tapping. (a) Typical output section of a bandpass balun prototype after scaling its balanced load using an ideal transformer. (b) Identification of the virtual ground plane. (c) and (d) Balanced resonators after tapping.

frequency at which the transmission lines of the prototype are equal to a quarter-wavelength long. For the prototypes to be synthesized, we have and , i.e., the prototypes have a pair of zeros at dc and a single zero at . For an octave bandwidth, (11) becomes

(12)

Note that all the poles of the input admittance of (15) are located in the left-hand side of the -plane to ensure that the input impedance is positive real. The above function is then synthesized to obtain an -plane singly terminated prototype with element values as shown in Fig. 6(a). It is worth mentioning that each -plane capacitor and inductor of Fig. 6(a) become an open- and a short-circuited stub in the -plane with a characteristic impedance of and , respectively. The system impedance of the prototype is then raised from 1 to 7 , after which the half-angle [21] and relevant Kuroda transformations are applied, resulting in a transformed bandpass prototype. This leads to the -plane prototype of Fig. 6(b). The element values of the circuit of Fig. 3(b) may now be obtained from the circuit of Fig. 6(b). They are as follows:

(16)

2548

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 7. Measured characteristics of the fabricated balun of Fig. 6(e).

the output shunt stubs are symmetrically bisected by a virtual ground plane right in the center. Thus, the resonator tapping approach discussed in Section III can be implemented. For this balun, the elements of the output subsection [corresponding to Fig. 5(a)] are

mm (18) which, upon solving (6) and (10), yields the tapping height and the value of the capacitor as follows: mm

Fig. 6. Class-A balun with prescribed admittance function at the single-ended port. (a) S -plane singly terminated prototype. (b) Admittance-scaled and circuit-transformed f -plane prototype. (c) Electrical layout depicting the modal impedances of the coupled lines. (d) Layout with tapped resonators. (e) Fabricated balun.

Substituting (16) into (20)–(22), the parameters of the balun of Fig. 6(c) can be derived using (23)–(26). Fig. 6(c) depicts a load impedance of 100 after the inclusion of a 1:0.714 transformer. This implies that the balun has an inherent balanced-to-singleended impedance ratio of (17) From Fig. 6(c), we see that the pairs of coupled lines of the balun possess identical modal impedances. This is by virtue of the appropriate sequence of circuit transformations applied to the initial prototype of Fig. 6(a). Due to this, the balanced load and

pF

(19)

At this stage, the open-circuited stubs in the balun structure of Fig. 6(c) are approximated by lumped capacitors leading to the electrical layout of Fig. 6(d). Subsequently, the electrical layout of the balun is then converted into a physical layout using ADS [22] and then fabricated as shown in Fig. 6(e). For practical purposes, additional sections of 50- lines interconnecting the SMA connectors to the actual balun ports were added, as shown in Fig. 6(e). The extra transmission lines at the balanced ports have no effect on the measured response at the single-ended port; however, the delay introduced between the SMA connector and the actual single-ended port of the balun must be deembedded in order to accurately measure the input admittance. A pair of 50- loads was connected at the balanced ports and the single-ended port of the balun connected to a Tektronix Digital Sampling Oscilloscope with a TDR/sampling head SD-24. The measured time delay due to the transmission-line section at the single-ended port was 82.5 ps. The measured performance of the balun is shown in Fig. 7. It is observed that the center frequency of the passband has been offset by 6.6% and is now centered at about 467 MHz. This offset is due to the unaccounted parasitic inductances of the via holes and can be corrected by slightly shortening the transmission line resonators of the balun. However, since this implementation is only for demonstration purposes, the measured performance of the balun is compared with the simulated characteristic of the final circuit of Fig. 6(d) but scaled down

FATHELBAB AND STEER: TAPPED MARCHAND BALUNS FOR MATCHING APPLICATIONS

2549

Fig. 9. Measured characteristics of the balun of Fig. 8(e). (a) and (b) Real and imaginary parts of the driving point impedances seen to ground at each balanced port with the other terminated in a 50- load.

Fig. 8. Class-A balun with prescribed impedance function at the balanced ports. (a) S -plane singly terminated prototype. (b) Impedance-scaled and circuit-transformed f -plane prototype. (c) Electrical layout with tapped resonators depicting the modal impedances of the coupled-lines. (d) Simulation of the differential impedance between Ports 1 and 2. (e) Fabricated balun.

by 33 MHz. The correspondence is excellent. Next, the amplitude and phase imbalances were characterized. This was done using a 50- network analyzer, notwithstanding the fact that the measured single-ended port impedance of the balun is 7 . The measured amplitude and phase imbalances were found to be less than 0.2 dB and , respectively. B. Balun With Prescribed Impedance Function at the Balanced Ports Here, we demonstrate that it is possible to synthesize a prescribed immittance function at the balanced ports of a Marc-

hand balun. The class-A balun of the previous subsection is required to transform a balanced source impedance of 100 to a single-ended load of 50 over the same frequency band, but with a ripple of 0.349 (0.5 dB). According to Table I, the structure will realize an impedance function. A typical application that requires this type of balun is in the matching stage between the differential outputs of an RFIC feeding a single-ended circuit such as a filter. The real part of the balanced impedance function was constructed in an identical fashion as done previously using (12)–(14) but on impedance basis. The synthesized -plane prototype is shown in Fig. 8(a). The prototype is then scaled up in impedance such that a 50- load results at the single-ended port, resulting in the -plane prototype of Fig. 8(b). Going through identical steps as discussed in Section III, the tapped balun of Fig. 8(c) results with its simulated differential impedance depicted in Fig. 8(d). The fabricated balun is shown in Fig. 8(e). Balanced operation of the balun was tested by measuring the real and imaginary parts of the impedance function to ground at Port 1 of Fig. 8(c) with Port 2 terminated to ground in a 50load. Similar measurement at Port 2 with Port 1 terminated with 50 was also performed. The deembedded measured characteristics are shown in Fig. 9 together with the simulation. Very good agreement between the simulated and measured results is observed. V. CONCLUSION Singly terminated prototypes were used to derive new immittance-transforming Marchand baluns suitable for matching pur-

2550

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

poses. This led to miniaturized lumped-distributed baluns realizing specific immittance profiles at either their single-ended or balanced ports. Stability of active devices such as singleended or differential amplifiers could be improved by controlling the immittance they see. This is achieved by utilizing the proposed baluns in those situations. For a specific operating bandwidth and passband ripple, the commensurate frequency of the presented baluns can be varied, resulting in a wide range of terminal immittance values. Additional tapping of the balanced resonators of the baluns leads to the realization of specific balanced-to-single-ended immittance ratios at no increase in overall size. Two baluns were designed using a synthesis procedure. Measured and simulated specifications were in close agreement. APPENDIX I As described in [9], given the element values of the prototype depicted in Fig. 3(b), the following parameters must first be evaluated:

(20) (21) (22) Using the above equations, the modal impedances of each individual coupled-line pair comprising the balun shown in Fig. 3(a) are found as follows:

(23) (24) where open-circuited stub determined using

. The characteristic impedances of the and the balanced load are then

(25) Finally, the characteristic impedances of all of the remaining stubs in Fig. 3(a) are then found from

and

(26)

REFERENCES [1] R. Mongia, I. Bahl, and P. Bhartia, RF and Microwave Coupled-Line Circuits. Norwood, MA: Artech House, 1999, pp. 411–435.

[2] I. D. Robertson and S. Lucyszyn, “RFIC and MMIC design and technology,” Proc. Inst. Elect. Eng.—Circuits, Devices Syst., ser. 13, pp. 281–346, 2001. [3] B. J. Minnis and M. Healy, “New broadband balun structures for monolithic microwave integrated circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1991, pp. 425–428. [4] A. M. Pavio and A. Kikel, “A monolithic or hybrid broad-band compensated balun,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1990, pp. 483–486. [5] D. Kuylenstierna and P. Linner, “Design of broad-band lumped-element baluns with inherent impedance transformation,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2739–2745, Dec. 2004. [6] N. Marchand, “Transmission line conversion transformers,” Electron., vol. 17, no. 12, pp. 142–145, Dec. 1944. [7] J. Cloete, “Graphs of circuit elements for the Marchand balun,” Microw. J., vol. 24, no. 5, pp. 125–128, May 1981. [8] C. L. Goldsmith, A. Kikel, and N. L. Wilkens, “Synthesis of Marchand baluns using multilayer microstrip structures,” Int. J. Microw. Millimeter-Wave Comput.-Aided Eng., vol. 2, no. 3, pp. 179–188, 1992. [9] W. M. Fathelbab and M. B. Steer, “New classes of miniaturized planar Marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1211–1220, Apr. 2005. [10] K. Nishikawa, I. Toyoda, and T. Tokumitsu, “Compact and broad-band three-dimensional MMIC balun,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 1, pp. 96–98, Jan. 1999. [11] C. Tsai and K. C. Gupta, “A generalized model for coupled lines and its applications to two-layer planar circuits,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2190–2199, Dec. 1992. [12] C. W. Tang and C. Y. Chang, “A semi-lumped balun fabricated by low temperature co-fired ceramic,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 2201–2204. [13] K. S. Ang, Y. C. Leong, and C. H. Lee, “Analysis and design of miniaturized lumped-distributed impedance-transforming baluns,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 1009–1017, Mar. 2003. [14] R. J. Wenzel, “Application of exact synthesis methods to multi-channel filter design,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 1, pp. 5–15, Jan. 1965. [15] R. Levy, “Synthesis of general asymmetric singly and doubly terminated cross-coupled filters,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2468–2471, Dec. 1994. [16] B. J. Minnis, Designing Microwave Circuits by Exact Synthesis. Norwood, MA: Artech House, 1996. [17] H. J. Carlin and P. P. Civalleri, Wideband Circuit Design. Boca Raton, FL: CRC, 1998. [18] E. Cristal, “Tapped-line coupled transmission lines with applications to interdigital and combline filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 12, pp. 1007–1012, Dec. 1975. [19] M. Horton and R. Wenzel, “General theory and design of optimum quarter-wave TEM filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 5, pp. 316–327, May 1965. [20] J. A. G. Malherbe, Microwave Transmission Line Filters. Norwood, MA: Artech House, 1979. [21] J. A. G. Malherbe, “Realization of elliptic function bandstop filters by means of resonated prototype,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 8, p. 717, Aug. 1977. [22] Advanced Design System (ADS). ver. 2003A, Agilent Technol., Palo Alto, CA, 2003. Wael M. Fathelbab (M’03–SM’05) received the B.Eng. and Ph.D. degrees from the University of Bradford, Bradford, U.K., in 1995 and 1999, respectively. From 1999 to 2001, he was an RF Engineer with Filtronic Comtek Ltd., where he was involved in the design and development of filters and multiplexers for various cellular base-station applications. He was subsequently involved with the design of novel RF front-end transceivers for the U.K. market with the Mobile Handset Division, NEC Technologies Ltd. He is currently a Research Associate with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh. His research interests include network synthesis techniques, the design of tunable microwave devices, and broadband matching theory. He will be joining the Department of Electrical and Computer Engineering, South Dakota School of Mines and Technology, Rapid City, as an Assistant Professor in August 2006.

FATHELBAB AND STEER: TAPPED MARCHAND BALUNS FOR MATCHING APPLICATIONS

Michael B. Steer (S’76–M’82–SM’90–F’99) received the B.E. and Ph.D. degrees in electrical engineering from the University of Queensland, Brisbane, Australia, in 1976 and 1983, respectively. He is currently the Lampe Family Distinguished Professor of Electrical and Computer Engineering, North Carolina State University, Raleigh. In 1999 and 2000, he was a Professor with the School of Electronic and Electrical Engineering, The University of Leeds, where he held the Chair in microwave and millimeter-wave electronics. He was also Director of the Institute of Microwaves and Photonics, The University of Leeds. He has authored approximately 300 publications on topics related to RF, microwave and

2551

millimeter-wave systems, high-speed digital design, and RF and microwave design methodology and circuit simulation. He coauthored Foundations of Interconnect and Microstrip Design (Wiley, 2000). Prof. Steer is active in the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). In 1997, he was secretary of the IEEE MTT-S. From 1998 to 2000, he was an elected member of its Administrative Committee. He is the Editor-in-Chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2003–2006). He was a 1987 Presidential Young Investigator (USA). In 1994 and 1996, he was the recipient of the Bronze Medallion presented by the Army Research Office for “Outstanding Scientific Accomplishment.” He was also the recipient of the 2003 Alcoa Foundation Distinguished Research Award presented by North Carolina State University.

2552

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Conditions for Generation of Stable and Accurate Hybrid TD–FD MoM Solutions Mengtao Yuan, Student Member, IEEE, Arijit De, Student Member, IEEE, Tapan K. Sarkar, Fellow, IEEE, Jinhwan Koh, and Baek Ho Jung, Member, IEEE

Abstract—Broadband characterization of any electromagnetic (EM) data (e.g., surface currents, radiation pattern, and network parameters) can be carried out using partial information in the time domain (TD) and the frequency domain (FD). In this hybrid TD–FD approach, one generates the early time response using a TD code at a spatial location and uses a FD code to generate the low-frequency response at the same place. Then, the partial complementary information in both the TD and FD is fit by a set of orthogonal functions and its Fourier transform having the same expansion coefficients. Three different types of functions, namely, Hermite, Bessel–Chebyshev, and Laguerre, have been used for extrapolation. Once the expansion coefficients for these functions are known, the response can be extrapolated either for late times or high frequencies using the initial partial information. The objective of this paper is to explore the conditions under which this hybrid approach yields a stable and accurate solution. We investigate bounds for both the number of orthogonal functions needed to carry out the extrapolation and the scale factors needed to accurately fit the data in time and in frequency. Numerical examples have been presented to illustrate the efficacy of these bounds. It is important to point out that, in this hybrid approach of extrapolation, we are not creating new information but processing the available information in an intelligent fashion. Index Terms—Bessel–Chebyshev, frequency domain (FD), Hermite, hybrid method, Laguerre, lower bounds, orthogonal polynomials, scaling factor, time domain (TD), upper bounds.

I. INTRODUCTION YPICALLY, one solves Maxwell’s equations either in the time domain (TD) or in the frequency domain (FD). Therefore, the electrical size of the problem one can address using the classical TD or FD methods is primarily limited by the physical memory size (both real and virtual) of the computer. To go beyond the limitation of the computer, a hybrid (TD–FD) method has been proposed previously [1]–[6]. In this hybrid method, one uses an FD code to generate the low-frequency response and a TD code to provide the early time response at the spatial positions where the broadband characterization of the response is desired. The basic philosophy is that the early time provides

T

Manuscript received October 26, 2005; revised February 21, 2006. M. Yuan, A. De, and T. K. Sarkar are with the Electrical Engineering and Computer Science Department, Syracuse University, Syracuse, NY 13244 USA (e-mail: [email protected]). J. Koh is with the Department of Electronics and Electrical Engineering, Engineering Research Institute, GyeongSang National University, 660-701 Jinju, Korea (e-mail: [email protected]). B. H. Jung is with the Department of Information and Communication Engineering, Hoseo University, Asan, Chungnam 336-795, Korea (e-mail: bhjung@ office.hoseo.ac.kr). Digital Object Identifier 10.1109/TMTT.2006.875823

the missing high-frequency response, and the low-frequency complements the missing late time response. The complementary data in the two domains can enable one to generate the broadband response. However, the stability and accuracy of this methodology is highly dependent on the nature and the number of the basis functions used to carry out the extraction. In addition, the stability of the extrapolation process also depends on the scale factors used with these basis functions. The objective of this paper is to illustrate the conditions under which each of the basis functions will provide an accurate solution to the extrapolation problem. First, we provide a brief overview of the method. Then, we point out the problems inherent in the extrapolation process. This is followed by the range of validity for each of these procedures. The objective is to generate a broadband electromagnetic (EM) response at a spatial location. The early time response generated by a TD code provides samples in time with the sampling step of . This TD data set is designated by . The low-frequency response at the same spatial location is evaluated at points with the sampling step of . This FD data set is designated by . The missing late time and high-frequency data sets are similarly designated by and , respectively. The complete TD and FD responses are given by the functions and , respectively. The total number of samples that characterize is , and the total number of samples that characterize is . These relationships are shown as follows:

(1)

In (1), and is then characterized by

. The complete data

(2) The TD response is fitted by the expression

0018-9480/$20.00 © 2006 IEEE

(3)

YUAN et al.: CONDITIONS FOR GENERATION OF STABLE AND ACCURATE HYBRID TD–FD MoM SOLUTIONS

where is the orthogonal basis function of degree is a scale factor. The FD response is fitted with

know whether the data lengths are adequate” as stated in [4]. We can choose a tolerance (tol) of the singular values at the same level as the numerical error and the noise of the original data. If the smallest singular value of the matrix is larger than tol, this means that or are not large enough, and we have to run the TD or the FD program to obtain more original data. We can discard the singular values smaller than tol. Therefore, in this procedure, we do not define what is early time and what is low-frequency. However, if we have and samples of the data, through the use of the SVD, we know whether the data sets given are sufficient or if we need to generate additional data. It is more complicated to choose the values of the remaining parameters: , and . This is due to the fact that the basis functions are high-order polynomials with windowed functions. The optimal parameters can be obtained if is small or if we know the original data in the whole domain [8]–[12]. Searching for optimal , and analytically in this application of EM computation is a nonlinear problem and, in most practical cases, it is not feasible. One numerical method is proposed in [13] to search for the optimal and . However, this method requires applying the whole extrapolation process iteratively, which is time-consuming. Moreover, we need to know the upper and lower bounds of the parameters before the numerical searching. In this paper, we propose an a priori choice for the upper and lower bounds of the parameters which are easy to obtain. These bounds also provide an indication of the optimal parameters. To evaluate the performance of the extrapolation, we use the following normalized mean-squared errors (MSEs) in the time and FDs as

and

(4) and are related by the Fourier transform and where the two scaling factors and are related by (5) To solve for the unknown coefficients , we use only the early time and the low-frequency information. For a numerical solution of the coefficients , we replace the infinite upper limit in (3) and (4) by a finite number , resulting in the matrix equation given by (6), shown at the bottom of this page, where and stand for the real and imaginary parts of the transfer function. Then, once we have solved for the coefficients , they can be used in (3) to extrapolate the late time response and in (4) to extrapolate the missing high-frequency response. We designate and as the corresponding extrapolated data, i.e., the estimation for and . The success of this procedure depends on the choices of the parameters , and . The choice of and is restricted by Nyquist’s theory of sampling, which states that and . The and discussed in this paper are the time support and bandwidth for the EM responses and , respectively. For the choice of and , one can refer to [4]. Notice that columns of the matrix in (6) are truncated basis functions. The matrix is ill-conditioned and it is not necessarily a square matrix. The singular value decomposition (SVD) method [7] is used to solve (6). SVD will provide the solution of in a least-square sense, hence a minimum norm for and under the condition of (6). This feature is in accordance with the assumption that the early time data complement the high-frequency information and the low-frequency data complement the late time information. Another advantage of using SVD is that we can analyze the distribution of the singular values. SVD will “provide an automatic methodology to

.. .

.. .

.. .

2553

(7)

is the -norm of a vector. If and are equal where to 0 (trivial results), then and will be equal to 1. Therefore, the extrapolation does not converge when or . The goal of this paper is to demonstrate through numerical examples that it is possible to carry out such extrapolations.

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

(6)

2554

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

II. GENERATION OF THE DATA DUE TO A GAUSSIAN INPUT Typically, in solving TD problems, we usually excite the EM structure by a Gaussian pulse which is described in the TD as (8) where is proportional to the width of the pulse. is the delay to make for , and is the scalar amplitude. In the FD, the pulse has a form

(9) Note that is approximately band-limited by . Hence, a Gaussian pulse is practically both time- and band-limited. However, note that a waveform cannot simultaneously be strictly limited in time and frequency. We define a waveform to be time-limited to and frequency-limited to with the understanding that

(11) Next, we outline the various bounds of interest for the three different choices of the basis functions.

for for

of the waveform of interest. Its Fourier transform, which approximates the FD waveform, must provide support up to bandwidth , which must be larger than the desired bandwidth of the original function. 2) If the basis function does not have compact time support, then its variations (first and second derivatives) must be larger than the variations of the original waveform at . Accordingly, in the FD, the derivative of the phase of the basis functions at must be larger than that of the original waveform, i.e., . When and are real functions, then implies the sinusoidal component of . The second criterion is straightforward when the waveform is a shifted Gaussian pulse. A time shift will cause a phase change of in the FD, and the derivative of this phase change is a constant. Criterion 2) then has the following form:

(10)

where is a small positive number. We have chosen the order of 10 for our results. The EM response we observe in the real world has finite energy. Therefore, it is reasonable to consider wave shapes that have finite time and frequency supports. Since EM systems are linear systems, is restricted by the bandwidth of the input , and can be known a priori as . For a pulse input, the EM response will die down after some duration of time. The duration of can be estimated by using the inverse Fourier transform (IFT) directly on the known low-frequency data. This estimation is based upon the fact that the late time damping rate should be determined by the low-frequency data. The result of the IFT is equivalent to applying a rectangular window to the original data in the FD and convolving the impulse response of the original system with a sinc function. can be estimated by comparing the damping rate of the sinc function and from the result of the IFT. is determined from the spatial discretization of the structure. The response of an EM system due to the Gaussian pulse can be the induced surface currents, radiation pattern, near field, or admittance parameters related to the structure. In this paper, we generate the numerical results of -parameters. III. THREE DIFFERENT CHOICES OF BASIS FUNCTIONS AND THEIR NUMERICAL STABILITY AND ACCURACY Three different basis functions that have been used in (6) are the Hermite, Bessel–Chebyshev, and Laguerre functions. The three have different properties, which we will discuss separately. However, the expansions using these basis functions must satisfy the following two criteria. 1) If they are of compact support, the basis functions must approximate the temporal function of interest up to time , which must be larger than , which is the duration

A. Hermite Basis Functions First, we choose the orthogonal basis function as , where are the associate Hermite functions. They can be expressed as

(12) is the Hermite polynomial. The Hermite polynowhere mial can be computed recursively through

(13) Using (12), associate Hermite functions can be calculated easily by the recursive relationship

(14) Since the associate Hermite functions are the eigen functions of the Fourier transform operator, we have their Fourier transforms as (15) by the orthogonal Thus, if we can expand the function basis , its Fourier transform can be expressed by adding up the terms with the same coefficients. It is seen that the associate Hermite functions are not necessarily

YUAN et al.: CONDITIONS FOR GENERATION OF STABLE AND ACCURATE HYBRID TD–FD MoM SOLUTIONS

Because

and

2555

, we have

(19) Equation (19) above gives the upper and lower bounds of the scaling factor with respect to for the approximation of (with time support and bandwidth ) by Hermite basis functions. The minimum required for the extrapolation is relative to . Since we only consider two conditions and , the implicit lower bound of in (19) is more relaxed than the “ theorem” [14]. The theorem states that the “approximate dimension of the signal” is , or we have a lower bound on of Fig. 1. Time support of Hermite basis functions with respect to the order n.

(20) causal and, in addition, the two properties in the TD are given here. 1) The time support of the basis function increases as the order increases, assuming the scaling factor is fixed. This property is due to the relation

(16) 2) More oscillations occur as order increases. Because of (15) and (16), we can see that the basis function with a higher order has more high-frequency components. The time support of these basis functions as defined in (10) (when the functions have decayed to a level ) for order (for ) is plotted in Fig. 1 (dashed line). From this figure, it is seen that the length of the time support can be approximated by the following empirical formula:

(17) which is also shown by the solid line in Fig. 1. Because the functional forms of these functions are identical in the time and FDs, it can be shown that the support of these functions in the time and FDs can be given by

We use (20) as the lower bound on for Hermite basis functions. Although has a lower bound only, cannot be arbitrarily large because the coefficients will have oscillations due to computation error for large . B. Bessel–Chebyshev Functions Another choice for the orthogonal basis function is , where is a Bessel function of the first kind of degree . A data with a compact time support can be expanded as

(21) The Fourier transform of the above expression can be evaluated as in [15] (22), shown at the bottom of this page, where and is the Chebyshev polynomial of the second kind defined by

(23) In (21) and (22), the causality in time is not forced, whereas the signals we are dealing with are causal. The relationship between the first kind of Chebyshev polynomial and second kind of Chebyshev polynomial are the Hilbert transform, i.e.,

(18a) (18b)

(24)

(22)

2556

where fined by

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

is the Chebyshev polynomial of the first kind de-

(25) Therefore, a causal TD waveform and its Fourier transform can be written as (26) and (27), shown at the bottom of this page. Unlike the associate Hermite functions, which have the same form in the time and FDs with the decay rate of or for large or , the Bessel–Chebyshev basis functions are strictly band-limited, i.e., . The fact that they are strictly bandlimited results in infinite time support or . The time decay rate of the functions as in (21) is not sufficient to make the basis functions limited in time. The upper bound of is determined from the bandwidth of the functions obtained from the condition . Since for all , we have (28) Since , the lower bound of cannot be decided simply by the condition . We have to use criterion 2) stated in the beginning of this section. Bessel functions have the following asymptotic forms: for

Fig. 2. Effective time support order n.

T

of the Bessel functions with respect to

as shown by the solid line in Fig. 2. By adding the scaling factor , we have and imposing (32) Thus, we obtain the upper and lower bounds of

, given by (33)

(29) for

and, therefore, from (33), we can obtain a bound for

as

(30) For large approximates a sinusoidal function with an attenuation . shows a delayed peak with an oscillating tail with the same frequency for each . This oscillation is strictly band-limited by ( if ) in the FD. We cannot use the tails of the basis to approximate an arbitrary function, since all the tails have only a single frequency component. Mathematically speaking, the dimension of the set is limited and cannot form a complete basis set for , if is not large enough. We calculate the effective time support as the second root of each basis function and impose to obtain the lower bound of . The second root for the Bessel functions can be obtained numerically and can be approximated by the empirical formula

(31)

(34) are large, the This bound (34) shows that, when both and number of Bessel functions required for the approximation of the data is larger than the dimension of , as dictated by the “ theorem.” The value of , whichis the lower bound of , can only be reached in the limit or . The range of for the Bessel–Chebyshev basis functions is narrower than that for the Hermite basis functions, as defined in (19). C. Laguerre Functions The Laguerre functions of order

are defined by (35)

(26) (27)

YUAN et al.: CONDITIONS FOR GENERATION OF STABLE AND ACCURATE HYBRID TD–FD MoM SOLUTIONS

2557

They are causal, and they can be computed recursively using

(36) The Laguerre functions are orthogonal, i.e.,

otherwise.

(37)

An orthonormal basis function can be derived from the Laguerre functions through the representation

(38) at a where is a scaling factor. A causal EM response particular location in space for can be expanded by a Laguerre series as

Fig. 3. Time support of the associate Laguerre functions with respect to order n.

The Fourier transform of the Laguerre functions has a rational form in the FD as (44) where (45)

(39) Therefore, the greatest advantage of this representation is that it enforces causality. Also, the modality (number of local maxima and minima) increases with the increase of the order. Thus, a data with compact time support can be approximated by

(46) The amplitude of is independent of . We now use Criterion 2) to obtain the upper bound of , which is

(47) (40)

Hence, we have

The Fourier transform of the above expression can be evaluated as [15]

(48) By solving the inequality in (48), we have

(41) (49) . The time support for these functions can where be approximated by (when the functions have decayed to a level ) as

Together with (43) and (49), the upper and lower bounds of is given by

(42)

(50)

This is a good approximation, as shown by the dotted line in , we have the lower bound for Fig. 3. For the condition as

and the lower bound of the number of basis functions can be easily found from (50) as

(43)

(51)

2558

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE I BASIS FUNCTIONS AND THE BOUNDS FOR l

D. Summary All three basis functions are summarized in Table I. The upper and lower bounds for along with the lower bound of are also given in Table I. Given an EM response with a time support and one-sided bandwidth , and for a fixed number of these basis functions, the Laguerre basis functions have the widest range of for an accurate approximation of the desired response. The Bessel–Chebyshev basis functions have the narrowest range of . From the bounds on , we can easily obtain the lower bounds on (except for Hermite functions), which are also shown in Table I. Since the lower bound of is determined by their properties of the basis functions in the TD, and the upper bound of is determined from the properties in the FD, we expect the optimal scaling factor to be somewhere in the middle of the two bounds. An approximate value can be obtained from the point where the lower and upper bounds are equal. In this case, it is easier to obtain the optimal scaling factor for the Bessel–Chebyshev functions, simply as , which is its upper bound. The bounds shown in Table I are now validated through numerical examples presented in Section IV. IV. NUMERICAL EXAMPLES Example 1: In this example, we deal with the analysis of a microstrip bandpass filter shown in Fig. 4. The goal here is to extrapolate the -parameters on the ports using early time and low-frequency data and compare them with the results obtained using a Method of Moments (MoM) [16] solution in the FD and fast Fourier transform (FFT) of the marching-on-in-time solution in the TD. The extrapolated TD response is compared with the results obtained using the marching-on-in-time (MOT) method [17], [18] and inverse discrete Fourier transform (IDFT)

of the complete FD data. In all of the figures showing the -parameters, the solid lines represent the actual data and the dots show the extrapolated data. The vertical line partitions the left side showing the data that were extrapolated to the right side. We set the tolerance level when we solve (6) by SVD. When is larger than the upper bound, more error will occur in the FD, and, when is smaller than the lower bound, more error will occur in the TD. We take the average of the time and frequency errors, which are defined as (52) to express the total performance of the extrapolation. The layout of the microstrip filter is shown in Fig. 4. Each port is excited by a voltage with the shape of a Gaussian pulse. The parameter of the Gaussian pulse used in this example is ns and ns. is shown by the solid line in Fig. 5 in the TD, and the real and imaginary parts of the FD are shown in Fig. 6. From the figures, we can see that the time support and the bandwidth of the original data are ns and GHz, respectively. The sampling time is ns, and the frequency step is MHz. We take the first 60 TD points as the early time data and the first 110 FD points as the low-frequency data. We extrapolate them up to 200 points (5 ns) in the TD and 250 points (10 GHz) in the FD. The performance of the extrapolation with respect to and is shown in Figs. 7–9 in terms of the log of defined in (52). To show the range of convergence more clearly, we restrict all of the errors larger than 1 to 1. The dashed lines on top of the shading in the plots are for the upper bounds and the solid lines correspond to the lower bounds of the scale factors. We use the optimal values of and obtained through the plots of

YUAN et al.: CONDITIONS FOR GENERATION OF STABLE AND ACCURATE HYBRID TD–FD MoM SOLUTIONS

2559

Fig. 4. Microstrip bandpass filter designed at a center frequency of 4.0 GHz with around 8% bandwidth on a substrate with a relative dielectric constant of 3.38 and the height of the substrate being 1.5 mm. The other relevant dimensions of the filter are L = 14:5 mm, L =L = 1:3; L =L = 1:16; W = 20 mm, W =W = 1:15, and Gap =Gap = 3:2.

Fig. 5.

S

of the filter in the TD.

Figs. 7–9. These optimal values are in Table II. From the three figures, we can draw the following conclusions. 1) The Laguerre basis function has the widest range of convergence, while the Bessel–Chebyshev has the narrowest one. 2) The lower and upper bounds calculated in Table I are quite accurate in estimating the range of convergence. 3) The optimal scaling factor is close to the point of intersection of these two bounds. The optimum values of the parameters for the three basis functions are shown in Table II. Fig. 10 plots the coefficients for the three basis functions. From Fig. 10, we can see that the coefficients display oscillations for the lower orders of the Hermite and Laguerre basis functions and approach zero for higher orders. The coefficients

Fig. 6.

S

of the filter in the FD: (a) real and (b) imaginary parts.

2560

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 7. Performance of the associate Hermite functions for the filter. The range of convergence can be estimated by the upper (dashed line) and the lower (solid line) lines.

Fig. 8. Performance of the Bessel–Chebyshev functions for the filter. The range of convergence can be estimated by the upper (dashed) and the lower (solid) lines.

Fig. 9. Performance of the associate Laguerre functions for the filter. The range of convergence can be estimated by the upper (dashed) and the lower (solid) lines.

TABLE II OPTIMAL VALUES FOR l AND USED IN THE EXTRAPOLATION

N

Fig. 10. Coefficients for the three basis functions using the optimal scaling factors.

Fig. 11. Planar dipole antenna with the frequency range of operation between 20 MHz and 10 GHz. The antenna is made up of two hexagonal elements of sides 1.43 cm, connected together by the feed wire of length 1 mm and a radius of 0.01 mm at the base edge. The antenna is placed on either side of the dielectric of thickness 1 mm and a relative dielectric constant of 3.36.

for the Bessel–Chebyshev basis functions shown in Fig. 10 have the similar shape as the TD data of Fig. 5. To obtain the best performance, we have to use the largest value of for Bessel–Chebyshev basis functions while the Laguerre basis functions require the smallest value of . The extrapolated data obtained by the three choices of basis functions are also shown in Fig. 5 in the TD and in Fig. 6 for the FD, using the optimal parameters listed in Table II. These plots show that the extrapolated data match the original data quite well. The simulation of has similar results of , which is not shown in this paper due to the limited space. Example 2: In this example, we consider the -parameter of a planar dipole antenna, which is shown in Fig. 11. The parameters of the Gaussian pulse used in this example are ns and ns. is shown by the solid line in Fig. 12 in the TD, and the real and imaginary parts of the FD are shown in Fig. 13. From the figures, we can see that the time support and the bandwidth of the original data are ns and GHz. The sampling time is ns, and the frequency step is MHz. We take the first 65 TD points as the early time data and the first 100 FD points as the low-frequency data. We extrapolate them up to 200 points (5 ns) in the TD and 500 points (10 GHz) in the FD. The performance of the extrapolation with respect to and is shown in Figs. 14–16 in terms of the log of

YUAN et al.: CONDITIONS FOR GENERATION OF STABLE AND ACCURATE HYBRID TD–FD MoM SOLUTIONS

Fig. 12.

S

of the planar dipole in the TD.

2561

Fig. 14. Performance of the associate Hermite functions for the planar dipole. The range of convergence can be estimated by the upper (dashed) and the lower (solid) lines.

Fig. 15. Performance of the Bessel–Chebyshev functions for the planar dipole. The range of convergence can be estimated by the upper (dashed) and the lower (solid) lines.

Fig. 13.

S

of the planar dipole in the FD: (a) real and (b) imaginary parts.

defined in (52). Similar to example 1, we have the same conclusions of 1)–3) in this example. We use this example to briefly discuss the memory usage and the CPU time gain we can achieve by using the hybrid method.

Fig. 16. Performance of the associate Laguerre functions for the planar dipole. The range of convergence can be estimated by the upper (dashed) and the lower (solid) lines.

The dominant computational load in the hybrid method is in the SVD solution of the matrix equation in (6). It is a real matrix with dimension . Since , and are not large (usually around 100), the CPU time for the extrapolation is

2562

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

several seconds, which is negligible compared with the TD-only or FD-only methods. For the MoM in the FD, the number of unknowns is proportional to the operation frequency. The memory usage and the CPU time are proportional to and , respectively. In this example, in WIPL-D at 2 GHz, which is the frequency before which we obtained the known low-frequency data. The impedance matrix will occupy 4.2-Mb memory and the problem will be finished in 3 s for one frequency. If we want to simulate the structure up to the highest frequency (10 GHz), we use , which will occupy 100 Mb of memory. The problem will be finished in 60 s per frequency, which is 20 times slower than the same problem running at low frequency. If we simulate an array of this antenna, the CPU time gain can be up to 300 times. The memory usage gain is not obvious in the TD, since we have to use the fine mesh at the highest frequency to obtain the early time data. However, we can save the matrix on a hard disk and apply the out-of-core solver [19]. In addition, we can use the explicit MOT to achieve the CPU time gain because it does not require matrix inversion. The most important issue in the TD is the instability in late time, and the hybrid method can successfully solve this problem. The issue of instability in late time for an MOT method is beyond the scope of this paper. V. CONCLUSION In this paper, we discuss the a priori choice of the scaling parameter and the number of basis functions that make the extrapolation from early time and low-frequency data both accurate and stable. The range of convergence is mainly depenof the EM data. dent on the time support and bandwidth Three kinds of basis functions have been analyzed. Hermite functions show the advantage of having the same form both in the TD and FD. The upper bound and the optimal choice for the scaling factor is easiest to locate for Bessel–Chebyshev basis functions. The Laguerre basis functions have the widest range of convergence. Use of this hybrid technique may reduce the computational cost of solving electrically large problems that may be difficult to solve by exclusive use of either the TD or the FD codes, and it also solves the problem of late time instability in the TD.

[6] M. Yuan, T. K. Sarkar, B. H. Jung, Z. Ji, and M. Salazar-Palma, “Use of discrete Laguerre sequences to extrapolate wideband response from early time and low frequency data,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 7, pp. 1740–1750, Jul. 2004. [7] G. H. Golub and C. F. Van Loan, Matrix Computations. Baltimore, MD: The Johns Hopkins Univ. Press, 1991. [8] T. O. Silva, Hilbert Space, Boundary Value Problems, and Orthogonal Polynomials. Basel, Switzerland: Birkhauser-Verlag, 2002. [9] M. A. Masnadi-Shirazi, “Optimum synthesis of linear discrete-time systems using orthogonal Laguerre sequences,” Ph.D. dissertation, Univ. New Mexico, Albuquerque, NM, 1990. [10] L. Wang and W. R. Cluett, “Optimal choice of time-scaling factor for linear system approximations using laguerre models,” IEEE Trans. Automat. Control, vol. 39, no. 7, pp. 1463–1467, Jul. 1994. [11] T. O. Silva, “Optimality conditions for truncated laguerre networks,” IEEE Trans. Signal Process., vol. 42, no. 9, pp. 2528–2530, Sep. 1994. [12] A. C. den Brinker and H. J. W. Belt, “Optimal free parameters in orthonormal approximations,” IEEE Trans. Signal Process., vol. 46, no. 8, pp. 2081–2087, Aug. 1998. [13] M. Yuan, J. Koh, T. K. Sarkar, W. Lee, and M. Salazar-Palma, “A comparison of performance of three orthogonal polynomials in extraction of wide-band response using early time and low frequency data,” IEEE Trans. Antennas Propagat., vol. 53, no. 2, pp. 785–792, Feb. 2005. [14] D. Slepian, “On bandwidth,” Proc. IEEE, vol. 64, no. 3, pp. 292–300, Mar. 1976. [15] A. D. Poularikas, The Transforms and Applications Handbook. New York: IEEE Press, 1996. [16] B. M. Kolundzija, J. S. Ognjanovic, T. K. Sarkar, and R. F. Harrington, WIPL, Software for Electromagnetic Modeling of Composite Wire and Plate Structures. Norwood, MA: Artech House, 1995. [17] B. H. Jung and T. K. Sarkar, “Transient scattering from three-dimensional conducting bodies by using magnetic field integral equation,” J. Electromagn. Waves Applic., vol. 16, no. 1, pp. 111–128, 2002. [18] D. A. Vechinski, “Direct time-domain analysis of arbitrarily shaped conducting or dielectric structures using patch modeling techniques,” Ph.D. dissertation, Auburn Univ., Auburn, AL, 1992. [19] M. Yuan, T. K. Sarkar, and B. Kolundzija, “Solution of large complex problems in computational electromagnetics using higher order basis in MOM with out-of-core solvers,” IEEE Antennas Propagat. Mag., to be published.

Mengtao Yuan (S’02) was born in Chongqing, China. He received the B.S. degree in information and electronic engineering and the M.S. degree in information and communication systems from Zhejiang University, Hangzhou, China, and is currently working toward the Ph.D. degree in electrical engineering at Syracuse University, Syracuse, NY. He has been a Research Assistant with Syracuse University since 2002. His current research interests include electromagnetic (EM) computation in multilayered media, the time- and frequency-domain computational EM efficient solver for electrically large structures, circuit and antenna design, and signal processing in communications.

REFERENCES [1] S. Narayana, T. K. Sarkar, and R. S. Adve, “A comparison of two techniques for the interpolation/extrapolation of frequency domain responses,” Digit. Signal Process.—A Rev. J., vol. 6, no. 1, pp. 51–67, Jan. 1996. [2] M. M. Rao, T. K. Sarkar, T. Anjali, and R. S. Adve, “Simultaneous extrapolation in time and frequency domains using Hermite expansions,” IEEE Trans. Antennas Propagat., vol. 47, no. 6, pp. 1108–1115, Jun. 1999. [3] M. M. Rao, T. K. Sarkar, R. S. Adve, T. Anjali, and J. F. Callejon, “Extrapolation of electromagnetic responses from conducting objects in time and frequency domains,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 1964–1974, Oct. 1999. [4] T. K. Sarkar, J. Koh, W. Lee, and M. Salazar-Palma, “Analysis of electromagnetic systems irradiated by ultra-short ultra-wideband pulse,” Meas. Sci. Technol., vol. 12, pp. 1757–1768, Nov. 2001. [5] T. K. Sarkar and J. Koh, “Generation of a wide-band electromagnetic response through a Laguerre expansion using early-time and low-frequency data,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 5, pp. 1408–1416, May 2002.

Arijit De (S’04) received the B.Tech. degree (with honors) in electronics and electrical communication engineering from the Indian Institute of Technology (IIT), Kharagpur, India, in 2004, and is currently working toward the Ph.D. degree in the Department of Electrical Engineering, Syracuse University, Syracuse, NY. In the summer of 2003, he was a summer intern with the Center of Excellence Embedded DSP, Tata Consultancy Services, where he was involved with the design and implementation of 802.11 g Wireless LAN. From 2004 to 2005, he was a Research Consultant with the Advanced VLSI Design Laboratory, IIT, Kharagpur, working on the development of next-generation analog computer-aided design tools for National Semiconductor Corporation, Santa Clara, CA. Since 2005, he has been a Graduate Research Assistant with the Computational Electromagnetics Group, Syracuse University. His research interests are in the field of computational techniques and optimization.

YUAN et al.: CONDITIONS FOR GENERATION OF STABLE AND ACCURATE HYBRID TD–FD MoM SOLUTIONS

Tapan K. Sarkar (S’69–M’76–SM’81–F’92) received the B.Tech. degree from the Indian Institute of Technology, Kharagpur, India, in 1969, the M.Sc.E. degree from the University of New Brunswick, Fredericton, NB, Canada, in 1971, and the M.S. and Ph.D. degrees from Syracuse University, Syracuse, NY, in 1975. From 1975 to 1976, he was with the TACO Division, General Instruments Corporation. From 1976 to 1985, he was with the Rochester Institute of Technology, Rochester, NY. From 1977 to 1978, he was a Research Fellow with the Gordon McKay Laboratory, Harvard University, Cambridge, MA. He is currently a Professor with the Department of Electrical and Computer Engineering, Syracuse University. His current research interests deal with numerical solutions of operator equations arising in electromagnetics and signal processing with application to system design. He has authored or coauthored over 280 journal papers and numerous conference papers and 32 chapters in books and 15 books, including Iterative and Self Adaptive Finite-Elements in Electromagnetic Modeling (Artech House, 1998), Wavelet Applications in Electromagnetics and Signal Processing (Artech House, 2002), Smart Antennas (Wiley, 2003), and History of Wireless (Wiley, 2005). He is on the Editorial Board of the Journal of Electromagnetic Waves and Applications and Microwave and Optical Technology Letters. Dr. Sarkar is a Registered Professional Engineer in the State of New York. He was an associate editor for feature articles of the IEEE Antennas and Propagation Society Newsletter (1986–1988). He is a member of Sigma Xi and International Union of Radio Science Commissions A and B. He is currently a member of the IEEE Electromagnetics Award Board and an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He is the vice president of the Applied Computational Electromagnetics Society (ACES). He was the chairman of the Intercommission Working Group of the International URSI on Time Domain Metrology (1990–1996). He was a distinguished lecturer for the IEEE Antennas and Propagation Society (2000–2003). He was the recipient of the 1979 Best Paper Award of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY and at the 1997 National Radar Conference. He was the recipient of the 1996 College of Engineering Research Award and the 1998 Chancellor’s Citation for Excellence in Research at Syracuse University. He was the recipient of one of the 1977 Best Solution Awards presented

2563

at the Rome Air Development Center (RADC) Spectral Estimation Workshop. He received the title of Docteur Honoris Causa from the Universite Blaise Pascal, Clermont Ferrand, France, in 1998 and from the Politechnic University of Madrid, Madrid, Spain, in 2004. He was also the recipient of the 2000 Medal of the Friend of the City of Clermont Ferrand, France.

Jinhwan Koh was born in Daegu, Korea. He received the B.S. degree in electronics from Inha University, Incheon, Korea, and the M.S. and Ph.D. degrees in electrical engineering from Syracuse University, Syracuse, NY. He was with Goldstar Electron Semiconductor Company in Korea. From 2000 to 2002, he was with the Kyungpook National University, Taegu, Korea. He is now a Professor with the Department of Electrical and Electronic Engineering, Engineering Research Institute, Gyungsang National University, Jinju, Korea. His current research interests include radar systems, digital signal processing related to adaptive antenna problems, and audio signal processing.

Baek Ho Jung (M’05) received the B.S., M.S., and Ph.D. degrees in electronic and electrical engineering from Kyungpook National University, Taegu, Korea, in 1986, 1989, and 1997, respectively. From 1989 to 1994, he was a Researcher with the Agency for Defense Development in Korea. Since 1997, he has been a Lecturer and is currently an Associate Professor with the Department of Information and Communication Engineering, Hoseo University, Asan, Chungnam, Korea. He was a Visiting Scholar with Syracuse University, Syracuse, NY, from 2001 to 2003. His current research interests are computational electromagnetics and wave propagation.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Manuscripts for review should be submitted in pdf format as an email attachment to the Editor at [email protected]. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free preprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2006.877692

EDITORIAL BOARD Editor: M. STEER Associate Editors: A. CANGELLARIS, A. CIDRONALI, M. DO, K. ITOH, D. LINTON, S. MARSH, A. MORTAZAWI, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, V. RIZZOLI, D. WILLIAMS, R. WU, T. WYSOCKI, A. YAKOVLEV REVIEWERS A. Abbaspour-Tamijani D. Abbott M. Abdulla M. Abe M. Abedin M. Abouzahra M. Abramowicz R. Achar E. Ackerman D. Adam E. Adle M. Adlerstein M. Afsar K. Agarwal K. Agawa K. Ahmed D. Ahn H.-R. Ahn M. Aikawa M. Akaike Y. Akaiwa E. Akmansoy S. Aksoy A. Akyurtlu F. Alessandri C. Algani F. Ali M. Ali W. Ali-Ahmad F. Alimenti C. Alippi B. Alpert A. Alphones S. Al-Sarawi A. Altintas A. Alvarez-Melcom S. Amari C. Anastasiou U. Andersson Y. Ando P. Andreani K.-S. Ang I. Angelov S. Anlage O. Anwar I. Aoki R. Aparicio V. Aparin F. Arndt U. Arz M. Asai P. Asbeck H. Ashok H. Ashoka A. Atalar A. Atia N. Audeh S. Auster P. Auxemery I. Awai A. Aydiner K. Aygun R. Azadegan A. Babakhani I. Bahl D. Baillargeat S. Bajpai W. Bakalski J. Baker-Jarvis B. Bakkaloglu K. Balmain Q. Balzano S. Banba J. Bandler R. Bansal F. Bardati I. Bardi S. Barker D. Barlage J. Barr J. Bartolic D. Bates G. Baudoin Q. Balzano B. Beker G. Belenky D. Belot C. Bell P. Bell J. Benedikt J. Bernhard G. Bertin H. Bertoni E. Bertran W. Beyene A. Beyer M. Bialkowski E. Biebl P. Bienstman S. Bila M. Bilakowski A.-L. Billabert F. Bilotti H. Bilzer O. Biro R. Bisiso B. Bisla D. Blackham M. Blank P. Blondy D. Boccoli F. Bohn B. Boeck L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria O. Boric-Lubecke A. Borji J. Bornemann W. Bosch R. Bosisio S. Boumaiza M. Bozzi E. Bracken R. Bradley V. Bratman T. Brazil G. Brehm K. Breuer B. Bridges J. Brinkoff S. Broschat S. Brozovich D. Budimir D. Buechler M. Buff C. Buntschuh J. Bunton J. Burghartz P. Burghignoli Y. Bykov A. Caballero B. Cabon J. Calame

M. Calcatera C. Caloz C. Camacho-Penalosa E. Camargo R. Cameron S. Cammer C. Campbell M. Campovecchio F. Canavero J. Cao J. Capmany F. Capolino G. Carchon R. Carter N. Carvalho F. Casas J. Catala R. Caverly J. Cavers Z. Cendes B. Cetiner R. Chair H. Chaloupka A. Chambarel B. Chambers C.-H. Chan Y.-J. Chan C.-Y. Chang F. Chang G. Chang H.-C. Chang H.-R. Chang K. Chang E. Channabasappa H. Chapell W. Chappell M. Chatras S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen H.-H. Chen J. Chen R. Chen W.-K. Chen Y.-J. Chen K.-K. Cheng Y.-C. Cheng W.-C. Chew C.-Y. Chi Y.-C. Chiang C.-F. Chiasserini I.-T. Chiang J. C. Chiao I. Chiba D. Chigrin A. Chin C.-C. Chiu Y. Cho C. Choi J. Choi M.-J. Choi C.-K. Chou Y.-H. Chou D. Choudhury K. Choumei Y. Chow C. Christodoulou C. Christopoulos H.-R. Chuang Y. Chung B. Chye R. Cicchetti C. Cismaru D. Citrin P. Civalleri A. Ciubotaru T. Clark R. Clarke J. Cloete E. Cohen F. Colomb B. Colpitts M. Condon D. Consonni J. Corral A. Constanzo I. Corbella E. Costamagna A. Coustou J. Craninckx J. Crescenzi S. Cripps D. Cros T. Crowe M. Cryan J. Culver C. Curry W. Curtice M. da Cunha W.-L. Dai T. Dahm G. Dambrine B. Danly F. Danneville N. Das M. Davidovich A. Davis C. Davis L. Davis H. Dayal F. De Flaviis H. De Los Santos A. De Lustrac P. De Maagt J. de Mingo R. De Roo L. de Vreede D. De Zutter B. Deal A. Dearn P. Debicki J. Deen A. Deleniv M. DeLisio S. Demir A. Deutsch V. Devabhaktuni Y. Deval A. Diet L. Ding A. Djermoun T. Djordjevic J. Dobrowolski D. Dolfi W. Dou M. Douglas P. Draxler A. Dreher F. Drewniak J. Drewniak D. Dubuc S. Dudorov L. Dunleavy V. Dunn A. Duzdar

S. Dvorak L. Dworsky M. Dydyk M. Edwards R. Ehlers H. Eisele G. Eisenstein G. Eleftheriades M. Elliott T. Ellis A. Elsherbeni R. Emrick N. Engheta A. Enokihara Y. Eo H. Eom C. Ernst M. Esashi L. Escotte I. Eshrah V. Esposti M. Essaaidi K. Esselle H. Estaban J. Esteban C. Fager J. Fan D.-G. Fang M. Farina W. Fathelbab A. Fathy J. Favennec A. Fazal E. Fear M. Feldman A. Fernandez A. Ferrero T. Fickenscher J. Fiedziuszko D. Filipovic A. Fliflet B. Floyd P. Focardi N. Fong K. Foster P. Foster B. Frank C. Free J. Freire M. Freire R. Freund F. Frezza I. Frigyes C. Froehly J. Fu R. Fujimoto T. Fujioka O. Fujiwara H. Fukuyama V. Fusco D. Gabbay N. Gagnon J. Gallego B. Galwas O. Gandhi B.-Q. Gao J. Gao J. Garcia R. Garver A. Gasiewski B. Geelen B. Geller V. Gelnovatch W. Geppert F. Gerecht J. Gering M. Gerken S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini J. Gilb A. Glisson M. Goano E. Godshalk M. Goldfarb P. Goldsmith M. Golio N. Gomez X. Gong R. Gonzalo S. Gopalsami A. Gopinath R. Gordon A. Gorur K. Goverdhanam W. Grabherr L. Gragnani J. Grahn G. Grau A. Grebennikov T. Gregorzyk I. Gresham A. Griol D. R. Grischowsky C. Grossman E. Grossman T. Grzegorczyk A. Gupta K. Gupta M. Gupta R. Gutmann W. Gwarek J. Hacker M. Hafizi S. Hadjiloucas S. Hagness D. Haigh P. Hale D. Ham K. Hamaguchi S. Hamedi-Hagh J. Hand K. Hashimoto Q. Han T. Hancock A. Hanke V. Hanna Z. Hao S. Hara L. Harle A. Harish P. Harrison H. Hartnagel J. Haslett G. Hau R. Haupt S. Hay H. Hayashi J. Hayashi L. Hayden J. Heaton

P. Hedekvist W. Heinrich G. Heiter M. Helier R. Henderson F. Henkel J. Herren P. Herczfeld F. Herzel J. Hessler A. Hiatala C. Hicks M. Hieda A. Higgins M. Hikita W. Hioe Y. Hirachi T. Hiraota A. Hirata T. Hiratsuka Y.-C. Ho W. Hoefer K. Hoffmann R. Hoffmann J. Hong J.-S. Hong K. Horiguchi Y. Horii J. Horng J. Horton K. Hosoya R. Howald H. Howe H.-M. Hsu H.-T. Hsu J.-P. Hsu C.-W. Hsue C.-C. Huang C. Huang F. Huang H. Huang H.-C. Huang J. Huang T.-W. Huang P. Huggard H.-T. Hui D. Humphreys A. Hung C.-M. Hung H. Hung J.-J. Hung I. Hunter H.-Y. Hwang T. Idehara S. Iezekiel J.-Y. Ihm Y. Iida H. Iizuka P. Ikalainen Y. Ikeda P. Ikonen K. Ikossi M. Ilic J. Inatani K. Iniewski H. Inokawa A. Inoue M. Ishida A. Ishimaru T. Ishizaki S. Islam Y. Ismail Y. Isota M. Ito T. Itoh Y. Itoh T. Ivanov C. Iversen D. Iverson M. Iwamoto Y. Iyama H. Izumi D. Jachowski C. Jackson D. Jackson R. Jackson M. Jacob S. Jacobsen D. Jaeger B. Jagannathan N. Jain R. Jakoby G. James V. Jandhyala M. Janezic H. Jantunen B. Jarry P. Jarry A. Jastrzbeski E. Jeckein W. Jemison Y. Jeon J. Jeong Y.-H. Jeong G. Jerinic A. Jerng T. Jerse D. Jiao J.-M. Jin J. Joe L. Johansson T. Johnson A. Joseph K. Joshin J. Joubert P. Juodawlkis P. Kabos S.-T. Kahng T. Kaho D. Kajfez T. Kamel Y. Kamimura H. Kamitsuna K. Kamogawa S. Kanamaluru H. Kanaya M. Kanda P. Kangaslahtii V. Kaper M. Kärkkäinen A. Karpov U. Karthaus A. Karwowski T. Kashiwa R. Kaul K. Kawakami A. Kawalec T. Kawanishi S. Kawasaki H. Kayano M. Kazimierczuk R. Keam L. Kempel P. Kenington K. Kenneth S. Kenny

Digital Object Identifier 10.1109/TMTT.2006.878203

A. Kerr A. Khalil A. Khanifar J. Kiang Y.-W. Kiang P.-S. Kildal O. Kilic B. Kim H. Kim I. Kim J.-P. Kim M. Kim W. Kim B. Kimm K. Kimura S. Kimura A. Kirilenko V. Kisel S. Kishimoto A. Kishk T. Kitamura K. Kitayama T. Kitazawa W. Klaus E. Klumprink R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kogami B. Kolner S. Komaki M. Komaru J. Komiak A. Komijani G. Kompa A. Konczykowska Y. Konishi A. Koonen B. Kopp K. Kornegay M. Koshiba T. Kosmanis J. Kot Y. Kotsuka S. Koul V. Kourkoulos A. B. Kozyrev A. Krenitskiy N. Kriplani K. Krishnamurthy V. Krishnamurthy A. Kroenig C. Kromer C. Krowne V. Krozer W. Kruppa R. Kshetrimayum H. Ku H. Kubo E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar M. Kunert J. Kuno M. Kunst C.-N. Kuo J.-T. Kuo H. Kurebayashi T. Kuri F. Kuroki S. Kusunoki D. Kuylenstierna M. Kuzuhara I. Kwon Y.-W. Kwon R. Lai Y.-L. Lai P. Lampariello M. Lanagan M. Lancaster P. Lane U. Langmann Z. Lao G. Lapin L. Larson J. Laskar A. Lauer G. Lazzi Y. Le Coz Y. Le Guennec S. Le Maguer B. Lee C. Lee J.-F. Lee J.-W. Lee K. Lee R. Lee S.-G. Lee T. Lee Y.-C. Leong R. Leoni K.-W. Leung P. Leuchtmann G. Leuzzi A. Leven A. Levi R. Levy A. Lewandowski M. Lewis K. Li L.-W. Li X. Li Y. Li Y.-M. Li M. Liberti L. Ligthart S. Lim E. Limiti C. Lin J. Lin Y.-D. Lin Y.-S. Lin L. Lind S. Lindenmeier F. Ling A. Lipparini D. Lippens V. Litvinov C.-P. Liu Q.-H. Liu S.-I. Liu W. Liu O. Llopis D. Lo A. Loayssa R. Loison J. Long K. Lorincz U. Lott J.-H. Loui H.-C. Lu L.-H. Lu S. Lu

W.-T. Lu V. Lubecke G. Lucca S. Lucyszyn R. Luebbers L. Lunardi J. Luy S. Lyshevski J.-G. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella P. Macchiarella J. Machac S. Maci J. Maciel M. Madihian B. Madhavan V. Madrangeas M. Magana S. Mahmoud S. Mahon I. Maio A. Majedi M. Majewski M. Makimoto J. Malherbe D. Malocha T. Manabe G. Manganaro T. Maniwa C. Mann H. Manohara R. Mansour D. Manstretta J. Mao S.-G. Mao S. Marchetti R. Marques J. Martens J. Marti F. Martin E. Martinez K. Maruhashi D. Masotti A. Massa S. Masuda A. Materka B. Matinpour M. Matsuo A. Matsushima A. Matsuzawa S. Matsuzawa G. Matthaei D. Matthews J.-P. Mattia J. Maurer J. Mayock J. Mazierska S. Mazumder G. Mazzarella K. McCarthy T. McKay J. McKinney R. McMillan D. McQuiddy P. Meany F. Medina S. Melle F. Mena C. Meng H.-K. Meng W. Menzel F. Mesa A. Metzger P. Meyer C. Mias K. Michalski G. Michel E. Michielssen A. Mickelson R. Miles D. Miller R. Minasian B. Minnis D. Mirshekar J. Mitchell O. Mitomi R. Mittra M. Miyakawa R. Miyamoto M. Miyazaki K. Mizuno S. Mizushina M. Mohamed S. Mohammadi A. Mohammadian M. Mongiardo J. Morente M. Morgan K. Mori A. Morini N. Morita E. Moros A. Morris J. Morsey H. Mosallaei M. Mrozowski J.-E. Mueller M. Muraguchi K. Murata H. Muthali T. Nagatsuma P. Nagel K. Naishadham T. Nakagawa M. Nakajima N. Nakajima J. Nakayama M. Nakayama M. Nakhla J. Nallatamby S. Nam S. Narahashi A. Natarajan J. Nath B. Nauwelaers J. Navarro I. Nefedovlgor H.-C. Neitzert B. Nelson S. Nelson A. Neri H. Newman D. Ngo E. Ngoya C. Nguyen K. Niclas E. Niehenke P. Nikitin A. Niknejad N. Nikolova T. Nirmalathas K. Nishikawa T. Nishikawa

K. Nishimura T. Nishino K. Nishizawa G. Niu W. Ng S. Nogi K. Noguchi T. Nojima A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido T. Nurgaliev D. Oates J. Obregon J. O’Callahan M. O’Droma M. Odyneic I. Ogawa M. Ogusu K. Oh M. Ohawa T. Ohira I. Ohta M. Ohtsuka S. Oikawa K. Okada Y. Okano H. Okazaki V. Okhmatovski A. Oki M. Okoniewski A. Oliner J. Olsson F. Olyslager A. Omar M. Omiya K. Onodera B.-L. Ooi I. Oppermann R. Orta S. Ortiz J. Ou T. Owada M. Ozkar J. Page de la Pega W. Palmer G.-W. Pan A. Paolella C. Papanicolopoulos J. Papapolymerou B.-K. Park C.-S. Park W. Park A. Parker D. Parker T. Parker J. Pearce B. Pejcinovic S.-T. Peng R. Pengelly R. Penty J. Pereda B. Perlman L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson A. Petosa A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierce B. Piernas J. Pierro P. Pieters M. Piket-May L. Pileggi Z.-Y. Ping M. Pirola A. Platzker C. Plett C. Pobanz R. Pogorzelski R. Pokharel R. Pollard G. Ponchak M. Popovic J. Portilla M. Pospieszalski V. Postoyalko A. Pothier S. Prasad D. Prather D. Prescott A. Priou D. Purdy Y. Qian T. Quach C. Quendo R. Quere F. Raab V. Radisic K. Radhakrishnan T. Rahkonen C. Railton A. Raisanen K. Rajab O. Ramahi J. Randa R. Ranson T. Rappaport J. Rathmell C. Rauscher J. Rautio B. Rawat J. Rayas-Sanchez R. Reano G. Rebeiz J. Rebollar B. Redman-White M. Reddy R. Reid H.-M. Rein J. Reinert R. Remis K. Remley C. Rey L. Reynolds A. Rezazadeh E. Rezek A. Riddle B. Riddle J.-S. Rieh E. Rius I. Robertson R. Robertson A. Rodriguez R. Rogers H. Rogier U. Rohde N. Rolland R. Romanofsky

A. Rong Y. Rong D. Root L. Roselli A. Rosen U. Rosenberg L. Roy M. Royer J. Roychowdury T. Rozzi B. Rubin M. Rudolph P. Russer D. Rutledge T. Ruttan A. Rydberg T. Rylander D. Rytting C. Saavedra A. Safavi-Naeini A. Safwat M. Sagawa B. Sahu A. Saitou I. Sakagami K. Sakaguchi K. Sakakibara K. Sakamoto K. Sakoda M. Salazar-Palma C. Samori L. Samoska A. Sanada Y. Sanada M. Sanagi P. Sandhiva U. Sangawa A. Sangster K. Sano K. Sarabandi T. Sarkar C. Sarris H. Sato M. Sato S. Sato H. Sawada H. Sawaya A. Sawicki A. Sayed I. Scherbatko J. Schellenberg G. Schettini F. Schettino B. Schiek M. Schindler E. Schlecht E. Schmidhammer D. Schmitt J. Schneider J. Schoukens A. Schuchinsky R. Schuhmann J. Schultz J. Schutt-Aine A. Seeds Y. Segawa T. Seki S. Selberherr G. Semouchkin E. Semouchkina Y.-K. Seng R. Settaluri J. Sevic O. Sevimli Y. Segawa Z. Shao M. Shapiro A. Sharma S. Sharma T. Shen Z.-X. Shen Y. Shestopalov H. Shigesawa Y.-C. Shih H. Shimasaki S. Shinjo N. Shino N. Shinohara T. Shimozuma W. Shiroma K. Shogen N. Shuley M. Shur D. Sievenpiper A. Sihvola C. Silva M. Silveira M. Silveirinha M. Silveirinhao K. Silvonen G. Simin R. Simons B. Sinha F. Sinnesbichler J. Sinsky J. Sitch H.-J. Siweris R. Sloan A. Smith D. Smith G. Smith P. Smith R. Snyder H. Sobol A. Sochava M. Solano K. Solbach M. Solomon M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers M. Soyuer R. Sparks P. Staecker D. Staiculescu S. Stapleton J. Staudinger P. Stauffer P. Steenson K. Stephan M. Steyaert S. Stitzer A. Stoehr B. Strassner M. Stubbs M. Stuchly A. Suarez G. Subramanyam R. Sudbury N. Suematsu M. Sugiyama D. Sullivan L. Sundstrom

Y. Suzuki J. Svacina D. Swanson D. Sweeney R. Syms B. Szendrenyi W. Tabbara M. Tabib-Azar A. Taflove M. Taghivand N. Taguchi Y. Tahara G. Tait Y. Tajima T. Takagi K. Takahashi S. Takayama Y. Takayama S. Takeda I. Takenaka M. Taki K. Takizawa S. Talisa N. Talwalkar B.-T. Tan C.-Y. Tan J. Tan C.-W. Tang W.-C. Tang S. Tanaka T. Tanaka Y. Tanaka M. Tani E. Taniguchi H. Tanimoto R. Tascone J. Taub J. Tauritz R. Tayrani D. Teeter F. Teixeira R. Temkin M. Tentzeris K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson D. Thompson M. Tiebout L. Tiemeijer H. Toda M.-R. Tofighi M. Togashi T. Tokumitsu R. Tomasiunas A. Tombak K. Tomiyasu I. Toyoda S. Tretyakov R. Trew A. Trifiletti C. Trueman A. Truitt C.-M. Tsai E. Tsai L. Tsang H.-Q. Tserng T. Tsiboukis J. Tsui M. Tsuji T. Tsujiguchi T. Tsukahara K. Tsukamoto K. Tsunoda H. Tsurumi S. Tu R. Tucker M. Tur C.-K. Tzuang H. Uchida S. Uebayashi T. Ueda S. Ueno J. Uher F. Uhlmann T. Ulrich T. Umeda Y. Umeda F. Urbani T. Uwano P. Vainikainen P. Valanju F. Van de Water P. van den Berg D. Van der Weide G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie J. Vankka F. Van Straten K. Varian G. Vasilecu A. Vegas-Garcia L. Vegni A. Verma R. Vernon J. Verspecht B. Vidal L. Vietzorreck A. Viitanen A. Vilches C. Vittoria S. Vitusevich D. Viveiros V. Volman K. Wada K. Wakino D. Walker R. Walker M. Wallis C. Walsh C. Wan S. Wane B.-Z. Wang C. Wang D. Wang E. Wang H. Wang J. Wang K.-C. Wang S. Wang T.-H. Wang W. Wang X. Wang K. Warnick P. Warr S. Wartenberg O. Watanabe S. Watanabe R. Waugh D. Webb K. Webb R. Webster S. Wedge C.-J. Wei

J. Weirt R. Weigel G. Weihs R. Weikle C. Weil D. Weile A. Weily S. Weinreb J. Weiss C. Weitzel T. Weller C.-P. Wen M.-H. Weng R.-M. Weng S. Wentworth J. Whelehan L. Whicker J. Whitaker N. Whitbread D. White I. White S. Whiteley A. Whittneben B. Widrow G. Wilkins J. Williams T. Williams A. Williamson B. Willen B. Wilson J. Wiltse T. Winslow J. Winters A. Wittneben M. Wnuk M.-F. Wong S. Wong W. Woo J. Wood R. C. Wood G. Woods D. Woolard B.-L. Wu C. Wu H. Wu K. Wu K.-L. Wu Q. Wu Y.-S. Wu J. Wuerfl M. Wurzer J. Wustenberg G. Xiao C. Xie H. Xin Y.-Z. Xiong J. Xu Y. Xu Q. Xue T. Yakabe K. Yamamo S. Yamamoto S. Yamashita K. Yamauchi F. Yang H.-Y. Yang K. Yang Y. Yang Y.-J. Yang Z. Yang S. Yanagawa F. Yanovsky H. Yao J. Yao J. Yap B. Yarman K. Yashiro H. Yasser K. Yasumoto S. Ye J. Yeo S.-P. Yeo A. Yilmaz W.-Y. Yin S. Yngvesson N. Yoneda T. Yoneyama C.-K. Yong J.-G. Yook J.-B. Yoon R. York I. Yoshida S. Yoshikado L. Young M. Yousefi J.-W. Yu M. Yu P.-K. Yu W. Yu S.-W. Yun P. Yue A. Zaghoul A. Zaghloul A. Zajic K. Zaki P. Zampardi J. Zapata L. Zappelli J. Zehentner L. Zhang Q.-J. Zhang R. Zhang S. Zhang W. Zhang Y. P. Zhang A. Zhao L. Zhao Y. Zhao F. Zhenghe W. Zhou A. Zhu L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin D. Zimmermann R. Ziolkowski H. Zirath J. Zmuidzinas A. Zozaya