IEEE MTT-V054-I12A (2006-12A) [54, 12A ed.]

  • Commentary
  • FANTOMASPING
Citation preview

DECEMBER 2006

VOLUME 54

NUMBER 12

IETMAB

(ISSN 0018-9480)

PART I OF TWO PARTS

PAPERS

Linear and Nonlinear Device Modeling A Nonquasi-Static Empirical Model of Electron Devices ........ ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ..... A. Santarelli, V. Di Giacomo, A. Raffo, P. A. Traverso, G. Vannini, and F. Filicori Smart Antennas, Phased Arrays, and Radars Sources of Phase Error and Design Considerations for Silicon-Based Monolithic High-Pass/Low-Pass Microwave Phase Shifters ......... ......... . ........ ....... M. A. Morton, J. P. Comeau, J. D. Cressler, M. Mitchell, and J. Papapolymerou A -Band FMCW Radar Front-End With Adaptive Leakage Cancellation .... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .. K. Lin, Y. E. Wang, C.-K. Pao, and Y.-C. Shih Active Circuits, Semiconductor Devices, and ICs Stability Criterion for Two-Port Network With Input and Output Terminations Varying in Elliptic Regions .... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ....... P. Marietti, G. Scotti, A. Trifiletti, and G. Viviani 2-bit -Band Reflective Waveguide Phase Shifter With BCB-Based Bias Circuits ...... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ..... A. E. Martynyuk, A. G. Martinez-Lopez, and J. I. Martinez Lopez A Low-Power RF Direct-Conversion Receiver/Transmitter for 2.4-GHz-Band IEEE 802.15.4 Standard in 0.18- m CMOS Technology ..... ......... ....... T.-K. Nguyen, V. Krizhanovskii, J. Lee, S.-K. Han, S.-G. Lee, N.-S. Kim, and C.-S. Pyo An SiC MESFET-Based MMIC Process . ......... ........ ......... ......... ........ ......... ......... ........ ....... M. Südow, K. Andersson, N. Billström, J. Grahn, H. Hjelmgren, J. Nilsson, P.-Å. Nilsson, J. Ståhl, H. Zirath, and N. Rorsman A Highly Linear Low-Noise Amplifier ... ......... ........ ........ S. Ganesan, E. Sánchez-Sinencio, and J. Silva-Martinez Wireless Communication Systems An Improved Power-Added Efficiency 19-dBm Hybrid Envelope Elimination and Restoration Power Amplifier for 802.11g WLAN Applications . ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... .... F. Wang, D. F. Kimball, J. D. Popp, A. H. Yang, D. Y. Lie, P. M. Asbeck, and L. E. Larson

4021

4032 4041

4049 4056 4062 4072 4079

4086

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Field Analysis and Guided Waves A High-Frequency Circuit Model for the Gap Excitation of a Microstrip Line .. ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ....... R. Rodríguez-Berral, F. Mesa, and D. R. Jackson Focusing Efficiency Analysis and Performance Optimization of Arbitrarily Sized DNG Metamaterial Slabs With Losses . ......... ......... ........ ......... ......... ........ ......... .... D. L. Sounas, N. V. Kantartzis, and T. D. Tsiboukis Analytical Approach for Analysis of Nonuniform Lossy/Lossless Transmission Lines and Tapered Microstrips ........ .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .. M. H. Eghlidi, K. Mehrany, and B. Rashidian -Band Traveling-Wave Window for a High-Power Transmission . ......... ......... ........ ......... ......... .. Oversized .. ........ ......... ......... ........ .. A. Bogdashov, G. Denisov, D. Lukovnikov, Y. Rodin, D. Sobolev, and J. L. Hirshfield Application of Electromagnetic Bandgaps to the Design of Ultra-Wide Bandpass Filters With Good Out-of-Band Performance ... ......... ........ ......... ......... ........ ......... ......... ... J. García-García, J. Bonache, and F. Martín CAD Algorithms and Numerical Techniques Compact Models Based on Transmission-Line Concept for Integrated Capacitors and Inductors ....... ......... ......... .. .. ........ ......... ......... ........ ......... ......... .... K.-Y. Lee, S. Mohammadi, P. K. Bhattacharya, and L. P. B. Katehi Prediction of the End-to-End Performance of a Microwave/RF Link by Means of Nonlinear/Electromagnetic Co-Simulation . ......... ........ ......... ......... ........ .... V. Rizzoli, A. Costanzo, D. Masotti, P. Spadoni, and A. Neri Filters and Multiplexers A Planar High- Micromachined Monolithic Half-Coaxial Transmission-Line Filter .. ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ... I. Llamas-Garro, Y. Kim, C.-W. Baek, and Y.-K. Kim Dual-Band Lumped-Element Bandpass Filter .... ........ ......... ......... ........ ......... ..... H. Joshi and W. J. Chappell Combined Left- and Right-Handed Tunable Transmission Lines With Tunable Passband and 0 Phase Shift .. ......... .. .. ........ ......... ......... ........ ......... ......... H. Kim, S.-J. Ho, M.-K. Choi, A. B. Kozyrev, and D. W. van der Weide Design of Matching Circuits for Microstrip Triplexers Based on Stepped-Impedance Resonators ...... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ...... P.-H. Deng, M.-I. Lai, S.-K. Jeng, and C. H. Chen Electronically Switchable Bandpass Filters Using Loaded Stepped-Impedance Resonators ..... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ... S.-F. Chao, C.-H. Wu, Z.-M. Tsai, H. Wang, and C. H. Chen Packaging, Interconnects, MCMs, Hybrids, and Passive Circuit Elements Polymer–Ceramic Composites for Microwave Applications: Fabrication and Performance Assessment ........ ......... .. .. ........ ......... ......... ........ ......... ......... . S. Koulouridis, G. Kiziltas, Y. Zhou, D. J. Hansford, and J. L. Volakis A Systematic Design to Suppress Wideband Ground Bounce Noise in High-Speed Circuits by Electromagnetic-Bandgap-Enhanced Split Powers .... ...... .... .... C.-L. Wang, G.-H. Shiue, W.-D. Guo, and R.-B. Wu Multilayer Design Techniques for Extremely Miniaturized CMOS Microwave and Millimeter-Wave Distributed Passive Circuits ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ... M. K. Chirala and C. Nguyen Instrumentation and Measurement Techniques Active Harmonic Load–Pull for On-Wafer Out-of-Band Device Linearity Optimization ......... ........ ......... ......... .. .. ........ ......... ....... M. Spirito, M. J. Pelk, F. van Rijs, S. J. C. H. Theeuwen, D. Hartskeerl, and L. C. N. de Vreede A Three-Dimensional Finite-Difference Time-Domain Scheme Based on a Transversely Extended-Curl Operator ..... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ... A. H. Panaretos, J. T. Aberle, and R. E. Díaz

4100 4111 4122 4130 4136

4141 4149

4161 4169 4178 4185 4193

4202 4209 4218

4225 4237

Microwave Photonics Shaping the Power Spectrum of Ultra-Wideband Radio-Frequency Signals ..... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .... J. D. McKinney, I. S. Lin, and A. M. Weiner

4247

MEMS and Acoustic Wave Components An Analysis of Perfect-Magnetic-Coupling Ultra-Low-Loss Micromachined SMIS RF Transformers for RFIC Applications ... ......... ........ ....... H.-B. Liang, Y.-S. Lin, C.-C. Chen, P.-F. Yeh, Y.-R. Tzeng, T. Wang, and S.-S. Lu

4256

Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

4268

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $16.00 per year for electronic media only or $32.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE K. VARIAN, President S. M. EL-GHAZALY J. HAUSNER K. ITOH M. HARRIS D. HARVEY

J. S. KENNEY, President Elect L. KATEHI N. KOLIAS J. S. KENNEY T. LEE

W. H. CANTRELL, Secretary J. LIN V. J. NAIR J. MODELSKI B. PERLMAN A. MORTAZAWI

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

D. RUTLEDGE W. SHIROMA

N. KOLIAS, Treasurer R. WEIGEL R. SNYDER K. WU K. VARIAN R. YORK

Distinguished Lecturers K. TOMIYASU L. YOUNG

G. BOECK W. HOEFER T. ITOH

B. KIM J. LASKAR V. LUBECKE

J. C. RAUTIO D. ROOT D. RYTTING

Past Presidents M. SHUR P. SIEGEL A. SUAREZ

K. C. GUPTA (2005) R. J. TREW (2004) F. SCHINDLER (2003)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: A. D. BROWN Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: C. SEABURY Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: K. ALAVI Central & South Italy: S. MACI Central No. Carolina: T. IVANOV Chicago: Z. LUBIN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. EYE Dayton: A. TERZOUOLI, JR. Denver: M. JANEZIC Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: K. O’CONNOR

Foothill: C. ANTONIAK France: P. EUDELINE Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: S. E. WHEATLEY Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: P. WAHID Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorod: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: J. J. SOWERS Seattle: K. POULSON Seoul Coucil: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH Singapore: O. B. LEONG

Editors-In-Chief DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected] AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected]

South Africa: P. W. VAN DER WALT South Australia: H. HANSEN South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West: I. ISAYEV Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI Yugoslavia: B. MILOVANOVIC

Associate Editors

KENJI ITOH STEVEN MARSH ANDREAS CANGELLARIS Univ. of Illinois, Urbana Champaign Mitsubishi Electronics Midas Consulting USA Japan U.K. email:[email protected] email: [email protected] email: [email protected] YOSHIO NIKAWA DAVID LINTON TADEUSZ WYSOCKI Queen’s Univ. Belfast Univ. of Wollongong Kokushikan Univ. Northern Ireland Australia Japan email: [email protected] email: [email protected] email: [email protected] RUEY-BEEI WU MANH ANH DO JOSÉ PEDRO Univ. of Aveiro National Taiwan Univ. Nanyang Technological Univ. Portugal Taiwan, R.O.C. Singapore email: jcp.mtted.av.it.pt email: [email protected] email: [email protected] ZOYA POPOVIC ALESSANDRO CIDRONALI VITTORIO RIZZOLI Univ. of Colorado, Boulder Univ. of Florence Univ. of Bologna USA Italy Italy email: [email protected] email: [email protected] email: [email protected] M. GOLIO, Editor-in-Chief, IEEE Microwave Magazine G. E. PONCHAK, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] JENSHAN LIN Univ. of Florida USA email: [email protected] RICHARD SNYDER RS Microwave Company USA email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers SAIFUR RAHMAN, Vice President, Publication Services and Products MICHAEL R. LIGHTNER, President and CEO LEAH H. JAMIESON, President-Elect PEDRO RAY, Vice President, Regional Activities J. ROBERTO DE MARCA, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association JOSEPH V. LILLIE, Treasurer CELIA L. DESMOND, Vice President, Technical Activities W. CLEON ANDERSON, Past President RALPH W. WYNDRUM, JR., President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $77.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2006 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188.

Digital Object Identifier 10.1109/TMTT.2006.888690

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

4021

A Nonquasi-Static Empirical Model of Electron Devices Alberto Santarelli, Member, IEEE, Valeria Di Giacomo, Student Member, IEEE, Antonio Raffo, Member, IEEE, Pier Andrea Traverso, Member, IEEE, Giorgio Vannini, Member, IEEE, and Fabio Filicori

Abstract—A new nonquasi-static nonlinear model of electron devices is proposed by adopting a perturbed charge-controlled approach. The model is based on the definition of a virtual quasi-static device, associated with the actual one, which is controlled by means of equivalent voltage sources. The advantage of this approach is that conventional purely quasi-static models can be still adopted even at very high frequencies, if suitable equivalent voltages are applied. Identification from small-signal measurements and implementation into commercially available computer-aided design tools of the new nonquasi-static model are described in this paper. Finally, by considering a GaAs p-high electron mobility transistor, accurate prediction capabilities at microwaves and millimeter frequencies are experimental verified and compared with a more conventional equivalent-circuit-based model. Index Terms—Circuit modeling, field-effect transistors (FETs), millimeter-wave devices, semiconductor device modeling.

I. INTRODUCTION ONQUASI-STATIC phenomena arising in high-frequency applications introduce practically finite memory effects in the dynamics of the electron devices. These effects may be taken into account in the framework of conventional equivalent-circuit modeling approaches for frequency-domain analyses by adding series parasitic resistors and/or series resistive elements to the input capacitor [1]–[7]. Some authors also introduce suitable delays in the voltage-controlled drain current source [8]–[11]. If a mathematical approach is instead adopted, a voltage-controlled functional description based on a Volterra-like formulation has been proven to be adequate [12]. Nonquasi-static phenomena can be also taken into account in charge-based device descriptions by adopting a perturbation-

N

Manuscript received April 21, 2006; revised July 20, 2006. This work was supported in part by the Italian Ministry of Instruction, University and Research (MIUR) and was performed in the framework of the Network of Excellence Top Amplifier Research Groups in a European Team (TARGET) supported by the Information Society Technologies Programme of the European Union under Contract IST-1-507893-NOE. A. Santarelli, P. A. Traverso, and F. Filicori are with the Department of Electronics, University of Bologna, 40136 Bologna, Italy, and also with Microwave Electronics for Communications S.r.l., Bologna 40123, Italy (e-mail: [email protected]; [email protected]; [email protected]). V. Di Giacomo is with the Department of Engineering, University of Ferrara, 44100 Ferrara, Italy (e-mail: [email protected]). A. Raffo is with the Department of Engineering, University of Ferrara, 44100 Ferrara, Italy, and also with CoRiTeL, 00040 Morena, Rome, Italy (e-mail: [email protected]). G. Vannini is with the Department of Engineering, Univerity of Ferrara, 44100 Ferrara, Italy, and also with Microwave Electronics for Communications S.r.l., Bologna 40123, Italy (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.885879

like approach [13]–[15]. For instance, Daniels et al. [13] propose the use of a quasi-static charge, which is modified by means of a nonquasi-static term described by nonlinearly voltage-controlled delayed functions. This approach is also adopted and simplified by Fernandez-Barciela et al. [14]. Finally, device models mainly oriented to time-domain nonquasi-static transient analyses are more often based on charge functions derived from accurate analytical equations describing the carrier transport phenomena [16]–[18]. In this paper, the nonquasi-static charge perturbations due to nonquasi-static effects are modeled by means of an equivalent-voltage approach, leading to a simple nonlinear device model, where nonquasi-static phenomena are taken into account by means of linear, frequency-dependent voltage sources applied to an ideal associated quasi-static device. The equivalent voltage approach, which has already been successfully adopted in different contexts [22], was originally introduced in [19] for the modeling of nonquasi-static phenomena in electron devices. In [19], the nonquasi-static phenomena are taken into account by controlling any existing quasi-static device model by means of equivalent, instead of actual, gate–source and drain–source port voltages. In this paper, through a more accurate analytical derivation process, we present a new model where different equivalent controlling port voltages are introduced for the conductive and the displacement current components. This apparently trivial modification is fully justified in this paper by theoretical analysis and leads to important improvements in the model predictive capabilities. In comparison with other classical modeling approaches, the proposed model proves to be accurate under both small- and large-signal operation in a much more extended range of bias conditions. The proposed model is technology-independent, since it can be adopted for either bipolar devices and field-effect transistors (FETs). It can be easily identified from conventional measurements and directly implemented in the framework of time- or frequency-domain oriented computer-aided design (CAD) tools. This paper is organized as follows. In Section II, the finite-memory voltage-controlled functional approach proposed in [12] is briefly recalled for subsequent comparison purposes. In Section III, the theory of the new model is presented by adopting a charge-controlled approach. The model identification procedure and CAD implementation is outlined in Section IV. In Section V, the experimental results are discussed by using a 0.25- m GaAs p-high electron mobility transistor (pHEMT). II. NONQUASI-STATIC PHENOMENA According to the finite-memory voltage-controlled functional approach proposed in [12], the intrinsic device currents in non-

0018-9480/$20.00 © 2006 IEEE

4022

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

quasi-static conditions are written in vector form as (1) and are the intrinsic port where currents and voltages, respectively, is a functional of present and past voltages, and is an equivalent functional, where the is dependence on dynamic voltage deviations memory time is adopted made explicit. A practically finite in (1). In the proper frequency range of operation, almost any electron device is characterized by fast nonlinear dynamics at the intrinsic ports; this implies that the duration of memory effects under voltage excitation is usually much shorter than the period of the typical operating signals. Under these conditions, the dynamic voltage deviations have the property of being small even under large-signal operation. Thus, linearization of (1) with releads to spect to (2) where is a conductive component of the device current, while the nonlinear convolution integral represents a purely dynamic contribution, which takes into account reactive effects in the presence of fast-varying signals through the nonlinearly voltage-controlled impulse response function . Since the conductive current is purely algebraic, depending on actual applied voltages only, all of the nonquasi-static memory effects are described by the integral term in (2).1 In [12], it is shown how a classic quasi-static model based on a charge-controlled device description is a particular case of (2), where signals having spectral components at frequencies much are considered . In this case, we lower than have

Fig. 1. Circuit topology for the identification of the strictly intrinsic device.

off-state (i.e., ), according, for instance, to the cir, cuit topology sketched in Fig. 1. In particular, the and capacitors are assumed here to be linear and identified in a deep pinched-off channel condition with zero drain–source voltage (cold-FET). The strictly intrinsic transistor has the property of becoming practically an open circuit in the pinched-off region. This will lead to great model simplification, as it will be pointed out in the following. B. Equivalent Controlling Voltages Quasi-static charge-controlled device equations may be seen as a particular case of system theory-based input–output relationships applied to the electron device. In particular, we assume here applied voltages, port currents, and internal charge distributions as input, output, and state variables, respectively. In such a way, vector quasi-static model equations for the strictly intrinsic device may be written as (4) (5)

(3)

Although the proposed approach is technology-independent, the following discussion is focused on FETs. However, an analogous approach can be applied to bipolar devices. We define as a strictly intrinsic device the one obtained after deembedding not only from extrinsic parasitic elements, but also from the components of a purely capacitive parallel -network, which describes the behavior of the intrinsic device in the

, , and . where For instance, in a common gate configuration, these quantities correspond with source and drain currents, gate–source and gate–drain charges, and gate–source and gate–drain voltages, respectively. Moreover, and represent the relationships between source/drain conductive currents versus voltage, and gate–source/gate–drain charges versus voltage, respectively. Both and are vectors of purely algebraic nonlinear functions. The charge-controlled model (4) and (5) is suitable to describe the device behavior under quasi-static operation, and it can be alternatively expressed in a voltage-controlled form by simply substituting (5) into (4), i.e.,

1The conductive current part can be actually affected by long memory effects due to self-heating and traps. However, these effects and the radio-frequency (RF) ones can be separately dealt with [20]–[22]. For instance, an equivalent voltage approach is adopted in [22] for the modeling of the low-frequency drain current of FETs.

(6)

where and represent a suitable capacitive matrix and the associated internal quasi-static charge, respectively. III. NEW NONQUASI-STATIC MODEL A. Strictly Intrinsic Device

SANTARELLI et al.: NONQUASI-STATIC EMPIRICAL MODEL OF ELECTRON DEVICES

where is the vector of the dc currents and is a capacitive matrix describing the device dynamics, whenever the charge response to the voltage excitation may be considered almost instantaneous, i.e., when memory effects have vanishing small durations. Current–voltage relationship (6) is coherent with (2) when the integral term is replaced by (3). It is worth considering that (6) could have been obtained also by neglecting in (4) the explicit dependence of the functions either on the voltages (also affecting the internal electric field distribution) or on the charges . Such a choice was actually made in [19], where the function was assumed to be only dependent on . This is a quite common assumption involving no loss of generality in the quasi-static operation mode associated with the charge control equations. Unfortunately, this is not fully justified when a charge-perturbation-based approach is adopted, as in this case. Thus, both dependencies must necessarily be taken into account here. At very high frequencies, charges respond with finite memory times, which can no longer be neglected. Under this operation, (5) must be replaced by a modified internal charge distribution, which may be generally described as (7) or equivalently (8) where the nonquasi-static charges have (or ) of the voltbeen defined through a functional evaluated at both present and past times , ages , which assumes with ranging from zero to a memory time practically finite values. Thus, (4) is replaced by (9) Now we describe the nonquasi-static charge in terms of the quasi-static charge given in (5), perturbed by an additional , which takes into account charge deviation the actual nonstationary effects, i.e., (10) The quasi-static charge in (10) is such that, when considering signal spectral components at frequencies much lower , the following holds: than (11) since, under this operation, the voltage dynamic deviations are vanishingly small. According to (10) and (11), all of the memory effects related to the nonquasi-static device behavior are now due to the charge . Thus, the charge–voltage relationship (8) perturbation term now becomes (12) Unfortunately, the charge perturbation is expected to be strongly nonlinearly dependent on voltages. In fact, it must provide nonnegligible correction under on conditions, while it

4023

must be vanishingly small under off device conditions since, according to empirical evidence, the off-state behavior is practically quasi-static. To overcome this drawback, the same result expressed by (12) can be more conveniently obtained by introducing suitable , according to equivalent voltages (13) with and where (10) and (11) have been taken into account. The equivalent voltages (13) satisfy the fea(i.e., the ture of providing the same charge distribution same displacement current) in a virtually quasi-static device described by (4) and (5). Thus, (9) can be equivalently written as (14) where (13) is taken into account. This shows that the displacement current of the nonquasi-static device may be equivalently evaluated through the corresponding term of an associated purely quasi-static device, where the actual controlling voltages are replaced by suitably defined equivalent voltages . The same consideration does not apply for the conductive current contribution, since the explicit dependence of the function on the voltage has been not initially neglected in (4), as in [19]. Thus, by considering the conductive term only, as expressed in (9), we have (15) gives rise to a perturbation in the This shows how the term conductive current, which is also expected to have a strong nonlinear dependence on voltages. In accordance with the equivalent voltage approach adopted for the charge–voltage relationship (13), also in this case it is convenient to define suitable as equivalent voltages (16) with . The equivalent voltages (16) provide the same conductive current into a virtually quasi-static device described by (4) and (5) or, equivalently, by (6). Then, by substituting (16) into (14), we obtain (17) which gives the total nonquasi-static current of the actual device in an equivalent voltage-controlled form through equations which are analogous to the quasi-static model (6). Model equations (17) are coherent with the circuit schematic shown in Fig. 2. According to (17), a nonlinear nonquasi-static model is obtained by considering an associated virtual quasi-static device described by the nonlinear algebraic functions and defined in (6), where and are controlled by equivalent, instead of actual, port voltages ( and , respectively). This formulation is convenient since, in order to guarantee that no charge perturbation is introduced in the off-state (where the device beand voltage dehavior is practically quasi-static), the viations do not need to become vanishingly small when applied

4024

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 2. Circuit schematic of the proposed nonquasi-static modeling approach for the strictly intrinsic device in a common gate configuration.

to the strictly intrinsic device (which acts as an open circuit , the and in the off-state). This suggests that, unlike corrections can be not so strongly nonlinearly dependent on the applied voltages. Actually, empirical evidence provided and in Section V shows that a linear dependence of the terms on the voltages can be considered to be sufficient to achieve very good accuracy. and are not inThe two voltage deviations terms dependent of each other. Their relationship is investigated in Appendix A. In particular, under the hypothesis of small voltage deviations, it is proven that

(18) where represents the voltage-dependent differential conductance matrix of the resistive part of the associated quasi-static device. Equation (18) will be considered in the model identification presented in Section IV. C. Modeling of Voltage Deviations The memory effects due to nonquasi-static phenomena are taken into account by means of the voltage deviations , . The two of them can be written as

where is a suitable matrix of functions nonlinearly dependent on in the most general case. The dependence on the dynamic allows for a vanishing contrivoltage deviations bution of (20) when the signal frequencies involved are not high enough to generate a nonquasi-static behavior. Equation (20) could be directly implemented into modeling CAD environments as a nonlinearly controlled voltage source, as lookup tables. Howfor instance, by storing the functions on port ever, in this study, a simple linear dependence of independent of ), leading to an voltages is assumed (i.e., extremely compact and computationally efficient model. In fact, most of the nonlinear dependence of the device nonquasi-static behavior is implicitly taken into account by the characterizing feature of the strictly intrinsic device. The latter, actually, becomes an open circuit in the off-state, so it is implicitly guaranteed that the equivalent voltage generators will introduce nonquasi-static corrections in the on-state device operation only. The validity of this hypothesis will be empirically proven by the model predictive accuracy shown, in Section V, under linear and nonlinear nonquasi-static device operation. In order to further simplify both the identification procedure of the model and its implementation into CAD tools for microwave circuit analysis, the integral convolution (20) is eventually approximated with a finite summation, after having divided into elementary time slots (i.e., the memory time ). Thus, (21)

(19) where the subscript stands here for either or and is a functional of present and past voltages. Since microwave devices usually behave with very short memory time dynamics, has been adopted in (19) without a a finite memory time practical loss in accuracy. By adopting a similar approach as in Section II, we describe the voltage deviations (19) through a convolution integral as (20)

, with , are now matrices of real pawhere rameters to be identified. The extraction procedure will be described in Section IV. D. Common-Source Model Equations According to the previously introduced linearity assumption and with respect to the port of the voltage deviations voltages , additional model simplification can be achieved. To this aim, we consider an FET device, cold-biased at V. Under this operating condition, the intrinsic device (identified as the region strictly beneath the gate electrode) is, in

SANTARELLI et al.: NONQUASI-STATIC EMPIRICAL MODEL OF ELECTRON DEVICES

4025

Fig. 3. Circuit schematic of the proposed nonquasi-static modeling approach for the strictly intrinsic device in a common-source configuration.

many cases, perfectly symmetrical from both the geometrical and electrical points of view. Nonquasi-static effects can still be taken into account by the proposed equivalent voltage approach, but symmetrical voltage deviations should be considered in a common gate device description, i.e.,

According to (21),

(27)

(22) where the subscript stands for either or . However, since the voltage deviations are assumed here to be bias-independent, (22) must hold at each bias condition. This allows to greatly simplify the modeling approach, especially if applied to a common-source device configuration; in fact, the voltage deviations in a common-source device (denoted by ) are related to the corresponding quantities in the common-gate case (denoted here for the sake of clearness by ) as (23)

may be expressed as

where and be identified.

,

, are scalar coefficients to

IV. MODEL IDENTIFICATION AND CAD IMPLEMENTATION Model identification is easily carried out on the basis of smallsignal, bias-dependent, -parameter device measurements. In particular, under a small-signal regime around a bias condition , according to Fig. 3, we have

(24) which, taking into account (22), lead to neglecting the voltage deviation applied at the drain electrode, i.e., (25) The model topology obtained so far is in accordance with Fig. 3, where a more conventional notation has been adopted, i.e., , , , and and are vector quantities corresponding in the common-source domain to , , respectively. The only two scalar voltage , are therefore adequate to model all deviations of the nonquasi-static phenomena. As shown in Appendix A, the relationship (18) between them simply becomes: (26) where is a scalar model coefficient. The hypothesis of linearity for the voltage deviations and leads to consider the parameter bias-independent. Actually, the experimental validation described in Section V for a GaAs pHEMT leads to an almost zero value.

(28) , , and where are the vector of total, conductive, and displacement phasors is the bias- and freof currents in the frequency domain, quency-dependent admittance matrix of the strictly intrinsic deis a differential conductance matrix corresponding to vice, in the common-source domain, , , are the actual and the equivalent phasors of voltages, and and . According to (13) and (16), we have and , where and . Moreover, by taking into account (26) and (27), we have (29) (30)

4026

where

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

with

. By substi-

tuting (29) and (30) into (28), after simple algebraic manipulation, we obtain

(31) In order to use (31) for the identification of the model paand , the matrices and are preliminary rameters evaluated. To this aim, (31) is first considered at sufficiently low frequencies such that nonquasi-static effects are still negligible. In fact, in this condition, we can write , allowing for an easy multibias idenand matrices. After this, a closed-form tification of the least-square best fit procedure based on (31) can be used for the and on a suitable frequency evaluation of the coefficients range in the region where nonquasi-static phenomena occur. To this aim, either a multi- or single-bias optimization procedure different frequencies and can be adopted. By considering biases, the resulting identification problem consists in the solution of an overdetermined system of equations unknowns , , and , . In in the this study, a simplified identification procedure based on a single bias point, chosen for class-A large-signal operation, provided very good model prediction capabilities. The above outlined flexibility in choosing the number of model parameters and their closed-form identification procedure represent a great advantage of this model over more classical approaches. In fact, parameters like Ri or in typical equivalent-circuit-based models provide limited multibias flexibility and are normally identified in the framework of a strongly nonlinear optimization procedure. The nonquasi-static device model can be easily implemented in commercially available CAD tools. For the conductive currents, the pHEMT low-frequency dispersive – model proposed in [23] is adopted here. The corresponding CAD implementation may be also found in [23]. This model is capable of accurate predictions in the presence of signals having spectral components at frequencies above the cut-off of dispersive phenomena but low enough to consider the device reactive effects still negligible. The quasi-static displacement currents of the strictly intrinsic device can be modeled by using LUT-based bias-dependent capacitive elements. Then, each voltage deviation is modeled by means of two series-connected voltage sources, controlled by the actual intrinsic voltages, according to Fig. 3. Finally, in agreement with Fig. 1, the extrinsic device model is obtained by embedding the purely , , and ) and the linear capacitive -network (i.e., network of extrinsic parasitic elements. V. EXPERIMENTAL VALIDATION In order to experimentally validate the proposed model, a m and m) was charGaAs pHEMT (

acterized under a static and small-signal dynamic regime, up to 70 GHz. Well-known cold-FET procedures [10] were used for the identification of extrinsic parasitic elements according to the circuit topology shown in Fig. 1. Moreover, the parallel network of linear capacitors introduced in Section III-A was identiV fied in a deep pinched-off, cold-FET condition ( V), leading to fF, fF and and fF. The strictly intrinsic small-signal device description was finally obtained by deembedding the measurements from these elements. In order to identify the voltage-controlled voltage sources (29) and were preliminary identified. and (30), the matrices According to the procedure outlined in Section IV, a least-square “best fit” numerical procedure was carried out between (31) and the corresponding strictly intrinsic device admittances obtained from deembedded measurements. To this aim, a single bias point V and V) and frequencies ( between 2 and 10 GHz (1-GHz steps) were considered. In this range, the device behavior was found to be nearly quasi-static. Finally, the complete identification of the model parameters , , and was based on the evaluation of (31) in a much more extended frequency range, namely, from 2 to 70 GHz. ps with In this work, a memory time was chosen.2 In such a way, only seven scalar coefficients are needed. Closed-form minimization of squared deviations between (31) and deembedded measurements provided the optimal parameters: , , , , , , and . It is worth noting that a near zero value was found for the parameter : this means that, for the actual pHEMT, the charge perturbation due to nonquasi-static phenomena mainly affects the displacement current while its effect on the conductive current is almost negligible. In this case, (17) would become (32) where the displacement term is here an approximation of the integral term in the functional approach (2) described in Section II. The same conclusion seems to be adopted by several authors which have dealt with high-frequency, nonquasi-static phenomena modeling in electron devices [13], [14]. , voltage deviations are identified, Once the nonquasi-static device modeling can be based on the circuit and may be provided topology shown in Fig. 3, where by any nonlinear purely quasi-static model. In this study, the empirical model proposed in [23] was used for the drain conductive current, in order to take also into account low-frequency dispersive effects due to device self-heating and “charge-trapping” phenomena. According to the procedures described in [23], the parameter functions involved were identified on the voltage grid defined by ranging from 1.5 V to 0 V (step 0.1 V) and between 0 and 10 V (in 1-V steps) and stored into LUTs in the framework of the CAD tool.

N

2Considerations

discussed in [12] for the most appropriate choice of parameters may be still applied here.

T

and

SANTARELLI et al.: NONQUASI-STATIC EMPIRICAL MODEL OF ELECTRON DEVICES

4027

Fig. 4. Intrinsic admittance parameters versus frequency of the 0.25-m GaAs pHEMT: measurements (o) and proposed nonquasi-static model (continuous line) EEHEMT1 model (dashed line). Ideal quasi-static behavior (thin continuous line) is also plotted as a reference. Two different bias conditions are shown. (a) and (b) On-state V = 0:75 V and V = 7:5 V. (c) and (d) Off-state V = 1:2 V and V = 0 V. Analogous results were obtained also for the Y - and Y -parameters and for different biases.

0

The displacement currents were described in this study directly in accordance with the schematic shown in Fig. 3. To this was identified on the same bias grid used for aim, the matrix the low-frequency conductive model. An LUT-based approach was also adopted for CAD implementation. The nonquasi-static model was initially validated under small-signal dynamic operation. In Fig. 4, the predicted inand (which are the trinsic admittance parameters two most affected by nonquasi-static phenomena) are plotted versus frequency and compared with measurements under two bias conditions, different from those used for the identification. The first bias point [see Fig. 4(a) and (b)] corresponds to on-state device operation: as it can be seen, a very good agreement with measured data is achieved up to 70 GHz. The virtual quasi-static behavior is also plotted here as a reference, showing that important corrections are applied by the voltage-controlled voltage sources. However, in the off-state condition (see Fig. 4(c) and (d)], where nonquasi-static effects should be found negligible, the model behaves quasi-statically thanks to the suitable definition of the strictly intrinsic device, which provides an almost open circuit in the pinched-off region.

0

Conventional nonquasi-static approaches rarely provide such a good agreement both under off and on device conditions. For instance, an EEHEMT1 model [24] of the same pHEMT was extracted by means of a commercial device characterization tool [25]. This model provides small-signal predictions with comparable accuracy in the proximity of the nominal bias as shown in Fig. 4(a) and (b), but it becomes extremely inaccurate in the cold pinched-off FET condition shown in Fig. 4(c) and (d). Predicted and measured extrinsic -parameters at the same bias conditions are shown in Fig. 5. Although the proposed model is very simple and can be characterized by only seven real scalar parameters, it provides good predictions also strongly varying the device bias, as it can be seen in Fig. 6, where predicted intrinsic admittance parameters at the frequency of 40 GHz are compared with ranging from off-state to measurements at values of on-state conditions. It can be noted that the voltage generators and allow to accurately model the important bias-dependent deviations existing between the actual device response and the ideally quasi-static behavior, also shown in the figure. Instead, limited multibias fitting capability is

4028

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 5. Predictions based on the proposed model of extrinsic S -parameters (continuous line) compared with measured data (o) in on-state [(a) V = 0:75 V, V = 7:5 V] and off-state bias condition [(b) V = 1:2 V, V = 0 V].

0

0

Fig. 6. Bias-dependent intrinsic admittance parameters versus the gate–source voltage at a frequency of 40 GHz: measurements (o), nonquasi-static model predictions (continuous line), EEHEMT1 predictions (dashed line), ideal quasi-static behavior (thin continuous line). The drain–source voltage is V = 6:5 V.

obtained with the EEHEMT1 model. Probably, this is not exclusively due to nonquasi-static phenomena, but also to ( ) nonlinear analytical the approximation in the expressions of the EEHEMT1 model. In addition, important improvement is also obtained with respect to the different

equivalent-voltage-based model in [19] (see the experimental results in [19] for comparison purposes). The accuracy in multibias small-signal operation represents an important requirement for providing good predictions also under large-signal validation tests. Measurements of third-order

SANTARELLI et al.: NONQUASI-STATIC EMPIRICAL MODEL OF ELECTRON DEVICES

4029

V

Fig. 7. Third-order IM product versus output power for the 0.25-m GaAs PHEMT at 37 GHz. (a) Bias: I = 60 mA, 177 ). (b) Bias: I = 15 mA, V = 5:5 V; source: 0 = (0; 08 13 ); load: 0 = obtained also with different biases and termination impedances.

87 ); load: 0 = (0; 24

0

0

= 6:5 V; source: 0 = (0; 09 0 (0; 24 0 177 ). Similar results were

intermodulation distortion (IMD) were carried out on the de- gated here. To this aim, (17) is rewritten as vice at a frequency of 37 GHz and with a two-tone displace(33) ment of 10 MHz: different load and source impedances were used at different bias points. Simulation results were then comLinearization of the nonquasi-static charge, under the hypothpared with measured data, exhibiting great accuracy in every esis of small voltage variation, leads to condition: two typical examples are provided in Fig. 7, where the third-order IM product is plotted versus output power. Very (34) good accuracy is also obtained with the EEHEMT1 model when biasing the device at the nominal bias point and with reasonably where small signal amplitudes, while accuracy dramatically decreases at different biases. (35) VI. CONCLUSION A simple technology-independent nonlinear modeling approach for microwave and millimeter-wave applications has been presented. The model takes into account nonquasi-static phenomena by means of suitable bias-independent voltage-controlled voltage sources applied to an associated quasi-static device. The proposed model was experimentally validated by considering a 0.25- m GaAs PHEMT, in a wide range of frequencies, where nonquasi-static effects are important. Good predictive capabilities have been shown under both small- and large-signal operation and at different device bias conditions. Improved performance has been obtained with respect to more conventional equivalent-circuit-based models. The proposed equivalent voltage approach can be easily identified with closed-form procedures based on standard smallsignal measurements, and the implementation in CAD tools is straightforward. The “nonquasi-static correction” can be associated with any nonlinear quasi-static model in order to improve its predictive capability under high frequency operation. Quasi-static device models, either defined in the time or frequency domain, are suitable to be used in association with the proposed approach. APPENDIX A The relationship between the two voltage deviations terms and , defined in (13) and (16), respectively, is investi-

By comparison with (12), we have (36) Moreover, linearization of the nonquasi-static conductive current, under the hypothesis of small voltage variation, leads to (37) where (38) represents the voltage-dependent differential conductance matrix of the resistive part of the associated quasi-static device. On the other hand, by considering the conductive current as , under the hypothesis in (15), linearization with respect to of small charge perturbation, leads to

(39) where satisfying

are conductive current deviations

(40)

4030

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

By comparison with (37), we get (41) or by also taking into account (36)

(42) By adopting a common-source device configuration and considering, as in Section III-D, electrical and geometrical symmetry properties of the device, (42) may be expressed by the scalar equations

(43)

(44) where (25) has been taken into account. In (43) and (44), we and . have and , , , are the quasi-static, differential conductance and capacitance elements, respectively. Both (43) and (44) describe a relationship between the two voltage deviations like (45) where (44).

is a scalar model coefficient defined either by (43) or

REFERENCES [1] M. J. Golio, Microwave MESFETs and HEMTs. Boston, MA: Artech House, 1991. [2] H. K. Gummel and H. C. Poon, “An integral charge control relation for bipolar transistors,” Bell Syst. Tech. J., vol. 49, p. 115, 1970. [3] H. Fukui, “Determination of the basic device parameters of a GaAs MESFET,” Bell Syst. Tech. J., vol. 58, no. 3, pp. 771–795, 1979. [4] J. Johnson, G. R. Branner, D. Gudini, R. Guan, A. Badesha, W. Chau, M. Shams, and A. Haj-Omar, “Generalized nonlinear FET/HEMT modeling,” Int. J. RF Microw. Comput.-Aided Eng., vol. 14, pp. 122–133, Mar. 2004. [5] V. Rizzoli and A. Costanzo, “An accurate bilateral FET model suitable for general nonlinear and power applications,” Int. J. RF Microw. Comput.-Aided Eng., vol. 10, pp. 43–62, Jan. 2000. [6] P. Roblin, S. C. Kang, and W.-R. Liou, “Improved small-signal equivalent circuit model and large-signal state equations for the MOSFET/ MODFET wave equation,” IEEE Trans. Electron Devices, vol. 38, no. 8, pp. 1706–1718, Aug. 1991. [7] V. I. Cojocaru and T. Brazil, “A scalable general-purpose model for microwave FETs including DC/AC dispersion effects,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2248–2255, Dec. 1997.

[8] W. R. Curtice, “A MESFET model for use in the design of GaAs integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 5, pp. 448–456, May 1980. [9] A. Materka and T. Kacprzak, “Computer calculation of large-signal GaAs FET amplifier characteristics,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 2, pp. 129–135, Feb. 1985. [10] G. Dambrine, A. Coppy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 7, pp. 1151–1159, Jul. 1988. [11] J. Wood and D. E. Root, “Bias-dependent linear scalable millimeterwave FET model,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2352–2360, Dec. 2000. [12] F. Filicori, A. Santarelli, P. A. Traverso, A. Raffo, G. Vannini, and M. Pagani, “Non-linear RF device modelling in the presence of lowfrequency dispersive phenomena,” Int. J. RF Microw. Comput.-Aided Eng., vol. 16, no. 1, pp. 81–94, Jan. 2006. [13] R. R. Daniels, A. T. Yang, and J. P. Harrang, “A universal large/small signal 3-terminal FET model using a nonquasi-static charge-based approach,” IEEE Trans. Electron Devices, vol. 40, no. 10, pp. 1723–1729, Oct. 1993. [14] M. Fernández-Barciela, P. J. Tasker, Y. Campos-Roca, M. Demmler, H. Massler, E. Sanchez, M. C. Curras-Francos, and M. Schlechtweg, “A simplified broadband large signal non quasi-static table-based FET model,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 3, pp. 395–404, Mar. 2000. [15] N. Nakayama, D. Navarro, M. Tanaka, H. Ueno, M. Miura-Mattausch, H. J. Mattausch, T. Ohguro, S. Kumashiro, M. Taguchi, T. Kage, and S. Miyamoto, “Non-quasi-static model for MOSFET based on carriertransit delay,” Electron. Lett., vol. 4, no. 4, pp. 276–278, Feb. 2004. [16] H. Statz, P. Newman, I. Smith, R. Pucel, and H. Haus, “GaAs FET device and circuits simulation in SPICE,” IEEE Trans. Electron Devices, vol. ED-34, no. 2, pp. 160–169, Feb. 1987. [17] C. Turchetti, P. Mancini, and G. Masetti, “A CAD oriented non-quasistatic approach for the transient analysis of MOS IC’s,” IEEE J. SolidState Circuits, vol. SSC-21, no. 5, pp. 827–836, Oct. 1986. [18] K.-W. Chai and J. J. Paulos, “Unified nonquasi-static modeling of the long-channel four-terminal MOSFET for large- and small-signal analyses in all operating regimes,” IEEE Trans. Electron Devices, vol. 36, no. 11, pp. 2513–2520, Nov. 1989. [19] A. Santarelli, V. Di Giacomo, A. Raffo, P. A. Traverso, G. Vannini, F. Filicori, and V. A. Monaco, “A simple non-quasi-static non-linear model of electron devices,” in Proc. GAAS’05 Conf., Paris, France, Oct. 2005. [Online]. Available: http://www.gaas-symposium.org [20] K. Lu, P. M. McIntosh, C. M. Snowden, and R. D. Pollard, “Lowfrequency dispersion and its influence on the intermodulation performance of AlGaAs/GaAs HBTs,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1996, vol. 3, pp. 1373–1376. [21] K. Jeon, Y. Kwon, and S. Hong, “A frequency dispersion model of GaAs MESFET for large-signal applications,” IEEE Microw. Guided Wave Lett., vol. 7, no. 3, pp. 78–80, Mar. 1997. [22] A. Santarelli, G. Zucchelli, R. Paganelli, G. Vannini, and F. Filicori, “Equivalent-voltage approach for modeling low-frequency dispersive effects in microwave FETs,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 339–341, Sep. 2002. [23] A. Raffo, A. Santarelli, P. A. Traverso, G. Vannini, F. Palomba, F. Scappaviva, M. Pagani, and F. Filicori, “Accurate PHEMT intermodulation prediction in the presence of low-frequency dispersive effects,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3449–3459, Nov., 2005. [24] “Agilent ADS Circuit Components Manual” [Online]. Available: http://www.agilent.com/find/eesof-knowledgecenter [25] “Agilent IC-CAP Reference Manual” [Online]. Available: http://www. agilent.com/find/eesof-knowledgecenter

Alberto Santarelli (M’97) received the Laurea degree in electronic engineering and Ph.D. degree in electronics and computer science from the University of Bologna, Bologna, Italy, in 1991 and 1996, respectively. From 1996 to 2001, he was a Research Assistant with the Research Center for Computer Science and Communication Systems, Italian National Research Council (IEIIT-CNR), Bologna, Italy. In 2001, he joined the Department of Electronics, Computer Science and Systems (DEIS), University of Bologna, where he currently is an Associate Professor. During his academic career, he has been a Lecturer of applied electronics, industrial electronics, and electronics

SANTARELLI et al.: NONQUASI-STATIC EMPIRICAL MODEL OF ELECTRON DEVICES

for communications. His main research interests are electron-device nonlinear modeling and circuit design for nonlinear microwave applications. Prof. Santarelli is a member of AEIT.

Valeria Di Giacomo (S’06) was born in Salerno, Italy. She received the Laurea degree in electronic engineering from the University of Bologna, Bologna, Italy, in 2005, and is currently working toward the Ph.D. degree at the University of Ferrara, Ferrara, Italy. She is with the Engineering Department, University of Ferrara, and also collaborates with the Department of Electronics, University of Bologna. Her research activity is mainly oriented to nonlinear electron-device modeling for microwave applications.

Antonio Raffo (S’04–M’06) was born in Taranto, Italy, in 1976. He received the M.S. degree (with honors) in electronic engineering and Ph.D. degree in information engineering from the University of Ferrara, Ferrara, Italy, in 2002 and 2005, respectively. Since 2002, he has been with the Electronic Department, University of Ferrara. He also collaborates with the CoRiTel Research Consortium, Morena (Rome), Italy. His research activity is mainly oriented to nonlinear electron-device characterization and modeling and circuit-design techniques for nonlinear microwave and millimeter-wave applications. Dr. Raffo is a member of the Italian Association on Electrical and Electronic Measurements. He was the recipient of the Student Paper Prize presented at the European Gallium Arsenide and Other Compound Semiconductors Application Symposium 2005.

Pier Andrea Traverso (M’03) was born in Modena, Italy, in 1969. He received the M.S. degree in electronic engineering and Ph.D. degree in electronic and computer science engineering from the University of Bologna, Bologna, Italy, in 1996 and 2000, respectively. He is currently a Research Associate with the Department of Electronics, Computer Science and Systems, University of Bologna. His main research activity is in the areas of nonlinear dynamic system characterization and modeling, microwave and

4031

millimeter-wave device characterization and modeling, and sampling instrumentation. Dr. Traverso is a member of the Italian Association on Electrical and Electronic Measurements.

Giorgio Vannini (S’87–M’92) received the Laurea degree in electronic engineering and Ph.D. degree in electronic and computer science engineering from the University of Bologna, Bologna, Italy, in 1986 and 1992, respectively. In 1992, he joined the Department of Electronics, University of Bologna, as a Research Associate. Since November 1998, he has been an Associate Professor with the Faculty of Engineering, Department of Engineering, University of Ferrara, Ferrara, Italy, where he is currently a Full Professor of electronics. During his academic career, he has been a Teacher of applied electronics, electronics for communications, and industrial electronics. His research activity is mainly devoted to electron-device modeling, computer-aided design techniques for monolithic microwave integrated circuits, and nonlinear circuit analysis and design.

Fabio Filicori received the Dr. Ing. degree in electronic engineering from the University of Bologna, Bologna, Italy, in 1974. In 1974, he joined the Department of Electronics, Computer Science and Systems, University of Bologna, initially as a Research Associate and then as an Associate Professor of applied electronics. In 1990, he became a Full Professor of applied electronics with the University of Perugia, Perugia, Italy. In 1991, he joined the Faculty of Engineering, University of Ferrara, Ferrara, Italy, where he was a Full Professor responsible for the degree course in electronic engineering. He is currently a Full Professor of electronics with the Faculty of Engineering, University of Bologna, where he is also responsible for the Ph.D. course in electronic, computer science, and communications engineering. During his academic career, he has held courses on computer-aided circuit design, electron devices and circuits, and power electronics. His main research activities are in the areas of computer-aided design techniques for nonlinear microwave circuits, electron device nonlinear modeling, and electronic measurements. Dr. Filicori has been a member of the Editorial Board for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He is a member of the Technical Program Committee of the GaAs Symposium.

4032

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Sources of Phase Error and Design Considerations for Silicon-Based Monolithic High-Pass/Low-Pass Microwave Phase Shifters Matthew A. Morton, Student Member, IEEE, Jonathan P. Comeau, Student Member, IEEE, John D. Cressler, Fellow, IEEE, Mark Mitchell, and John Papapolymerou, Senior Member, IEEE

Abstract—A comprehensive analysis of error sources in monolithic microwave phase shifters due to device size limitations, inductor parasitics, loading effects, and nonideal switches is presented. Each component utilized in the implementation of a monolithic high-pass/low-pass phase shifter is analyzed, and its influence on phase behavior is shown in detail, with an emphasis on the net impact on absolute phase variation. The design of the individual phase-shifter filter sections and the influence of bit ordering on overall performance are also addressed. An -band 5-bit phase shifter fabricated in a 200-GHz SiGe HBT BiCMOS technology platform is used to validate this analysis and our design methodology and achieves an absolute rms phase error of 4 and relative rms phase error of 3 for operation from 8.5 to 10.5 GHz. Index Terms—Microwave, monolithic microwave integrated circuit (MMIC) phase shifters, phase shifters, phased arrays, silicon germanium.

I. INTRODUCTION

T

HE high-pass/low-pass phase shifter was first introduced over 30 years ago [1], with the first detailed analysis presented soon afterward [2]. The original advantages of this phaseshifter approach were its superior power and phase-bandwidth capabilities compared with more conventional phase shifters utilizing switched lines [3], reflection [4], or loaded lines [5]. In the past five years, there has been an increased interest in the use of the high-pass/low-pass shifter topology, particularly in SiGe integrated circuit (IC) design platforms targeting monolithic transmit/receive (T/R) module applications for phased array radar [6], [7]. From simple bit topologies with pin diode switches [8] to more complicated designs [9], the high-pass/low-pass phase shifter has been found to be an ideal on-chip architecture due to its small size, flat phase response over a broad band, and its ability to cancel out phase effects from switches and routing schemes. With phase performance not dependent on the lossy transmission lines available on monolithic technologies, not only is significant reduction in size possible, but also a reduction in amplitude variation across different phase states.

Manuscript received March 24, 2006; revised September 14, 2006. M. A. Morton, J. P. Comeau, J. D. Cressler, and J. Papapolymerou are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332-0250 USA (e-mail: [email protected]). M. Mitchell is with the Georgia Tech Research Institute, Atlanta, GA 30332 USA. Digital Object Identifier 10.1109/TMTT.2006.886162

However, inherent device limitations in silicon-based (e.g., SiGe) monolithic design approaches make achieving optimal phase performance difficult. Only a narrow range of inductor and capacitor values may be fabricated, complicating bit designs for a given band of operation. The inductors pose additional challenges due to their inherently higher parasitics in a lossy silicon technology, resulting in lower and self-resonance frequencies than that which could be implemented in nonsilicon-based technologies such as III–V. Single-pole double-throw (SPDT) switch performance may also increase the phase variation associated with a given shifter. The phase performance of a single bit can additionally influence the phase of adjacent bits, leading to integration challenges and a degradation of overall shifter performance. This paper examines these device limitations and sources of phase error, providing a comprehensive view of how and to what extent each effect influences overall phase-shifter performance. From these results, design strategies targeting minimal phase error and variation are then developed. This design methodology is then applied to the implementation of a 5-bit -band SiGe BiCMOS phase shifter, resulting in a measured absolute rms phase error of 4 and relative rms phase error of 3 . II. REVIEW OF HIGH-PASS/LOW-PASS PHASE-SHIFTER ANALYSIS The high-pass/low-pass phase shifter functions by taking the difference between the phase from the high-pass filter path and the low-pass filter path of a particular bit. Fig. 1(a) shows a block diagram of such a 5-bit phase shifter, with a typical schematic of the first bit and surrounding switches shown in Fig. 1(b). These filters are specifically designed for both very small rejection in the band of operation and a linear phase response, which is set to either advance or delay the phase by half the desired amount, depending on which path is selected. Each individual bit will have independent high- and low-pass paths, with SPDT switches on both sides of the bit. The functionality and impact of nonidealities for each component will be examined individually, starting with the high-pass and low-pass sections at the heart of the shifter (see Fig. 2). As shown in [2], the transmission phase for the three-element case of Fig. 2 switched in the low-pass state is given by

0018-9480/$20.00 © 2006 IEEE

(1)

MORTON et al.: SOURCES OF PHASE ERROR AND DESIGN CONSIDERATIONS FOR HIGH-PASS/LOW-PASS MICROWAVE PHASE SHIFTERS

4033

TABLE I VALUES FOR IDEAL THREE-ELEMENT BITS AT 9.5 GHz

Fig. 1. (a) Block diagram of a 5-bit high-pass/low-pass phase shifter. (b) Generalized schematic of the first SPDT switch, the filter sections for the initial bit, and the back-to-back SPDT switches that separate adjacent bits.

Fig. 3. Phase shift of lumped-element low-pass pi-section phase-shift paths with two elements in path with reflection coefficient . Values calculated from (5) and (6) are marked with filled circles. Values used in our designed phase shifter are marked with an upward pointing triangle for the high-pass section and downward pointing triangle for the low-pass section.

=

Fig. 2. (a) Three-element high-pass low-pass filter sections with B = and X = . (b) Two-element filter sections with = and X = = . B

tan(1 4) = sin(1 2) = tan(1 2) = sin(1 2)cos(1 2)

When switching between low- and high-pass paths, both and change signs. Because the phase will now change only in sign, the phase shift caused by switching between the low- and high-pass paths is doubled. By assuming the phase and can then be solved in terms shifter to be lossless, , giving of (2) (3) A further explanation of this technique and its advantages over other techniques can be found in [2]. III. SILICON

-BAND PHASE SHIFTERS

Using these equations to generate values for an -band shifter (see Table I), we find that the values required for the 22.5 and 11.25 bits are impractical for use in most commercial silicon-based processes since they are either extremely

large or small (i.e., , nH). If a T-section is used instead of a pi, and would be exchanged [see (2) and (3)]. This changes which filter section (high-pass or low-pass) contains large or small values, providing no advantage to requisite device sizes or resultant phase performance. Reducing the high- and low-pass filter sections to two elements allows for component values (at -band) more compatible with commercial silicon-based fabrication limitations. In this case, (1) becomes

(4) This phase response is shown in Fig. 3 for a range of and , and again the phase shift caused by switching between low-pass and high-pass is double the shift from each path individually. In this two-element case, and again assuming the shifter to be lossless, we find that (5) (6) Using these equations to generate values for an -band shifter (see Table II), we find that the values required for the 22.5 and 11.25 bits are much more reasonable than for

4034

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

TABLE II VALUES FOR IDEAL TWO-ELEMENT BITS AT 9.5 GHz

Fig. 4. Simple inductor model.

TABLE III VALUES FOR DESIGNED BITS FOR 9.5 GHz

The value of this capacitance is derived from the equation

(7) Inductor is modeled with and , accounting for dc resistive loss and magnetic and skin effect losses, respectively,

(8) the three-element case. However, in the two-element case, a minimum voltage standing-wave ratio (VSWR) penalty for an increase in desired phase shift occurs. However, for the 22.5 and 11.25 bits, this degradation is negligible. While these component values are more compatible with commercial silicon-based fabrication processes, it is possible to make a tradeoff between component values and the reflection and phase performance. These designed values can be seen in Table III and are also shown in Fig. 3, with upward arrows marking the high-pass values and downward arrows marking the low-pass values. The differences between ideal and designed values become more pronounced as the desired shift decreases. The worst case is the 11.25 bit, where the individual paths no longer produce 5.625 of phase shift. Here, the high-pass and low-pass sections provide 6.35 and 4.9 of phase shift, respectively, giving the total desired 11.25 . While moving away from the optimal design points for these lower shift value bits reduces the VSWR and bandwidth of acceptable phase performance, they are still generally as well behaved as the higher shift value ideal bits and pose no serious problems for typical bandwidth requirements in -band radar systems (8–12 GHz). Component values for the three elements used in the 180 , 90 , and 45 bits were much closer to the ideal values given their reasonable sizes.

When we look at the equivalent impedance (normalized for a given frequency) of this inductor model, we find that

(9) where , , , and are the normalized impedances of their corresponding values. It is now important to note that the phase shift of the high-pass and low-pass paths will behave differently. To simplify the analysis, each nonideal behavior is examined independently. 1) Effects From Series Resistance: First looking only at series resistance and temporarily ignoring the other parasitics, (9) can be simplified to

(10) The transmission phase for the low-pass path is (11) , where high-pass path is

, and the transmission phase for the

A. Effects of Inductor Parasitics While both capacitors and inductors in monolithic siliconbased technologies are nonideal, the parasitics from inductors are typically much more pronounced. The ideal inductors in Fig. 2 will be replaced with a simple model as shown in Fig. 4. This will allow us to examine the effects of magnetic loss, dc resistance, and self-resonance on phase-shifter performance. ) is modeled with a caSelf-resonance (which occurs at in parallel to the series inductor and resistor [10]. pacitor

(12) causes an insignificant An increase in series resistance , but will increase the phase variation over a set decrease in band of operation by shifting the center frequency of the response higher. This can be seen in Fig. 5, with phase responses and . While phase effects from resistive for losses were negligible in the earlier discrete cases, the thin metal

MORTON et al.: SOURCES OF PHASE ERROR AND DESIGN CONSIDERATIONS FOR HIGH-PASS/LOW-PASS MICROWAVE PHASE SHIFTERS

Fig. 5. Phase shift produced using inductors with series resistance 0; 5; and 10 .

Fig. 6. Location of local minimum with swept R

R

=

.

4035

Fig. 7. Relative phase variation for three-element bits in the 0:8w –1:2w band swept from 0 to 20 . with R

Fig. 8. Relative phase error for 90 bit with swept w using Q = 50; 10; = Q assuming only magnetic loss contributes (R = 0). and 5, where Q

layers on commercial silicon-based processes easily contribute a few ohms of resistance in the best cases and an even more pronounced effect where internal layers or large inductor values are used. can This shift in the center of the phase response from be seen in Fig. 6, showing three-element bits with solid lines and two-element bits with dashed lines. For the three-element bits, the frequency of the local minimum steadily increases, with very little difference in behavior between the bits. The two-element case also has a common functional form among the bits, but there is an overall shift upwards for higher shift-value bits. This effect, along with the aforementioned VSWR penalty, is another reason to reduce the number of elements in a bit only when it is necessary to keep passive device sizes reasonable. For a set – , the relative phase error grows steadily as band of is increased, as shown in Fig. 7. These phase errors will compound for a multibit phase shifter. 2) Effects of Self-Resonance: If the inductor is lossless, (9) simplifies to

(13) The transmission phase for the low-pass path is now

where and high pass path becomes

. The transmission phase for the

(15) decreases; however, the Phase variation is increased as origin is now from the decrease of the center frequency of the phase response. Because of this, loss in the inductor will act against the self-resonance, which decreases the phase variation. To see this interaction between self-resonance and loss, the phase variation from self-resonance for a few cases of loss is and resistive losses affect examined. Magnetic the behavior slightly differently, and are represented in Figs. 8 (represented as inductor Q caused by and 9, respectively. magnetic loss alone) will slightly reduce the phase variation for , and has a single frequency at which increasingly poor the phase variation is at a minimum. This point corresponds to and that aligns the center of the the combination of phase response with 1 . B. Loading Effects

(14)

Components adjacent to the filter sections (such as the SPDT switch) can also impede their performance. Although there has been work examining techniques to minimize this loading [13],

4036

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 9. Relative phase error for 90 bit with swept w loss provides Q = 50, and R = 0; 2; and 4 .

assuming magnetic

we will take a more general approach to gain further insight into the problem. To isolate the impact of these switches and other bits on the performance, the passives in the filtering section will be considered ideal. The switch will be modeled with a generic -matrix specifying and , which is then converted to an matrix

Fig. 10. Smith chart showing regional behavior of SPDT match on the frequency of and phase shift at the local minimum in the phase response of a bit. Marked points indicate extremes used as bounds in the analysis.

(16) The

matrix for this general switch is

(17) 1) Mismatched Switch With Perfect Isolation: The loss and matching effects of an idealized switch can be modeled using an matrix given directly by the desired -parameters. The impact of SPDT match on the frequency and phase shift at the local minimum in the phase response of a bit depends on the type of reactance seen by the filter sections, shown in Fig. 10. on the real axis will cause the phase reA switch with sponse to shift higher in value but remain at the same center frequency. Rotating counterclockwise, the phase response will decrease in value, eventually passing the desired phase shift at the dashed line and continually decreasing. In conjunction with this, the frequency of the local minimum will also decrease. When moving clockwise from the real axis, the phase shift at the local minimum will decrease (again back to the desired value at the dashed line), but the frequency at which it occurs will increase. The frequency and phase behavior on the left-hand side of the chart is a mirror image of the right-hand side. The points marked in Fig. 10 indicate the complex values that exhibit the worst phase degradation. for a real of switch could be anywhere in between these extremes; however, these values provide a bound for the phase variation caused by the match of the switch alone. As seen in Fig. 11, all bits have

Fig. 11. Relative phase error produced by poor switch return loss for single bits.

less than 5 phase variation for an SPDT better than 10 dB, which quickly deteriorates as the match gets worse. 2) Effects From Switch Match and Loss for 2 Bits: When 2 bits are used together, each bit is subject to loading from the other bit and the switches, which further complicates overall has an impact phase performance. As before, degradation in in the amount of shift at the local minimum and may change the frequency at which the local minimum occurs. Unlike the single now provides a level of load buffering that can imbit case, prove phase performance at the cost of total phase-shifter loss. Fig. 12 shows the phase response for the 90 and 45 bits placed adjacently with the same switch model, as before, in between and . The shown phase error and on each end with swept is for the worst case where both bits are in the high-pass state, referenced to the low-pass state. The phase error is in relation to the expected phase shift of 135 . An increase in switch loss will result in an improvement of phase error for a given switch

MORTON et al.: SOURCES OF PHASE ERROR AND DESIGN CONSIDERATIONS FOR HIGH-PASS/LOW-PASS MICROWAVE PHASE SHIFTERS

4037

Fig. 14. Block diagram showing optimal bit ordering for an optimal 5-bit phase shifter.

Fig. 12. Absolute phase error contours for 90 and 45 bits against switch reflection S and insertion loss S .

Fig. 15. RMS phase error of a modeled 5-bit phase shifter with descending and optimal bit ordering. Relative rms phase error lines occur on the lower portion of the plot reaching 0 error at the reference frequency of 9.5 GHz.

Fig. 13. Absolute phase error contours for 90 and 45 bits against switch reflection S and isolation S .

return loss. This is due to reflections being attenuated within the switch, which then act as a buffer for problems from loading. 3) Effects of Finite Isolation: When an SPDT switch with finite isolation is used to select the high- or low-pass paths, some amount of power will flow through the isolated path, resulting in a decrease in the amount of phase shift. As shown in Fig. 13, has virtually no impact until it is worse than 7 dB Even in is worse than this, the effect is much the unlikely event that . Impact on phase perless significant than that produced by is esformance from the isolation between the paths sentially nonexistent. C. Optimal Bit Ordering As the previous sections have shown, individual bit performance can be degraded by loading from adjacent bits. To minimize such problems, it is helpful to keep bits with the worst match isolated from each other by placing them in between the best matched bits—particularly if they are more lossy. From Table III, it appears that larger shift value bits offer the worst match. In reality, however, the opposite is true, for two reasons: loss and parasitics. Because the higher shift value bits have larger series inductors in the low-pass path and more elements in each path (which increases routing line losses), they tend to have more loss than the lower shift value bits. This additionally improves their match, as reflections are also attenuated within the bit.

Parasitics introduced from the component layout have a much larger impact on the smaller bits, as the values in the low-pass paths are very small in value and approach that of layout parasitics. Because of these effects, lower shift value bits tend to be the worst matched and most unpredictable and, hence, should be separated by the higher shift value bits. We have found the optimal bit order to be 180 –22.5 –45 –11.25 –90 , as shown in Fig. 14. The rms phase errors of a modeled 5-bit phase shifter with optimal and descending 180 –90 –45 –22.5 –11.25 bit ordering are shown in Fig. 15. Here, the same models are used for all bits and switches, and only their order has been changed. The benefit to optimal bit ordering can be seen with the decrease in both relative and absolute rms phase errors and broader bandwidth of smaller relative phase error. IV. IMPLEMENTATION AND MEASUREMENTS A fully monolithic -band 5-bit high–low pass phase shifter was designed and fabricated [11] in the commercially available IBM 8HP SiGe HBT BiCMOS technology [12], using the optimization methodologies presented in this paper. Three-element bits were used for the 180 , 90 , and 45 bits. Due to inductor and capacitor size limitations, the two elements for the 22 and 11 bits were further modified by moving off of the ideal design points. This reduced their already wide functional bandwidth to accommodate realizable component values. The phase shift and location of local minimum was adjusted for each bit to alleviate effects from inductor parasitics and loading. Because most unwanted effects shift the phase shift higher, the bits were designed to slightly undershoot the desired phase amount by approximately 1 to account for additional parasitics resulting from the fabrication process and modeling limitations. When the independent bit designs were completed, they were ordered in

4038

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 16. Comparison of the phase shift from the 45 bit produced by the analysis in this paper, a bit modeled carefully prior to fabrication, and a measured device. Fig. 18. Modeled and measured return loss of 5-bit phase shifter.

Fig. 19. Analytical, modeled, and measured rms phase error of 5-bit phase shifter. Relative rms phase error lines occur on the lower portion of the plot reaching 0 error at the reference frequency of 9.5 GHz. Fig. 17. Modeled and measured insertion loss of 5-bit phase shifter.

the 180 –22.5 –45 –11.25 –90 arrangement to isolate poorly matched bits and improve shifter performance. The phase shift of the analytical model, the simulated design, and the measurement results of the 45 bit is shown in Fig. 16. To provide the best absolute phase variation over the -band, the phase shift was made lower than called for in the analysis (which seeks to make the local minimum 45 ). The measured and modeled shifts are in very good agreement, with only a slight change of the local minimum to lower frequency and an increase in shift of less than 0.3 . By designing this bit for slightly less than 45 , an absolute phase error of less than 1 across the -band has been achieved. The measured insertion loss and return loss of the complete phase shifter can be seen in Figs. 17 and 18, respectively, showing an average insertion loss of 16 dB and a return loss greater than 10 dB across the band of operation for both ports. The bit elements account for 2.6 0.6 dB loss with the total switch loss contributing between 12.5–14.5 dB. The circuit also of 4.4 dBm and an IIP3 of 18 dBm, while yielded an dissipating 248 mW from a 2.3-V supply. The absolute and relative phase performance for this shifter is shown in Fig. 19 as rms phase error across all states at a given

TABLE IV MEASUREMENT RESULTS FOR 5-bit PHASE SHIFTER

frequency point. Relative rms phase error lines occur on the lower portion of the plot reaching 0 error at the reference frequency of 9.5 GHz. An absolute phase error less than 4 and a relative phase error less than 3 in an 8.5–10.5-GHz bandwidth has been achieved. A summary of measurement results is given in Table IV. V. SUMMARY A comprehensive impact of the major causes of performance degradation in silicon-based, monolithic high-pass/low-pass phase shifters with nonideal components has been examined. Using two elements in filter sections as opposed to three allows

MORTON et al.: SOURCES OF PHASE ERROR AND DESIGN CONSIDERATIONS FOR HIGH-PASS/LOW-PASS MICROWAVE PHASE SHIFTERS

for more reasonable device values at the cost of VSWR and bandwidth, particularly for higher shift values. Series resistance and self-resonance in inductors can cause a shift in the location of the local minimum in phase, resulting in poor relative phase variation. The match of switches has a significant impact on bit performance, which can create compounding problems in multiple-bit shifters. Some of these problems are difficult to circumvent due to the fabrication constraints in silicon-based design platforms (e.g., inductor parasitics and transistor performance in the switches); however, intelligent bit ordering helps to mitigate these effects. For operation at -band, the high-pass/low-pass phase shifter remains a highly competitive topology due to its wide band of operation and reliable and predictable phase shift. The frequencies at this band dictate passive device values that are well suited to on-chip fabrication and allow for easy integration into larger systems such as single-chip T/R modules implemented in SiGe technology.

ACKNOWLEDGMENT The authors would like to thank the members of the Georgia Tech Research Institute Fellows Council and Dr. D. Parekh for IRAD project support, the SiGe Devices and Circuits Group, the Microwave Circuit and Technology Group, and the Georgia Electronic Design Center, Georgia Institute of Technology, Atlanta.

REFERENCES [1] P. Onno and A. Pitkins, “Minature multi-killowatt PIN diode MIC digital phase shifters,” in IEEE MTT-S Int. Microw. Symp. Dig., 1971, pp. 22–23, Catalog no. 71, C25-M. [2] R. V. Garver, “Broad-band diode phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 5, pp. 314–323, May 1972. [3] N. Kingsley and J. Papapolymerou, “Organic wafer-scale packaged miniature 4-bit RF MEMS phase shifter,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1229–1236, Mar. 2006. [4] A. Malczewski et al., “ -band RF MEMS phase shifters for phased array applications,” IEEE Microw. Wireless Compon. Lett., vol. 9, no. 12, pp. 517–519, Dec. 1999. [5] J. S. Hayden et al., “Very low-loss distributed -band and -band MEMS phase shifters using metal-air-metal capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 309–314, Jan. 2003. [6] F. E. van Vliet and A. de Boer, “Fully-integrated core chip for -band phased-array T/R modules,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 1753–1756. -band T/R, TTD and PA MMICs,” in Proc. [7] G. Clark et al., “ - and GOMACTech., Apr. 2005, pp. 131–133. [8] R. Tayrani et al., “Broad-band SiGe MMICs for phased-array radar applications,” IEEE J. Solid-State Circuits, vol. 38, no. 9, pp. 1462–1470, Sep. 2003. [9] T. M. Hancock and G. M. Rebeiz, “A 12-GHz SiGe phase shifter with integrated LNA,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 977–983, Mar. 2005. [10] M. O’Hara, “Modeling non-ideal inductors in SPICE,” [Online]. Available: http://www.intusoft.com/articles/inductor.pdf [11] J. Comeau et al., “A high-linearity 5-bit, -band SiGe HBT phase shifter,” presented at the IEEE MTT-S Int. Microw. Symp., Jun. 2006. [12] B. Jagannathan et al., “Self-aligned SiGe NPN transistors with 285 in a manufacturable technology,” IEEE GHz max and 207 GHz Electron. Device Lett., vol. 23, no. 5, pp. 258–260, May 2002. [13] Y. Iyama et al., “A high-pass/low-pass phase shifter with resistive matching networks,” Electron. Commun. Japan, vol. 79, no. 2, pt. 2, pp. 44–54, Feb. 1996.

X

X

Ka X

X

Ku

X

f

ft

4039

Matthew A. Morton (S’02) received the B.S. degree in electrical and computer engineering from the University of Kansas, Lawrence, in 2002, the M.S. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2003, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology. His research interests include SiGe -band phase shifters for monolithic radar T/R modules, RF MEMS phase shifters, broadband RF MEMS switch packaging, low-temperature RF MEMS packaging techniques on organic polymers (LCP), and nanoparticle magnetic thin films.

X

Jonathan P. Comeau (S’02) received the B.S. degree in electrical engineering from Union College, Schenectady, NY, in 1997, the M.S. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1999, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology, Atlanta. He then joined Agere Systems as an Analog IC Designer, where he was involved with preamplifer design for hard disc drive applications. Since Fall 2002, he has been a doctoral student with the Georgia Institute of Technology, where he has been involved with silicon–germanium circuits for microwave, radarm and space applications.

John D. Cressler (S’86–A’91–SM’91–F’01) received the B.S. degree in physics from the Georgia Institute of Technology, Atlanta, in 1984, and the M.S. and Ph.D. degrees in applied physics from Columbia University, New York, NY, in 1987 and 1990, respectively. From 1984 to 1992, he was a Member of the Research Staff with the IBM Thomas J. Watson Research Center, Yorktown Heights, NY, where he was involved with high-speed Si and SiGe bipolar devices and technology. In 1992, he joined the faculty of Auburn University, Auburn, AL, where he remained until 2002. When he left Auburn, he was the Philpott–Westpoint Stevens Distinguished Professor of Electrical and Computer Engineering and Director of the Alabama Microelectronics Science and Technology Center. In 2002, he joined the faculty of the Georgia Institute of Technology, where he is currently Professor of electrical and computer engineering. His research interests include SiGe devices and technology, Si-based RF/microwave/millimeter-wave devices and circuits, radiation effects, noise and linearity, cryogenic electronics, SiC devices, reliability physics, device-level simulation, and compact circuit modeling. He has authored or coauthored over 350 technical papers related to his research. He coauthored Silicon-Germanium Heterojunction Bipolar Transistors (Artech House, 2003) and authored Reinventing Teenagers: The Gentle Art of Instilling Character in Our Young People (Xlibris, 2004). Dr. Cressler was associate editor for the IEEE JOURNAL OF SOLID-STATE CIRCUITS (1998–2001) and guest editor for the IEEE TRANSACTIONS ON NUCLEAR SCIENCE (2002–2005). He served on the Technical Program Committees of the IEEE International Solid-State Circuits Conference (1992–1998, 1999–2001), the IEEE Bipolar/BiCMOS Circuits and Technology Meeting (1995–1999), the IEEE International Electron Devices Meeting (1996–1997), the IEEE Nuclear and Space Radiation Effects Conference (2000, 2002–2006), and the IEEE International Reliability Physics Symposium (2005). He was the Technical Program chair of the 1998 IEEE International Solid-State Circuits Conference (ISSCC), and the conference co-chair of the 2004 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems. He currently serves on the Executive Steering Committee for the IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems. He is an international advisor for the IEEE European Workshop on Low-Temperature Electronics, the Technical Program Committee for the IEEE International SiGe Technology and Device Meeting, and as sub-committee chair of 2004 ECS Symposium on SiGe: Materials, Processing, and Devices. Since 1994, he has served as an IEEE Electron Device Society Distinguished Lecturer. He was the recipient of the 1994 Office of Naval Research (ONR) Young Investigator Award for his SiGe research program, the 1996 C. Holmes MacDonald National Outstanding

4040

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Teacher Award presented by Eta Kappa Nu, the 1996 Auburn University Alumni Engineering Council Research Award, the 1998 Auburn University Birdsong Merit Teaching Award, the 1999 Auburn University Alumni Undergraduate Teaching Excellence Award, and an IEEE Third Millennium Medal in 2000.

Mark Mitchell received the B.S. (summa cum laude) and M.S. degrees in electrical engineeringfrom the Georgia Institute of Technology, Atlanta, in 1985 and 1986, respectively. He has been involved in the area of radar, antennas, and phased arrays for over 20 years. He is currently Chief Engineer of the Sensors and Electromagnetic Applications Laboratory, Georgia Tech Research Institute (GTRI), Atlanta. His experience at GTRI includes design and analysis of radar antennas and radar systems with a particular emphasis on the analysis, modeling, design, and testing of phased-array antennas. His most recent areas of interest include adaptive digital beamforming architectures for phased arrays and future technology developments such as low-power-density solid-state phased arrays. He has contributed to numerous projects in technical and leadership roles for the U.S. Army, U.S. Navy, U.S. Air Force, Missile Defense Agency, Defense Advanced Research Projects Agency (DARPA), and the Federal Aviation Administration (FAA), as well as other government customers and private industry customers. He currently is the government Antenna IPT Leader for the X - and S -band phased arrays being developed for the Cobra Judy Replacement system. He is also a member of the Missile Defense Agency’s Radar System Technology panel. He is the Director of the Georgia Insititute of Technology professional education short courses “Phased Array Antennas for Radar and Communications” and “Phased Array Antennas and Adaptive Techniques.” Mr. Mitchell has served on the organizing committee for the 1998 IEEE Antennas and Propagation Society (IEEE AP-S) International Symposium and the 2001 IEEE Radar Conference and on the Technical Program Committee for the 2007 IEEE Radar Conference, co-chairing the session on Phased Arrays.

John Papapolymerou (S’90–M’99–SM’04) received the B.S.E.E. degree from the National Technical University of Athens, Athens, Greece, in 1993, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1994 and 1999, respectively. From 1999 to 2001, he was a faculty member with the Department of Electrical and Computer Engineering, University of Arizona, Tucson. During the summers of 2000 and 2003, he was a Visiting Professor with The University of Limoges, Limoges, France. From 2001 to 2005, he was an Assistant Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, where he is currently an Associate Professor. He has authored or coauthored over 120 publications in peer-reviewed journals and conferences. His research interests include the implementation of micromachining techniques and MEMS devices in microwave, millimeter-wave, and terahertz circuits and the development of both passive and active planar circuits on semiconductor (Si/SiGe, GaAs) and organic substrates [liquid-crystal polymer (LCP), low-temperature co-fired ceramic (LTCC)] for system-on-a-chip (SOC)/system-on-package (SOP) RF front ends. Dr. Papapolymerou currently serves as the vice-chair for Commission D of the U.S. National Committee of URSI and as an Associate Editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. During 2004, he was the chair of the IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Atlanta Chapter. He was the recipient of the 2004 Army Research Office (ARO) Young Investigator Award, the 2002 National Science Foundation (NSF) CAREER award, the Best Paper Award presented at the 3rd IEEE International Conference on Microwave and Millimeter-Wave Technology (ICMMT2002), Beijing, China, and the 1997 Outstanding Graduate Student Instructional Assistant Award presented by the American Society for Engineering Education (ASEE), The University of Michigan Chapter. His student was also the recipient of the Best Student Paper Award presented at the 2004 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, Atlanta, GA.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Ka

4041

A -Band FMCW Radar Front-End With Adaptive Leakage Cancellation Kaihui Lin, Member, IEEE, Yuanxun Ethan Wang, Member, IEEE, Cheng-Keng Pao, Member, IEEE, and Yi-Chi Shih, Senior Member, IEEE

Abstract—Monostatic frequency modulation continuous wave radars that use one antenna to simultaneously transmit and receive can result in compact and lightweight synthetic aperture radar systems. One of the greatest challenges in designing a continuous-wave monostatic radar is realizing enough isolation between the transmitter and the receiver. A novel real-time digital signal processing scheme is proposed to cancel the transmitter leakage at the receiver front-end and to achieve high isolation. With the digital implementation, the proposed scheme shows a much more precise control of the leakage cancellation when compared to conventional analog implementations. The dc-offset problem in analog mixers that affects the cancellation performance is eliminated. A radar test bed at 26 GHz has been built and tested in several radar modes. The measurement shows a leakage suppression of more than 30 dB over a 1.7-GHz sweeping bandwidth. Index Terms—Adaptive leakage cancellation, frequency modulation continuous wave (FMCW) radar, lightweight synthetic aperture radar (SAR), real-time digital signal processing (DSP), unmanned air vehicle (UAV).

I. INTRODUCTION

S

YNTHETIC aperture radar (SAR) systems for surveillance purposes are usually air-borne or satellite-borne. With the recent advancement of unmanned air vehicle (UAV) technology, small-scale and low-cost UAV-borne SAR systems have also become feasible. If a number of UAV SAR systems are deployed into space, they can form a powerful mobile sensor network when assisted by UAV communication protocols and global positioning systems (GPS). Such a network can cover a broad range and at the same time provide finely detailed global information. However, the weight and volume constraints of UAV platforms significantly limit the feasibility of deploying traditional SAR systems. As the platform cannot afford a bistatic setup that uses two antennas to transmit and receive separately, monostatic radars with transmitters and receivers sharing the same antennas are preferred. Furthermore, radars operating at high carrier frequency, such as millimeter-wave frequencies, are

Manuscript received April 7, 2006; revised June 9, 2006. This work was supported in part by the University of California under MICRO Program 06-215. K. Lin and Y. E. Wang are with the Department of Electrical Engineering, University of California at Los Angeles, Los Angeles, CA 90095 USA (e-mail: [email protected]). C.-K. Pao and Y.-C. Shih are with MMCOMM Inc., Torrance, CA 90503 USA. Digital Object Identifier 10.1109/TMTT.2006.885882

desirable because smaller antennas and more bandwidth can be used. One of the greatest challenges of designing continuous-wave (CW) monostatic radar is realizing enough isolation between the transmitter and the receiver. As most of the CW radars use circulators to separate the transmitted signal from the received signal, the leakage power from the circulator and the reflected power from the antenna mismatch can leak into the receiving path. The leakage power is typically much higher than the actual radar return and can easily saturate the low-noise amplifiers (LNAs) in the front-end of the receiver. Furthermore, the phase noise of the transmitted spectrum leaked into the receiver falls into the same frequency band as the radar video signal. This noise cannot be simply separated using a filter, which severely limits the dynamic ranges of the conventional frequency modulation continuous wave (FMCW) radar. An effective way to overcome these problems is to cancel the leakage before it enters into the first stage of the receiver. The idea of leakage power cancellation has existed for a long time. The first practical canceller was proposed in the 1960s [1]. It intentionally feeds through another signal from the transmitter to the receiver. If the amplitude and phase of this feed-through signal matches that of the leakage, the leakage power can then be suppressed. In 1990, Beasley et al. proposed an analog closedloop cancellation scheme [2]. The closed-loop scheme generates an error vector by detecting the amplitude and phase of the leakage signal. This vector, after low-pass filtering, is then fed back to a vector modulator to fine-tune the amplitude and phase of the feed-through signal to achieve cancellation. The closed-loop scheme easily adapts to frequency variations and temperature changes in the front-end. However, since the error vector is generated by analog mixers, the dc offset in the mixer output directly affect the precision of the cancellation. A complete calibration of the dc offset is very difficult, as it varies along the leakage power fluctuation caused by the frequency sweeping and the temperature drifting. In this paper, a novel digital signal processing (DSP) approach is proposed for precise cancellation of leakage power over a broad bandwidth. The basic concept of digital leakage cancellation and some preliminary results for a single frequency have been reported in [3] and [4]. Here, the complete theories, the design of the radar front-end, and measured results for broadband frequency sweeping are described. The key step in achieving high-performance leakage cancellation is to overcome the dc-offset problem. For this purpose, a heterodyne scheme, which upconverts the error signal first to a preselected reference frequency, is used. Consequently, the dc offset in the

0018-9480/$20.00 © 2006 IEEE

4042

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Not only will the leakage power jam the receiver by saturating the LNA in the front-end, the phase noise of the transmitter will also pass through to the receiver. In a typical homodyne or heterodyne receiver, the noise is converted down to the baseband where the radar return information is processed. To avoid a significant increase of the system noise figure, a leakage cancellation scheme is essential. As shown in Fig. 1, the leakage cancellation has to be performed before the leakage signal reaches the LNA to prevent the saturation of the front-end. III. LEAKAGE CANCELLATION PRINCIPLE

Fig. 1. System block diagram of the proposed lightweight SAR.

analog mixer can be isolated from the error signal using a bandpass filter. The modulated error signal contains the amplitude and phase information of the leakage signal. An error vector can thus be generated in DSP by comparing the modulated error signal to the reference heterodyne signal. In the algorithm, the error vector is obtained from correlations between the two signals. After integration over time, it provides the I/Q inputs to the vector modulator that adaptively controls the amplitude and phase of the feed-through signal. Once the loop converges, the cancellation algorithm acts to maintain a very small leakage level over the frequency and power variation associated with frequency sweeping. Similar concepts have also been used for interference cancellation in wireless communications [5] and distortion suppression in power amplifiers [6], [7].

The basic approach of leakage cancellation is to generate a signal with equal amplitude and opposite phase to combine with the original leakage. The concept, which is shown in Fig. 1, involves intentionally feeding some of the transmitter power into the receiving path. The amplitude and phase of the feed-through signal is tuned through a vector modulator that is controlled either manually or by closed-loop circuitry. If the feed-through signal is made to be of equal amplitude and out-of-phase to the original leakage signal, the summation of two will cancel the other. While the leakage cancellation idea is simple and straightforward, a good cancellation requires accurate matching of the amplitude and phase. The leakage signal is represented by in a complex plane and the feed-through signal under vector modand ulator control is . Assuming a certain phase difference between them, the cancellation depth amplitude difference is thus given by

II. SYSTEM OVERVIEW Fig. 1 shows the block diagram of the lightweight FMCW radar system under study. Due to the practical constraints of the system weight, the monostatic architecture must be used when the transmitter shares the same antenna with the receiver. In the transmitter, a direct digital synthesizer (DDS) is controlled by a micro-controller to generate a chirp signal with linear frequency sweeping over a 300-MHz bandwidth. A frequency upconverter and several frequency multipliers are used to convert the DDS -band signal of 26 GHz center frequency with signal to a about 2-GHz bandwidth. A power amplifier is used to boost the transmitted power to 30 dBm in order to cover a long effective range. Nevertheless, the operation at high transmitter power and high receiver sensitivity has brought challenges to the design of the isolation block. The isolation level desired to accommodate the full system dynamic range is about 60 70 dB, while a typical circulator can only provide about 30 dB of isolation at this frequency. Furthermore, any mismatch of the antenna will direct the return of the transmitted power that cannot be suppressed by the circulator to the receiver.

(1) The cancellation depth is very sensitive to the phase error and the amplitude error. For example, to achieve a 30-dB cancellation depth, this requires an amplitude difference of less than 0.25 dB and a phase difference of less than 1 . An accurate phase match within 1 of error is very difficult to realize in a purely analog fashion, especially in the microwave and millimeter-wave regime, and is more difficult to maintain over environmental changes such as temperature variations and mechanical vibrations. Furthermore, an FMCW radar must operate over a certain frequency band rather than a single frequency, where the frequency dispersion of the circuit paths easily lead to significant amplitude and phase error. This necessitates a closed-loop configuration that can automatically adapt to those changes.

LIN et al.:

-BAND FMCW RADAR FRONT-END WITH ADAPTIVE LEAKAGE CANCELLATION

4043

Fig. 2. Structure of the proposed digital front-end leakage cancellation scheme.

IV. DIGITAL CANCELLATION SCHEME To achieve robust leakage cancellation, an adaptive control loop with real-time DSP is developed. Fig. 2 shows the schematics of the radar front-end with digital leakage cancellation. According to the functions, the leakage cancellation unit can be divided into two RF modules and one DSP module. The first RF module is the feed-through module. This consists of a vector modulator that controls the amplitude and phase of the feed-through signal. It should be noted that the feed-through module should not add extra noise into the receiver front-end. The feed-through module’s sensitivity and linearity are also important to the ultimate cancellation depth of the leakage power and the associated noise. To achieve the desired cancellation, a control vector acting upon the vector modulator is generated from the DSP control module according to the residue error. It constantly updates the amplitude and phase of the feed-through signal and tracks the variations of the leakage signal in real-time. The received radar return, the leakage from the transmitter, and the feed-through signal join at the receiver front-end and form the final radio-frequency (RF) input to the LNA after the cancellation. The error detection module, which is the second RF module, is built for the purpose of detecting the amplitude and phase of the leakage component that exists after the cancellation. One crucial step in the error detection module is that the error information is upconverted by a heterodyne frequency. This separates it from the dc and thus removes the impact of mixer dc offsets to the final cancellation performance. The dc offsets always exist in analog closed-loop leakage cancellation schemes [2]. To accomplish this, a small portion of the transmitted power

is coupled to a second branch to provide the local oscillator (LO) signal for the mixer. The LO signal mixes with a reference heterodyne signal in an image rejection mixer that downconverts the LO signal to a lower frequency. The reference heterodyne frequency is preselected to be at least a few times higher than the radar pulse repetition rate in order to carry the amplitude and phase information of each sweep without aliasing. In the mixer, the downconverted LO signal is further mixed with the RF input signal. This generates the modulated error signal containing the leakage information at the proximity of the reference heterodyne frequency. The function of the DSP module is to perform error information extraction and to generate the control vector arithmetically. The closed-loop control is based on gradient adaptation [6], [7]. In the adaptation process, the modulated error signal containing the phase and amplitude information of the residue error is first sampled by an A/D converter (ADC), as well as the original reference heterodyne signal. The phase difference between these two signals represents the angle of the error vector. This information can be obtained by comparing one with the other, which is carried out numerically through correlation operations in DSP. The gradient vector is then generated that points to the opposite direction of the error vector in order to minimize the residue error. Next, digital low-pass filters are used to accumulate the gradient vectors to form the final control vector outputs. The control vector is converted into analog signals through the D/A converters (DACs) and is fed to the I/Q control inputs of the vector modulator in the RF feed-through module. The loop finally converges toward the minimum of the error signal magnitude, where zero error gradients are reached. This implies a perfect leakage cancellation. The detailed analysis with

4044

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

and the Q-channel output of the gradient vector is then given by . In the complex signal plane, the gradient vector always steers the previous control vector toward the vector of the leakage signal. Each gradient vector generated sequentially is one step closer toward the final destination of convergence. Therefore, after a number of adaptation steps, the accumulation of the gradient vectors will make the control vector converge to the leakage signal vector. The low-pass filters shown in Fig. 3 work as accumulators for this purpose. In this way, the vector modulator automatically tunes the feed-through signal to provide the maximum possible cancellation of the transmitter leakage.

Fig. 3. Block diagram of the error detection algorithm.

V. CANCELLATION PERFORMANCE ANALYSIS

formulations illustrated in Fig. 3 is as follows. The intermediate-frequency (IF) output of the error detection mixer contains the phase and magnitude information of the residue error signal modulated on the heterodyne frequency, which is

An important system characteristic of closed-loop adaptation , which essentially is the loop response time/loop delay determines the depth and the bandwidth of the cancellation for the FMCW radar when delay mismatches exist in the front-end. As illustrated in Fig. 4, there are three RF paths that the transmitter signal takes to travel to the error detection module. Path 1 is the leakage path. Paths 2 and 3 are the paths that provide the feed-through signal and the LO signal, respectively. The associated RF delays are denoted as , , and . As the frequency sweeps, the delay difference between the feed-through path and the leakage path will form a time-varying phase difference between the leakage signal and feed-through signal. This extra RF , will produce a frequency difdelay, denoted as ference between the two signals in linear frequency modulation cases. This frequency difference is proportional to the frequency sweeping rate and the extra RF delay shown in

(2)

(5a)

where represents the phase difference between the is the hetLO signal and the residue RF signal and erodyne frequency. The gradient vector consists of both I and Q channels and can be obtained through multiplying the IF signal with the heterodyne signal in phase and in quadrature phase, respectively. As the heterodyne signal is , the 90 delayed version is given by . Then, at point A in Fig. 4, the multiplier output gives

In order to compensate for this frequency difference , a time-varying control vector will be generated through the detection of the phase error to compensate for this change immediately. However, because of the finite response time of the loop , the update of the control vector is always behind the phase variation. This translates to an uncompensated phase error given by

Fig. 4. Time delay in different paths. (Color version available online at http:// ieeexplore.ieee.org.)

(5b) (3) The first term represents the real part of the error vector. Since the signal will pass through a low-pass filter, only the first term needs to be considered. Choosing a small loop gain, the I channel of gradient is thus formed as , where is the loop gain of the adaptation algorithm. For the same reason, at point B in Fig. 3, the output takes the following expression:

(4)

which limits the cancellation performance. In (5a) and (5b), is the frequency sweep bandwidth, is the pulse repis the extra RF delay, is the loop response etition time, time, is the frequency difference between the leakage signal is the phase error in degrees and the reference signal, and between the leakage signal and the feed-through signal. Other than the uncompensated phase error, the difference between the amplitude versus the frequency responses of the two paths may also cause uncompensated amplitude error for similar reasons. However, it is expected that the impact of the phase error is more serious in most microwave systems. The loop response time should include all of the time delays that occur in the processing link from the input to the output of

LIN et al.:

-BAND FMCW RADAR FRONT-END WITH ADAPTIVE LEAKAGE CANCELLATION

4045

DSP module. The delay is dominated by the input/output delays, the processing delays and the delays caused by digital filters. In a typical FMCW radar system, the frequency sweeping rate determines the radar resolution, and the extra RF delay is caused by a combination of multiple leakage paths from the circulator couplings and the antenna reflections. As one may not have tight control over these two factors, the cancellation performance may be dominated by the loop response time. On the other hand, the required loop response time is given by

(6) if the allowable phase error is given, The phase error relates to the cancellation depth through (1). For example, if the sweeping rate is 1 GHz/ms and the RF delay mismatch is 1 ns, a 30-dB cancellation requires a phase error of less than 3 . The loop response time should then be less than 8.3 s. This necessitates high-speed DSP technology for realtime control. Another important issue contributing to the algorithm convergence is the delay mismatch between Paths 2 and 3, which in Fig. 4. The delay mismatch here may also is given by lead to a phase error between the two, since the error detection circuitry generates the in-phase and quadrature phase components of the error signal according to a different phase reference. If the phase difference resulting from the delay mismatch is beyond 90 , the loop may become unstable and eventually diverge. This is because the resulting gradient vector may point to an entirely wrong direction. Though the phase reference at the center frequency can be easily calibrated in software, the phase deviation caused by the delay mismatch is unavoidable once the frequency starts to sweep. In fact, the delay mismatch limits the quantity of the sweeping bandwidth. If the phase difference at the center frequency is calibrated, the phase difference at a particular sweeping frequency is given by

(7) This phase difference has to be smaller than 90 in order to maintain the loop’s stability

(8) and the maximum sweeping bandwidth is thus determined by

(9) For a broadband system, the delay mismatch requirement is usually more stringent than that of the extra RF delay in the leakage path. Fortunately, the delay characteristics of both Paths 2 and 3 are relatively simple and can be well controlled through hardware tuning and compensation described in Section VI.

Fig. 5. 26-GHz FMCW radar front-end.

VI. MICROWAVE FRONT-END -band FMCW radar front-end has been built to test the A proposed leakage cancellation concept [6], [7]. Fig. 5 is a photograph of the transceiver front-end at 26 GHz with leakage cancellation. It is a compact waveguide assembly of a transmitter coupler, waveguide bends, circulator, receiver coupler, feed-through module, and error detection module. The delays in the front-end assembly need to be carefully budgeted if a wide cancellation bandwidth is desired. According to (9), the delay mismatch between the feed-through path and LO path is required as the following for a given desirable cancellation bandwidth

(10) The targeted bandwidth of cancellation in this particular system is 2 GHz. Therefore, should be less than 250 ps. This has brought great challenges in packaging and assembly of different RF components. An effective way to minimize this mismatch is to measure the delay time of every component in the radar front-end system in advance. A delay budget can thus be carried out for different paths based on equalizing the waveguide lengths and the delays of each active circuit block. The detail of the delay budget is illustrated in Fig. 6. Once the front-end is packaged and assembled, fine-tuning is needed. For this purpose, the leftover delay is characterized by measuring the phase mismatch difference between the heterodyne signal and upshifted IF signal. This is to identify which of the paths has a shorter delay and how much shorter the delay is. Finally, a dielectric slab can be inserted into the waveguides in that path to increase its delay. With this procedure, the final delay mismatch is reduced to 213 ps, as shown in Fig. 6. VII. REAL-TIME DSP IMPLEMENTATION From the analysis in Section V, it is understood that the complete turn-around time from the error detection to the adjusting of the vector modulator should be limited to a few microseconds in order to adapt to the high sweeping rate. Besides the resides I/O delay, the majority of the loop response time on the DSP calculations that involve float-point multiplications. Therefore, minimizing this processing time is key to reducing

4046

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 6. Time-delay budget for the 26-GHz FMCW radar front-end developed.

the remaining phase error and to achieve cancellation performance, as indicated by (6). The ultimate goal of the real-time DSP implementation is to carry out the necessary correlation functions as quickly as possible. A high-speed DSP control module is constructed for this purpose. Texas Instruments TMSC320 C64161 DSK is used as the main signal processing board, which runs at 120-MHz clock frequency. The analog interface is a Signalware AED 101 daughterboard connected to the DSK through the expansion slot. It is equipped with two high-speed ADCs and DACs with sampling clocks up to 80 M/S. The DSP operates in enhanced direct memory access (EDMA) mode to maximize the data throughput that affects the calculation task of the CPU.

Fig. 7. RF spectrum before and after the leakage cancellation for MTI mode at 26 GHz.

VIII. MEASURED RESULTS The real-time leakage cancellation performance is tested with the developed -band radar front-end. The radar operates in two modes with different sweeping rates and sweeping bandwidths, e.g., the mobile target indication (MTI) mode and the SAR mode. The first test is performed for the MTI mode with a sweeping rate of 15 MHz/200 s. Fig. 7 shows the spectrum of the leakage before and after leakage cancellation. The adaptive cancellation scheme has realized about 30 35 dB of cancellation, and the leftover leakage signal power is significantly lowered. In fact, by adjusting the loop gain and the integrator parameters, the leftover leakage can be further reduced to the noise floor of the spectrum analyzer.

Fig. 8 shows leakage cancellation in SAR mode with a sweeping rate of 800 MHz/650 s that is 15 times faster and 50 times wider than the MTI mode. The DSP algorithm has not been optimized for speed. The cancellation level is only 20 dBc overall, as the loop response time measured is about 55 s. According to (6), in order to achieve more than a 30-dB cancellation in SAR mode, the response time of the closed-loop adaptation should be faster than 8.3 s. Therefore, the DSP algorithm as well as the hardware configuration needs to be improved. After the optimization of the DSP speed, the loop response time is reduced to 5 s. To realize a wideband cancellation over a 1.7-GHz bandwidth, the delay mismatch between the feed-through module and the error detection module has also

LIN et al.:

-BAND FMCW RADAR FRONT-END WITH ADAPTIVE LEAKAGE CANCELLATION

4047

[3] K. Lin, R. H. Messerian, and Y. Wang, “A digital leakage cancellation scheme for monostatic FMCW radar,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 6–11, 2004, vol. 2, pp. 747–750. [4] K. Lin and Y. E. Wang, “Real-time DSP for reflected power cancellation in FMCW radars,” in Proc. 60th IEEE Veh. Technol. Conf., Sep. 26–29, 2004, vol. 6, pp. 3905–3907. [5] A. Raghavan, E. Gebara, E. M. Tentzeris, and J. Laskar, “Analysis and design of an interference canceller for collocated radios,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3498–3508, Nov. 2005. [6] S. J. Grant, “A DSP controlled adaptive feedforward amplifier linearizer,” M.A.Sc. thesis, Dept. Eng. Sci., Simon Fraser Univ., Burnaby, BC, Canada, 1996. [7] J. K. Cavers, “Adaptation behavior of a feedforward amplifier linearizer,” IEEE Trans. Veh. Technol., vol. 44, no. 1, pp. 31–40, Feb. 1995. Fig. 8. RF spectrum before and after the leakage cancellation for SAR mode at 26 GHz. The loop response time is about 55 s.

Fig. 9. RF spectrum before and after the leakage cancellation for SAR mode at 26 GHz. The loop response time is about 5 s.

been carefully adjusted. Finally, a good wideband cancellation performance is achieved. Fig. 9 shows the overall leakage cancellation is 30 dB over a 1.7-GHz bandwidth, where the SAR mode operates at a sweeping rate of 1.7 GHz/1.4 ms. IX. CONCLUSION A novel digital leakage cancellation is proposed and implemented successfully for monostatic CW radars. With digital implementation of the loop adaptation and use of the heterodyne structure, the proposed scheme shows a much more precise control of the leakage cancellation compared with conventional analog implementations. The dc offset existing in analog mixers affecting the cancellation performance is also eliminated. A radar test bed at 26 GHz has been built. The measurement results show more than a 30-dB suppression in transmitter leakage in several radar modes, which proves the effectiveness of the proposed approach. ACKNOWLEDGMENT The authors would like to thank Dr. J. Kirk, Goleta Engineering, for his valuable insight and suggestions. REFERENCES [1] F. J. O’Hara and G. M. Moore, “A high performance CW receiver using feedthrough nulling,” Microw. J., p. 63, Sep. 1963. [2] P. D. L. Beasley, A. G. Stove, B. J. Reits, and B. As, “Solving the problems of a single antenna frequency modulated CW radar,” in Proc. Radar Conf., May 7–10, 1990, pp. 391–395.

Kaihui Lin (S’04–M’06) received the B.S. and M.S. degrees in electrical engineering from University of Science and Technology of China, Hefei, China, in 1998 and 2002, respectively. He is currently working toward the Ph.D. degree in the Department of Electrical Engineering, University of California, Los Angeles. His research interests include microwave/millimeter-wave system design and digital controlled microwave system design.

Yuanxun Ethan Wang (S’96–M’99) received the B.S. degree from the University of Science and Technology of China (USTC), Hefei, China, in 1993, and the M.S. and Ph.D. degrees from The University of Texas at Austin, in 1996 and 1999, respectively, all in electrical engineering. From 1999 to 2002, he was a Research Engineer and Lecturer with the Department of Electrical Engineering, University of California at Los Angeles (UCLA), prior to joining the faculty. He became an Assistant Professor with the Electrical Engineering Department, UCLA, in November 2002. His research interest is in the general area of microwave and radar systems with an emphasis on active antennas, phased arrays, integrated transmitters, power amplifiers, and low-noise RF front-ends. He has been involved with radar systems for over ten years. He has authored or coauthored over 100 journal and conference papers. His research blends the digital processing technologies and concepts into RF and microwave systems design, which often leads to new RF system architectures and novel configurations of antennas and circuits.

Cheng-Keng Pao (M’85), photograph and biography not available at time of publication.

Yi-Chi Shih (S’79–M’82–SM’87) received the B.Sc. degree from the National Taiwan University, Taipe, Taiwan, R.O.C., in 1976, the M.Sc. degree from the University of Ottawa, Ottawa, ON, Canada, in 1980, and the Ph.D. degree from The University of Texas at Austin, in 1982. In September 1982, he joined the faculty of the Naval Postgraduate School, Monterey, CA, as an Adjunct Professor. He taught graduate classes for students consisting of officers from the U.S. Navy, Army, and Air Force. His main research area was in various waveguide filters and electromagnetic-wave propagation in the duct. In April 1984, he joined Hughes Aircraft Company, and served in various research and management positions for the development of advanced GaAs monolithic microwave integrated circuit (MMIC) design, fabrication, and characterization. His research interests include the development of microwave/millimeter-wave MIC and MMIC circuits, the modeling and characterization of devices, and computer-aided design techniques. He developed and managed the MMIC Product Department and managed many projects related to the development

4048

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

of high-efficiency power MMICs and interacted with customers from the Air Force, NRL, and other industrial organizations. From 1992 to 1997, he was with Hughes Space and Communications Company, as a Senior Scientist, where he was responsible for the development of advanced MMICs and MMIC modules for space applications. He managed a project team and successfully delivered nine radiometer receivers to the TMI program for NASA. He cofounded and is currently President of MMCOMM Inc., Torrance, CA, where he is responsible for the business strategy and business development related to microwave and millimeter-wave applications, focusing on the areas of point-to-point and point-to-multipoint communications and imaging/SAR radar applications. He was a Lecturer of UCLA short course that gathered many engineers from the U.S., Canada, and Asia. He has authored or coauthored over 50 papers in technical journals. He has served as a technical consultant to many organizations

in Taiwan, R.O.C., and contributed to the initial development of wireless LAN industry in Taiwan, R.O.C. Dr. Shih has made numerous presentations at international conferences and workshops such as the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), the IEEE Antennas and Propagation Society (AP-S)/URSI Symposium, the European Microwave Conference, the Asia–Pacific Microwave Conference, the GOMAC Conference, ISSSE, and PIER. He has served on the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE MTT-S Technical Program Committee, MTT-14 “Field Theory” Committee, MTT-8 “Filters and Passive Components” Committee, and the Industrial Advisory Board Member, ICAS Research Center, University of California at San Diego, La Jolla. He was the recipient of the Joe E. Sanders Leadership Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

4049

Stability Criterion for Two-Port Network With Input and Output Terminations Varying in Elliptic Regions Piero Marietti, Giuseppe Scotti, Alessandro Trifiletti, and Giorgio Viviani

Abstract—New criteria to check the conditional stability of microwave amplifiers with input and output terminations varying in prespecified elliptic regions surrounding complex nominal values are proposed. Necessary and sufficient conditions are (i.e., , , provided in terms of the immittance parameters , or ): these conditions can be implemented in commercial computer-aided design tools and are suitable to be used as design goals within optimization routines. Since elliptic shapes accurately fit the real variability region of input and output terminations, the proposed criterion allows to impose stability in the actual tolerance range of terminations and therefore to improve the tradeoff between performance and stability under termination variations. Index Terms—Computer-aided design (CAD), conditional stability, monolithic microwave integrated circuit (MMIC), yield.

I. INTRODUCTION

A

MULTIDEVICE amplifier (i.e., a multistage amplifier, a parallel operated field-effect transistor (FET) amplifier, or a distributed amplifier) is designed in order to fulfill specifications for a given couple of input and output terminations. Nevertheless, the values of input and output loads are not well defined and may vary because of different reasons. The amplifier is typically driven by a previous stage and/or drives a following stage: if interoperability with different modules is required, the design has to tolerate variations in source and load impedances. Moreover, input and output termination values are affected by variations due to the spread of technological processes and monolithic microwave integrated circuit (MMIC) packages and interconnections with other modules cause additional variations of termination impedances. Stability as well as signal performance has to be guaranteed in spite of input and output termination variations. To obtain this result, unconditional stability criteria [1]–[4] applied to the overall amplifier can be used. Regardless, unconditional stability is a uselessly stringent requirement for the overall amplifier and can prevent the achievement of the desired performance. If maximum variations of input and output terminations can be estimated a priori, the amplifier can be designed to be stable for all of the input and output termination values which lie in predefined regions around the nominal ones (conditional stability).

Manuscript received December 27, 2005; revised June 30, 2006. The authors are with the Electronic Engineering Department, University of Rome “La Sapienza,” 00184 Rome, Italy (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.885883

Conditional stability leaves more degrees of freedom in the design of the amplifier to satisfy performance requirements. In [5], a synthesis-oriented conditional stability criterion, based on and has been proposed. The the overall stability factors criterion allows one to guarantee stability in circular regions of the input and output reflection coefficient planes surrounding the nominal purely resistive input and output loads. In [6], such a criterion has been extended to ensure the stability of circuits with nominal complex termination impedances. In [7], the authors focused on stability in prespecified circular regions of the input and output impedance planes surrounding the nominal complex impedances, thus providing necessary and sufficient conditions which can be directly used for the synthesis of the amplifier by means of computer-aided design (CAD) tool optimizers. In most cases, the spread on the imaginary part of input and output terminations is much larger than the spread of the real part (the effects of MMIC package and wire bonding are an example); therefore, the actual shape of termination variability regions cannot be efficiently approximated by using a circular neighborhood of the nominal termination. In this study, we propose a novel conditional stability criterion which allows checking the stability of a two-port network for all of the input and output impedances which are contained in elliptic regions of the impedance plane. The elliptic regions are specified by means of four real parameters for each of the two ports: the center and the two semi-axes of the ellipses. An elliptic shape allows to accurately fit the real variability region of the input and output terminations, allowing one to impose stability in the actual variability range and therefore to improve the tradeoff between performance and stability under termination variations. In Section II, the problem of stability in elliptic regions of the impedance/admittance planes is introduced, and a theorem that provides necessary and sufficient conditions for the stability of a two-port network in prespecified elliptic regions is stated. In Section III, the application of the theorem to multidevice circuits is briefly discussed. Finally, a case study showing an application example is proposed in Section IV. II. STABILITY IN ELLIPTIC REGIONS OF IMPEDANCE (ADMITTANCE) PLANE Consider the linear active two-port network terminated by imand shown in Fig. 1; we pedances (admittances) define the variability regions of input and output terminations in the - or -planes depending on the set of parameters used for

0018-9480/$20.00 © 2006 IEEE

4050

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

where

Fig. 1. Active linear two-port network terminated on impedances Z and Z .

(4) TABLE I CHOICE OF IMMITTANCE PARAMETERS FOR INPUT AND OUTPUT TERMINATIONS

(5) (6)

(7)

(8)

Fig. 2. Variability regions for input and output impedances (admittances).

(9) the two-port network as shown in Table I, where the immittance and denote the parameters impedance or admittance as appropriate [7]. and the nominal Denoting with values of input and output termination impedances, we consider all of the termination values placed in the elliptic regions of the impedance (admittance) plane with the center in and proper semi-axes and , , 2 (see Fig. 2), to take into account input and output load variations. To consider only , passive termination impedances condition , , 2, has to hold, where

Theorem: Provided that the characteristic frequencies of the two-port network with ideal terminations (infinite immittances, i.e., open or short as appropriate) lie in the left half-plane, the necessary and sufficient conditions for the two-port network in Fig. 1 to be stable for any and are

(10)

(11) (12)

(13)

(1) (2)

parameters will be disProof: Only the case of the cussed, since the other cases can be proved similarly. Starting from the determinant of the extended -parameter matrix, the necessary and sufficient conditions for the two-port and to be stable are [7] network with terminations

(3)

(14) (15)

or

MARIETTI et al.: STABILITY CRITERION FOR TWO-PORT NETWORK WITH INPUT AND OUTPUT TERMINATIONS VARYING IN ELLIPTIC REGIONS

4051

From (14), we obtain by straightforward manipulation

(16) From (15), we obtain by straightforward manipulation

(17) ,

where ,

, ,

, ,

, , 2. and For the two-port network in Fig. 1 to be stable in the prespecand , conditions (16) and (17) have to be fulified regions and filled for any , respectively. Since can assume when varies in the the minimum value that specified elliptic region is given by , and the minimum can assume when varies in the specified elliptic value that , the necessary and sufficient condiregion is given by tions for stability in the prespecified regions can be rewritten as

(18)

(19) Hereafter, we will consider only (18), since (19) can be proved similarly. Defining (20) (18) can be rewritten as

Fig. 3. Projection of elliptic region on paraboloid Z .

then, from (22), it is apparent that represents a paraboloid and downward if . which is concave upward if plane is a circle Furthermore, the intersection with the with center and radius , where

The problem of fulfillment of (22) can be solved by finding the with and varying in the prespecminimum of ified elliptic region : this minimum is greater than zero if and only if (22) is fulfilled. This is the typical problem in which a cost function ( in our case) has to be minimized with a given constraint. When the constraint is given by a region and not by a curve, the problem has to be solved according to the Kuhn–Tucker theorem [8]. In our case, we can use some geometrical considerations to simplify the problem. (concave upward paraboloid) and if the In fact, if center of the intersection of paraboloid and the plane , then the parabolid has its is internal to the elliptic region minimum in , and this minimum is given by the vertex of the paraboloid (see Fig. 3). Substituting expressions of and in the expression of , we obtain the vertex of the paraboloid (23)

(21) which is always negative. This means that the two-port network cannot be stable for all of the values of belonging to (see Fig. 3). This situation is described by the following condition:

from which after rearranging we obtain

(24)

(22)

and proves conditions (3), which allows to distinguish between a two-port network that cannot be stable in the specified regions and one that can.

4052

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Otherwise, if and in all the other cases in which is external to the elliptic region , the minimum has to be on the boundary of the elliptic region: in this case, the constraint is , where given by the equation (25)

The sign configuration in (29) which gives the minimum point can be found by computing the determinant of the bordered Hessian matrix. into (26), we obtain the coordinates and Substituting of the minimum and then, substituting and into (20), of the minimum, which is given by we obtain the value

and the Lagrange multipliers method [8] can be used. In this case, the Lagrangean function can be written as (26) where is the Lagrange multiplier. In order to find the constrained extrema, we have to solve the following system:

(34) and coincides with (1). III. APPLICATION TO MULTIDEVICE CIRCUITS

(27) After standard computation, we obtain a fourth-degree polynomial equation in the variable as follows:

(28) By using the formula which gives the solution of the fourth order polynomial equation, we obtain

(29) where

In [9], it is shown that Rollett’s proviso concerning the characteristic frequencies of the two-port network with ideal terminations (infinite immittances, i.e., open or shorts as appropriate) is fulfilled if and only if at least one set of immittance parameters (i.e., , , , or ) have no right-half-plane (RHP) poles. It is also shown that Rollett’s proviso is equivalent to the requirement that the two-port network is stable for at least one pair of arbitrary positive resistive terminations. Therefore, in the case of multidevice circuits, the first step is to verify that the -paparameters) of rameters (or, equivalently, the , , , or each active device have no RHP poles, and then the stability of the circuit for a pair of resistive loads has to be ascertained by means of a rigorous method based on the Nyquist criterion. Methods based on the Nyquist criterion were proposed to check Rollett’s proviso [10], [11]; a similar approach was also proposed to evaluate the zeros of the characteristic equation located in RHP during the circuit synthesis step by means of CAD tools [12]. Conditions which ensure a Nyquist-criterion-based stability check and in the meantime do not require visual inspection, were stated. The stability criteria proposed in this study are suitable to be used in yield-oriented design strategies [12], which allow a more systematic optimization procedure with respect to the standard design flow. IV. CASE STUDY

(30)

(31) (32)

(33)

The conditional stability criteria proposed in the previous sections have been used to design an -band MMIC power amplifier. In order to meet specifications in terms of delivered output power, a large device periphery is required, thus leading to the parallel combination of devices with smaller size. However, such a topology is critical from the viewpoint of stability because odd-mode oscillations can arise due to circuit asymmetries that cannot be predicted by the classical unconditional stability factors. In fact, RHP poles could be present in the overall two-port network due to the asymmetries, thus requiring the check of Rollett’s proviso [10]. The Agilent ADS CAD tool has been used to carry out the design of a power amplifier composed of two parallel devices (a detailed schematic is shown in Fig. 4): a linear gain of 10 dB, an output power of 30 dBm, and input lower than 10 dB were the main design goals.

MARIETTI et al.: STABILITY CRITERION FOR TWO-PORT NETWORK WITH INPUT AND OUTPUT TERMINATIONS VARYING IN ELLIPTIC REGIONS

Fig. 4. Schematic block of the designed power amplifier.

Fig. 5. Transducer gain of the power amplifier designed with the unconditional stability factor K (A) and the conditional stability method (B).

For concerns of circuit stability, the functions have been evaluated during optimization to check stability for the nominal 50- terminations (this ensures that the characteristic frequencies of the two-port network lie in the left half-plane [5], [9], [10]). A first design has been carried out by imposing unconditional stability; then, a second circuit has been designed by imposing stability in elliptical regions surrounding the nominal 50- terminations. To properly choose the center and the axes of the elliptical regions, an accurate model of package and chip interconnections (i.e., both the nominal values of model parameters and their expected variations) is needed. For instance, a 1-mm bond wire can be roughly modeled as a 1-nH inductance, which shows a reactance of about 60 at 10 GHz. In our study, a variation of and is allowed for the real and imaginary parts of both the input and output terminations, respectively. Formulas for evaluation of conditional and and the additional condition in (3) stability factors have been implemented by using the “measurement equations” available in the ADS CAD tool. Measurement equations allow to define mathematical expressions involving -, -, or -parameters which can be used as design goals for the optimizer and can be plotted in a presentation window to check the achieved results. The transducer gain of the two amplifiers is shown in Fig. 5: a gain 2.5 dB higher is obtained for the amplifier designed with the conditional stability factors. In Fig. 6, the output power is also reported for the two amplifiers: it can be seen that the proposed approach allows us to obtain an increase of about 2.5 dB.

4053

Fig. 6. Output power of the amplifier designed with the unconditional stability factor K (A) and the conditional stability method (B).

Fig. 7. Polar plot of G (j!) function.

Fig. 8. Stability factor K of the power amplifier designed with the unconditional stability factor K (A) and the conditional stability method (B).

Visual inspection of the functions at the end of the design phase has demonstrated the absence of RHP poles: the polar functions ( is reported in Fig. 7) show plots of no encirclements of the critical point. In Fig. 8, a plot of the stability factor is depicted for the two designed amplifiers: potential instability is found for the amplifier designed with conditional stability factors, for frequencies below 3 GHz. However, for input and output terminations belonging to the elliptical regions previously defined, the circuit is stable. Circuit stability in the elliptic regions has been checked by evaluating the proposed conditional stability coefficients, which are reported in Fig. 9. Independent demonstration of circuit stability has been provided by evaluation of input (output) reflection coefficients for output (input) terminations belonging to the elliptical regions:

4054

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 12.

8

stability factor at 1.1 GHz for different source termination regions.

Fig. 9. Conditional stability coefficients for the designed amplifier.

elliptical regions containing values of the real part termination approaching 0. V. CONCLUSION

Fig. 10. 0 and 0 of the amplifier designed with the conditional stability method with terminations belonging to the prespecified elliptical regions.

A novel conditional stability criterion for two-port networks with input and output terminations varying in elliptic regions of the impedance (admittance) plane has been proposed. The elliptic regions allow one to account for the different deviations of the resistive and reactive parts of input and output terminations with respect to the nominal values. This criterion allows to improve the tradeoff between stability under termination variations and performance in terms of power gain, noise figure, and return losses with respect to previously published methods. The proposed approach has been applied to the synthesis of a power amplifier made up of two parallel operated FETs. Better gain and output power performance has been obtained when using the proposed stability criterion in place of the unconditional one. REFERENCES

Fig. 11. Load stability circle of the designed amplifier.

reflection coefficient modules are lower than 1, as can be seen in the graphs in Fig. 10. Finally, a more detailed stability analysis has been carried . The load out at 1.1 GHz, which is a frequency where stability circle is plotted in Fig. 11, and the stability region is the one inside the circle. Instability could be found quite in the whole passive region on the Smith chart if the real part of the source termination is not able to compensate for the negative real part of the impedance seen at the input port of the designed amplifier. The condihas been evaluated within the eltional stability coefficient liptical region previously defined for load terminations and in source elliptical regions with increasing values of . The plot reported in Fig. 12 shows that becomes lower than 0, for

[1] J. M. Rollett, “Stability and power-gain invariants of linear twoports,” IRE Trans. Circuit Theory, vol. CT-9, no. 1, pp. 29–32, Mar. 1962. [2] M. L. Edwards, “A new criterion for linear two-port stability using a single, geometrically derived parameter,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2303–2311, Dec. 1992. [3] P. Bianco, G. Ghione, and M. Pirola, “New simple proofs of the two-port stability criterium in terms of the single stability parameter 1(2),” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1073–1076, Jun. 2001. [4] D. Woods, “Reappraisal of the unconditional stability criteria for active 2-port networks in terms of S parameters,” IEEE Trans. Circuits Syst., vol. CAS-23, no. 2, pp. 73–81, Feb. 1976. [5] G. Scotti, P. Tommasino, and A. Trifiletti, “A synthesis-oriented approach to design stable circuits,” Microw. Opt. Technol Lett., vol. 23, no. 6, pp. 354–357, Dec. 1999. [6] F. Centurelli, G. Scotti, P. Tommasino, and A. Trifiletti, “A synthesisoriented conditional stability criterion for microwave multidevice circuits with complex termination impedances,” IEEE Microw. Guided Wave Lett., vol. 10, no. 11, pp. 460–462, Nov. 2000. [7] M. Olivieri, G. Scotti, P. Tommasino, and A. Trifiletti, “Necessary and sufficient conditions for the stability of microwave amplifiers with variable termination impedances,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2580–2586, Aug. 2005. [8] D. P. Bertsekas, Constrained Optimization and Lagrange Multiplier Methods. Belmont, MA: Athena Sci., 1996. [9] M. Ohtomo, “Proviso on the unconditional stability criteria for linear twoport,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 5, pp. 1197–1200, May 1995. [10] ——, “Stability analysis and numerical simulation of multidevice amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 6, pp. 983–999, Jun. 1993.

MARIETTI et al.: STABILITY CRITERION FOR TWO-PORT NETWORK WITH INPUT AND OUTPUT TERMINATIONS VARYING IN ELLIPTIC REGIONS

[11] A. Platzker, W. Struble, and K. Hetzler, “Instabilities diagnosis and the role of K in microwave circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 1993, pp. 1185–1188. [12] F. Centurelli, G. Scotti, P. Tommasino, and A. Trifiletti, “A synthesis-oriented approach to design multidevice circuits with prefixed stability margins,” IEEE Microw. Guided Wave Lett., vol. 10, no. 3, pp. 102–104, Mar. 2000.

4055

Giuseppe Scotti was born in Cagliari, Italy, on April 14, 1975. He received the M.S. and Ph.D. degrees in electronic engineering from the University of Rome “La Sapienza,” Rome, Italy, in 1999 and 2003, respectively. He is currently doing postdoctoral work with the Electronic Engineering Department, University of Rome “La Sapienza.” His research interests include the design methodologies of high-yield analog and digital integrated circuits, the design techniques of high-speed circuits for optical communication systems, and the design of integrated active filters.

Alessandro Trifiletti was born in Rome, Italy, in 1959. He received the Electronic Engineering degree from the University of Rome “La Sapienza,” Rome, Italy. In 1991, he joined the Electronic Engineering Department, University of Rome “La Sapienza,” as a Research Assistant and is currently an Associate Professor. His research interests include high-speed circuit design techniques and III-V device modeling. Piero Marietti was born in Cagliari, Italy, on April 7, 1941. He graduated in electronic engineering from the University of Rome, Rome, Italy, in 1964. From 1964 to 1980, he was an Assistant Professor with the Institute of Physiscs, Faculty of Engineering, University of Rome. From 1980 to 1985, he was an Associate Professor with the Department of Electronics, University of Rome. From 1968 to 1976, he taught physics at the University of L’Aquila. Since 1976, he has been teaching applied electronics at the University of Rome. His research interests, which cover a wide area, include solid-state physics, design of integrated circuits, design of local area networks, and circuits and systems, particularly in the field of measurement and control. He is at present a Full Professor and Director of the Department of Electronics, University of Rome “La Sapienza,” Rome.

Giorgio Viviani was born in Palermo, Italy, in 1980. He received the Bachelor degree in electronic engineering from the University of Rome “La Sapienza,” Rome, Italy, in 2002, and is currently working toward the Master degree at the University of Rome “La Sapienza.” His research interests include microwave amplifier design and stability.

4056

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

2-bit

X -Band Reflective Waveguide Phase Shifter With BCB-Based Bias Circuits

Alexander E. Martynyuk, Andrea G. Martinez-Lopez, and Jose I. Martinez Lopez

Abstract—The design and performance of a 2-bit p-i-n diode reflective -band phase shifter are described. This phase shifter uses the spiraphase principle of phase changing. Benzocyclobutene-based bias circuits are used to decrease the insertion loss level and to reduce the fabrication costs. It has been proven that the phase shifter demonstrates insertion loss better than 0.5 dB in the frequency band from 9.75 to 11.5 GHz for all four phase states. The phase shifter is characterized by maximum phase errors of 11 in the frequency band from 9.75 to 11.25 GHz. The measured switching time of the phase shifter is less than 150 ns. Index Terms—Circular waveguides, phase shifters, phased arrays.

I. INTRODUCTION

A

T PRESENT, one can observe a growing interest in lowcost reflective phased arrays for telecommunication and radar applications. Due to the simple design and low cost, passive reflective phased arrays can be an attractive alternative to active phased arrays, yet the optimization of the phase shifter, which is the basic element of the passive array, requires special attention. Several strict requirements exist for the parameters of the phase shifter such as small phase errors, small switching time, reduced level of the accompanying amplitude modulation, and simple design. Also, the minimization of the insertion loss of the phase shifter is of primary importance for the case of the passive phased arrays. Recently, MEMS switches have been used for the reduction of the insertion loss in phase shifters ensuring a significant improvement in the phase shifter parameters [1]. A considerable part of the phase shifters with MEMS uses the well-known method of switched lines [2] or lines with distributed capacitive loading [3], [4]. This approach allows one to obtain the state-of-art performance in a short period of time. However, the above-mentioned phase shifters are based on relatively low-impedance lines such as microstrip or coplanar waveguide. These lines are characterized by a considerable insertion

Manuscript received December 31, 2005; revised June 29, 2006. This work was supported in part by the 38582A CONACYT Project and by IN1042063 PAPIIT Project. A. E. Martynyuk is with the Department of Telecommunications Engineering, National Autonomous University of Mexico, 04510 Mexico City, Mexico (e-mail: [email protected]). A. Martinez-Lopez is with the Institute of Astronomy, National Autonomous University of Mexico, 04510 Mexico City, Mexico. J. I. Martinez Lopez is with the Department of Electronics Engineering, National Autonomous University of Mexico, 04510 Mexico City, Mexico (e-mail: [email protected]). Color versions of Figs. 3–7 are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.886163

loss from 0.15 to 0.3 dB/cm at the -band frequencies [3]. Furthermore, these designs require adding several centimeters of transmission line in order to connect the phase shifter with a distribution circuit and a radiating element. In addition, the MEMS phase shifter needs encapsulating, thus leading to further insertion loss. An alternative method of phase changing with a lossless distribution system was proposed in [5] for a circularly polarized reflectarray based on half-wave dipoles with different angular rotations. In this case, the phase shift is determined only by the angular position of the dipoles. The rotation of the dipole by an angle from its original position leads to an additional phase introduced into the reflected wave. In the spiraphase shift of array, a p-i-n diode switching circuit has been used to simulate the mechanical rotation of dipoles by electronic means. Later, other spiraphase-type elements have been investigated and reported [6]. The same approach has been used to build reflective p-i-n diode phase shifters that demonstrate insertion loss less than -band frequencies [7], [8]. The main element of 1 dB at the phase shifters is a control diaphragm of a special geometry situated at the cross section of a circular waveguide. The electronic simulation of the mechanical rotation was obtained with the help of p-i-n diodes installed at the surface of the control diaphragm. Low insertion loss was ensured due to the usage of the special technology proposed by Skachko [9]. This technology employs a thick metal plate as a substrate and thin SiO –Cu dielectric–metal layers to form bias circuits. This approach ensures a significant suppression of insertion loss in the bias circuits; however, precise mechanical processing of thick metal substrates, such as electroerosion technique, is needed to obtain the geometry of the diaphragm. Thus, the fabrication costs of this diaphragm are high. In this study, we use a standard substrate instead of a thick metal plate to eliminate the mechanical processing and benzocyclobutene (BCB) photo-definable resin [10] as a dielectric to form bias circuits. The proposed technology does not require a mechanical processing step and permits the drastic reduction of fabrication costs while ensuring low-loss operation.

II. PRINCIPLE OF OPERATION The main element of the designed 2-bit phase shifter (Fig. 1) is a printed control diaphragm with installed p-i-n diodes. This control diaphragm is situated at the cross section of a circular waveguide which is terminated in a short circuit. The printed control diaphragm contains a ring slot resonator 1 and four radial stubs 2–5. Four p-i-n diodes 6–9 are connected in parallel to

0018-9480/$20.00 © 2006 IEEE

MARTYNYUK et al.: 2-bit

-BAND REFLECTIVE WAVEGUIDE PHASE SHIFTER WITH BCB-BASED BIAS CIRCUITS

4057

The first component of (2) is a circularly polarized wave with the same direction of rotation as vector , which is the incident wave. The phase of this “controlled wave” depends on the angular position of the stub with the switched-off diode. The second component is an “undesired wave” with uncontrolled phase. To suppress this “undesired” wave, it is necessary to ensure the following condition: (3)

Fig. 1. Design of the 2-bit phase shifter.

the stubs. At any given moment, one diode is switched off while the other three are switched on. Assume that diode 6 installed at stub 2 is switched off. The mode with the plane of polarization parallel to incident the stub 2 cannot excite this stub while stubs 3–5 are shorted by the switched-on diodes. Thus, the control diaphragm for the given polarization of the incident wave behaves like a ring slot resonator. At the parallel resonant frequency , when the average perimeter of the ring slot resonator is approximately equal to the wavelength , the control diaphragm is transparent to the incident wave with polarization plane parallel to stub 2. With a from the control dishort circuit installed at the distance of is close to 1 in the plane aphragm, the reflection coefficient of the control diaphragm. An incident wave with a plane of polarization perpendicular to stub 2 with switched-off diode 6 excites this stub. The inductance of the outer metal ring and the capacitance of the switched-off diode form a series resonant circuit. At the series resonant frequency , the control diaphragm is equivalent to a short circuit and the reflection coefficient is close to 1 in the plane of the control diaphragm for this polarization of the incident wave. Now assume that a circularly polarized wave is propagating toward the control diaphragm in the -direction

(1) is the magnitude of the incident wave, and are where the unit vectors in the and directions, respectively, is the mode, and . propagation constant for the According to [8] and [11], the reflected wave can be presented as a sum of two components

(2) where is the angle between the OX axis and the stub where the switched-off diode is installed.

Thus, a proper switching of p-i-n diodes leads to the phase change in the reflected circularly polarized wave when condition (3) is fulfilled. For a 2-bit phase shifter, the angular positions of stubs 2–5 were 90 , 180 , 225 , and 315 , respectively. Thus, it is possible to introduce phase shifts of 180 , 360 (0 ), 450 (90 ), and 630 (270 ) into the reflected circularly polarized wave. For the case when the insertion loss is concentrated only in the switching elements, the fulfillment of (3) also leads to the minimization of the insertion loss in the phase shifter. The minimum possible insertion loss can be calculated as follows [8]:

(4) where is the number of bits in the phase shifter, is the is the resisseries resistance of the switched-on diode, and equivalent circuit corresponding to tance of the parallel the switched-off diode. Two factors limit RF power-handling capability for the phase shifter with optimized insertion loss level: the breakand the maximum down voltage of the switched-off diode power dissipation in the p-i-n diode . These two maximum RF power limitations can be estimated as follows [8]:

(5) (6) where is the maximum RF power limited by the breakdown voltage of the p-i-n diode and is the maximum RF in the power limited by the maximum power dissipation p-i-n diode. The design of the control diaphragm allows one to modify the and to fulfill condition (3). According to magnitudes of [8], increasing the width of the ring slot resonator leads to the and decrease of the magnitude increase of the magnitude of of . Thus, it is possible to choose a width of the ring slot resonator to fulfill (3). The geometry of the control diaphragm has been optimized using methods described in [8] and [12], [13] to get the same at the frequency of 10.5 GHz. The Agmagnitudes of and ilent p-i-n diodes HPND 4005 with , k , pF, V, and mW were assumed as switching elements in the simulations. A dielectric

4058

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

TABLE I OPTIMIZED DIMENSIONS OF THE CONTROL DIAPHRAGM

coating process of film deposition and a simple photolithography process used for the geometry definition. Furthermore, the highest temperature during BCB processing does not exceed 210 C. Thus, the processing temperature is low enough to prevent a thermal damage of the Rogers RT/duroid 5880 material. A standard DS300 immersion develop process B with final soft cure for CYCLOTENE 4026-46 Series Photo BCB resin [14] was applied. The parameters of the process are configured to obtain a final BCB film thickness of 7.5 m. Next, the copper cladding of the microwave laminate is patterned and a ring slot with stubs are formed [see Fig. 2(b)]. Then, a thin (1- m) copper film is deposited using vacuum evaporation techniques. This film is patterned to form the upper conductor of the bias circuits [see Fig. 2(c)]. Finally, beam lead p-i-n diodes are installed [see Fig. 2(d)]. The dielectric properties of the BCB combined with the BCB film thickness (7.5 m) permit to fabricate microstrip lines with very low characteristic impedance (2–4 ). DC filters based on low-impedance microstrip lines ensure a virtual short circuit at the microwave frequencies between the upper conductor and the metal cladding of the standard Rogers 5880 substrate at the point B in Fig. 2(c). IV. EXPERIMENTAL INVESTIGATION

Fig. 2. Technology of fabrication. (a) Patterned BCB isles. (b) Ring slot and stubs definition. (c) Definition of the upper conductors for the bias filters. (d) Control diaphragm with installed p-i-n diodes.

substrate of thickness 0.127 mm and dielectric relative permittivity of 2.2 were taken into account. The optimized dimensions of the control diaphragm are listed in Table I, referring to Fig. 1. and For the optimized geometry, the resonant frequencies were estimated as 10.6 and 10.75 GHz, respectively. Calculations according to (4)–(6) demonstrate that the 2-bit optimized of 0.3 dB and maxphase shifter would have insertion loss imum power levels and of 2.6 W and 7.2 W, respectively. Theory predicts an extremely low-loss operation for this phase shifter. However, special attention should be paid to minimize the insertion loss in the bias circuits. III. TECHNOLOGY OF FABRICATION Special fabrication technology has been developed to minimize the insertion loss in the bias circuits. A standard Rogers RT/duroid 5880 microwave laminate with a dielectric thickness of 0.127 mm, dielectric relative permittivity of 2.2, and 17- m copper cladding is used as a substrate. At a first step, BCB dielectric isles are patterned on the top of copper cladding [see Fig. 2(a)]. These isles are used to form the bias circuits. The BCB dielectric film is characterized by a dielectric permittivity of 2.5 and a loss tangent of 0.002 at 10 GHz. The advantage of the photosensitive BCB polymer is a relatively low loss tangent of the final BCB film combined with a simple spin-

Initially, the performance of the phase shifter was investigated using the linear polarization of the incident wave. This approach permits one to predict the parameters of the phase shifter excluding the errors of the polarizer. Thus, the control diaphragm situated at the cross section of the circular waveguide is meamode sured as a two-port device. The linearly polarized of circular waveguide is used to excite the control diaphragm. The measurements of resonant frequencies and are performed for four different cases, when the plane of polarization of the incident wave is parallel to the stubs 2–5, respectively. With a switched-off diode stub parallel to the polarization plane of the incident wave, one can measure the parallel resonant frequency . Thus, the section of the circular waveguide with the control diaphragm is rotated to ensure the appropriate angular position of the control diaphragm. It is observed that the does not depend on the polarizaparallel resonant frequency tion of the incident wave and is equal to 11.0 GHz. Then, the section of the circular waveguide is rotated in order to align the switched-off diode stub with a plane perpendicular to the polarization of the incident wave. The measured series reswere 11.13, 11.16, 11.15, and 11.07 GHz onant frequencies for the polarization planes of the incident wave perpendicular to stubs 2–5, respectively. Slight differences in the series resonant may be explained from the spread in the values frequencies of the switched-off diodes. of the capacitance During all of the experiments, the forward current across all of the switched-on diodes was set to 27 mA, meanwhile the reverse voltage across the switched-off diode was set to 25 V. Then, the short circuit was placed at a distance of 9.7 mm from the control diaphragm. Magnitude and phase of the reflecand were measured for the four cases, tion coefficients when the switched-off diode was situated at stubs 2–5. The meaand are sured magnitudes of the reflection coefficients

MARTYNYUK et al.: 2-bit

-BAND REFLECTIVE WAVEGUIDE PHASE SHIFTER WITH BCB-BASED BIAS CIRCUITS

4059

Fig. 5. Extracted magnitude of the reflected “controlled” wave for all four phase states. Fig. 3. Measured magnitude of the reflection coefficients 0 and 0 for the four cases, when the switched-off diode is situated at the different stubs.

Fig. 4. The measured difference between the phase of 0 and the phase of 0 for all four possible positions of the switched-off diode. Fig. 6. Measured phase shifts introduced by the 2-bit

presented in Fig. 3. These magnitudes are approximately equal to 0.3 dB at the central frequency of 10.5 GHz, thus, the optimum condition (3) has been fulfilled for the magnitudes of the and . reflection coefficients However, the magnitude of the reflected “controlled wave” does not depend only on the magnitudes of the reflection coand . According to (2) and (3), the control diefficients aphragm must provide a differential phase shift of 180 between the orthogonal components of the reflected wave in order to maximize the magnitude of the “controlled” wave. The meaand for all four possible sured phase difference between positions of the switched-off diode is given in Fig. 4. This phase difference is equal to 180 10 in the frequency band from 9.9 to 11.6 GHz. and measured, it is posWith magnitude and phase of sible to calculate the magnitude of the “controlled” reflected wave according to (2). The extracted magnitude of the “controlled” wave is presented in Fig. 5 for all four phase states of the 2-bit phase shifter. According to Fig. 5, the insertion loss is less than 0.4 dB in the frequency band from 9.8 to 11.4 GHz for all four phase states of the phase shifter. The accompanying amplitude modulation is less than 0.1 dB in the same frequency band.

X -band phase shifter.

The phase shifter is then investigated for the circular polarization of the incident wave. A polarizer with two pairs of inductive diaphragms and four pairs of capacitive screws is used mode into a circularly poto convert a linearly polarized larized mode. This polarizer provides a differential phase shift of 90 5 in the frequency band from 9.75 to 11.25 GHz. The measured SWR of the polarizer is less than 1.45 for both mutually orthogonal linear polarizations of the incident wave in the mentioned frequency band. The measurement setup for the case of the circularly polarized incident wave consisted of a rectangular-to-circular waveguide transition, a polarization filter that dissipates a horizontal mode, a polarizer, and a phase shifter linearly polarized mode of the connected in cascade (Fig. 6). The incident rectangular waveguide is converted into the vertically polarmode that passes through the polarization filter. This ized vertically polarized mode is transformed into the circumode with the help of the polarizer. The larly polarized mode is then reflected by the phase circularly polarized mode is split into a “conshifter. As a result, a reflected trolled” wave and an “undesired” wave. The “undesired” wave mode and is is converted into the horizontally polarized

4060

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

ACKNOWLEDGMENT

Fig. 7. Measured insertion loss of the phase shifter (insertion loss of the polarizer is included).

The authors would like to thank the reviewers for the helpful suggestions. The authors also are grateful to J. L. Berrocal and Dr. O. Kolokoltsev for their cooperation in photolithography processing. The authors would also like to thank J. Sastre-Hernandez, Dr. G. Contreras-Puente, and Dr. A. Castaneda-Mora for their cooperation in the thin-film deposition and film adhesion improvement. The authors are also grateful to Dr. A. Vazquez-Olmos for her assistance in material chemistry and to M. Ibarra-Carrillo for HPIB interface programming. The authors further thank A. Pacheco-Avila, J. Avila-Benitez, and H. Martinez-Martinez for the design of polarizers and waveguide transitions used in the experiments. REFERENCES

dissipated by the polarization filter. The “controlled wave” is mode and passes converted into the vertically polarized through the polarization filter. The magnitude and phase of the “controlled” wave are measured by a vector network analyzer. The calibration plane for the vector network analyzer is situated between the polarization filter and the polarizer. The measured phase shifts for all four possible states of the phase shifter are presented in Fig. 6. The maximum phase errors do not exceed 11 for all four phase states in the frequency band from 9.75 to 11.25 GHz. The moderate phase errors can be explained from the relatively high SWR (1.45) of the polarizer. The wave reflected from the polarizer is then combined with the reflected “controlled” wave changing its phase. The measured insertion loss of the phase shifter for all four phase states is presented in Fig. 7. Note that the insertion loss of the polarizer is included in these measurements, causing the difference in the insertion loss for different phase states because the insertion loss of the polarizer depends on the phase of the reflected wave. The peak insertion loss of the polarizer occurs when the maximums of the standing wave along the polarizer coincide with the capacitive screws. According to our measurements, the maximum insertion loss of the polarizer is 0.4 dB. Finally, the switching time of the phase shifter was determined. The phase shifter was connected to a WR-90 waveguide slotted section. The voltage that appears at the detector of the waveguide slotted section depends on the phase of the reflected wave, so the analysis of the detected voltage permits us to characterize the transient response of the phase shifter. As a result, it was determined that the switching time is less than 150 ns. V. CONCLUSION A 2-bit -band phase shifter has been designed, fabricated, and tested. The BCB-based bias circuits have been used to decrease the insertion loss level and to reduce fabrication costs. As a result, the phase shifter demonstrated insertion loss better than 0.5 dB in the frequency band from 9.75 to 11.5 GHz. This phase shifter is characterized by maximum phase errors of 11 in the frequency band 9.75–11.25 GHz. However, the moderate phase errors can be explained from the characteristics of the polarizer and can be further suppressed. The measured switching time of the phase shifter is less than 150 ns.

[1] G. Rebeiz, G.-L. Tan, and J. Hayden, “RF MEMS phase shifters: Design and applications,” IEEE Microw. Mag., vol. 3, no. 2, pp. 72–81, Jun. 2002. [2] M. Kim, J. Hacker, R. Mihailovich, and J. DeNatale, “A DC-to-40 GHz four-bit RF MEMS true-time delay network,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 56–58, Feb. 2001. [3] J. Hayden and G. Rebeiz, “Very low loss distributed -band and -band MEMS phase shifters using metal–air–metal capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 309–314, Jan. 2003. [4] J.-J. Hung, L. Dussopt, and G. Rebeiz, “Distributed 2- and 3-bit -band MEMS phase shifters on glass substrates,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 600–606, Feb. 2004. [5] H. R. Phelan, “Spiraphase reflectarray for multitarget radar,” Microw. J., vol. 20, pp. 67–73, Jul. 1977. [6] B. Subbarao, V. Srinivasan, V. Fusco, and R. Cahill, “Element suitability for circularly polarised phase agile reflectarray applications,” Proc. Inst. Elect. Eng.—Microw., Antennas Propag., vol. 151, no. 4, pp. 287–292, Aug. 2004. [7] A. E. Martynyuk and Y. K. Sidoruk, “Low-loss phase shifters for ka band phased array,” in Proc. IEEE Int. Conf. Phased Array Syst. Technol. (PAST’2000), Dana Point, CA, May 2000, pp. 53–56. [8] A. E. Martynyuk, N. A. Martynyuk, S. N. Khotiaintsev, and V. S. Vountesmeri, “Millimeter-wave amplitude-phase modulator,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 6, pp. 911–917, Jun. 1997. [9] A. V. Chenakin, A. E. Martynyuk, and V. I. Skachko, “A new hybrid technology for millimeter-wave integrated circuits,” in IEEE MTT-S Int. Symp. Dig., Denver, CO, Jun. 1997, vol. 2, pp. 921–924. [10] 2006, CYCLOTENE Advanced Electronic Resins [Online]. Available: http://www.dow.com/cyclotene/prod/photo.htm [11] J. J. J. Wang, “Characteristics of a new class of diode-switched integrated antenna phase shifter,” IEEE Trans. Antennas Propag., vol. AP-31, no. 1, pp. 156–159, Jan. 1983. [12] A. E. Martynyuk and Y. K. Sydoruk, “Reflex polarization phase shifter for the millimeter wave band,” Radioelectron. Commun. Syst., vol. 33, no. 1, pp. 31–36, Jan. 1993. [13] ——, “Reflex polarization phase shifter for the millimeter wave band,” Izvestiya VUZ. Radioelektronika, vol. 36, no. 2, pp. 45–54, Feb. 1993. [14] 2006, Processing Procedures for CYCLOTENE 4000 Series Resin (Immersion Develop) Cyclotene Advanced Electronic Resins [Online]. Available: http://www.dow.com/cyclotene/prod/402646.htm

Ka

X

W

Alexander E. Martynyuk was born in Kiev, Ukraine. He received the M.Sc. degree in radio engineering and Ph.D. degree from the Kiev Polytechnic Institute, Kiev, Ukraine, in 1988 and 1993, respectively. His doctoral work focused on millimeter-wave devices and subsystems. From 1988 to 1995, he was with the Faculty of Radio Engineering, Kiev Polytechnic Institute. Since 1995, he has been with the National Autonomous University of Mexico (UNAM), Mexico City, Mexico. He is currently a Professor of the Faculty of Engineering with UNAM. His current research interests include microwave and millimeter-wave devices, antenna arrays, and millimeter-wave communications.

MARTYNYUK et al.: 2-bit

-BAND REFLECTIVE WAVEGUIDE PHASE SHIFTER WITH BCB-BASED BIAS CIRCUITS

Andrea G. Martinez-Lopez was born in Mexico City, Mexico, in 1966. She received the B.S. degree in physics engineering from Metropolitan Autonomous University (UAM), Mexico City, Mexico, in 2001, the M.S. degree from the Center of Research and Advanced Studies of the National Polytechnic Institute (IPN), Mexico City, Mexico, in 2002, and is currently working toward the Ph.D. degree at the National Autonomous University of Mexico (UNAM), Mexico City, Mexico. From 1996 to 2001, she was with the Laboratory of Photolithography, Center of Applied Sciences and Technologic Development, UNAM, where she was involved in the development of the phase masks for a stellar coronagraph and fabrication of magnetoresistive sensors for microwaves and RF. From 2003 to 2004, she was with the Laboratory of Thin Films and Vacuum of the Astronomy Institute, UNAM. Her current research interests include development of microelectronics fabrication technologies.

4061

Jose I. Martinez Lopez was born in Mexico City, Mexico. He received the B.S., M.Eng., and Ph.D. degrees in electrical engineering from the National Autonomous University of Mexico (UNAM), Mexico City, Mexico, in 1994, 1998, and 2005, respectively. Since 1998, he has been with the Electronics Engineering Department, UNAM, where he is a Professor engaged in research and teaching on telecommunications circuits and systems. His current research interests are phased arrays, RF MEMS, and microwave and millimeter-wave circuits.

4062

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

A Low-Power RF Direct-Conversion Receiver/Transmitter for 2.4-GHz-Band IEEE 802.15.4 Standard in 0.18-m CMOS Technology Trung-Kien Nguyen, Student Member, IEEE, Vladimir Krizhanovskii, Jeongseon Lee, Seok-Kyun Han, Sang-Gug Lee, Member, IEEE, Nae-Soo Kim, and Cheol-Sig Pyo

Abstract—This paper presents a low-power RF receiver/transmitter front-end for 2.4-GHz-band IEEE 802.15.4 standard in 0.18- m CMOS technology. An RF receiver comprises a single-ended low-noise amplifier, a quadrature passive mixer, and a transimpedance amplifier. A current-mode passive mixer noise performance is adopted to convert showing a very good an RF signal directly to a baseband signal. Moreover, this type of passive mixer shows high-linearity performance, leading to overall RF receiver linearity improvement. A low-power, high-linearity transmitter front-end is implemented by using a passive mixer and two-stage driver amplifier in which the first stage is a conventional cascode amplifier and the second stage uses a folded cascode one. The receiver front-end achieves 30-dB voltage conversion gain, noise corner frequency of 70 kHz, 7.3-dB noise figure with 8-dBm input third-order intercept point, and 40-dBm input second-order intercept point. The transmitter front-end shows 12-dB power conversion gain, 0-dBm output power with 10-dBm output third-order intercept point, and 30-dB local-oscilator suppression. The receiver and transmitter front-end dissipate 3.5 and 3 mA from a 1.8-V supply, respectively.

1

1

+

Index Terms—CMOS radio, dc offset, driver amplifier (DA), IEEE 802.15.4 transceiver, low-noise amplifier (LNA), low power, noise, passive mixer, transceiver front-end.

1

I. INTRODUCTION ECENTLY, the development of single-chip low-power CMOS transceivers for the 2.4-GHz band has been increasing significantly due to the demand of longer battery life and lower cost solutions for applications such as Bluetooth and IEEE 802.11b [1], [2]. In particular, with the introduction of the IEEE 802.15.4 standard [3], the demands for low cost and low power tend to dominate the transceiver developments. The IEEE 802.15.4 standard supports three operating frequency bands: 868-MHz band in European, 915-MHz band in America, and the 2.4-GHz band globally. The data rate of IEEE 802.15.4 varies from 20 to 250 kb/s depending on the operating frequency band. The applications of this standard are commercial, home

R

Manuscript received February 11, 2006. This work was supported in part by the Development of Sensor Tag and Sensor Node Technology for RFID/USN Project of the Electronics and Telecommunications Research Institute through the IT Leading Research and Development Support Program of the Ministry of Information and Communication, Korea. T.-K. Nguyen, V. Krizhanovskii, J. Lee, S.-K. Han, and S.-G. Lee are with the School of Engineering, Information and Communications University, Daejeon 305-714, Korea (e-mail: [email protected]). N.-S. Kim and C.-S. Pyo are with the RFID/USN Research Group, Telematics and USN Research Division, Electronics and Telecommunications Research Institute, Daejeon 305-350, Korea. Digital Object Identifier 10.1109/TMTT.2006.885556

automation, industrial, consumer electronics, personal health care, and game. These applications should operate from several months to a year on one button battery without changing. There are several CMOS-based 2.4-GHz low-power receiver/ transmitter implementations that have been reported in the last few years [4]–[9]. However, those works still dissipate quite high power consumption. Thus, it is desirable to achieve lower power dissipation. To deal with the aspect of low-power design, the most common solution is to employ a current reused technique [4]. Nevertheless, by going with the current reused technique, the linearity is limited due to the stack of several transistors. This paper describes the design and implementation of low-power RF receiver/transmitters for 2.4-GHz-band IEEE 802.15.4 standard. With the main goal of low power, low noise, and high linearity receiver, the solution we are presenting is the use of a singled-ended low-power low-noise amplifier (LNA) followed by a quadrature passive mixer operating in the current mode [10]. In the transmitter chain, the low-power requirement is also taken into account by using a quadrature passive mixer and a single-ended two-stage driver amplifier (DA) in which the first stage is a conventional cascode topology and the second stage is a folded cascode one. This paper is organized as follows. Section II describes the proposed transceiver architecture, design considerations, and the radio specifications of the IEEE 802.15.4 standard. The RF receiver/transmitter circuit designs are explained in Section III. Section IV summarizes the experimental results of the implemented transceiver, and Section V concludes this study. II. TRANSCEIVER ARCHITECTURE, DESIGN CONSIDERATIONS, AND SPECIFICATIONS In the typical wireless transceiver design, there are three common architectures: super-heterodyne, low-intermediatefrequency (low-IF), and direct conversion [11]. The superheterodyne architecture is the most widely used architecture for the state-of-the-art transceivers in the modern handsets since this architecture is capable of providing high and stable performances [12], [13]. However, one of the main disadvantages in this architecture is the image problem. To solve this problem, normally, it is required to have off-chip surface acoustic wave (SAW) filters [13]. In addition, this architecture requires more than one mixer; consequently, it not only consumes more power but also makes the transceiver implementation more complicated. Undoubtedly, this architecture

0018-9480/$20.00 © 2006 IEEE

NGUYEN et al.: LOW-POWER RF DIRECT-CONVERSION RECEIVER/TRANSMITTER

4063

Fig. 1. Transceiver architecture.

is not suitable for low-power and low-cost applications. On the contrary, the direct-conversion architecture is very costly due to the high level of integration. In the direct-conversion architecture, the image rejection filter is not needed and the IF bandpass filter is replaced by the low-pass filter. However, this architecture has some disadvantages such as dc-offset, even- order distortion, flicker noise, I/Q mismatch, and LO leakage [14], [15]. Lately, an architecture called low-IF has been developed to avoid the drawbacks of the two architectures described above [16]. Nevertheless, the low-IF architecture still suffers from some issues such as a high analog-to-digital conversion (ADC) sampling rate and image rejection limitation due to the gain and phase mismatches [17] leading higher power consumption. In this design, considering a low-cost and low-power aspect, the direct-conversion architecture is chosen. The proposed transceiver architecture is shown in Fig. 1. As can be seen in Fig. 1, in the receiver chain, an RF incoming signal is amplified by an LNA and down-converted directly to baseband by a current-mode I/Q mixer. The current-mode mixer enhances the mixer linearity at low-power supply voltage and minimizes the noise contribution of the analog baseband simultaneously. Then, the current baseband signal after the downmixer is converted to the voltage signal by a transimpedance amplifier (TIA). At the baseband section, an alternative low-pass filter and variable gain amplifier (LPF-VGA) structure is adopted to obtain the optimum tradeoff performances under low power consumption [18]. In the transmitter chain, the baseband signal after a digital-to-analog converter (DAC) is filtered and amplified by the LPF and VGA. After that, the analog baseband signal is upconverted to the RF signal directly by an I/Q

mixer and transferred to an antenna by an on-chip DA. In the frequency synthesizer block, a quadrature signal driving into an up/down mixer is obtained by using the conventional LC differential voltage-controlled oscillator (LC-VCO) operating at double the frequency of the incoming RF signal and the frequency divide-by-two circuit. In this study, in order to go with the direct-conversion architecture, the following design aspects are taken into account. • The dc-offset issue will be eliminated by using a feedback , acting as a feedback loop in the low-pass filter, VGA stage, as can be seen in Fig. 1. The required cutoff to meet the standard requirement frequency of the will be explained later. noise can be minimized by both using • The problem of a passive downconversion mixer and dc feedback loop which is used to cancel the dc-offset issue. • Even-order distortion, which is mainly dominated by second-order distortion, is eliminated by using the double-balance downmixer, careful layout, and symmetric tracing of RF and local oscillator (LO) paths. Moreover, this issue is not as serious since the required is relaxed. • The I/Q mismatch problem can be solved by symmetric layout. In addition, the required error vector magnitude of the IEEE standard is not very high ( 35%); therefore, I/Q mismatch is not a critical issue. • The issue of LO leakage can be eliminated by operating a VCO at double the frequency of the desired signal and then frequency divider. Using a cascode LNA and DA topology also helps to suppress this issue due to high input/output isolation.

4064

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

TABLE I SUMMARY OF TRANSCEIVER SPECIFICATIONS

TABLE II SUMMARY OF RX-RF AND RX-BASEBAND SPECIFICATIONS

TABLE III SUMMARY OF TX-RF AND TX-BASEBAND SPECIFICATIONS

• In the case of the transmitter, thanks to the relatively high input signal level, the problems of dc-offset and flicker noise, which are the main obstacles in implementing a direct-conversion receiver, become less critical. Even so, the dc-offset needs to be minimized as it gives rise to LO feedthrough, which overlaps with the modulated carrier. In this architecture, again, the dc-offset is implemented inside the VGA by using a low-pass filtering feedback loop as it is implemented in the receiver chain. The transceiver specifications such as noise figure (NF), nonlinearity, selectivity, and channel-selection LPF characteristic satisfying IEEE 802.15.4 standard were described in [19] and summarized in Table I. The required cutoff frequency of can be found by simulating the receiver BER performance with . As reported in [20], the number of cutoff frequency of the corner frequency of can be chosen within 5% of the corner frequency of LPF, and the receiver needs only 1-dB gain more to obtain the same bit-error-rate (BER) performance. Conin this transsequently, the result of corner frequency of ceiver system is set to 75 kHz. After the overall transceiver specifications are derived, the next step of the system-level design is to determine the specifications of the individual block in our transceiver system. As can be seen in Fig. 1, the proposed transceiver will be divided into five separate blocks: Rx-RF including LNA, downmixer, and TIA, Tx-RF consisting of upmixer and DA, Rx-Baseband, Tx-Baseband, and Frequency Synthesizer. These abbreviations will be used in the text of this study for convenience. Normally, to determine the NF specifications of individual blocks in the cascade system, Friis’s equation [21] will be used. According to the abbreviations in the proposed transceiver, we have [22]

and are the NF and the available where power gain of the Rx-RF block, respectively. The available power gain of the Rx-RF block is given by

(2) is the source impedance, and , , and are where the input impedance, output impedance and voltage gain of the Rx-RF block, respectively. , To calculate the total input third-order intercept point, the following expression is used [22]: (3) As can be seen from (1) to (3), there are so many possible combinations of block specification that meet the requirements. A set of specifications are specified based on our experience and the experimental results of the last successful blocks. Then, during the design process, some of the values are revised based on the design experimental results. Therefore, the authors believe that the given specifications have reached a certain optimal point for low-power-consumption transceiver design. The final specifications of the individual block in the receiver chain are listed in Table II. Similarly, the specifications of the individual block in the transmitter chain are shown in Table III. III. CIRCUITS DESIGNS A. RF Receiver

(1)

The proposed RF receiver front-end is shown in Fig. 2, which consists of a single-ended LNA, a current-mode double-balance passive mixer, and a TIA. This configuration is chosen due to

NGUYEN et al.: LOW-POWER RF DIRECT-CONVERSION RECEIVER/TRANSMITTER

4065

TABLE IV LIST OF SYMBOLS

Fig. 2. Schematic of the RF receiver.

a number of reasons. A single-ended LNA dissipates lower dc current than a differential one. A passive mixer dissipates no dc current, therefore, the total power consumption of the receiver can be reduced. In addition, with the absence of dc current in the noise contribution from the mixers can be passive mixer, the neglected [23]. A double-balanced mixer is used to reduce the LO leakage. The single-ended LNA driving the double-balanced mixer can be used since the required input second-order inter) performance of the IEEE 802.15.4 standard is cept point ( not as high compared with other wireless communications standards. As can be seen in Fig. 2, the output of the LNA is connected to one terminal of differential RF input of the mixer via , while the second input terminal of the coupling capacitor mixer is connected to ac ground through the bypass capacitor . From simulation results, we have found that, by using this approach, only the overall conversion gain is reduced by 3 dB while maintaining most of the advantages of the differential circuitry such as the second-order distortion and the LO leakage. 1) LNA: The main goals of LNA are low noise figure, high gain to sufficiently reduce the input referred noise contribution of the subsequent stages, and high linearity to accommodate high input signal and strong interferences. In addition, LNA should have a 50- input impedance to match with the output impedance of the off-chip components such as RF bandpass filter or T/R switch. Typically, an inductive degeneration cascode LNA topology is widely used since it provides high gain, low noise, wideband, and high input/output isolation [24], [25]. is used to In this configuration, the inductive degeneration generachieve simultaneous noise and input matching since ates a real part at the input impedance. This is important because there is no real part in the input impedance without degeneration, while there is in the optimum noise impedance. Therefore, helps to reduce the discrepancy between the real parts of the optimum noise impedance and the LNA input impedance. Furthermore, the imaginary part of the input impedance is changed , and this is followed by nearly the same change in the by optimum noise impedance, especially with the advanced technology [26]. However, under low-power consumption, meaning low gate–source overdrive voltage or small transconductance , the required value that satisfies the simultaneous noise and input matching condition has to be very large. The problem to be greater than some value, the minimum is that, for the

of a given technology can be increased signoise figure nificantly [27]. As a result, the minimum achievable NF of the , spoiling the LNA can be considerably higher than its idea of simultaneous noise and input matching. To overcome this problem, the proposed LNA topology shown in Fig. 2 is used [28]. As can be seen in Fig. 2, the proposed LNA differs compared to the conventional by one additional capacitor cascode LNA. The insertion of this capacitance adds a degree of freedom to play with to achieve a simultaneous noise and input matching at very low-power consumption. If the noise contributions of the cascode transistor and the Miller effect are assumed to be neglected, the noise figure of LNA at the operating frequency can be approximated by the following expressions, which are corrected in comparison with what has been reported in the original paper [26]:

(4) (5)

(6)

(7) where , , and the other parameters are defined in Table IV. From Fig. 2, the input impedance of the LNA is (8) One can see from (8) that, with the use of , the required and values that are used to fulfill the imaginary part of the input impedance can be reduced. Consequently, the NF of

4066

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

the LNA is improved further since the parasitic resistance is reduced. In this LNA design, the optimum size of an input transistor and the optimum values of inductive degeneration , extra , and the dc bias voltage of the are chosen capacitor based on the power-constrained simultaneous noise and input matching design technique as described in [26], while the gate is added to match the real value of input impedance inductor is implemented by a wire bonding. to be 50 . In this design, To reduce the off-chip components, and since the required NF of can be implemented by using the overall receiver is relaxed, an on-chip spiral inductor. In addition, by employing an on-chip , it is easier for on-chip T/R switch integration. In Fig. 2, the is also implemented on-chip. loading inductor Under low power consumption, in order to accommodate a high input level, the LNA needs to have gain control function. In this LNA topology, the gain control function is implemented by adjusting the value of bias voltage of the cascode transistor . As the basic operating principle for CMOS transistor suggests, CMOS has high linearity with low gain in the linear region and low linearity with high gain in saturation region. When is in a high-level state, two transistors , remain in the deep saturation region, and high gain is obtained. Since the input signal is very small, the distortion is relatively low. On the is in a low-level contrary, when the input signal is high and stays in linear region and low gain state, the input transistor with low distortion can be achieved [29]. In the simulation, the proposed LNA achieves NF of 3.0 dB, of 8 dBm (at maximum gain), and power gain of 16 dB while consuming 1 mA from a supply voltage of 1.8 V. The NF of the LNA can be improved further by using the off-chip inductor , which has higher quality factor in comparison with the on-chip one. 2) Downconversion Mixer: One may argue that a passive mixer dissipates no dc current and gives high linearity. In addition, the absence of dc current through the switches also makes it possible to eliminate the noise [23], which otherwise is a problem for direct-conversion receivers. Normally, nMOS transistors have better switch performance than do pMOS transistors thanks to the higher mobility of electrons than holes [30]. Therefore, in this design, nMOS is chosen. In this mixer, there are two parameters with which designers can play: the device size and the LO signal characteristics. In order to have low noise performance, the width of the transistor should be sufficiently large to provide a sufficiently low on-resistance. However, when sizing the switches, there will be a tradeoff between the mixer noise performance and the gain of the LNA. The load impedance of the LNA consists of a parallel resonance circuit that is made up by the parasitic switch capacitance and the output inductor. If the switch capacitance is increased, the inductance must be decreased in order to not change the resonant frequency. The gain of the LNA will then decrease due to the lower load impedance. In this design, the optimum switching transistor’s size is found to be 130/0.18 m. The characteristics of the LO signal will affect the mixer performance [31]. The dc level of the LO signal is an important factor since it controls the switching mode. In the balanced drive . case, the voltage conversion gain is theoretically equal to

If the switches are set to have less on-time than off-time, which scenario is often referred to as break-before-make, the conversion gain will maximally equal to 1 [32], but the mixer will also be less linear. Thus, there will also be a tradeoff between the mixer conversion gain and the linearity. In order to eliminate noise, it is important that transistors are biased at the condition where there is no dc current flowing through the switch. In , other words, the source and drain terminals are biased at while their gate voltage is (9) where is the threshold voltage of transistors. It has been is around 1.43 V with found from simulation that, when mV, this mixer shows noise-free operation. The conversion gain and noise figure of the passive mixer can be improved by applying high LO amplitude. However, in this study, 0-dBm LO power is applied considering the measured results of the fabricated quadrature VCO. To improve the linearity of the passive mixer, there exist two opposite opinions. In [31] and [32], the authors suggested using high-impedance loading for the passive mixer in order to decrease current flowing through the nonlinear drain–source resistance of the switching transistors in the on-state, thus decreasing nonlinear distortion and improving linearity. In this mode, the mixer operates as a voltage switch. Nevertheless, authors noticed that, as the amplitudes of the RF and downconverted signals grow, the voltage swing at RF and baseband ports starts to modulate the switching instances of the mixer, thus introducing additional distortion. Another approach, called the current-mode passive mixer, sought to eliminate this voltage swing by synthesizing low impedance at the output of the mixer [33], [34]. The reduction of the voltage swing at RF and baseband ports proved to be effective for linearity improvement. Therefore, the latter approach was selected for this design. Assuming that a sinusoid is applied at the LNA input and the , the current signal at the transconductance of LNA the is mixer input is given by [34] (10) Also, assume that the voltage signal driving the mixer LO port is (11) The switching function RF signal current

produced by applies to the coming from the LNA is (12)

The output current amplitude is given by [31] (13) This output current signal will be converted to the voltage signal by a TIA, which is the subject of Section III-A.3. 3) TIA: The architecture of the TIA is shown in Fig. 3. Low input impedance and the current-to-voltage conversion function of the first baseband stage are implemented by inclosing a

NGUYEN et al.: LOW-POWER RF DIRECT-CONVERSION RECEIVER/TRANSMITTER

4067

Fig. 3. Block diagram of the proposed TIA. Fig. 5. Schematic of the operation amplifier used in the TIA.

Fig. 4. Schematic of the inverter used in a TIA synthesizer system.

differential operational amplifier (Opamp) in shunt–shunt feedback. For TIA stability and sufficient loaded gain, an architecture with two stages, gain and buffer stages, was selected. The gain stage is a differential inverter composed of large devices in order to minimize flicker noise, as shown in Fig. 4. The self-biased inverter also sets the quiescent output voltage of the TIA and therefore biases the input of subsequent baseband stages, which should be used with the given front-end. The gain of the TIA is determined by the feedback resistance which is equal to 2 k . Since the first stage is an inverter, the buffer has to be a noninverting amplifier. Also, the TIA must operate with equal input and output quiescent voltages. Thus, those voltage (here it is in turn were set to half of the supply voltage equal to 0.9 V) to maximize the voltage swing that the inverter and subsequent baseband circuits can handle. Under these conditions, and taking into account the requirements for the voltage swing, a simple source follower cannot be used as the buffer level shift associated with it. due to gate–source voltage An opamp in unity-gain feedback operates without level shifting and can, therefore, satisfy all of the requirements for the buffer. For the opamp in unity-gain feedback, the requirement for output voltage swing automatically translates to the requirement of common-mode voltage swing. Therefore, opamps of a widecommon-mode-swing topology shown in Fig. 5 [35] were used as output buffers to prevent nonlinear distortions at a high input power levels. Implementing the buffers without a voltage-level shift allows alleviating voltage headroom constraint and maintaining a unified supply voltage of 1.8 V throughout the device, as opposed to similar front-end implementation in [33] and [34],

where TIAs operate at a supply voltage of 2.5 V, which is higher than that of RF blocks. The overall simulated NF of the receiver front-end is 6.3 dB at 2.5 MHz and 8.3 dB at 10 kHz. From simulation, it is found noise corner that the very low frequency noise (below the frequency) and the overall NF of the receiver front-end are significantly degraded by the TIA. The large increase receiver NF is due to the conversion loss of the passive mixer and the high NF of the TIA. To reduce NF contribution from the TIA, large-size MOS devices are adopted for the TIA. However, considering the 75-kHz corner frequency of the dc-offset feedback loop disnoise cussed in Section II, the transistor sizes are chosen for corner frequency of below 70 kHz. B. RF Transmitter The proposed transmitter front-end is shown in Fig. 6 which includes an I/Q upconversion mixer followed by a single-ended two-stage DA. To minimize the total power consumption of the transmitter front-end, a conventional passive mixer dissipating no dc current is adopted. Transmitter-wise, the mixer’s linearity is one of the most critical parameters that need to be maximized. In this design, the size of the switches is chosen to be equal to that of the downconversion mixer for simplicity. To trade off the conversion gain against the linearity of the mixer, the switches are set to have balanced drive cases, meaning that switches have an equal amount of time in both the on and off states. In this design, switching size of 80/0.18 m has been numerically optimized. A DA consists of two stages: gain and output stages, as shown in Fig. 6. The gain stage uses a conventional inductive-load cascode topology with feedback resistor and a capacitor for stability, while the output stage is a folded-cascode one. The folded-cascode topology is chosen because it allows higher voltage headroom, thereby improving the linearity. As can be is added between the seen in Fig. 6, an additional capacitor first and second stages to boost the input voltage level. This can reduce the entire transmitter current consumption. In the second stage, the parasitic capacitances at node X can easily be to the supply voltage. eliminated by the adoption of inductor The elimination or the reduction of these parasitic capacitances helps to avoid the signal loss into the silicon substrate, leading to higher power gain [36]. The linearity of the amplifier is

4068

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 6. Schematic of the RF transmitter.

Fig. 8. Measured and simulated input return loss of the receiver and output return loss of transmitter front-end.

Fig. 7. Microphotograph of the proposed RF receiver/transmitter front-end. (Color version available online at http://ieeexplore.ieee.org.)

affected by the quality factor of the inductor [37]; therefore, and are implemented as off-chip inductors since they are located in the second stage, which dominates the linearity of the overall amplifier. Simulation results indicate that, with a bias current of 3 mA, the circuit can deliver 0 dBm to a 50- load ) of 12 dBm. with an output third-order intercept point ( IV. EXPERIMENTAL RESULTS The transceiver front-end with electrostatic discharge (ESD) protection is fabricated in a standard 0.18- m CMOS technology. The microphotograph of the RF receiver and RF transmitter front-ends are shown in Fig. 7. Their die areas are 1.25 mm and 1.8 mm 0.9 mm, respectively. 1.66 mm The testing board has been built by directly bonding the die on a two-layer FR4 substrate. To supply differential signal at the input LO port, a commercial passive balun has been used,

and 5 dB of balun loss according to its measurement has been de-embedded from the measurement. The receiver and transmitter dissipate 3.5 and 3 mA from a 1.8-V supply, respectively. Fig. 8 shows the simulated and measured input return loss of the receiver and output return loss of the transmitter front-end. From Fig. 8, it can be seen that the measured input and output return losses are lower than 14 and 10 dB, respectively. There is a considerable amount of discrepancy between the measurement and simulation, however, the overall shapes are within the reasonable amount of agreement. The discrepancy might be the result of the inaccurate modeling of the parasitic (e.g., on-chip, wire-bonding, and PCB board).The voltage-conversion gain variation of the receiver front-end sweeping the LO frequency across the entire target band (2.3–2.5 GHz) is of the LNA shown in Fig. 2, also measured by varying and the obtained results indicate that the conversion gain is about 30 dB with a 10-dB variation and almost flat in the whole operating frequency band. The receiver front-end NF was measured with the aid of a spectrum analyzer based on the method described in [38]. The measured and simulated NF of the front-end are shown in Fig. 9. noise The measurement shows about 7.3 dB with 70-kHz corner frequency. As can be seen in Fig. 9, the measured NF

NGUYEN et al.: LOW-POWER RF DIRECT-CONVERSION RECEIVER/TRANSMITTER

Fig. 9. Measured and simulated NF of the RF receiver front-end.

4069

Fig. 12. Measured output 1-dB compression point of the RF transmitter frontend.

TABLE V SUMMARY OF RX-RF AND TX-RF PERFORMANCES

Fig. 10. Measured IIP of the RF receiver front-end.

Fig. 11. Output spectrum of the RF transmitter front-end with power.

012-dBm input

is about 1 dB higher than that of the simulated NF. The discrepancy can be referred to the inaccuracies in the noise model noise) and the mixer noise analysis algo(especially the rithm of the simulation tool. Other than that, the overall behavior of the NF is in good agreement with the simulation. Considering a 75-kHz cutoff frequency of the dc-offset loop, we can conclude that the receiver front-end achieves excellent noise performance. The receiver NF can be further improved as an off-chip one by implementing the matching inductor

because the lower parasitic resistance of an off-chip inductor will cause an improvement in the NF of the LNA and, thus, result of the the receiver. Fig. 10 shows the measured receiver front-end, which is about 8 dBm when a two-tone signal spaced by 500 kHz is applied. The other properties such , as second-order input-referred intermodulation product input 1-dB compression point, and LO-RF leakage of the receiver front-end are also measured. The obtained results show 18-dBm input P-1 dB, 58-dB LO-RF leakage, and 40-dBm . Fig. 11 shows the measured transmitter front-end output spectrum when 50-MHz baseband signals with the power of 12 dBm and 0-dBm LO signal at 2.4 GHz are applied.

4070

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

TABLE VI PERFORMANCES COMPARISON

As can be seen in Fig. 11, the measured result shows 12-dB power conversion gain, 30-dB LO suppression, and 30-dB other unwanted signal suppression. Although the low sideband signal still appears in Fig. 11 it can be removed when the input I and Q signals are applied. Fig. 12 shows the measured output 1-dB compression point of 0 dBm for the transmitter front-end at its highest gain mode. A two-tone test measurement of . The obtained the RF transmitter shows 10-dBm measurement results satisfy the IEEE 802.15.4 standard requirement specified in Section II. The overall performances of the transceiver are summarized in Table V. The comparison of the results in this study with those of other works is given in Table VI. As can be seen in Table VI, this work has low power dissipation while still achieving relatively good performances compared with the others. V. CONCLUSION A low-power and low-cost RF receiver/transmitter front-end for the IEEE 802.15.4 standard is reported and fabricated in a 0.18- m CMOS technology. The RF receiver and transmitter with 2-mm and 1.62-mm die size consume 3.5 mA in receiver mode and 3 mA in transmitter mode under a supply voltage of 1.8 V. The RF receiver/transmitter front-ends employ direct-conversion architecture. To achieve a simultaneous noise and input matching, the conventional inductive degeneration cascode amplifier with an extra gate–source capacitor is implemented. With the main goal of low power and lownoise, a current-mode passive mixer dissipating no dc current noise performance is adopted and showing very good to convert the RF signal directly to a baseband signal. In the transmitter chain, a low-power consumption concept is also taken into account with the adoption of a passive mixer and a single-ended two-stage DA. The receiver shows 30-dB conversion gain with 10-dB gain variation, 7.3-dB noise figure, with

noise corner frequency of 70 kHz. In the transmitter chain, 0-dBm transmit power and 10-dBm were obtained. REFERENCES [1] B. Razavi, “A low-power 2.4-GHz receiver CMOS for 802.11b,” IEEE J. Solid-State Circuits, vol. 38, no. 2, pp. 176–183, Feb. 2003. [2] H. Darabi, S. Khorram, H.-M. Chien, M.-A. Pan, S. Wu, S. Moloudi, J. C. Leete, J. J. Rael, M. Syed, R. Lee, B. Ibrahim, M. Rofougaran, and A. Rofougaran, “A 2.4-GHz CMOS transceiver for Bluetooth,” IEEE J. Solid-State Circuits, vol. 36, no. 12, pp. 2016–2024, Dec. 2001. [3] Low Rate Wireless Personal Area Networks, IEEE P802.15.4/D18, Jan. 2005, draft standard. [4] A. Zolfaghari and B. Razavi, “A low-power 2.4-GHz transmitter/receiver CMOS IC,” IEEE J. Solid-State Circuits, vol. 38, no. 2, pp. 176–183, Feb. 2003. [5] P. Choi, H. C. Park, S. Kim, S. Park, I. Nam, T. W. Kim, S. Park, S. Shin, M. S. Kim, K. Kang, Y. Ku, H. Choi, S. K. Park, and K. Lee, “An experimental coin-size radio for extremely low-power WPAN (IEEE 802.15.4) application at 2.4 GHz,” IEEE J. Solid-State Circuits, vol. 38, no. 12, pp. 2258–2268, Dec. 2003. [6] F. Beffa, R. Vogt, W. Bachtold, E. Zellweger, and U. Lott, “A 6.5-mW receiver front-end for Bluetooth in 0.18 m CMOS,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 1, pp. 501–504. [7] J. A. M. Jarvinen, J. Kaukovuori, J. Ryynanen, J. Jussila , K. Kivekas, M. Honkanen, and K. A. I. Halonen, “2.4 GHz receiver for sensor applications,” IEEE J. Solid-State Circuits, vol. 40, no. 7, pp. 1426–1433, Jul. 2005. [8] S. Kim, I. Nam, T. Kim, K. Kang, and K. Lee, “A single-chip 2.4 GHz low-power CMOS receiver and transmiter for WPAN applications,” in Proc. IEEE Eur. Solid-State Circuits Conf., Sep. 2003, pp. 163–166. [9] S. Byun, C.-H. Park, Y. Song, S. Wang, C. S. G. Conroy, and B. Kim, “A low power CMOS Bluetooth RF transceiver with a digital offset canceling DLL-based GFSK demodulator,” IEEE, J. Solid-State Circuits, vol. 39, no. 10, pp. 1609–1618, Oct. 2004. [10] K. Vladimir, T.-K. Nguyen, S.-G. Lee, and J.-C. Choi, “A direct conversion CMOS front-end for 2.4 GHz band of IEEE 802.15.4 standard,” in Proc. IEEE Asian Solid-State Circuits Conf., Nov. 2005, pp. 449–451. [11] J. Crols and M. S. J. Steyaert, CMOS Wireless Transceiver Design. Boston, MA: Kluwer, 1997. [12] B. Razavi, “Challenges in portable RF transceiver design,” IEEE Circuits Devices Mag., vol. 12, no. 12, pp. 12–25, Dec. 1996. [13] T. H. Lee, “5-GHz CMOS wireless LANs,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 268–280, Jan. 2002.

NGUYEN et al.: LOW-POWER RF DIRECT-CONVERSION RECEIVER/TRANSMITTER

[14] A. A. Abidi, “Direct conversion radio transceivers for digital communications,” IEEE J. Solid-State Circuits, vol. 30, no. 12, pp. 1399–1410, Dec. 1995. [15] B. Razavi, “Design considerations for direct conversion receivers,” IEEE Trans. Circuits Syst. II: Analog Digit. Signal Process., vol. 44, no. 6, pp. 428–435, Jun. 1997. [16] J. Crols and M. S. J. Steyaert, “A single-chip 900 MHz CMOS receiver front-end with a high performance low-IF topology,” IEEE J. SolidState Circuits, vol. 30, no. 12, pp. 1483–11492, Dec. 1995. [17] S. Mirabbasi and K. Martin, “Classical and modern receiver architecture,” IEEE Commun. Mag., vol. 38, no. 11, pp. 132–139, Nov. 2000. [18] M. Lee, I. Kwon, and K. Lee, “An integrated low power CMOS baseband analog design for direct conversion receiver,” in Proc. IEEE Eur. Solid-State Circuits Conf. (ESSCIRC), Sep. 2004, pp. 79–82. [19] N.-J. Oh and S.-G. Lee, “Building A 2.4-GHz radio transceiver using IEEE 802.15.4,” IEEE Circuits Devices Mag., vol. 43, no. 6, pp. 43–51, Nov./Dec. 2005. [20] N.-J. Oh, S.-G. Lee, and J. Ko, “A CMOS 868/915 MHz direct conversion ZigBee single-chip radio,” IEEE Commun. Mag., vol. 43, no. 12, pp. 100–109, Dec. 2005. [21] H. T. Friis, “Noise figures of radio receivers,” Proc. IRE, vol. 32, no. 7, pp. 419–422, Jul. 1944. [22] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: PrenticeHall, 1998. [23] T. H. Lee, The Design of CMOS Radio Frequency Integrated Circuits. Cambridge, U.K.: Cambridge Univ. Press, 1998. [24] D. K. Shaeffer and T. H. Lee, “A 1.5 V, 1.5 GHz CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 745–758, May 1997. [25] S. P. Voinigescu, M. C. Maliepaard, J. L. Showell, G. E. Babcock, D. Marchesan, M. Schroter, P. Schvan, and D. L. Harame, “A scalable high-frequency noise model for bipolar transistors with application optimal transistor sizing for low-noise amplifier design,” IEEE J. SolidState Circuits, vol. 32, no. 9, pp. 1430–1439, Sep. 1997. [26] T.-K. Nguyen, C.-H Kim, G.-J. Ihm, M.-S. Yang, and S.-G. Lee, “CMOS low noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1433–1442, May 2004. [27] J. K. Goo, H.-T. Ahn, D. J. Ladwig, Z. Yu, T. H. Lee, and R. Dutton, “A noise optimization technique for integrated low noise amplifiers,” IEEE J. Solid-Stage Circuits, vol. 37, no. 8, pp. 994–1002, Aug. 2002. [28] P. Andreani et al., “Noise optimization of an inductively degenerated CMOS low noise amplifier,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 48, no. 9, pp. 835–841, Sep. 2001. [29] W. C. Song, C. J. Oh, G. H. Cho, and H. B. Jung, “High frequency/high dynamic range CMOS VGA,” Electron. Lett., vol. 36, pp. 1096–1098, Jun. 2000. [30] Y. P. Tsividis, Operation and Modeling of the MOS Transistor. New York: McGraw-Hill, 1987. [31] A. R. Shahani, D. K. Shaeffer, and T. H. Lee, “A 12 mW wide dynamic range CMOS front-end for a portable GPS receiver,” IEEE J. SolidStage Circuits, vol. 32, no. 12, pp. 2061–2070, Dec. 1997.

4071

[32] D. K. Shaeffer and T. H. Lee, The Design and Implementation of LowPower CMOS Radio Receivers. Boston, MA: Kluwer, 1999. [33] E. Sacchi, I. Bietti, S. Erba, L. Tee, P. Vilmercati, and R. Castello, “A 15 mW, 70 kHz 1=f corner direct conversion CMOS receiver,” in Proc. IEEE Custom Integrated Circuit Conf., Sep. 2003, pp. 459–462. [34] M. Valla, G. Montagna, R. Castello, R. Tonietto, and I. Bietti, “A 72-mW CMOS 802.11a direct conversion front-end with 3.5-dB NF and 200-kHz 1=f noise corner,” IEEE J. Solid-State Circuits, vol. 40, no. 4, pp. 970–977, Apr. 2005. [35] R. J. Baker, CMOS, Circuit Design, Layout, and Simulation, 2nd ed. New York: IEEE Press/Wiley-Interscience, 2005. [36] H. Samavati, H. R. Rategh, and T. H. Lee, “A 5 GHz CMOS wireless LAN receiver front-end,” IEEE J. Solid-State Circuits, vol. 35, no. 5, pp. 765–772, May 2000. [37] J.-P. Kim, S.-S. Park, and S.-G Lee, “Linearity vs Q-factor of loads for RF amplifiers,” Microw. Opt. Technol. Lett., vol. 37, pp. 286–288, May 2003. [38] National Instruments, Development Library [Online]. Available: http://zone.ni.com/devzone/conceptd.nsf/webmain/78A610B9439068 0486256D0B005403E8 Trung-Kien Nguyen (S’04), photograph and biography not available at time of publication.

Vladimir Krizhanovskii, photograph and biography not available at time of publication.

Jeongseon Lee, photograph and biography not available at time of publication.

Seok-Kyun Han, photograph and biography not available at time of publication.

Sang-Gug Lee (M’04), photograph and biography not available at time of publication.

Nae-Soo Kim, photograph and biography not available at time of publication.

Cheol-Sig Pyo, photograph and biography not available at time of publication.

4072

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

An SiC MESFET-Based MMIC Process Mattias Südow, Kristoffer Andersson, Niklas Billström, Jan Grahn, Member, IEEE, Hans Hjelmgren, Joakim Nilsson, Per-Åke Nilsson, Johan Ståhl, Herbert Zirath, and Niklas Rorsman

Abstract—A monolithic microwave integrated circuit (MMIC) process based on an in-house SiC MESFET technology has been developed. The process uses microstrip technology, and a complete set of passive components, including MIM capacitors, spiral inductors, thin-film resistors, and via-holes, has been developed. The potential of the process is demonstrated by an 8-W power amplifier at 3 GHz, a high-linearity -band mixer showing a third-order intercept point of 38 dBm, and a high-power limiter. Index Terms—High power, SiC MESFET, SiC monolithic microwave integrated circuit (MMIC), -band.

I. INTRODUCTION IDE-BANDGAP microwave transistors, especially SiC MESFETs and GaN HEMTs, have been an intensive area of research in the past years due to their high power density and high efficiency [1]. The device technology is at a point where GaAs technology was the focus in the middle of the 1980s, and the focus is now turning towards monolithic microwave integrated circuit (MMIC) applications. Wide-bandgap MMICs have been fabricated [2], [3], but the technology is still considered to be expensive and immature. SiC-based MMICs have a potential in the microwave power field, especially in power amplifiers [4]. With a thermal conductivity comparable to Cu and a power density four to five times that of GaAs, a small circuit footprint and a high output power are simultaneously achieved. Furthermore, the high breakdown voltage enable high-voltage operation, thus the integrated circuit can operate directly at the typical system voltage of communication systems. Hence, SiC-based MMICs have the potential to significantly improve the integrated microwave power technology, offering great enhancements in both power level and efficiency. An ideal application for SiC MMIC is airborne search radars, which often operate at -band for high range and resolution. In these systems, weight must be kept at a minimum, and the high efficiency and high power level of SiC MESFETs enables a continued miniaturization of the system power modules compared to GaAs- and Si-based solutions. The introduction into nonmilitary systems is likely to be within the cellular infrastructure. In this field, the broadband

W

Manuscript received February 20, 2006; revised July 10, 2006. This work was supported by Ericsson Microwave Systems, by the Swedish Agency for Innovation Systems (VINNOVA), and by the Chalmers University of Technology. M. Südow, K. Andersson, J. Grahn, H. Hjelmgren, P.-Å. Nilsson, H. Zirath, and N. Rorsman are with the Microwave Electronics Laboratory, Chalmers University of Technology, SE 412 96 Göteborg, Sweden (e-mail: [email protected]). N. Billström, J. Nilsson, and J. Ståhl are with Saab Microwave Systems AB, SE-431 Mölndal, Sweden. Color versions of Figs. 3, 5–7, 9–11, and 13 are available online at http:// ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.885563

Fig. 1. Top and bottom photographs of a diced via-hole source-grounded 3-mm SiC MESFET.

potential and high linearity of SiC MESFETs can be utilized for high-efficiency broadband amplifiers for multistandard transmission. Due to the better material quality of SiC epitaxy compared with GaN–AlGaN heterostructure epitaxy, the work has been focused on SiC MESFET-based MMICs. The motivation for choosing microstrip technology is the dominant position within the GaAs industry, which in turn has driven the development of circuit models to a point where they are easily adaptable to an arbitrary substrate technology.

II. PROCESS DEVELOPMENT The main challenges of developing an SiC MMIC process were the compatibility of the MMIC process steps to the SiC MESFET process and the development of a through-substrate via-hole process to enable the use of microstrip technology. In Fig. 1, a via-hole source-grounded MESFET with air-bridges is depicted showing the successful integration of the via-hole process module into the MESFET process flow. The MMIC process is described schematically in Fig. 2. The process starts with a 4H-SiC epi-wafer on which the active components are defined through mesa etching. The component contacts, as well as thin-film resistors (TFRs), are deposited before the metal interconnect step. After the first layer of metallization, the wafer is passivated and the capacitor dielectric is deposited. The dielectric is opened over the interconnect pattern, which is gold-plated to minimize ohmic losses. In this plating process, air-bridges are also made for large periphery

0018-9480/$20.00 © 2006 IEEE

SÜDOW et al.: SiC MESFET-BASED MMIC PROCESS

4073

Fig. 4. Passive component library of the SiC MMIC process consisting of MIM capacitors, spiral inductors, and TFRs.

Fig. 2. SiC MMIC process flow from epi-wafer to complete the MMIC circuit.

The MESFET is based on a buffer/channel/cap epi-stack. The device is defined and isolated through mesa etching, and no subsequent implantation nor regrowth is performed. The devices are passivated using a thermal oxide. The gate is defined using e-beam lithography and the nominal gate length is 0.4 m. A buried-gate process is used for the gate in order to move the current away from the surface and thereby minimize the influence of surface traps [6], [7]. A field plate structure is used to further increase the breakdown voltage. The device terminal connections are defined using a gold layer. Air-bridges are used for the fabrication of large periphery devices, and the source contacts are via-hole grounded. B. SiC Schottky Diodes To increase the functionality of the MMIC process, lateral Schottky diodes are fabricated using the MESFET layer structure. The diodes have breakdown voltages of typically 50 V, an on state resistance of 10 mm, a zero bias junction capacitance of 1.2 pF/mm resulting in a zero bias cutoff frequency of 17 GHz. Studies of the diode topology and its characteristics have been performed earlier [8], showing that the diodes can be tailored for either high cutoff or high breakdown performance. The diode process is identical to the MESFET process and the anode is defined in the same manner as the gate for the MESFET. C. Passive Components

Fig. 3. Load–pull sweep at 3 GHz of a 400-m MESFET showing a power density of 7.8 W/mm and a PAE of 70%.

devices, metal–insulator–metal (MIM) capacitors, and spiral inductors. The MMIC circuit is finalized through substrate lapping, via-hole etching, and backside metallization. A. SiC MESFET The basis for the SiC MMIC process is the in-house MESFET technology [5]. In short, these components show power densities up to 7.8 W/mm and power-added efficiencies V, (PAEs) of 70% in deep class-AB operation ( V) at 3 GHz (Fig. 3). Typical drain current densities are 350 mA/mm and the device gate–drain breakdown and of voltage is 180 V. The devices have an extrinsic 8 and 20 GHz, respectively.

The passive component technology consists of MIM capacitors, spiral inductors, and TFRs in TaN and TiN (Fig. 4). These circuit elements, with the exception of the TiN TFRs, are based on the InP MMIC in-house process technology [9]. The circuit models for the passive components all use the built-in models in ADS. The input model parameters are directly taken from process data acquired during the fabrication. 1) MIM Capacitors: The MIM capacitor technology has been designed to comply with the high voltage and power requirements set by the SiC MESFET technology. The capacitor consists of a bottom metal plate defined in the first metal layer. The dielectric consists of a stack of SiO and Si N with thicknesses of 800 and 2200 Å, respectively, resulting in an effective of 6.7 and a capacitance per unit area of 200 pF mm . The top plate of the capacitor is defined in a second metal layer, which is connected with an air-bridge to minimize the risk of field crowding at the connector.

4074

Fig. 5. Model evaluation of a 250 agreement up to 45 GHz.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

2 100 m

MIM capacitor showing good

Fig. 6. Model evaluation of a four-turn spiral inductor showing good agreement up to 10 GHz.

The dielectric stack gives a theoretical breakdown voltage of 200 V assuming a breakdown field of 10 MV/cm in the oxide (which will limit the high-voltage performance due to the lower dielectric constant). The measured breakdown of the MIM capacitors is typically above 150 V, which is equivalent to a field strength of 7.6 MV/cm in the oxide. The capacitor model used is the built-in model MTFC [10] in ADS, which in turn is based on the work by Mondal [11]. The model has been verified by -parameter measurements and shows good accuracy up to 45 GHz (Fig. 5). 2) Spiral Inductors: The spiral inductors are based on the air-bridge process for the MESFETs. An underpass from the center of the inductor to the outside connector is formed in the first metal layer. The inductor windings are air-bridged over this underpass. The inductors have been verified to handle currents above 1 A. The inductor model is based on the built-in model MRIND [12] in ADS. The model underestimates the transmission loss for frequencies above the -band, but a good fit is achieved up to 10 GHz, which is illustrated in Fig. 6, showing the model fit of a 1.7-nH inductor.

Fig. 7. Model evaluation of a 25 15 GHz.

2 40 m

TFR showing a good fit up to

3) TFRs: TaN and TiN TFRs are reactively sputtered using a Ta-target and a Ti-target, respectively, in a nitrogen-rich environment [13]. The TaN resistors have a sheet resistivity of , and the TiN resistors have a resistivity of 20 . 45 The TaN resistors are targeted for high-ohmic resistors while the TiN TFRs are intended for high-power loads. The TFR model is based on the TFR [14] model in ADS. The resistor is modeled as a lossy transmission line which results in a good accuracy up to 15 GHz (Fig. 7). 4) Via-Holes: Via-holes are essential in microstrip technology. They provide low-inductive and low-ohmic connections to the ground plane at the same time while providing efficient grounding of large periphery devices. Before via-hole etching, the substrate is lapped to a thickness of 100 m in order to get a reasonable width of the on-chip transmission lines. The lapping is performed using a boron carbide slurry, giving an effective removal rate of 2 m/min. After lapping, the via-hole pattern is defined using standard photolithography on the backside of the sample. A metal mask is deposited, and the via-holes are etched using a fluorine-based plasma in an ICP system at an effective rate of 0.4 m/min. After via-hole etching, the backside is metallized using a sputtered layer of Ti/Au and a thick electroplated Au layer. The and a series typical via-hole has a series resistance of 0.4 inductance of 50 pH. III. CIRCUIT DEMONSTRATORS The interesting MMIC circuits in SiC technology are primarily high-power circuits. This is the field of application in which SiC components fully benefit from their intrinsic properties in the form of the high breakdown field, the high thermal conductivity, and the high impedance level. The high biasing voltages needed make SiC technology unsuitable in batterypowered equipment where the low system voltage makes Si or GaAs a far better choice. Thus, the target applications are high-power transmitters in either telecommunication infrastructure equipment or in radars where system voltages are in the vicinity of 50–60 V.

SÜDOW et al.: SiC MESFET-BASED MMIC PROCESS

4075

Fig. 9. Pulsed power sweep at 3 GHz of the MMIC PA showing an unsaturated output power of 8 W. Fig. 8. 3-GHz SiC MESFET-based power amplifier together with a cut-out of the MESFET transistor (seen in the lower left corner).

The most promising circuits are high-power and high-efficiency power amplifiers up to -band. Most publications on SiC microwave components concerns -band operation, since this is an important radar frequency band. However, the frequency performance up to the -band has been predicted to be good [1], and SiC MESFETs with power densities of 4.5 W/mm at 10 GHz have been demonstrated [15]. SiC MMIC circuits are also interesting when it comes to other high-power circuits. Mixers with extraordinary high-level performance have been realized using SiC technology [16]–[18]. These circuits could be used in systems that require extreme levels of dynamic range or in noisy environments such as the presence of high-power interference sources, e.g., in radar transceiver modules. SiC MMIC technology could also be used is in power-controlling circuits. A typical example is limiters for front-end electronics protection. The design strategy of the MMIC circuits has been to keep complexity low. This is clearly evident in the power amplifier design where simple transmission line matching have been used, and in the limiter design where only the active elements have been used. The mixer using a slightly more complex design due to the need of on-chip baluns. The primary objective of the presented designs is to demonstrate the MMIC process technology. The designs are based on models and measurements ( -parameters and load–pull) on earlier generation MESFETs and Schottky diodes. A. Power Amplifier The power amplifier is a narrow-band 3–3.5-GHz design based on a 6-mm SiC MESFET (Fig. 8). The amplifier was measured on-wafer and showed a typical power gain of 7 dB, an output power of 2.5 W in continuous wave (CW) operation, and 8 W in pulsed mode operation (50- s V, pulse, 5% duty cycle) at 3 GHz (Fig. 9, V). Due to the low gain and limitations in the measurement setup, the amplifier could not be driven into saturation. The ef-

ficiency of the circuit was limited to 20%, which is attributed to a poor thermal contact to the heat sink during the on-wafer measurements and a device breakdown problem which hindered biasing in a high-efficiency class. The breakdown issue is related to the use of an old-generation MESFET in this particular amplifier. These transistors do not have the double recess and the field plates which dramatically improved the performance in the last generation of transistors. Still, it is worth emphasizing that the performance of this MMIC is roughly equivalent to the performance found in highpower GaAs MMIC like the 20-W amplifier published by Murae et al., which uses a GaAs pHEMT output stage with a gate periphery of 48 mm [19]. The gain and efficiency are directly comparable to earlier results published on SiC and GaN MMICs [2], [3]. B. High-Level Mixer The mixer demonstrator is a double-balanced Schottky diode mixer. The circuit is a continuation of the work done in [16] and [17]. The full details on this circuit have recently been published elswhere [20]. In short, the mixer is based on four Schottky diodes in a ring configuration. It has single-ended inputs and outputs through the use of coupled transformers as RF and LO baluns. The IF signal is extracted from the center tap of the RF balun to achieve maximum LO-to-IF isolation (Fig. 10). The exceptionally linear properties of SiC-based mixers is shown in the large dynamic rage and high and of the mixer extrapolated to 38 and 58 dBm, respectively, at an LO drive level of 24 dBm (Fig. 11). The mixer has a typical conversion loss of 13–16 dB at 2–4.5 GHz with a minimum of 12 dB at 2.4 GHz. The rather high conversion loss is a result of the high series resistance of the Schottky diodes and could either be addressed by increasing the diode size and thereby reducing the series resistance or by enabling biasing of the diodes as in [16]. The high-power performance of the mixer was excellent of 23 dBm. with a typical The performance of this circuit in terms of linearity is better than what is typically achieved in GaAs high-level mixers [21],

4076

Fig. 10.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

S

-band double-balanced Schottky diode mixer. Fig. 12. Circuit photograph of a 2

Fig. 11. Two-tone measurement performed on the Schottky mixer showing an IIP of 38 dBm (f = 3:3 GHz 100 kHz, f = 3 GHz, and f = 300 MHz).

2 1 mm limiter for receiver protection.

6

Fig. 13. Power sweep of the 2 insertion loss of =2. set of paths C and C

this integration path can be deformed downward in the complex plane to an equivalent set of paths composed of: 1) a emanating from the path running along the branch cuts branch points at and and 2) a closed path encircling the BM pole . Both of these paths are also depicted in Fig. 3. (Note that, due to the symmetries in the problem, the current on the strip is an even function of and thus its value at any point can be readily obtained from its value at .) These equivalent paths give rise to the decomposition of the current into the BM and the CS currents and [23], namely,

(3)

(1) where by where is the current on the strip, denotes the Fourier transform, and is the Fourier variable associated with . Also, is the longitudinal profile of the electric field inside the gap (the field is assumed to be uniform across the width of is the component of the spectral dyadic the strip) and Green’s function. The time-harmonic factor has been suppressed. In the actual numerical calculations, a complete set of basis functions is used to describe the transverse profile of the strip current, in which case a matrix equation is solved in order to determine . The current on the strip is then computed from the following inverse Fourier transform (IFT):

is the amplitude of the BM current, which is given (4)

represents the residue. It can be demonstrated that where is a real number for a lossless line [24], assuming that is real (which is henceforth assumed for simplicity). This fact will become important in the development of the high-frequency equivalent circuit. The basic idea that the total current can be decomposed into the BM and CS currents is also key to the formulation of the circuit model. In previous works, a uniform profile for the gap electric field has been assumed, namely,

(2)

otherwise

(5)

with its Fourier transform given by The integral in (2) must be performed along path C (see (these Fig. 3) that detours around the singularities of singularities lie on the real axis of the complex plane). These singularities include the branch points associated with the free-space wavenumber and the wavenumber of the surface wave . In this figure, it is assumed that only the surface wave is above cutoff within the frequency range of interest, although this is not a restriction on the analysis. The singularities also include the poles associated with the wavenumber of the bound mode (BM) . For ,

(6) However, it is well known that a realistic field profile must diverge as it approaches the edges of the gap region [25]. Although the introduction of an imposed gap field that takes into account the edge singularities (but keeping the same gap voltage) is only expected to affect very slightly the total current excited on the line, it could lead to sensible improvements in the calculation of the reactive power in the vicinity of the gap. For this reason, the

4102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

and, hence,

(10)

Fig. 4. Equivalent circuit model for a gap-voltage source on a microstrip line. The model accounts for both the continuous-spectrum excitation and the nonunique definition of the characteristic impedance of the line.

following alternative model for the gap field will be considered here:

(7) otherwise whose Fourier transform is given by (8) where is the zeroth-order Bessel function of the first kind. This gap model accounts for the edge singularity at and is expected to be accurate for relatively narrow gaps. III. CIRCUIT MODEL A circuit model that represents the gap-voltage source at high frequency should account not only for the BM excitation but also for the CS excitation. The circuit model should predict the correct launching of the BM (quasi-TEM mode) at any frequency, and it should also give the correct input impedance seen by the gap source at any frequency. These are the main assumptions used to derive the circuit model. The proposed circuit model is shown in Fig. 4. The following discussion will explain and motivate this equivalent circuit. In order to account for radiation from the source as well as reactive stored energy near the source, a “CS admittance” is introduced. Among the different ways in which this impedance can be introduced, the current decomposition in (3) suggests that the most convenient way is a connection in parallel with the rest of the circuit model that will represent the BM excitation and propagation. Accordingly, a shunt admittance is introduced as shown in Fig. 4. The current is an effective source current, defined as that which gives the same complex power from the lumped voltage source as that delivered by the actual gap source, i.e., (9)

where the asterisk denotes complex conjugate. This current that goes through the shunt admittance splits into the current and the current , which enters the transformer combination shown in Fig. 4 (explained below). On the microstrip line the current of the BM (extrapolated to ) is denoted as . Note that this current is unique at any frequency, even though the voltage on the line is not. The effective voltage of the bound mode (discussed below) extrapolated to is denoted as . There are two transformers in the circuit model of Fig. 4, and their role is now explained. The first transformer is introduced to account for the fact that the voltage of the bound mode launched at high frequency, as would be preby the gap source is not dicted by simple circuit theory. At high frequency, the voltage on the line is not unique and various definitions may be used, with perhaps the most convenient one being the voltage drop . The evaluated along the centerline of the microstrip total voltage drop between the line and the ground plane, by symmetry, is approximately (assuming that the size of the gap region is very small compared with a wavelength). However, the voltage drop due to the BM field, denoted as , is not equal to this value at high frequency because of the fact that at high frequency the CS field may be significant. It is the total voltage drop, coming from the sum of the BM and CS fields, that is equal to . As the frequency increases and the CS field becomes more important, the voltage drop from the BM field begins to depart more significantly from the value of . The first transformer with turns ratio accounts for this, with . The fact that the BM amplitude is always real-valued, even at high frequency, allows for a transformer (with a real-valued turns ratio ) to be used to represent the voltage of the bound mode. This first transformer would be enough, provided that the voltage–current definition of the characteristic impedance was used. For any other impedance definition [19]–[21], an additional transformer is introduced to turn the actual BM voltage (evaluated along the centerline) into the corresponding effective BM voltage . The transformer turns ratios and are then given by (11) (12) The voltage–current impedance has been computed as the ratio between the modal BM voltage (calculated by integrating the BM electric field along the centerline on the microstrip) and the modal current. The amplitude of the BM current is calculated using (4). The current in Fig. 4 can be expressed as (13)

RODRÍGUEZ-BERRAL et al.: HIGH-FREQUENCY CIRCUIT MODEL FOR GAP EXCITATION OF MICROSTRIP LINE

where . The current can be found simply by subtracting from [calculated from (10)], and hence the shunt admittance is given by

(14) Although various definitions of the characteristic impedance can be used, perhaps the most common one is the power–current definition. In this case, the effective voltage is that voltage which, together with the (real-valued) BM current amplitude , yields the correct power flow of the bound mode on the line [20], [21]. Hence, (15) is the power–current definition of the characteristic where impedance. As the power flow on the transmission lines in our model is now the correct BM power, the CS power must corre. Hence, it spond to the power absorbed by the shunt load, follows that

4103

where is the wavenumber of the bound mode. A derivation of this is given in the Appendix. The circuit model accurately predicts the amplitude of the BM current launched by the gap-voltage source at an arbitrarily high frequency, provided only a single BM is propagating on the line (which is the usual case). The circuit model also gives the correct input impedance seen by the source at any frequency, under the same assumption. The first higher order mode on the microstrip line starts to propagate when the strip width is on the order of one-half wavelength in the substrate. Hence, for most practical strips, the circuit model in Fig. 4 is valid up to in the very high frequencies. It is also noted that the current model is the same as the CS current at , when the gap is small and (since, in this case, is the correct BM current). The circuit model can thus be used to predict the CS current at the source. The circuit model cannot predict how the CS current varies with distance away from the source, however. One of the uses of the circuit model is for accurate yet very simple calculations of pulse propagation on a microstrip line, and this is explored in Section IV. IV. NUMERICAL RESULTS

(16) where is the power delivered by the gap source to the CS field. The real and imaginary parts of the shunt admittance (namely, the shunt conductance and the shunt susceptance ) are then associated, respectively, with the radiation losses and with the reactive energy stored in the vicinity of the gap. It is worth mentioning that the shunt susceptance always accounts for the reactive energy stored in the vicinity of the gap, regardless of the employed definition of the characteristic impedance (assuming that it is real). On the contrary, for any definition of the characteristic impedance other than , the shunt conductance would account not only for the radiation losses but also for the difference between the correct BM power and the power flow on the transmission lines in the model. Hence, we have, in general, that (17) (18) where is the power radiated (into space and into surface is the reactive power (i.e., waves) and ). Obviously, both transformers in Fig. 4 are equivalent to a single ideal transformer with the turns ratio . In general, this value is different than unity and is frequency-dependent, depending on the definition of used in Fig. 4. However, one quite amazing result occurs when . In this case, it can be proven that the composite turns ratio is exactly equal to unity, regardless of the frequency, provided that the gap size is very small compared with a wavelength (the substrate thickness does not have to be small compared with a wavelength). When the gap is not necessarily small compared with a wavelength, the composite turns ratio is given by (19)

Here, we present results to show the frequency behavior of the circuit parameters in the model as well as various comparisons that support the validity of the proposed equivalent circuit. Some time-domain results will also be shown in order to illustrate the usefulness of the model in calculating pulse propagation on the line. The characteristic impedance of the line is chosen as the power–current definition for all of the results. In order to compute the modal power flow (which is necessary for the power–current impedance), the Poynting vector corresponding to the modal fields has been integrated over a cross section of the line. The characteristic impedance is then given by twice the ratio between this modal power and the square of the modal current magnitude. A. Circuit Parameters First, Fig. 5 shows the frequency behavior of the transformer ratios of the first and second transformers in the model for two typical low-permittivity and high-permittivity microstrip lines. The total turns ratio is also shown. It can be observed how, at low frequencies, both and approach unity, as is expected, since in this limit the characteristic impedance definition becomes unique (which causes ) and the CS current is negligible in comparison with the BM current (resulting in ). The fact that remains greater than unity indicates that the BM and the CS electric fields in the gap region interfere destructively within the frequency range considered here. (In Fig. 5(b), it is apparent from the decreasing trend exhibited by near 40 GHz that there will be constructive interference above 40 GHz.) On the contrary, is lower than unity, which means that the value of the effective voltage associated with the BM field is lower than the value obtained by integrating the BM electric field along the centerline. The opposing behavior of and is such that the composite turn ratio remains almost constant and equal to unity, as was expected from the previous discussion. This fact is also consistent with the result reported in [24] concerning the relationship between the power–current impedance, , and

4104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 5. Transformer turns ratios versus frequency for a microstrip line with w = h = 1 mm and a substrate permittivity given by: (a) " = 2:2" and (b) " = 10:2" . The line is excited by a gap-voltage source of length  = 0:1 mm.

the BM current excitation for a zero-length gap source on the same line. Namely, it was shown there that for a zero-length gap, the power–current impedance could be computed directly from (20) This fundamental observation, which is that the BM amplitude can be calculated exactly at any frequency by using the power–current definition of impedance, was first reported in [26]. In terms of the circuit model, this clearly implies . Thus, the agreement between and unity is a first validation of the circuit model in the small- limit. The slight deviation of from unity at high frequencies in Fig. 5(b) is due to the finite size of the gap (this effect is more noticeable for high-permittivity substrates because higher values of the permittivity enlarge the electrical size of the gap). This is consistent with (19). It is important at this point to recall that is approximately constant and equal to unity because the power–current impedance definition has been used in the circuit model. Had any other impedance definition been used, would have been frequency-dependent even for very narrow gaps. As an

Fig. 6. Shunt conductance and shunt susceptance versus frequency for: (a) the low-permittivity microstrip line in Fig. 5(a) and (b) the high-permittivity microstrip line in Fig. 5(b). Both plots also show the quasi-static susceptance !C , where C is the quasi-static value of the gap capacitance.

example, if was chosen, the composite turns ratio would be given directly by . Next, Fig. 6 shows the shunt conductance and the shunt susceptance for the pair of microstrip lines previously analyzed in Fig. 5. At low frequency, the conductance is found to be very small in comparison with the susceptance, which is expected given that radiation is a high-frequency effect whereas the storage of energy in the gap region is significant even in the quasi-static limit. As frequency increases, exhibits a somewhat quadratic dependence with frequency, reflecting the fact that radiation effects intensify at high frequencies. Concerning the susceptance, it is well known that, in the low-frequency limit, the effect of a gap discontinuity on the line is accounted for by a quasi-static gap capacitance . It can be observed in both Fig. 6(a) and (b) that, at low frequency, the results obtained for present a linear dependence with frequency, corresponding to a purely capacitive behavior. In order to check the results of the susceptance in the proposed model, the quasi-static susceptance is also shown for comparison. The quasi-static gap capacitance has been computed by solving the static problem of the gap discontinuity by using a numerical technique [27] and then extracting from the solution the excess charge density on the positive strip. The quasi-static gap capacitance is then given by . As can be observed, a very good agreement is found between the numerically exact and the quasi-static susceptance at low frequencies for both the

RODRÍGUEZ-BERRAL et al.: HIGH-FREQUENCY CIRCUIT MODEL FOR GAP EXCITATION OF MICROSTRIP LINE

4105

is the capacitance per unit length of the microstrip where line. The term on the right-hand side corresponds to the voltage drop between one side of the microstrip line and the ground plane, which is one half of the voltage drop between the two sides of the line. Hence, the capacitances are related as (22) which, in turn, implies that the admittances are related as (23)

N =N N

Fig. 7. Comparison between results for the composite turn ratio obtained from numerical calculation (circles) and from the theoretical values predicted by (19) (solid line). The structure is that in Fig. 5(b) and the frequency is 40 GHz.

low- and high-permittivity structures. This provides a second validation of the model in the low-frequency limit. It is interesting to note that, in the case of Fig. 6(a), this agreement extends over the entire range of frequencies shown, indicating that the susceptance can be adequately modeled by the quasi-static capacitance up to 40 GHz (the two curves are essentially superimposed on the plot). A comparison between the numerically calculated composite turns ratio and the simple analytic expression in (19) for the high-permittivity microstrip line analyzed in Fig. 5(b) at 40 GHz is shown in Fig. 7. It can be observed that the composite turns ratio approaches unity as , and it decreases as increases, following the theoretical prediction of (19). This behavior of means that the effective voltage that excites the bound mode is lower than the actual gap voltage. As does not depend on the gap length, the lower value of is caused by a decrease in . Finally, it should be noted that the excellent agreement found between the numerical results and the values predicted by (19) serves to validate the numerical results of the composite turns ratio. At this point, it is important to note that a direct comparison between the values obtained for at low frequency and the quasi-static susceptance is only meaningful for narrow gaps. The reason for this is that the quasi-static calculation of the gap capacitance uses the excess charge accumulation on the metal surfaces, with no charge existing in the gap between the conductors in the quasi-static modeling of the conductors. On the other hand, in the equivalent-circuit model, the transmission line is assumed to exist continuously down to and the capacitance that represents that the discontinuity actually represents the excess charge accumulation beyond that which is already on the length of transmission line of length . If denotes the value found from the quasi-static calculation, and denotes the correct value to use in the circuit model, then the values may be related by equating the excess charge accumulation on one of the two sides of the line. This yields the relationship (21)

where is the value of the susceptance that should appear in the circuit model. In order to validate the above relationship, Fig. 8(a) shows found from the accua comparison between rate numerical calculation, calculated from (14), and the value of found from the quasi-static calculation. There are two values shown from the quasi-static calculation. The curve labeled “de-embedding” uses (23) to “de-embed” the length of (this should transmission line from the quasi-static value give a value of that matches well with the numerically exact full-wave result, according to the discussion above). The curve labeled “no de-embedding” means that no de-embedding was used, so that was calculated from the quasi-static result by using the direct relationship , with found from the quasi-static calculation. For the low-permittivity case, it is seen that the numerically exact value of and the value obtained from the quasi-static result after de-embedding agree quite well. Without the de-embedding, the agreement deteriorates for larger gaps. Fig. 8(b) shows the same comparison for the high-permittivity case. In this case, the agreement between the numerically exact and de-embedded quasi-static results is even better and the two curves are essentially superimposed. Note that, for the small value of mm in Fig. 6, the de-embedding is not important, as Fig. 8 shows. For larger gaps, the conclusion is that a quasi-static formulation may be used to accurately recover the value of in the circuit model provided de-embedding is used, up to moderately high frequencies (see Fig. 6). It is important to recall that, according to the discussion in Section II, the use of the edge-singular gap field profile in (7) has been key to achieve the good agreement shown in Fig. 8. For instance, the relative differences between the circuit-model (exact) result and the de-embedded quasi-static value at mm in Fig. 8(a) and (b) are approximately 4% and 0.8%, respectively. If the uniform gap field profile in (5) had been used instead of the edge-singular one, the relative difference between the results would have been about 15% and 16%, respectively. Finally, the effects of the strip width and the substrate thickness on the circuit parameters are shown in Figs. 9 and 10 for completeness. As in Fig. 5, it can be now observed in Fig. 9 that the opposing behavior of and causes . It is interesting to note in Fig. 9(b) that the three turn ratios coincide at roughly mm. The reason is that the two impedances and have the same numerical value for that particular and approach unity value of . Also, observe that both as becomes very small, as is expected since a small value of

4106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 8. Shunt susceptance versus the gap length for: (a) the microstrip line in Fig. 5(a) and (b) the microstrip line in Fig. 5(b). The numerically exact value of B is compared with the value obtained from the quasi-static capacitance calculation, with and without de-embedding. The frequency is 1 GHz.

the substrate thickness makes the structure under study electrically small, and thus becomes a quasi-static limit. Concerning Fig. 10, it is interesting to note the linear behavior of the shunt susceptance with in Fig. 10(a) and the fact that the shunt conductance is much smaller than the shunt susceptance except for large values of (which indicates that in this latter case radiation becomes important because the structure is no longer electrically small). B. Time-Domain Results An interesting feature of the circuit parameters , , and observed in Figs. 5 and 6 is their smooth behavior with respect to frequency (the same can be said for the characteristic impedance). It suggests the possibility of applying some type of interpolation scheme within a given frequency range. This strategy would allow for a very efficient computation of any quantity of interest that can be obtained from the circuit parameters, such as the BM amplitude launched by the gap-voltage source or the input impedance seen by the source. One interpolation scheme that has been implemented is the use of Chebyshev polynomials to fit the circuit parameters and the line impedance. As an example, a Chebyshev approximation

Fig. 9. Transformer turns ratios versus: (a) the strip width w and (b) the substrate thickness h for a microstrip line with " 10:2" and h = 1 mm in (a) and w = 1 mm in (b). The frequency is 10 GHz and the gap length is  = 0:1 mm.

=

involving the computation of only six frequency points in the range between 0.1 and 80 GHz for the case of the low-permittivity microstrip line has given relative errors (in the worst case) of less than 1%. For the case of the high-permittivity microstrip line analyzed previously in this section, the enlargement of the electrical size of this structure due to its high permittivity causes the shunt admittance to exhibit a more complicated profile within the same frequency range, as can be observed in Fig. 11. As a consequence, the degree of the fitting polynomials must be considerably higher (a degree of 19 was used). To illustrate, the above results are now applied to a calculation of time-domain pulse propagation, with the aim of achieving a significant reduction in the required computational effort. It is assumed that the pulse is being calculated sufficiently far down the line from the source that the CS current is negligible, and only the BM current is significant. The BM current is obtained directly from the circuit model. If is the gap-voltage pulse, with its frequency-domain counterpart given by (24)

RODRÍGUEZ-BERRAL et al.: HIGH-FREQUENCY CIRCUIT MODEL FOR GAP EXCITATION OF MICROSTRIP LINE

4107

Fig. 12. Nearly square gap-voltage pulse.

where is the propagation wavenumber of the BM. (The value of as a function of frequency can be efficiently computed from a number of algorithms [28], [29].) The time-domain BM current can then be computed as

Fig. 10. Shunt conductance and shunt susceptance versus: (a) the strip width w and (b) the substrate thickness h. See the caption of Fig. 9 for the details of the structure.

Fig. 11. Comparison between the computed values of the shunt conductance and susceptance, and the approximate values given by a 19th-degree Chebyshev polynomial expansion.

then the Fourier transform of the BM current line is

on the

(25)

(26) If the power–current definition of the characteristic impedance is chosen, the value of is given directly by (19). In order to obtain some numerical results to show the time-domain evolution of the BM current along the line, the gap-voltage pulse shown in Fig. 12 is considered, exciting the low-permittivity microstrip line previously analyzed in Fig. 5(a). The pulse consists of a nearly square pulse of duration with linear rising and falling edges of duration . These last parameters are chosen is negligible for values of the frequency beyond so that 80 GHz. In this case, the values of the circuit model paramewithin the signifiters needed for the computation of cant frequency range can be obtained from the above-mentioned six-point Chebyshev approximation. In addition, the computation of the Fourier integral in (26) can be optimized by using fast Fourier transform (FFT) techniques. Thus, following this efficient interpolation/FFT scheme, the evolution of the BM current has been computed and is shown in Fig. 13, where the low-permittivity microstrip line is excited by a 1-V gap-voltage pulse with ns and ns. Similarly, the evolution of the BM current excited by the same gap voltage pulse on the highpermittivity microstrip line of Fig. 5(b) is depicted in Fig. 14. For the first value of shown in both plots ( ns, which corresponds to the very moment at which the excitation starts), there is no perceptible response on the line, as is expected according to causality. However, it is well known that equivalent circuits that model complete electromagnetic (EM) problems in the frequency domain can sometimes produce noncausal results in the time domain [30]. (In the present case, the BM current shown in Figs. 13 and 14 is being calculated exactly by the circuit model, but the CS current along the line is not being calculated or plotted here.) Nevertheless, in view of Figs. 13 and 14, it can be asserted that any possible violation of causality incurred here is not noticeable. For the remainder of the values of shown in both figures, it can be observed that, due to the dispersive nature of the structures, the shape of the pulses is distorted as they travel down the line. As expected, the distortion

4108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 13. Propagation of the BM current pulse excited by a nearly square gapvoltage pulse on the low-permittivity microstrip line of Fig. 5(a). The distance z from the source is scaled by a factor =k , where is the quasi-static BM propagation wavenumber and k is the free-space wavenumber.

of the pulse is more noticeable in the case of the high-permittivity line, since this structure is more dispersive. It should be noticed that the distance from the source (the abscissas axis in Figs. 13 and 14) has been scaled by a factor , where is

Fig. 14. Propagation of the BM current pulse excited by a nearly square gapvoltage pulse on the high-permittivity microstrip line of Fig. 5(b).

the quasi-static propagation wavenumber of the BM on the corresponding microstrip line and is the free-space wavenumber. Hence, although it might appear that the distance traveled by the pulse after a given time is roughly the same for both lines, it is actually greater in the low-permittivity case (the value of is lower in this case). There is negligible error in calculating the

RODRÍGUEZ-BERRAL et al.: HIGH-FREQUENCY CIRCUIT MODEL FOR GAP EXCITATION OF MICROSTRIP LINE

pulse shape in this manner, compared with calculating the exact pulse shape (without interpolation), but the computation time is much less. In a similar fashion, the circuit model could be used to efficiently compute the power radiated by the gap source or the input impedance over a wide range of frequencies, although results are omitted here.

4109

method of mode excitation is used [32]. The right-hand side of [24, eq. (5)] can be now expressed as

V. CONCLUSION

(A2)

A simple equivalent circuit for a gap-voltage source on a microstrip line has been proposed. This equivalent circuit models the BM (quasi-TEM mode) excitation not only in the low-frequency limit, but also at high frequency where radiation effects are predominant. The circuit model also gives the correct input impedance even at high frequency. The only restriction is that the frequency is low enough so that a single BM propagates on the line. The circuit model takes into account radiation by the source in the form of surface-wave excitation and space-wave radiation as well as the nonunique definition of the characteristic impedance of the line. Results for the corresponding circuit parameters associated with both low- and high-permittivity microstrip lines were presented. In the computation of these results, a gap field profile that incorporates the field singularities at the edges of the gap has been used to get an accurate calculation of the imaginary part of the input admittance. The input susceptance calculated from the present method then agrees very well with that calculated from a quasi-static capacitance calculation, provided that a proper “de-embedding” procedure is used to properly extract the input gap capacitance from the quasi-static calculation. Relying on the smooth frequency behavior of the different circuit parameters, an interpolation scheme based on a Chebyshev polynomial approximation has been implemented. This interpolation scheme has been combined with FFT techniques to achieve a very efficient time-domain analysis. Finally, some time-domain results involving the propagation of the BM current pulse excited by a nearly square gap-voltage signal have been presented. APPENDIX Here, a derivation of the composite turns ratio is provided. For a zero-length gap-voltage source, a derivation of the BM current excited by the source is provided in [24]. The derivation here will generalize this to account for a finite-length gap source having a longitudinal field profile as indicated in (7). According to the equivalence principle [31], the gap is closed off with a perfect conductor, and a surface magnetic current (“magnetic frill”) is placed on the conducting strip to model the gap. The equivalent magnetic surface current density in the gap region is given by (A1) where is the gap length and, following the notation in [24], is the unit vector tangential to the direction of the frill current, defined as . With this expression for , the BM excitation may be found as in [24], where the reciprocity

where it has been assumed that (A3) where is the BM propagation wavenumber. The contour surrounds the conductor strip at . By Ampere’s law, the contour integral in (A2) is clearly the BM amplitude , whereas the remaining integral in can be evaluated in closed form, thus yielding (A4) denotes the zeroth-order Bessel function of the first where kind. Using this result and following [24], the power–current characteristic impedance can be then written as (A5) and, hence, the composite turn ratio is given by (A6)

REFERENCES [1] D. Kajfez and R. L. Dube, “Measurement of impedance transformation on practical dipoles,” IEEE Trans. Antennas Propag., vol. AP-21, no. 7, pp. 544–549, Jul. 1973. [2] A. O. Yee and R. J. Garbacz, “Self- and mutual-admittances of wire antennas in terms of characteristic modes,” IEEE Trans. Antennas Propag., vol. AP-21, no. 11, pp. 868–871, Nov. 1973. [3] G. P. Junker, A. A. Kishk, and A. W. Glisson, “A novel delta gap source model for center fed cylindrical dipoles,” IEEE Trans. Antennas Propag., vol. 43, no. 5, pp. 537–540, May 1995. [4] C. J. Leat, N. V. Shuley, and G. F. Stickley, “Triangular-patch model of bowtie antennas: validation against Brown and Woodward,” Proc. Inst. Elect. Eng. Microw. Antennas Propag., vol. 145, pp. 465–470, Dec. 1998. [5] T. J. Cui and W. C. Chew, “Accurate model of arbitrary wire antennas in free space, above or inside ground,” IEEE Trans. Antennas Propag., vol. 48, no. 4, pp. 482–493, Apr. 2000. [6] X. Chen, S. Safavi-Naeini, and Y. Liu, “A down-sized printed Hilbert antenna for UHF band,” in IEEE AP-S Int. Symp. Dig., Columbus, OH, Jun. 2003, pp. 581–584. [7] G. V. Eleftheriades and J. R. Mosig, “On the network characterization of circuits using the method of moments,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 3, pp. 438–445, Mar. 1996. [8] L. Zhu and K. Wu, “Characterization of unbounded multiport microstrip passive circuits using an explicit network-based method of moments,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2114–2124, Dec. 1997. [9] C. Di Nallo, F. Mesa, and D. R. Jackson, “Excitation of leaky modes on multilayer stripline structures,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 8, pp. 1062–1071, Aug. 1998.

4110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

[10] M. J. Freire, F. Mesa, and M. Horno, “Excitation of complex and backward mode on shielded lossless printed lines,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1098–1105, Jul. 1999. [11] M. J. Freire, F. Mesa, C. Di Nallo, and D. R. Jackson, “Spurious transmission effects due to the excitation of the bound mode and the continuous spectrum on stripline with an air gap,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2493–2502, Dec. 1999. [12] E. Drake, R. R. Boix, M. Horno, and . K. Sarkar, “Effect of substrate dielectric anisotropy on the frequency behavior of microstrip circuits,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 8, pp. 1394–1403, Aug. 2000. [13] F. Mesa, D. R. Jackson, and M. J. Freire, “High frequency leaky-mode excitation on a microstrip line,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2206–2215, Dec. 2001. [14] F. Mesa and D. R. Jackson, “The danger of high-frequency spurious effects on wide microstrip line,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2679–2689, Dec. 2002. [15] P. Baccarelli, P. Burghignoli, F. Frezza, A. Galli, G. Lovat, and S. Paulotto, “Novel analytical representations of the continuous-spectrum current in multilayer stripline structures,” IEEE Trans. Electromagn. Compat., vol. 47, no. 1, pp. 17–27, Feb. 2005. [16] H. Yang, N. G. Alexopoulos, and D. R. Jackson, “Microstrip open-end and gap discontinuities in a substrate-superstrate structure,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 10, pp. 1542–1546, Oct. 1989. [17] L.-K. Wu and H.-M. Chang, “Analysis of dispersion and series gap discontinuity in shielded suspended striplines with substrate mounting grooves,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 279–284, Feb. 1992. [18] J. Martel, R. R. Boix, and M. Horno, “Equivalent circuits for microstrip gap discontinuities with metallisations of rectangular and trapezoidal cross sections,” Electron. Lett., vol. 29, pp. 2052–2054, Nov. 1993. [19] B. Bianco, L. Panini, M. Parodi, and S. Ridella, “Some considerations about the frequency dependence of the characteristic impedance of uniform microstrips,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 3, pp. 182–185, Mar. 1978. [20] R. H. Jansen and N. H. L. Koster, “New aspects concerning the definition of microstrip characteristic impedance as a function of frequency,” in IEEE MTT-S Int. Microw. Symp. Dig., Dallas, TX, Jun. 1982, pp. 305–307. [21] L. Zhu and K. Wu, “Revisiting characteristic impedance and its definition of microstrip line with a self-calibrated 3-D MoM scheme,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 87–89, Feb. 1998. [22] F. Mesa, D. R. Jackson, and R. Rodríguez-Berral, “A high-frequency equivalent circuit for a gap source on a microstrip line,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 503–506. [23] F. Mesa, C. Di Nallo, and D. R. Jackson, “The theory of surface-wave and space-wave leaky mode excitation on microstrip lines,” IEEE Trans Microw. Theory Tech., vol. 47, no. 2, pp. 207–215, Feb. 1999. [24] F. Mesa and D. R. Jackson, “A novel approach for calculating the characteristic impedance of printed-circuit lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 4, pp. 283–285, Apr. 2005. [25] J. Van Bladel, Singular Electromagnetic Fields and Sources. New York: IEEE Press, 1996. [26] E. F. Kuester, D. C. Chang, and L. Lewin, “Frequency dependent definitions of microstrip characteristic impedance,” in Proc. URSI Electromagn. Theory Symp., Munich, Germany, 1980, pp. 335B/1–3. [27] J. Martel, R. R. Boix, and M. Horno, “Static analysis of microstrip discontinuities using the excess charge density in the spectral domain,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 9, pp. 1623–1631, Sep. 1991. [28] J. L. Tsalamengas, “Rapidly converging direct singular integral-equation techniques in the analysis of open microstrip lines on layered substrates,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 555–559, Mar. 2001.

[29] R. Rodríguez-Berral, F. Mesa, and F. Medina, “Enhanced implementation of the complex images method to study bound and leaky regimes in layered planar printed lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 709–720, Feb. 2004. [30] D. F. Williams and B. K. Alpert, “Causality and waveguide circuit theory,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 4, pp. 615–623, Apr. 2001. [31] R. F. Harrington, Time-Harmonic Electromagnetic Fields. Piscataway, NJ: IEEE Press, 2001. [32] R. E. Collin, Field Theory of Guided Waves. New York: IEEE Press, 1990. Raúl Rodríguez-Berral was born in Casariche, Seville, Spain, in August 1978. He received the Licenciado degree in physics from the University of Seville, Seville, Spain, in 2001, and is currently working toward the Ph.D. degree in microwaves at the University of Seville. In January 2002, he joined the Department of Applied Physics 1, University of Seville. Mr. Rodríguez-Berral was the recipient of a scholarship supported by the Spanish Ministry of Science and Technology.

Francisco Mesa (M’93) was born in Cádiz, Spain, in April 1965. He received the Licenciado and Ph.D. degrees from the University of Seville, Seville, Spain, in 1989 and 1991, respectively, both in physics. He is currently an Associate Professor with the Microwaves Group, Department of Applied Physics 1, University of Seville. His research interests focus on electromagnetic propagation/radiation in planar lines with general anisotropic materials.

David R. Jackson (S’83–M’84–SM’95–F’99) was born in St. Louis, MO, on March 28, 1957. He received the B.S.E.E. and M.S.E.E. degrees from the University of Missouri, Columbia, in 1979 and 1981, respectively, and the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 1985. From 1985 to 1991, he was an Assistant Professor with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX. From 1991 to 1998, he was an Associate Professor with the same department and, since 1998, he has been a Professor there. His current research interests include microstrip antennas and circuits, leaky-wave antennas, leakage and radiation effects in microwave integrated circuits, periodic structures, and electromagnetic compatibility (EMC). He has served as an Associate Editor for the Journal of Radio Science and the International Journal of RF and Microwave Computer-Aided Engineering. Dr. Jackson is currently the chair of the Transnational Committee of the IEEE Antennas and Propagation Society (IEEE AP-S) and the vice chair for URSI, U.S. Commission B. He is on the Editorial Board for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the Chapter activities coordinator for the IEEE AP-S, a Distinguished Lecturer for the IEEE AP-S, an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and a member of the IEEE AP-S Administrative Committee (AdCom).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

4111

Focusing Efficiency Analysis and Performance Optimization of Arbitrarily Sized DNG Metamaterial Slabs With Losses Dimitrios L. Sounas, Nikolaos V. Kantartzis, Member, IEEE, and Theodoros D. Tsiboukis, Senior Member, IEEE

Abstract—The focusing behavior and optimal design of lossy double-negative (DNG) metamaterial slabs are thoroughly explored in this paper via an efficient technique. To this aim, the novel scheme embodies the signal processing notions of the cross-correlation coefficient and mean square error (mse) to treat structures with convoluted attributes. Furthermore, an enhanced formulation of the latter indicator, devoid of its conventional weaknesses, is introduced. In particular, by neglecting the phase term due to wave propagation in the vacuum/metamaterial space, the modified mse attains far more accurate outcomes without any contrived assumptions. The effectiveness of the prior criteria is successfully examined through the infinite slab case. Next, analysis proceeds to finite-length slabs which are modeled by means of a frequency-dependent finite-difference time-domain algorithm. Numerical verification, addressing diverse complicated DNG arrangements, reveals the advantages of the proposed methodology, indicating its competence to reliably explore the operational characteristics of such demanding media. Index Terms—Double-negative (DNG) media, finite-difference time-domain (FDTD) methods, losses, metamaterials, negative permeability, negative permittivity, negative refractive index.

I. INTRODUCTION NE OF the most significant innovations in modern electromagnetics is the advent of double-negative (DNG) or left-handed metamaterials, whose promising properties have recently gained remarkable prominence. In a DNG medium, the concurrent tuning of both constitutive parameters to negative real values leads to a negative refractive index and other features worth mentioning that are not accessible in nature, such ˇ as the inverted Snell law, Doppler shift, or Cherenkov radiation. Their initial conception dates back in 1967, when Veselago [1] theoretically predicted that a planar DNG slab can perfectly focus electromagnetic waves emanating from a point source. However, this far-sighted breakthrough remained inactive until the pioneering achievements of Pendry [2], [3], which triggered Smith and his colleagues to engineer the first artificial DNG substance with thin metallic wires and the so-called split-ring resonators (SRRs) [4]. Since then, the scientific community has delved into the metamaterial fundamental concepts [5]–[22] in order to fabricate practicable structures [24]–[33] or numerically explore their particular characteristics [34]–[41].

O

Manuscript received April 17, 2006; revised July 19, 2006. This work was supported by the Greek General Secretariat of Research and Technology under Grant PENED03/03ED936. The authors are with the Department of Electrical and Computer Engineering, Aristotle University of Thessaloniki, Thessaloniki GR 54124, Greece (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.885564

Apart from his primary observations, Pendry [5] has analytically proved that a DNG slab can also reconstruct evanescent waves, exceeding thus the diffraction limit that is always imposed on conventional lens systems. This deduction motivated an intensive research—which is thoroughly described in [6] and [7]—to support Veselago’s statement for the feasibility of perfect focusing. Amid them, [8]–[10] indicate, via a frequency-dependent finite-difference time-domain (FDTD) algorithm, that power flow is channeled into beams rather than being focused. In parallel, the work in [11] presents a useful study for the influence of surface waves on the function of perfect lenses. Moreover, an effective technique has been reported in [12] to confirm the early claims that a planar slab can fully reconstruct evanescent waves, while [13] investigates how the discrete nature of the FDTD method affects the perfect lens phenomenon. Recently, considerable research has been devoted to the impact of losses and finite size effects on the focusing efficiency of DNG slabs. As they are inevitable in realizable designs, their in-depth examination is deemed necessary. Hence, [14] and [15] provide the theory for an infinite slab along with a criterion for detecting the effect of losses. Furthermore, [16] presents the numerical model of evancescent wave propagation through a lossy DNG slab, while in [17] an informative analysis for layered media is reported. On the other hand, [18] extracts the transfer function for infinite configurations via periodic boundary conditions, and [19]–[22] derive various flexible schemes for generally formed lossy metamaterials. Finally, in [23], the influence of the finite slab length on image quality is analyzed by addressing a cavity-effect explanation. In this paper, the focusing properties of DNG slabs with arbitrary size and constitutive material parameters is comprehensively investigated and optimized through a systematic methodology. For this purpose, a new approach that incorporates signal processing concepts is introduced. In particular, the cross-correlation coefficient and the mean square error (mse) between the field at the source and observation plane is employed to estimate the position of the focus plane and the quality of the reconstructed image. The infinite slab case with variable refractive indexes is implemented to prove the validity of the proposed technique. Detecting a serious deficiency of the mse, which is inherent in its definition, a modified indicator is developed to avoid misleading deductions. Successively, a rigorous dispersive FDTD algorithm is devised to study the behavior of practicable DNG slabs in conjunction with the suggested criteria. The performance of the integrated algorithm is extensively compared with data from the literature and commercial packages. Also, a complete parametric optimization through diverse re-

0018-9480/$20.00 © 2006 IEEE

4112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

with

(3)

Fig. 1. Geometry of an infinite lossy DNG slab parallel to the z = 0 plane.

fractive-index values, source position, and slab dimensions is conducted. Numerical results validate the benefits of the method that may be readily applied in the design of contemporary focusing DNG metamaterial systems. II. THEORETICAL FORMULATION OF THE METHODOLOGY Let us consider an infinite DNG slab of width that is parallel plane of a Cartesian coordinate system. On the leftto the hand side, at a distance , the slab is illuminated by a -plane magnetic current source, as depicted in Fig. 1. When losses are , can focus neglected, such a structure, with electromagnetic waves, generated by the prior source, on the . However, in most right-hand-side plane at distance realistic problems, losses and deviations from the ideal case are inevitable, and, under certain circumstances, their impact may be proven crucial. It is our objective here to provide an integrated theoretical methodology for the exploration of the focusing properties of DNG slabs and perform its thorough validation via infinite configurations. Our analysis concentrates, without loss of generality, on the 2-D -polarized transverse electric (TE) case. Thus, for the for notational simplicity, we use the convention sole electric field component along the -axis. The transfer funcsource plane to an arbitrary -plane on the tion from the slab’s right-hand side—hereafter designated as the observation is plane—for a plane wave

denoting a Fourier-expansion mode of the incident field with spatial frequency . It is stressed that, for in the in the DNG region, evanescent waves vacuum and are induced, otherwise propagating waves are generated. Also, as deduced from [8], a sufficient choice for , , to preserve , causality is for propagating waves, and , for evanescent ones. Having derived the theoretical framework for the analysis of the infinite lossy DNG slabs, we will now examine their behavior as a function of their basic physical and geometric parameters. To this end, a novel algorithm for the evaluation of the cross correlation between the field at the source and any observation plane is developed. In this context, a rigorous means that indicates the resemblance of electric field distributions at the prior planes is introduced via two different approaches. The former computes the correlation function (4) the conjugate of with calculates the mse

, whereas the latter

(5) approaches unity or zero, Observe that the closer the stronger the resemblance is between the two fields. Hence, is maximized or is the observation plane on which minimized constitutes the desired focus plane. Fig. 2(a) presents for a lossy DNG slab with , the variation of and three widths of pulse (6)

(1) , is the -component of the where wavevector, and , its -directed counterparts in the vacuum/DNG space, which satisfy the dispersion relations and , respectively. By , we denote the free-space wavenumber while by we denote the medium’s refractive index. If is an arbitrary incident-field distribution on the source plane, the total field on the observation plane can be written as

(2)

This excitation induces the spatial spectral content of (7) (where implying that (6) generates plane waves with the exponential term receives 1.8% of its maximum value). The results of Fig. 2(a) reveal the strong correlation of field distriat . This situation occurs for every bution to , yet it is more prominent for higher choices at which evanescent waves can be deemed trivial. Conversely, Fig. 2(b) depicts when , , , and is variable. It is apparent that, as decreases, the focus plane is shifted to smaller values of , i.e., closer to the DNG slab. Moreover, the maximum is reduced, as

SOUNAS et al.: FOCUSING EFFICIENCY ANALYSIS AND PERFORMANCE OPTIMIZATION OF DNG METAMATERIAL SLABS WITH LOSSES

Fig. 2. Correlation coefficient for an infinite lossy DNG slab with (a) 3:2 , variable w , and (b) d = 1:6 , diverse n.

d =

departs from the ideal case , indicating a degradation in the slab’s focusing performance. Of equivalent importance also is the shape of the curves, given in Fig. 3(a) and (b) for the same structural parameters employed in Fig. 2(a) and (b), respectively. Therefore, is minimized at two adobserving Fig. 3(a), we find that ditional positions aside from the focus plane, . Also, in Fig. 3(b), except the multiple predicted through occurs at completely difminima, the main minimum of maximum for . In ferent positions from the particular, the focus plane moves to larger values as decreases. Thus, one could assume that the wave is focused at more than one point, which is obviously misleading. This fact . More specifically, if the is attributed to the phase of phase—inserted due to propagation in the vacuum/DNG space term)—is consid(namely, involvement of a periodic ered, a periodic function like that of Fig. 3 will arise. Amendment to this deficiency is achieved by launching a new formulation of the mse, which evades the prior phase term by multiwith . Then, (5) becomes plying

4113

Fig. 3. MSE for an infinite lossy DNG slab with (a) d = 3:2 , variable w , and (b) d = 1:6 , diverse n.

study of the lossy DNG slab focusing efficiency throughout this paper. A mathematical analysis of these issues is provided in the Appendix. After determining the form of the two focusing efficiency criteria, it would be instructive to investigate the cases in which is a more trustworthy indicator than . According to the Schwarz–Hölder inequality, for two complex functions and of real variable , it holds that

(9) where the equality is true if , where is an arbitrary complex number. Application of (9) to (4) provides , with the equality being valid when . This reveals that the field at the observation plane has exactly the same shape as at the source plane, except for a scaling at its amplitude and a constant phase term. Alternatively, the equality simply states that the fields at the observation and source plane exhibit the same spectral content. By means of (8), the mse is

(8) with . As an illustra, where the tion, Fig. 4(a) and (b) shows the variation of superiority of (8), compared with (5), may be promptly deduced. in Fig. 4(b) coNote that the position of the minimum one in Fig. 2(b), which is incides now with the maximum an extra important indication that the enhanced mse offers very accurate outcomes. Hence, the enhanced mse is selected for the

(10) which holds when the field at the source and focus plane have the same shape but different amplitudes. Thus, if our interest is concentrates on the field shape and not on its amplitude, . This issue is very instructive for a better criterion than lossy DNG slabs where the electric field decays exponentially, while preserving its shape.

4114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

intrinsic mechanisms which control their function. Similar outcomes for are acquired for smaller slab widths, but with the cutoff wavenumber shifted to larger values. III. FOCUSING BY A FINITE LOSSY DNG SLAB Let us recall the structure of Fig. 1, assuming that its length, along the -axis, receives the finite value . To accomplish fast and reliable design estimates, the slab is examined via a rigorous frequency-dependent FDTD algorithm. For our simulations, a Drude model—instead of the more laborious Lorentz one—is utilized to derive simple update equations. Nevertheless, despite the possible model selection, results are anticipated to be the same, since the metamaterials are studied at a certain frequency or close to it. where The relative electric permittivity and magnetic permeability of a Drude material are given by (11a) (11b) Fig. 4. Enhanced mse for an infinite lossy DNG slab with (a) variable w , and (b) d = 1:6 , diverse n.

d = 3:2

,

Considering the results of and , our analysis beproceeds with the calculation of transfer coefficient tween the source and focus plane. Differently speaking, we estimate the impact of the DNG slab on each incident-field compo. Fig. 5(a)–(d) depicts nent, with the source placed at for diverse and two difthe amplitude and phase of and ). As discerned, for the ferent slab widths ( ), and in lossless ideal case (i.e., the entire range. This merely implies that the amplitude and phase of propagating and evanescent waves are not affected by the presence of the slab. However, completely different conclusions are drawn when . Even very losses are taken into account and (e.g., ) imposes quite rapid small decay rates to evanescent waves. In essence, a lossy DNG slab is actually impenetrable for them. Concerning with propagating waves, their amplitude remains sufficiently stable (especially for low losses), although it for a large range of decreases due to the conductance of the slab. Also, their phase in the propagating-wave remains practically zero for every region—thus not degrading the image quality—except for high ), where it is nonzero but nonethelosses (e.g., . For the case, a peak less stable for near the propagating/evanescent waves boundary—bein cause of a pole in —can be detected. , tends to zero when is In contrast, if . An explanation to this phenomenon is obapproximately tained if we consider that evanescent waves in the DNG medium and decay exponentially due to exist for the losses. Furthermore, the abrupt amplitude and phase changes , appearing in Fig. 5, are attributed to the transition from of the propagating- to the evanescent-wave region and the different

where , are the plasma frequencies and and are the lossy terms. We match the DNG medium to free space, thus . In the Drude model, this relation imattaining and . plies that The overall structure is excited by a distributed soft magnetic current source, placed at the source plane, as shown in Fig. 1. The Faraday law for the TE mode leads to (12a) (12b) where is the -component of the magnetic current source, . Function denotes temporal given by describes the spatial distribution, and is the evolution, delta function. Then, (12a) is discretized as follows:

(13) where

with

is the Kronecker delta. For our analysis

, , and , while . results in small tranObserve that smoothing function sient time intervals [8]. Moreover, after extensive FDTD simulations, a number of 9000–10 000 time-steps has been proven fairly sufficient for the steady state to be achieved. At such temporal instants, , where is the amplitude,

SOUNAS et al.: FOCUSING EFFICIENCY ANALYSIS AND PERFORMANCE OPTIMIZATION OF DNG METAMATERIAL SLABS WITH LOSSES

4115

Fig. 5. (a) and (c) Transmission coefficient amplitude and (b) and (d) phase of an infinite lossy DNG slab for diverse refractive indexes and two different widths: d = 3:2 [cases (a) and (b)] and d = 0:8 [cases (c) and (d)].

the phase, and is the complex envelope at any point of the computational space. The last three quantities are calculated in terms of the field magnitude at two . Consedifferent time-steps and for which quently, they are given by

(14)

(15) To validate the merits of our technique, several comparisons are conducted with published data and commercial software solutions. Regarding the former certifications, the field intensity on the axis of a Gaussian beam—interacting with a finite analyzed in [9]—is depicted in Fig. 6. Also, slab of Fig. 7(a) and (b) shows the normalized electric field and spec-thick slab, examined in [13]. trum at the image plane of a In all cases, a very satisfactory agreement can be promptly discerned. Likewise, for the second means of verification, we inand by means vestigate a DNG slab with of well-known commercial packages. These are the Remcom XFDTD 6.0 [45] and the QuickWave3D 2.2 [46] which use the FDTD method, the CST MWS 2006 [47] which employs the finite integration technique (FIT), as well as the Ansys HFSS 9.2 [48] which utilizes vector finite elements (its data are Fouriertransformed in the time domain). Simulations for the electric

Fig. 6. Comparison of field intensity at the slab axis between the proposed algorithm and the method of [9].

Fig. 7. Comparison of the normalized (a) electric field and (b) spectrum (focus plane) between the proposed scheme and the method of [13].

field amplitude and the modified are provided in Fig. 8 and Table I, respectively. Again, the results of the proposed

4116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 8. Comparison of the electric field amplitude between the proposed algorithm and several commercial implementations. TABLE I

COMPARISON OF

mse (z) FOR VARIOUS IMPLEMENTATION

= 0 and focus z = z plane mse ( ) = 0 0019, (b) (z) = 0:9701 and ( ) = 0 6861 mse (z) = 0:3495.

Fig. 9. Electric field distribution on the source z : z : when (a)  z and z : , and (c)  z : and

( ) = 0 9981 mse ( ) = 0 7804

scheme are very promising, a fact that confirms its accuracy, convergence, and universality. IV. NUMERICAL RESULTS Before proceeding with the numerical validations, it is noteworthy to obtain an overview on how a specific set of correlation function and mse values is related to the electric field distribution on the focus and source plane. Therefore, one can derive reliable evidence for optimal configurations. Fig. 9 presents the electric field on both planes for three pairs of and . Observe that, when approaches tends to unity, the two curves are in excellent zero and agreement [see Fig. 9(a)]. This simply illustrates the slab’s competence to focus almost perfectly a divergent incident wave. Conversely, Fig. 9(b) depicts the case where the two , but distributions share the same shape, yielding . The last have dissimilar amplitudes and hence plot, shown in Fig. 9(c), gives the most general arrangement for and , for which the two electric fields differ in shape and amplitude. For the FDTD simulations, spatial increments are m and the temporal one is

Fig. 10. Electric field magnitude of a finite DNG slab at (a) t w :  and n : and (b) t t for w and n : j : .

= 0 3333 = 01 0 = 01 0 0 0 001

= 190001

= 90001t for = 0:1667

sec. To truncate the unbounded domain, a perfectly matched layer (PML) absorber [42], which is appropriately modified to cope with the metamaterial constitutive profiles [43], [44], is employed. First, we examine a slab and varying and . with when Fig. 10 gives the electric field magnitude at and diverse and . It is apparent that the incident wave, which is initially divergent, converges as it crosses the vacuum–slab interface up to a point where it receives its maximum amplitude. Beyond this particular point, divergence starts again until the other vacuum–slab interface. Leaving the DNG medium, the wave is then refocused on a second point and finally travels in the free space as if the source was at the latter point. As expected, this performance is similar to that of an infinite slab. Next, the focusing efficiency of a finite lossy slab is explored and compared with that of its infinite counterpart through the novel analysis of Section II. Table II provides the enhanced . mse for both cases, with the finite structure having Undoubtedly, the infinite slab attains a better focusing, especially for low losses and narrow excitation pulses (i.e., ). Nonetheless, as increases, the finite slab tends to exhibit the behavior of the infinite one with the same refractive index. A detailed explanation for this phenomenon is given below via the transfer-function concept. These remarks state that, for low losses, the finite length—unlike its infinite analog—deteriorates the slab’s performance. On the , the lossy profile compensates the contrary, for high influence of the finite length. Table III gives the correlation and three values, coefficient of a finite slab with , , and . Results confirm that, for smaller namely lengths, structural efficiency degrades, mainly for incident waves with high spectral content. This situation is attributed to the fact that a narrower slab ‘‘captures" a confined portion of the incident energy and thus focuses only the part of the associated impinging wave. In parallel, Table IV gives the normalized to position of the maximum for the finite case with and variable . At first, it is observed that is generally reduced as becomes smaller, meaning that the focus plane is shifted towards the slab. Additionally, depends strongly on as well as . These issues reinforce the previous claims for the efficiency of our algorithm in modeling DNG cases whose focusing performance is rather difficult to be theoretically derived.

SOUNAS et al.: FOCUSING EFFICIENCY ANALYSIS AND PERFORMANCE OPTIMIZATION OF DNG METAMATERIAL SLABS WITH LOSSES

4117

TABLE II MINIMUM mse (z ) OF AN INFINITE AND A FINITE DNG SLAB WITH d = 3:2 AND ` = 10

TABLE III MAXIMUM (z ) OF AN INFINITE DNG SLAB WITH d = 3:2 AND VARIOUS `

NORMALIZED TO  POSITION d

OF THE

TABLE IV MAXIMUM (z ) OF A FINITE DNG SLAB WITH d = 3:2 AND VARIOUS `

An alternative way to estimate the focusing properties of a finite slab may be pursed from the transmission coefficient between the source and focus plane. Fig. 11 presents its amplitude and phase for various . As observed, the slab cannot sufficiently reconstruct all wave modes, even for the lossless , when case. Particularly, for propagating modes and , the transmission coefficient amplitude is quite close to its maximum apart from some small fluctuations (evanescent wave region), Fig. 11(a). However, as decreases very rapidly. Concerning the phase Fig. 11(b), it remains nearly zero up to a specific wavenumber near the propagating/evanescent boundary. Also, it can be extracted from Figs. 5 and 11 that, as losses augment, the plots tend to coincide with those of an infinite slab. This occurs because losses do not affect all wave modes to the same degree. In fact, wave modes accept a greater attenuation than those with small with large . Regarding the infinite slab, the presence of nonzero leads to the complete annihilation of a significant part of evanescent wave modes at the focus plane; see, for example, Fig. 5(a). Thus, only propagating waves with a reduced amplitude reach the focus plane. On the other hand, in the finite case, evanes. cent waves on the focus plane vanish, even when Thus, losses attenuate exclusively the amplitude of propagating waves in exactly the same manner as they do at the infinite slab. In conclusion, at the focus plane, there exist only propagating modes for both the infinite and finite slabs, a fact that implies that the two cases exhibit similar behavior. For this phenomdepends on . Hence, given a specific , enon to arise, above which we can determine the minimum value of both cases become equivalent. For instance, when , must be about 0.01. Finally, Fig. 11(c) and 11(d) depict for a DNG slab with a smaller length. Its poor performance, even for propagating waves, is obvious.

displays An important remark drawn from Fig. 11 is that some ripple, which is more prominent for lower values. Indeed, , exceeds unity when losses are small for specific , which, initially, seems impossible from a physical point of view. This phenomenon is clarified by taking into acwhich is the ratio of the electric-field count the definition of spatial spectral content at the focus and source plane. Furthermore, any arbitrary incident wave impinging on a DNG slab can be decomposed to a sum of plane-wave modes. In this context, the electric field at the focus plane is computed by superimposing the fields generated by every incident wave mode. When the slab is infinite, each of these modes, expressed as at the source plane, induces a wave at the focus plane with the same but different amplitude, e.g., . Also, since there are no energy sources between the source and focus plane, , namely, . In the finite slab, however, owing to finite size effects, a certain incident wave mode creates at the focus plane—apart from a wave mode with the same —a set of wave modes with different . Therefore, after the field superposition, some modes may have a greater amplitude than the corresponding ones at the source plane. This indicates for these . To prove the validity of this explanathat tion, we study the power flow on both planes, shown in Fig. 12 at which , the power for every . Apparently, for along the positive -direction at the focus plane is larger than its counterpart at the source plane. Nevertheless, the total power, which is obtained through the area specified by the curve and the horizontal and vertical axes, is indisputably greater at the source plane. Thus, in the finite case, as fields travel from the source to focus plane, power is exchanged among the different wave modes. Our numerical verification continues with the outcomes of for and diFig. 13 which show

4118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 11. (a) and (c) Transmission coefficient amplitude and (b) and (d) phase of a finite lossy DNG slab as a function of k : and (b)] and ` = 6 ; d = 3:2 [cases (c) and (d)].

` = 10 ; d = 3:2

[cases (a)

Fig. 12. Power flow along the z -axis versus k at the source and focus plane.

verse , . More specifically, in Fig. 13(a), a set of slabs with is examined. One may easily deseveral widths and duce that, as decreases, more wave components with larger are regenerated at the focus plane. For , the transreaches the mission coefficient approaches zero as soon as evanescent-wave region. A similar behavior is encountered in case as well, but with more fluctuations. This sitthe uation is modified for and , where a large part of the evanescent-wave modes crosses the slab. To determine the reason of this change, whether it is the smaller width or the closer distance from the source, the transfer function for is presented in Fig. 13(b). a slab of fixed and a varying Despite a visible improvement of the fluctuations magnitude, no other notable modification of the cutoff wavenumber can be detected. Such an issue implies that the principle means for en-

Fig. 13. Transfer function amplitude of a finite lossy DNG slab with n = 1:0 j 0:01 for (a) d = d=2, d variable, and (b) d = 1:6 , d variable.

0 0

hancing the slab’s focusing aptitude is its width, regardless of the source position.

SOUNAS et al.: FOCUSING EFFICIENCY ANALYSIS AND PERFORMANCE OPTIMIZATION OF DNG METAMATERIAL SLABS WITH LOSSES

Fig. 14. Geometry of a DNG right triangle illuminated by a source on the x-axis.

4119

the mse, that ignores the phase term induced by electromagnetic wave propagation in the vacuum/DNG space, has been presented. The next step involved the application of the new algorithm to structures with realizable design parameters. Extensive simulations revealed that the performance of finite structures deteriorates, as compared with infinite ones. However, as losses increase, both cases tend to exhibit equivalent behavior, which is an issue that is also substantiated by the transfer-function concept. Furthermore, for narrower slabs, a greater portion of wave modes are reconstructed at the focus plane, while the entire image quality is not influenced by the position of the source. On the other hand, slabs whose refractive index real part deviates from 1 have been thoroughly investigated. Apart from the degradation in the focusing performance, it has been moreover observed that the focus plane position is strongly dependent on the geometrical parameters and excitation characteristics. These important remarks have, likewise, been confirmed via the modeling of adjustable-angle triangular slabs. As a consequence, the prior results—which were successfully validated by published data and solutions from commercial packages—prove the promising capabilities of the integrated methodology to treat demanding practical DNG configurations, whose behavior is cumbersome to be analytically predicted. APPENDIX DERIVATION OF THE MSE field of a -polarized Gaussian beam Consider the traveling along the -axis and impinging on a DNG slab

Fig. 15. Numerically estimated inclination angle of the focus plane and maximum correlation coefficient for various  when n = 1:0 j 0:01.

0 0

Finally, the competence of the integrated method to profitably handle metamaterial structures that present complexities in their theoretical analysis is examined. Consider the homoge. neous DNG right triangle of Fig. 14 with Its base length is , whereas the angle is variable. The arrangement is illuminated by a Gaussian pulse, set . The overall energy is focused on the -axis, at on an -inclined plane towards the –axis on the hypotenuse right-hand side. Employing the analysis of Section II, we compute the maximum cross-correlation coefficient and inclination of the focus plane for diverse . Evidently, from angle inFig. 15, the triangle’s imaging capabilities degrade as creases, while the image plane accepts a clockwise rotation.

(16) where is the distance of an arbitrary point from the -axis, is the beam width at the plane, and

where is the free space wavenumber. The selection of (16) is attributed to the frequent use of Gaussian beams as incident fields in the specific kind of metamaterial problems. It is quite rational, bearing in mind the focusing properties of such slabs, for the total field on their right-hand side to be a Gaussian beam, plane. In similar to (16), yet centered at the particular, we obtain . To calculate the ordinary mse (5), without the proposed phase term incorporated in (8), the definite integral

V. CONCLUSION The focusing properties and optimal design of arbitrarily dimensioned DNG metamaterial slabs with losses have been thoroughly studied in this paper, both from an analytical and numerical viewpoint. To this objective, a new method which employs the signal processing notions of the cross-correlation coefficient and mse has been introduced. Particularly, the effectiveness of the proposed indicators has been, firstly, verified through the infinite planar case. Also, an instructive scheme for calculating

(17)

4120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 16. MSE for a Gaussian beam with [(8) and (22)] and without [(5) and (21)] the proposed phase correction.

is evaluated. The terms on the right-hand side of (17) are (18)

(19)

(20) for

. Finally, the normalized

becomes

(21) Note that the cosine term of (21) is essentially responsible for the fluctuations in the mse such as those of Fig. 3. However, if instead of (5) the new concept of (8) with the phase correction is introduced, the above procedure yields

(22) free of any oscillatory trigonometric components. As an example, Fig. 16 depicts the variation of (21) and (22) with respect to . Its outcomes present the superiority of the corrected and confirm the theoretical aspects of Section II. REFERENCES [1] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of " and ,” Sov. Phys.—Usp., vol. 47, pp. 509–514, Jan.–Feb. 1968.

[2] J. B. Pendry, A. J. Holden, W. J. Stewart, and I. Youngs, “Extremely low frequency plasmons in metallic mesostructure,” Phys. Rev. Lett., vol. 76, no. 25, pp. 4773–4776, Jun. 1996. [3] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory. Tech., vol. 47, no. 11, pp. 1084–2075, Nov. 1999. [4] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, pp. 4184–4809, May 2000. [5] J. B. Pendry, “Negative refraction makes a perfect lens,” Phys. Rev. Lett., vol. 85, pp. 3966–3969, Oct. 2000. [6] N. Engheta and R. W. Ziolkowski, “A positive future for double-negative metamaterials,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1535–1556, Apr. 2005. [7] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications. The Engineering Approach. New York: Wiley, 2006. [8] R. W. Ziolkowski and E. Heyman, “Wave propagation in media having negative permittivity and permeability,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 64, Oct. 2001, Paper 056 625. [9] R. W. Ziolkowski, “Pulsed and CW Gaussian beam interactions with double negative metamaterial slabs,” Opt. Express, vol. 11, pp. 662–673, Apr. 2003. [10] P. F. Loschialpo, D. W. Forester, D. L. Smith, and F. J. Rachford, “Optical properties of an ideal homogeneous causal left-handed material slab,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 70, Sep. 2004, Paper 036 605. [11] M. W. Feise, P. J. Bevelacqua, and J. B. Schneider, “Effects of surface waves on behavior of perfect lenses,” Phys. Rev. B, Condens. Matter, vol. 66, Jul. 2002, Paper 035 113. [12] M. K. Kärkkäinen, S. A. Tretyakov, S. I. Maslovski, and P. A. Belov, “A numerical study of evanescent fields in backward-wave slabs,” J. Phys. Condens. Matter, vol. 20, 2003, Paper 0302407. [13] J. J. Chen, T. M. Grzegorczyk, B.-I. Wu, and J. A. Kong, “Limitation of FDTD in simulation of a perfect lens imaging system,” Opt. Express, vol. 13, pp. 10840–10845, Dec. 2005. [14] D. Smith, D. Schurig, M. Rosenbluth, S. Schultz, S. Ramakrishna, and J. Pendry, “Limitations on subdiffraction imaging with a negative refractive index slab,” Appl. Phys. Lett., vol. 82, no. 10, pp. 1506–1508, Mar. 2003. [15] W. C. Chew, “Some reflections on double negative materials,” in Progress in Electromagnetic Research, PIER 51, T. M. Grzegorczyk, Ed. Cambridge, MA: EMW, 2005, pp. 1–26. [16] S. A. Cummer, “Simulated causal subwavelength focusing by a negative refractive index slab,” Appl. Phys. Lett., vol. 82, no. 10, pp. 1503–1505, Mar. 2003. [17] L. Lu, Y. Hao, and C. G. Parini, “Dispersive FDTD characterisation of no phase-delay radio transmission over layered left-handed metamaterials structure,” Proc. Inst. Elect. Eng. Sci. Meas. Technol., vol. 151, no. 6, pp. 403–406, Nov. 2004. [18] X. S. Rao and C. K. Ong, “Amplification of evanescent waves in a lossy left-handed material slab,” Phys. Rev. B, Condens. Matter, vol. 68, Sep. 2003, Paper 113 103. [19] K. G. Balmain, A. E. Lüttgen, and P. Kremer, “Resonance cone formation, reflection, refraction, and focusing in a planar anisotropic metamaterial,” IEEE Antennas Wireless Propag. Lett., vol. 50, no. 2, pp. 146–149, Feb. 2002. [20] A. Ishimaru, S.-W. Lee, Y. Kuga, and V. Jandhyala, “Generalized constitutive relations for metamaterials based on the quasi-static Lorentz theory,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2550–2557, Oct. 2003. [21] R. Marqués and J. D. Baena, “Effect of losses and dispersion on the focusing properties of left-handed media,” Microw. Opt. Technol. Lett., vol. 41, no. 4, pp. 290–294, May 2004. [22] A. Grbic and G. V. Eleftheriades, “Negative refraction, growing evanescent waves, and sub-diffraction imaging in loaded transmission-line metamaterials,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2297–2305, Dec. 2005. [23] L. Chen, S. He, and L. Shen, “Finite-size effects of a left-handed material slab on the image quality,” Phys. Rev. Lett., vol. 92, no. 10, Mar. 2004, Paper 107404. [24] A. Alù and N. Engheta, “Pairing and epsilon-negative slab with a mu-negative slab: Resonance, tunneling and transparency,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2558–2571, Oct. 2003.

SOUNAS et al.: FOCUSING EFFICIENCY ANALYSIS AND PERFORMANCE OPTIMIZATION OF DNG METAMATERIAL SLABS WITH LOSSES

[25] C. Caloz, A. Sanada, and T. Itoh, “A novel composite right/left-handed coupled-line directional coupler with arbitrary coupling level and broad bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 980–992, Mar. 2004. [26] R. Marqués, F. Mesa, J. Martel, and F. Medina, “Comparative analysis of edge- and broadside-coupled split ring resonators for metamaterial: Design, theory and experiments,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2572–2581, Oct. 2003. [27] P. Baccarelli, P. Burghignoli, F. Frezza, A. Galli, P. Lampariello, G. Lovat, and S. Paulotto, “Effects of leaky-wave propagation in metamaterial grounded slabs excited by a dipole source,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 32–44, Jan. 2005. [28] I. A. Eshrah, A. A. Kishk, A. B. Yakovlev, and A. W. Glisson, “Rectangular waveguide with dielectric-filled corrugations supporting backward waves,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3298–3304, Nov. 2005. [29] C. L. Holloway, E. F. Kuester, J. Baker-Jarvis, and P. Kabos, “A double negative (DNG) composite medium composed of magnetodielectric spherical particles embedded in a matrix,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2596–2603, Oct. 2003. [30] T. M. Grzegorczyk, C. D. Moss, J. Lu, X. Chen, J. Pacheco, Jr., and J. A. Kong, “Properties of left-handed metamaterials: Transmission, backward phase, negative refraction, and focusing,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2956–2967, Sep. 2005. [31] I. A. Eshrah, A. A. Kishk, A. B. Yakovlev, and A. W. Glisson, “Spectral analysis of left-handed rectangular waveguides with dielctric-filed corrugations,” IEEE Trans. Antennas Propag., vol. 53, no. 11, pp. 3673–3683, Nov. 2005. [32] I. V. Lindell and A. H. Sihvola, “Negative-definite media, a class of bi-anisotropic metamaterials,” Microw. Opt. Technol. Lett., vol. 48, no. 3, pp. 602–606, Mar. 2006. [33] M. Gil, J. Bonache, I. Gil, J. García-García, and F. Martín, “On the transmission properties of left-handed micro-strip lines implemented by complementary split rings resonators,” Int. J. Numer. Model., vol. 19, no. 2, pp. 87–103, Mar.–Apr. 2006. [34] T. Weiland, R. Schuhmann, R. B. Greegor, C. G. Parazzoli, A. Vetter, D. R. Smith, D. C. Vier, and S. Schultz, “Ab initio numerical simulation of left-handed metamaterials: Comparison of calculations and experiments,” J. Appl. Phys., vol. 90, no. 10, pp. 5419–5424, Nov. 2001. [35] P. Markós and C. M. Soukoulis, “Numerical studies of left-handed materials and arrays of split ring resonators,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 65, 2002, Paper 036 622. [36] A. Akyurtlu and D. H. Werner, “A novel dispersive FDTD formulation for modeling transient propagation in chiral metamaterials,” IEEE Trans. Antennas Propag., vol. 52, no. 9, pp. 2267–2276, Sep. 2004. [37] M. Feise, J. Schneider, and P. Bevelacqua, “Finite-difference and pseudospectral time-domain methods applied to backward-wave metamaterials,” IEEE Trans. Antennas Propag., vol. 52, no. 11, pp. 2955–2962, Nov. 2004. [38] P. P. M. So, H. Du, and W. J. R. Hoefer, “Modeling of metamaterials with negative refractive index using 2D-shunt and 3D-SCN TLM networks,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1496–1505, Apr. 2005. [39] A. A. Sukhorukov, I. V. Shadrivov, and Y. S. Kivshar, “Wave scattering by metamaterial wedges and interfaces,” Int. J. Numer. Model., vol. 19, no. 2, pp. 105–117, Mar.–Apr. 2006. [40] T. Kokkinos, C. D. Sarris, and G. V. Eleftheriades, “Periodic finitedifference time-domain analysis of loaded transmission-line negativerefractive-index metamaterials,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1488–1495, Apr. 2005. [41] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 3rd ed. Norwood, MA: Artech House, 2005. [42] J.-P. Bérenger, “A perfectly matched layer for the absorption of electromagnetic waves,” J. Comput. Phys., vol. 114, pp. 185–200, 1994. [43] X. Dong, X. S. Rao, Y. B. Gan, B. Guo, and W. Yin, “Perfectly matched layer-absorbing boundary condition for left-handed metamaterials,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 301–303, Jun. 2004.

4121

[44] D. Correia and J.-M. Jin, “3D-FDTD-PML analysis of left-handed metamaterials,” Microw. Opt. Technol. Lett., vol. 40, no. 3, pp. 201–205, Feb. 2005. [45] XFDTD: A Full Three-Dimensional EM Solver Based on the FiniteDiffrence Time-Domain Method. ver. 6.0, Remcom Inc., State College, PA, 2005. [46] QuickWave3D: A General Purpose Electromagnetic Simulator Based on Conformal Finite-Difference Time-Domain Method. ver. 2.2, QWED Sp. Zoo, Warsaw, Poland, 1998. [47] CST MWS: Computer Simulation Technology: Microwave Studio. CST, Wellesley Hills, MA, 2006. [48] HFSS: High Frequency Structure Simulator Based on the Finite Element Method. ver. 9.2.1, Ansoft Corporation, Pittsburgh, PA, 2004.

Dimitrios L. Sounas received the Diploma degree in electrical and computer engineering from the Aristotle University of Thessaloniki (AUTH), Thessaloniki, Greece, in 2004, and is currently working toward the Ph.D. degree at AUTH. His research interests include numerical techniques such as the FDTD and ADI-FDTD methods with an emphasis on DNG materials.

Nikolaos V. Kantartzis (S’94–M’99) received the Diploma and Ph.D. degrees in electrical and computer engineering from the Aristotle University of Thessaloniki (AUTH), Thessaloniki, Greece, in 1994 and 1999, respectively. In 1999, he joined the Applied and Computational Electromagnetic Laboratory, Department of Electrical and Computer Engineering, AUTH, as a PostDoctoral Research Fellow. He coauthored Higher-Order FDTD Schemes for Waveguide and Antenna Structures (Morgan & Claypool, 2006). He has authored or coauthored several refereed journal papers in the area of computational electromagnetics and especially higher order finite-difference time-domain methods, PMLs, and vector finite elements. His main research interests include time- and frequency-domain algorithms, electromagnetic compatibility (EMC) modeling, DNG metamaterials, waveguides, and antenna structures.

Theodoros D. Tsiboukis (S’79–M’81–SM’99) received the Diploma degree in electrical and mechanical engineering from the National Technical University of Athens, Athens, Greece, in 1971, and the Ph.D. degree from the Aristotle University of Thessaloniki (AUTH), Thessaloniki, Greece, in 1981. From 1981 to 1982, he was with the Electrical Engineering Department, University of Southampton, Southampton, U.K., as a Senior Research Fellow. Since 1982, he has been with the Department of Electrical and Computer Engineering (DECE), AUTH, where he is currently a Professor. He has served in numerous administrative positions including Director of the Division of Telecommunications, DECE (1993–1997) and Chairman, DECE (1997–2001). He is also the Head of the Advanced and Computational Electromagnetics Laboratory, DECE. He has authored or coauthored eight books and textbooks including Higher-Order FDTD Schemes for Waveguide and Antenna Structures (Morgan & Claypool, 2006). He has authored or coauthored over 125 refereed journal papers and over 100 international conference papers. He was the Guest Editor of a special issue of the International Journal of Theoretical Electrotechnics (1996). His main research interests include electromagnetic-field analysis by energy methods, computational electromagnetics (finite-element method (FEM), boundary-element method (BEM), vector finite elements, method of moments (MoM), FDTD method, ADI-FDTD method, integral equations, and absorbing boundary conditions), metamaterials, photonic crystals, and inverse and EMC problems. Prof. Tsiboukis is a member of various societies, associations, chambers, and institutions. He was the chairman of the local organizing committee of the 8th International Symposium on Theoretical Electrical Engineering (1995). He has been the recipient of several awards and distinctions.

4122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Analytical Approach for Analysis of Nonuniform Lossy/Lossless Transmission Lines and Tapered Microstrips Mohammad Hadi Eghlidi, Khashayar Mehrany, and Bizhan Rashidian

Abstract—In this paper, distribution of voltage along a general nonuniform transmission line is expanded in an appropriate form, and by employing an approach similar to conventional and modified differential transfer matrix methods already proposed for optical structures, analytical expressions are obtained for voltage/current distributions and reflection/transmission coefficients. This method shows great accuracy in different test cases and has been found to be superior to the well-known analytical method of small reflections. Notwithstanding, the overall accuracy of proposed approach is further improved by introducing the technique of multiple divisions. In particular, lossy/lossless tapered microstrip lines are examined, and excellent results are obtained. In deriving the formulation, a rigorous approach is followed and no simplifying assumptions are made; however, thanks to the analytical nature of the proposed method, high computational resources are not needed, and the results can be obtained extremely fast. This feature makes it suitable for optimization and synthesis of nonuniform transmission lines. Index Terms—Analytical methods, microstrip, nonuniform transmission line, tapered microstrip, transfer matrix, transmission line.

I. INTRODUCTION

R

EGARDING the miniaturization and ever higher density packing of electronic devices, there is a high demand for having fast and reliable methods of analyzing the most widely used components of very large scale integration (VLSI) circuits and microwave integrated circuits. Nonuniform transmission lines are one of those components, and they have been extensively used by microwave engineers in many applications, including impedance matching [1], pulse shaping [2], antennas [3], pulsed circuits [4], filters [5], and analog signal processing [6]. Thanks to their ability to provide a smooth connection between high-density integrated circuits and their chip carriers, they also exist in many very large scale integration (VLSI) interconnections [7], [8]. As all of these applications call for an efficient, fast, and reliable method of analysis, many different

Manuscript received April 17, 2006; revised July 16, 2006. M. H. Eghlidi was with the Electrical Engineering Department, Sharif University of Technology, 11365-9363 Tehran, Iran. He is now with the Technical Faculty of Christian-Albrechts-Universität, D-24143 Kiel, Germany (e-mail: [email protected]). K. Mehrany is with the Department of Electrical Engineering, Sharif University of Technology, 11365-9363 Tehran, Iran (e-mail: [email protected]). B. Rashidian is with the Department of Electrical Engineering, the Institute for Nano Science and Technology, and the Center of Excellence for Nano Structures, Sharif University of Technology, 11365-9363 Tehran, Iran (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.885565

techniques have been developed for analyzing nonuniform transmission lines over the past 60 years. The reflection coefficient of voltage/current along the transmission line can be expressed by a nonlinear Ricatti-type differential equation, whose general solution does not exist analytically [1]. This lack of general analytical solutions has spurred the use of different numerical techniques [9], [10] for analysis of the most general case. Notwithstanding, the theories of exponential [1], [11], [12], parabolic [13], [14], cosine squared [14], linearly tapered [15], and power-law transmission lines [16] are well established. However, finding an analytical solution for general nonuniform transmission lines is still commendable. Such a solution can be specifically useful in fast analysis, synthesis [17], [18], and optimization [19] of desired structures. In this paper, a differential transfer matrix method (DTMM) is employed for analyzing tapered transmission lines, where, by expanding voltage distribution in terms of unknown coefficients, analytical formulas for voltage/current distributions and transmission/reflection coefficients are found. This proposed approach is capable of analyzing the most general nonuniform lossy/lossless transmission lines, whose characteristic impedance and propagation coefficient can both be position-dependent. Fortunately, high computational resources are not needed, and the proposed technique has a short run time. It should be noted that the DTMM has been previously reported for studying the wave propagation in one-dimensional (1-D) and nonhomogeneous isotropic [20]–[22] and anisotropic [23] optical structures. Furthermore, some special treatments in multisection structures are discussed, and new techniques of geometrically uniform and electrically uniform multiple divisions are introduced for improving the accuracy of the proposed method. The organization of this paper is as follows. In Section II, the main formulation of the method for calculation of differential transfer matrix and voltage/current distributions is introduced. In Section III, reflection/transmission coefficients are derived, and some special cases are further discussed. In Section IV, the accuracy of the presented method and its superiority to the analytical small reflection method [1], [5] is proven by some numerical examples. In particular, tapered microstrip lines are investigated in Section V. Finally, conclusions are made in Section VI. II. ANALYSIS OF NONUNIFORM TRANSMISSION LINES The voltage wave in each point of a transmission line is composed of a right traveling wave and a left traveling wave. In a tapered transmission line, which is shown schematically in Fig. 1, the amplitudes of these waves are dependent on the coordinate,

0018-9480/$20.00 © 2006 IEEE

EGHLIDI et al.: ANALYTICAL APPROACH FOR ANALYSIS OF NONUNIFORM LOSSY/LOSSLESS TRANSMISSION LINES AND TAPERED MICROSTRIPS

Similarly, using the continuity of current at point suming lead to

Fig. 1. Illustration of a tapered transmission line terminated with a matched load.

i.e., . Here, the following form for the voltage distribution is expanded in terms of two forward and backward waves:

4123

and as-

(5) Equations (4) and (5) can be written in the matrix form as

(6) (1a) where

in which

and

is the characteristic impedance at point , and are unknown functions to be determined later. Also

(1b) in which denotes the propagation constant. It should be noted that the characteristic impedance and propagation constant could be complex to account for lossy structures. One can conclude the following form for the current distribution:

(7) where is the derivative of the function The solution to (6) can be written as

.

(8) is a 2 2 matrix and is referred to as the transfer where matrix from 0 to . This matrix can be approximately calculated by the following equation [20]–[24]:

(2) To find the unknown functions , we follow the differential method used in [20]–[24]. For this purpose, one can locally consider and as piecewise constant functions that are equal to and on the left side of the point and and on the right side of the same point. Then, the continuity of voltage at point is written as

(9) in which

can be expanded as

(10)

(3) Further simplification of the preceding equation in the limiting case of yields

However, noting that is an off-diagonal matrix, one can draw a conclusion in the form of (11), shown at the bottom of this page, where (12a)

(4)

(12b)

(11)

4124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Finally, it can be easily verified that

is the reflection caused by the mismatch at the Furthermore, end of tapered transmission line and is given by

(16) (13a)

is the load impedance connected to the end of the in which tapered line. In the special case of using the tapered transmission line as a matching section in which there is no mismatch at the end of , becomes zero, the tapered line or, equivalently, then (14) and (15) reduce to

(13b) (17) are constants, ’s are given by (12a) where and (12b), and ’s are the elements of the matrix . These equations together with (1) and (2) give a solution for voltage and current distributions. III. SPECIAL CONSIDERATIONS Here, reflection and transmission coefficients are derived, some modifications indispensable in analysis of cascaded structures are discussed, and, finally, the multiple division technique is introduced for augmenting the overall accuracy of the obtained results.

(18)

B. Cascaded Structures In the case where tapered transmission lines are connected to each other, the overall transfer matrix can be computed by using the following formula:

(19) A. Reflection and Transmission Coefficients The reflection and transmission coefficients of a tapered transmission line placed within the region of are derived in this subsection. Assume that a right-traveling wave is incident upon the medium from the left boundary at . It can be shown that the reflection and transmission coefficients are respectively given by (14) and (15), shown at the bottom of this page, where ’s are the elements of transfer matrix , ’s are defined by (12a) and (12b), and .

denotes the transfer matrix associated with the th taHere, pered section and can be calculated by using (11). Also, , which we refer to as the jump matrix, is associated with the in. These terface between the two consecutive sections and jump matrices can be computed by pursuing the subsequent procedure. Considering as the interface point between the two and writing down the continuity successive sections and

(14)

(15)

EGHLIDI et al.: ANALYTICAL APPROACH FOR ANALYSIS OF NONUNIFORM LOSSY/LOSSLESS TRANSMISSION LINES AND TAPERED MICROSTRIPS

4125

Fig. 2. Illustration of tapered lines with triangular and exponential distributions used in the examples.

condition of voltage and current distributions at the interface result in

(20) and , respectively, refer to the points just before where and after the point and is the jump matrix of the th interface given by (21), as shown at the bottom of this page, where one reference point, i.e., , has been chosen for all constituent sections. On the other hand, each tapered section can have its own reference point, i.e., , for the th section. In this case, the continuity of voltage and current in an arbitrary interface point leads to a different jump matrix given by (22), as shown at the bottom of this page. C. Technique of Multiple Divisions It will be shown in Section IV that, as the contrast of within the analyzed tapered line increases, the accuracy of the presented method declines. However, the whole tapered line can be divided into multiple divisions, each of them forming a subsection whose impedance profile is of lower contrast.

Fig. 3. Polar locus of voltage and current of triangular tapered line for L= = 1:4, Z = 50, and Z = 100. (a) Voltage. (b) Current. Here, the voltage is normalized to the voltage at x = 0.

Now, these constituent subsections, i.e., multiple divisions, should be cascaded by following the procedure set forth in Section III-B. The improved accuracy gained by following this technique is numerically demonstrated in Section IV. It should be noted that different strategies can be chosen for breaking the whole structure into its constituent multiple divisions. For instance, the overall structure can be uniformly divided into subsections of equal lengths. This approach is called the technique of geometrically uniform multiple divisions. However, this is not always the best choice, and it can be shown that, with a fixed number of constituent subsections,

(21)

(22)

4126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 4. Error of the normalized reflection coefficient versus normalized frequency for a triangular tapered line with Z = 50 and Z = 300. Inset: normalized reflection coefficient versus normalized frequency.

Fig. 7. Relative error of the normalized reflection coefficient versus normalized frequency for the exponential tapered line analyzed in Fig. 6.

TABLE I TEST CIRCUIT PARAMETERS [15]

Fig. 5. Relative error of the normalized reflection coefficient versus normalized frequency for the triangular tapered line analyzed in Fig. 4.

All lengths in mils.

Fig. 6. Error of the normalized reflection coefficient versus normalized frequency for an exponential tapered line with Z = 50 and Z = 300. Inset: normalized reflection coefficient versus normalized frequency.

an appropriate strategy of dividing the structure into subsections of different lengths can lead to better results. One other approach whose superiority over the geometrically uniform division strategy is shown in Section IV is the one for which the following criterion is considered in breaking the entire transmission line into its constituent subsections:

(23) and denote the impedance at the beginning and end Here, of the th subsection, respectively. It should be also noticed that

Fig. 8. Microstrip circuits used for experimental verification of the proposed method [15].

, , and stands for the total number of divisions. In this paper, this technique has been referred to as the technique of electrically uniform multiple divisions. Employing such an approach in breaking the whole transmission line into subsections results in multiple divisions, whose ratios of impedance values at the beginning and at the end of it, i.e., for different values of , are equal to each other.

EGHLIDI et al.: ANALYTICAL APPROACH FOR ANALYSIS OF NONUNIFORM LOSSY/LOSSLESS TRANSMISSION LINES AND TAPERED MICROSTRIPS

4127

Fig. 9. Scattering parameters of test circuits A–D on low-loss substrates versus frequency: (a) circuit A, (b) circuit B, (c) circuit C, and (d) circuit D. Results of measurements are extracted from [15].

As for the accuracy of the proposed method depending on the contrast of impedance variations within the line, this way of dividing the line into several cascaded subsections heuristically seems more efficient compared with other possible approaches of multiple divisions. IV. NONUNIFORM TRANSMISSION LINES Here, the applicability of the proposed method in obtaining voltage/current distributions and tapered line characteristics are investigated by analyzing some specific examples of nonuniform transmission lines. The superiority of our analytical method to the widely used small reflections method [1] is also shown. Furthermore, the improvement obtained by following the technique of multiple divisions is numerically demonstrated. The results obtained by following the conventional numerical method of cascading uniform transmission lines [7], [8], [25] are used to examine those results obtained by applying our method. The first example to be studied is a tapered line with the characteristic impedance given by (24) , and stand for the length of the transmission where , line, the load impedance, and the reference impedance, respectively. In accordance with [1], this profile is associated with a tapered line of triangular distribution, where is a triangular function. This profile is plotted in Fig. 2.

Fig. 3(a) and (b) shows the polar locus of voltage and current , , and . along the tapered line for Here, the voltage is normalized to the value of voltage at . In Fig. 4, the incurred error of calculating a normalized reflection coefficient by following our approach and the method of small reflections is plotted versus normalized frequency. Also, the relative error, in percentages, is shown in Fig. 5. These figures clearly demonstrate the superiority of our method over the method of small reflections. This example can also be analyzed by the method introduced in [24]. The result is not considerably different from the results of the proposed method. The maximum relative difference between the results of two methods is at most as high as 10 %. As another example, a tapered line with the following characteristic impedance is considered: (25) Again, , , and denote the length of the transmission line, the load impedance, and the reference impedance, respectively. Also, it is assumed that and . This profile is associated with the tapered line of exponential distribution [1] and is shown in Fig. 2. In Fig. 6, the incurred error of computing the normalized reflection coefficient versus normalized frequency is plotted, where four different methods, i.e., the small reflections method, the differential transfer matrix with no divisions, the technique of cascading four geometrically uniform divisions (four uniform divisions), and the technique

4128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

the analysis of optical structures, where no simplifying assumption is made and a rigorous approach is followed. Reflection and transmission coefficients were derived, the method of analyzing cascaded structures was presented, and the techniques of multiple divisions for improving the accuracy of the proposed method were also introduced. The applicability of the proposed method and its superiority to the well-known small reflections method was shown via several numerical examples. In particular, nonuniform lossy/lossless microstrip lines were investigated by following our proposed method. REFERENCES Fig. 10. Scattering parameters of test circuit E on lossy substrate versus frequency; results of measurement are extracted from [15].

of cascading four electrically uniform divisions (four nonuniform divisions), are compared with each other. Also, the corresponding relative error, in percentages, is plotted in Fig. 7. These figures noticeably demonstrate the superiority of using the criterion (23) in applying the technique of multiple divisions. V. TAPERED MICROSTRIP LINES Tapered microstrip lines have been extensively used in many applications and play an important role in microwave engineering. These lines can be characterized by simple circuit models, whenever the fringing fields are negligible and only the dominant or quasi-TEM modes propagate along the line. In this section, the model derived by Hammerstad and Jensen [26] is employed to obtain the variation of the microstrip effective dielectric constant and characteristic impedance as a function of the width-to-height ratio , and then different microstrip circuits are analyzed. It should be noted that this model also takes the nonzero strip thickness and dispersion into account. Five circuits, which were recently analyzed by Edwards et al. [15], are considered to verify the accuracy of our proposed method. These circuits, adapting the nomination of [15], are designated as circuits A-E. Table I recapitulates the parameters of these circuits. Two circuit configurations used in these experiments are shown in Fig. 8. Figs. 9(a)–(d) and 10 show the obtained results of test circuits A-D on low-loss substrates and those of test circuit E on moderately lossy substrate, respectively. Results of the measurements are those given in [15]. Our results are in excellent agreement with experimental results. In particular, compared with the results given in [15], following our approach seems to render simulation results of better accuracy, especially for test circuits C and D. This point can be explained by considering the fact that none of the simplifying assumptions made in [15], i.e., linearly varying effective permittivity and linearly varying logarithm of characteristic impedance , are employed in our proposed method. VI. CONCLUSION A new analytical method has been introduced for analyzing tapered transmission lines. This method is based on a suitable expansion of voltage distribution with unknown coefficients obtained by following a method similar to conventional and modified differential transfer matrix methods already employed for

[1] R. E. Collin, Foundations for Microwave Engineering. New York: McGraw-Hill, 1992. [2] S. C. Burkhart and R. B. Wilcox, “Arbitrary pulse shape synthesis via nonuniform transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 10, pp. 1514–1518, Oct. 1990. [3] N. H. Younan, B. L. Cox, C. D. Taylor, and W. D. Rather, “An exponentially tapered transmission line antenna,” IEEE Trans. Electromagn. Compat., vol. 36, no. 1, pp. 141–144, Feb. 1994. [4] C. E. Baum and J. M. Lehr, “Tapered transmission-line transformers for fast high-voltage transients,” IEEE Trans. Plasma Sci., vol. 30, no. 5, pt. 1, pp. 1712–1721, Oct. 2002. [5] D. K. Misra, Radio-Frequency and Microwave Communication Circuits: Analysis and Design. New York: Wiley, 2001. [6] L. A. Hayden and V. K. Tripathi, “Nonuniformly coupled microstrip filters for analog signal processing,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 1, pp. 47–53, Jan. 1991. [7] J. E. Schutt-Aine, “Transient analysis of nonuiform transmission lines,” IEEE Trans. Circuits Syst., vol. 39, no. 5, pp. 378–385, May 1992. [8] T. Dhaene, L. Martens, and D. D. Zutter, “Transient simulation of arbitrary nonuniform interconnection structures characterized by scattering parameters,” IEEE Trans. Circuits Syst., vol. 39, no. 11, pp. 928–937, Nov. 1992. [9] V. Dvorak, “Computer simulation of signal propagation through a nonuniform transmission line,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 9, pp. 1210–1212, Sep. 1973. [10] T. Kashiwa, M. Sasaki, S. Maeda, and I. Fukai, “Full wave analysis of tapered microstrip lines using the conformal grids FD-TD method,” in IEEE MTT-S Int. Microw. Symp. Dig., 1992, pp. 1213–1216. [11] C. W. Hsue and C. D. Hechtman, “Transient analysis of non-uniform high pass transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1023–1030, Aug. 1990. [12] C. W. Hsue, “Time-domain scattering parameters of an exponential transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 11, pp. 1891–1895, Nov. 1991. [13] P. Bouchard and R. J. Gagne, “Transient analysis of lossy parabolic transmission lines with nonlinear loads,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1330–1333, Jun. 1995. [14] M. J. Ahmed, “Impedance transformation for exponential, cosine-squared, and parabolic tapered transmission lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 6, pp. 67–68, Jun. 1981. [15] C. L. Edwards, M. L. Edwards, S. Cheng, R. K. Stilwell, and C. C. Davis, “A simplified analytic CAD model for linearly tapered microstrip lines including losses,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 823–830, Mar. 2004. [16] H. Curtins and A. V. Shah, “Step response of lossless nonuniform transmission lines with power law characteristic impedance function,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 11, pp. 1210–1212, Nov. 1985. [17] J. P. Mahon and R. S. Elliott, “Tapered transmission lines with a controlled ripple response,” IEEE Trans. Microw. Theory Tech., vol. 21, no. 9, pp. 580–583, Sep. 1990. [18] Y. Wang, “New method for tapered transmission line design,” Electron. Lett., vol. 27, pp. 2396–2398, 1991. [19] R. E. Collin, “The optimum tapered transmission line matching section,” Proc. IRE, vol. 44, no. 4, pp. 539–548, Apr. 1956. [20] S. Khorasani and K. Mehrany, “Differential transfer-matrix method for solution of one-dimensional linear nonhomogeneous optical structures,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 20, pp. 91–96, 2003. [21] S. Khorasani and A. Adibi, “New analytical approach for computation of band structure in one-dimensional periodic media,” Opt. Commun., vol. 216, pp. 439–451, 2003.

EGHLIDI et al.: ANALYTICAL APPROACH FOR ANALYSIS OF NONUNIFORM LOSSY/LOSSLESS TRANSMISSION LINES AND TAPERED MICROSTRIPS

[22] M. H. Eghlidi, K. Mehrany, and B. Rashidian, “Modified differential transfer matrix method for solution of one dimensional linear inhomogeneous optical structures,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 22, pp. 1521–1528, 2005. [23] K. Mehrany and S. Khorasani, “Analytical solution of non-homogeneous anisotropic wave equations based on differential transfer matrix method,” J. Opt. A., Pure Appl. Opt., vol. 4, pp. 624–635, 2002. [24] B. Faraji, M. H. Eghlidi, K. Mehrany, and B. Rashidian, “Analytical approach for analyzing tapered transmission lines,” in Proc. Eur. Circuit Theory Des. Conf., 2005, vol. 3, pp. III/181–III/184. [25] K. N. S. Rao, V. Mahadevan, and S. P. Kosta, “Analysis of straight tapered mirostrip lines—ASTMIC,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 2, p. 164, Feb. 1977. [26] E. Hammerstad and O. Jensen, “Accurate model for microstrip computer aided design,” in IEEE MTT-S Int. Microw. Symp. Dig., 1980, pp. 407–409.

Mohammad Hadi Eghlidi was born in Shiraz, Iran, in 1980. He received the B.Sc. and M.Sc. degrees in electrical engineering from Sharif University of Technology, Tehran, Iran, in 2002 and 2005, respectively. He is currently with the Technical Faculty of Christian-Albrechts-Universität, Kiel, Germany. His research interests include analytical and numerical methods

4129

in electromagnetic and optics and analysis and applications of composite magnetic materials.

Khashayar Mehrany was born in Tehran, Iran, on September 16, 1977. He received the B.Sc., M.Sc., and Ph.D. (magna cum laude) degrees from Sharif University of Technology, Tehran, Iran, in 1999, 2001, and 2005, respectively, all in electrical engineering. Since then, he has been an Assistant Professor with the Department of Electrical Engineering, Sharif University of Technology. His research interests include photonics, semiconductor physics, nanoelectronics, and numerical treatment of electromagnetic problems.

Bizhan Rashidian received the B.Sc. and M.Sc. (with the highest honor) degrees from Tehran University, Tehran, Iran, in 1987 and 1989, respectively, and the Ph.D. degree from the Georgia Institute of Technology, Atlanta, in 1993, all in electrical engineering. Since 1994, he has been with the Department of Electrical Engineering, Sharif University of Technology, Tehran, Iran, where he is currently a Professor. He is also the Founding Director of the Microtechnology Laboratory, Nanoelectronics Laboratory, and the Photonics Laboratory. His active research areas include optics, nanoelectronics, micromachining, microelectronics, and ultrasonic.

4130

Ka

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Oversized -Band Traveling-Wave Window for a High-Power Transmission Alexandr Bogdashov, Gregory Denisov, Dmitry Lukovnikov, Yury Rodin, Dmitry Sobolev, and Jay L. Hirshfield

Abstract—A new millimeter-wave barrier window in an oversized metallic circular waveguide has been developed for high-power transmission. The novel design utilizes the traveling-wave regime in an oversized profiled guide and synthesized TE0 mode mixing to minimize field intensity at the alumina ceramics surface. Proper choice of ceramics thickness provides necessary broadening of the operation frequency band. Low-power measurements show a reflection level below 25 dB at the operating frequency band of 34.272 0.06 GHz. Index Terms—Accelerator RF systems, breakdown, electromagnetic coupling, resonators, traveling-wave (TW) devices.

I. INTRODUCTION

A

NUMBER of components for a future -band linear accelerator are under development for use at very high powers, in the range 0.1–1 GW, depending on the component [1], [2]. The 45-MW 34.272-GHz magnicon amplifier is considered as an RF source for collider by at first employing passive and later active compression with a power gain of up to seven of 1- s-wide output pulses from its, but transmission of this intense peak power pulse from the RF source to an accelerator structure under test will require a reliable barrier window, development of which is proposed here. A barrier window will provide separation between segments of the RF transmission system such as between an RF source and a load. The window will invariably operate with vacuum on both sides, although it must be built to withstand atmospheric pressure forces during transport and installation of components or test structures. The window should introduce minimal reflections and loss at its design frequency, and not be subject to multipactor discharges on its surfaces within its operating power range [3]. Typical frequency band of RF sources does not exceed 100 MHz. When operation at high average power levels is anticipated, the window design must embody sufficient cooling to avoid overheating and subsequent failure; this is a major challenge for windows used in megawatt continuous-wave gyrotrons for plasma heating applications [4], but is not so severe at the 5–50-kW average power levels that are typical for accelerator systems. Manuscript received May 23, 2006; revised August 4, 2006. This work was supported in part by the U.S. Department of Energy, Office of High Energy Physics. A. Bogdashov, G. Denisov, D. Lukovnikov, Y. Rodin, and D. Sobolev are with the Institute of Applied Physics, Russian Academy of Sciences, 603950 Nizhny Novgorod, Russia (e-mail: [email protected]; [email protected]). J. L. Hirshfield is with the Department of Physics, Yale University, New Haven, CT 06520 USA, and also with Omega-P Inc., New Haven, CT 06520 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.885895

II. BARRIER WINDOW DESIGN PRINCIPLES The following general principles are used in the travelingwave (TW) window design. 1) The oversized (high ration of diameter to wavelength) window disk itself should be made of alumina ceramics Al O . Alumina disks are available in quite large sizes, and the technology is established for brazing such disks with diameters up 100 mm to copper cuffs. Alumina ceramics has low microwave losses at 30–35 GHz with a loss tangent of approximately 1 2 10 [5]. The material has reasonable electrical breakdown strength, being able to withstand 4–7-kV/mm electric field amplitude along the surface for microsecond-length pulses. There exists a long history of using alumina for barrier windows [6]–[12]. 2) The TW mode of propagation is used in the dielectric window [13]–[15]. This, as opposed to the standing-wave mode, allows a significant drop in electric field on the , disk surface for a fixed power level, proportional to where is the dielectric permittivity. This drop arises because of disk matching by means of additional reflector elements in the metal waveguide. Since the permittivity , the ratio of for alumina is rather high the maximum intensities is 1.75 : 1 by comparison with a half-wavelength window. modes will be used because 3) A combination of only of their low ohmic wall attenuation and because of their zero electric fields at the waveguide walls. The latter fact is very important for the window design because the electric fields in the most critical window dielectric-metal braze area will be low. 4) A new synthesis technique [16] was used to design short reflector to the larger waveguide transition from the window aperture, and to provide an optimal mode mixture, which has a flattened field pattern at the disk. Note that the oversized waveguide cross section near the disk is highly overmoded: for the example described here, modes can propagate in the waveguide. It is four thus important to design with care the tapers between the input/output waveguides and window apertures. The tapers should have the shortest possible length in order to provide an acceptable frequency band, and should also form an opmutual phases. timal mode mixture with and III. SIMPLIFIED ANALYSIS OF THE TW WINDOW The basic principles of the TW-window operation could be demonstrated at a simple plane-wave model (Fig. 1). The and TW-window contains a dielectric slab (of thickness permittivity ) and two reflectors placed at the distances

0018-9480/$20.00 © 2006 IEEE

BOGDASHOV et al.: OVERSIZED

-BAND TW WINDOW FOR HIGH-POWER TRANSMISSION

4131

Fig. 1. Simplified model of the TW-window system.

Fig. 2. Scattering matrix notations.

from the slab. The reflectors are not detailed here and characterized by an amplitude reflection and transmission coefficients and , respectively. In this description, only forward and backward TWs are taken correinto account. They have complex amplitudes and spondingly (Fig. 2). Scattering matrix formalism is very useful for the analysis of the window [17]. Each element of the system characterized by the 2 2 scattering matrix, where the diagonal elements are the reflection and the nondiagonal are transmission coefficients. The incident and scattered wave amplitudes are connected as follows: (1) The scattering matrix for the whole system is obtained by consequent cascading of elementary scattering matrices. If the electromagnetic fields of the waves in dielectric are normalized like (2) then the reflection and transmission coefficients at the dielectric boundary are written as (3) the power conservation law looks the simplest way (4) The scattering matrices for the dielectric boundary are (5) The scattering matrices

and

for the reflectors

(6)

Fig. 3. Typical view of frequency characteristics versus slab thickness L .

are chosen to match with the dielectric slab. The scattering matrices for the uniform sections

(7) do not contain diagonal elements, and nondiagonal elements and express the phase shifts at corresponding lengths. The results of calculations for the described lossless model mm with preliminary selected parameters , , and are presented below. The reflection coefficients of both reflectors are equal to the reflection at the boundary of dielectric. This allows to use the effect of resonant enlightenment known from a Fabry–Perot interferometer with equal semireflecting mirrors. An elementary interferometer here is shaped by the reflector and the boundary of the dielectric. At a certain frequency, providing the desired phase, it is nearly 100% transmitting. Thus, at any thickness of the dielectric plate, the system of two equal elementary interferometers can provide full resonant transmission (below referred to as A-type resonance). On the other hand, two elementary interferometers and dielectric-filled space in between form an interferometer of next order, and in its turn, can also provide resonant enlightenment (below referred to as B-type resonance). Thus, at the window system frequency characteristic (Fig. 3), two resonances are observed. As follows from the graph, the position of B-type resonance unlike the A-type depends on the dielectric plate thickness resonance. Thus, due to B-type resonance, the transmission bandwidth may be regulated by changing . When changing this parameter, the pike between A- and B-resonances also changes. The transmission band is defined by a certain level of reflection (in Fig. 3 it is assumed to be 20 dB). At certain , the pike goes above this level, thus the defined transmission bandwidth step changed by two or more times.

4132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 4. Typical view of frequency characteristics for different L

=L .

Fig. 6. Frequency characteristics at different mismatch of shoulders.

Fig. 7. One-half of the TW-window block with mode converters and reflector. Fig. 5. Operating frequency band and maximal field in ceramics versus slab thickness L .

IV. DESIGN OF THE TW-WINDOW MOCK-UP

Changing shoulders length (for equal shoulders ) results in transmission band shift while the bandwidth stays the same (Fig. 4). The transversal field distribution along the system axis differs at different frequencies of transmission band. As follows from the graph, the A-type resonance provides the lowest electric field at the dielectric surface and inside. Since the position of the A-resonance does not depend on , this level (below referred to as ) also stay constant for all characteristics at any . The highest surface field level within the transmission band (below referred to as ) is observed at the frequency margins, is the critical parameter to be decreased in and order to prevent the surface breakdown. This parameter squared and inverted is plotted at Fig. 5 versus . Like the transmission bandwidth, it is step changed by the above-mentioned reason. Fig. 6 illustrates the influence of the shoulder mismatch on the frequency characteristic of the model. It is shown that the must not be more than mismatch parameter 0.001 for total window reflection dB.

The critical point of the design stage is a selection of the window diameter. The computer simulation of the flattened field distribution in the alumina ceramics window with an operating diameter of 40 mm predicts a 5.5-kV/mm peak value sufficient for 100-MW power transmissions at microsecond pulse (repetition rate is approximately 50–100 Hz). Simplified plane-wave analysis gave us preliminary estimations for the window parameters and geometry. Step-by-step TW-window optimization was carried out for reflector and up-taper profiles, frequency adjustment unit, and ceramics disk thickness in accordance with general design principles described above. The accurate scattering matrix method for axially symmetric systems with inclusion of ohmic and dielectric losses was used for these purposes. Schematic of the window block depicted in Fig. 7. The right half of the system is identical to the left one. The numerated components in Fig. 7 are as follows. -modereflectorsprofiledwithone-and-halfperiod 1) The sinusoidal corrugation. Bragg reflector provides a reflection

BOGDASHOV et al.: OVERSIZED

-BAND TW WINDOW FOR HIGH-POWER TRANSMISSION

4133

Fig. 9. TW-window mock-up power transmission. Fig. 8. Intensity of electric field on the window surface for the optimized TE TE TE mode mixture and pure TE mode. The ratio of the maximum intensities is 1.4 : 1.

0

0

level of for the incoming mode. A scattering matrix technique was used for calculation of the reflector andselectionoftheamplitudeandperiodofitsprofile. 2) A uniform guide section with variable length follows the Bragg reflector in order to provide fine frequency tuning. 3) A simple up-tapering cone section matches the frequencyadjusting section and follows the synthesized converter. 4) A synthesized cone section provides special mode conversion and up-tapering to the window disk. The optimal symmetrical mode mixture with intensity flattening (Fig. 8) is formed at the operating frequency. Mutual phases of the propagating modes equal zero or at the central window plane so two identical sections were used. A up-taper profile is synthesized by means of the algorithm described in [16]. Field intensity distribution at the window has a 1.4 lower maximal value in comparison with a pure mode. 5) An alumina ceramics disk (BK 100-1)1 was incorporated in the center of symmetrically placed shoulders of the mock-up. Disk thickness was selected 3.6 0.01 mm in accordance with measured dielectric permittivity value . Loss tangent less than 2 10 was also observed. A calculated power transmission coefficient of hard aluminum mock-up with ceramics exceeds 99.2% at 34.272 GHz (Fig. 9) [18]. Field distribution along the window mock-up at the central frequency of 34.272 GHz is shown in Fig. 10. Minimal electric field value 5.5 kV/mm for the 100-MW power level is in the mm . A TW window with an ceramics disk position internal diameter 63.5 mm provides 200-MW power transmission, respectively.

V. EXPERIMENTAL RESULTS The TW-window mock-up (Fig. 11) was designed, manufactured, and tested at a low power level (40 mW). An experimental setup scheme is shown in Fig. 12. 1[Online].

Available: http://www.vaccer.ru

Fig. 10. Calculated electric field amplitude distribution in the window mock-up at 34.272 GHz in the TW regime. Minimal electric field value corresponds ceramics disk position (z 100 mm).



Fig. 11. TW-window mock-up.

A polycrystalline alumina ceramics (BK100-1) disk was used for TW-window tests. This ceramics tangent losses is approximately 2 10 was measured. An additional component, i.e., a Marie mode transducer, with an output internal diameter of 11.4 mm was used for mock-up

4134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

in the best way for our purposes. The measurements were done with short load calibration. As follows from the graph (Fig. 13), a measured reflection coefficient of less than 25 dB was achieved in the frequency band 120 MHz. Imperfectly matched tapers and load cut down the level of reflection at 25 dB. The measured power transmission (Fig. 14) is 98 1.5% at the 34.272 GHz. Fig. 12. Scheme of the setup for reflection coefficient measurements.

VI. CONCLUSION A -band TW window in an oversized waveguide was designed for high-power transmission. Novelty of the design is in the use of the oversized guides with a synthesized profile and proper choice of the disk thickness providing appropriate having transmission bandwidth. Symmetrical modes low ohmic losses and zero electric field at the guide wall were used for peak field minimization. Special converters were placed symmetrically at both sides of the alumina ceramics disk and provide the TW regime in ceramics. Central frequency tuning was provided by the simultaneous length adjustment of both converters. The window mock-up was manufactured and tested at a low-power level. The operating frequency band for the Al O ceramics disk is approximately 120 MHz at a 25-dB power reflection level. ACKNOWLEDGMENT

Fig. 13. Calculated and measured reflection coefficient characteristics. Alumina ceramics is BK 100-1. Disk thickness is 3.6 6 0.01 mm, " 9:5, loss tangent is 2 1 10 .

=

The authors thank V. Gorbatushkov, S. Kornishin, and V. Parshin, all with the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, Russia, for their attentive relation to this study. REFERENCES

Fig. 14. Measured (crosses) and calculated (solid line) power transmission. Maximal transmission coefficient is 98 6 1.5% at 34.272 GHz.

excitation. It transforms the fundamental mode of the rectangular waveguide into the symmetrical mode of the cirpower mode purity exceeds 99% at the cular one. Output operating frequency of 34.272 GHz. As the plane-wave analysis predicted, equal lengths of the window shoulders diminished the reflection coefficient

[1] J. L. Hirshfield, O. A. Nezhevenko, M. A. Lapoiter, and V. P. Yakovlev, “Technology developments for a future millimeter-wave high-gradient linear accelerator,” in Joint Int. Infrared Millimeter Waves Conf. Dig., 2004, pp. 529–530. [2] A. Bogdashov, G. Denisov, D. Lukovnikov, Y. Rodin, and J. Hirshfield, “Ka-band resonant ring for testing components for a high-gradient linear accelerator,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3152–3154, Oct. 2005. [3] R. A. Kishec and Y. Y. Lau, “Multipactor discharge on a dielectric,” Phys. Rev. Lett., vol. 80, no. 1, pp. 193–196, 1998. [4] M. Thumm, “State-of-the-art of high power gyro-devices and free electron masers,” Forschungszentrum Karlsruhe, Karlsruhe, Germany, 2000, update 1999. [5] H. Matsumoto, “Development of a high power RF-window at S -band,” Int. Accelerator School, Hayama, Tsukuba, Japan, 1996. [6] Y. Saito, N. Matuda, S. Anami, H. Baba, A. Kinbara, G. Horikoshi, and J. Tanaka, “Breakdown of alumina RF windows,” IEEE Trans. Electr. Insul., vol. 24, pp. 1029–1032, 1989. [7] S. Yamaguchi, Y. Saito, S. Anami, and S. Michizono, “Trajectory simulation of multipactoring electrons in an S -band pillbox RF window,” IEEE Trans. Nucl. Sci., vol. 39, no. 2, pp. 278–282, Apr. 1992. [8] C. Adolphsen et al., “International Study Group progress report on linear collider development,” SLAC, Menlo Park, CA, SLAC-Rep.559, KEK Rep. 2000-7, Apr. 2000, pp. 168–172. [9] A. Neuber, J. Dickens, D. Hemment, H. Krompolz, L. L. Hatfield, and M. Kristiansen, “Window breakdown caused by high-power microwaves,” IEEE Trans. Plasma Sci., vol. 26, no. 3, pp. 296–303, Jun. 1998. [10] A. Valfells, L. K. Ang, Y. Y. Lau, and R. M. Gilgenbach, “Effects of an external magnetic field, and of oblique radio-frequency electric fields on multipactor discharge on a dielectric,” Phys. Plasmas, vol. 7, no. 2, pp. 750–757, Feb. 2000. [11] W. R. Fowkes, R. S. Callin, E. N. Jongewaard, D. W. Sprehn, S. G. Tantawi, and A. E. Vlieks, “Recent advances in high power RF windows at X -band,” in Proc. AIP Conf., 1999, vol. 474, pp. 289–295.

BOGDASHOV et al.: OVERSIZED

-BAND TW WINDOW FOR HIGH-POWER TRANSMISSION

[12] J. Nielson, L. Ives, and S. G. Tantawi, “Design and test of a 100 MW -band TE window,” in Proc. IVEC Conf., 2002, pp. 218–219. [13] S. Y. Kazakov, “A new traveling-wave mixed-mode RF window with low electric field in ceramic-metal brazing area,” KEK Preprint, pp. 98–140, Aug. 1998. [14] ——, “RF window with TW in ceramics,” in Int. Pulsed RF Power Sources for Linear Colliders Workshop, Dubna-Protvino, Russia, Jul. 5–9, 1993. [15] M.-C. Lin, K. H. Huang, and R.-F. Jao, “A fast approach to design broadband waveguide windows for high-power microwave tubes,” in Proc. IVEC Conf., 2004, pp. 40–41. [16] G. G. Denisov, G. I. Kalynova, and D. I. Sobolev, “Method for synthesis of waveguide mode converters,” J. Radiophys. Quantum Electron., vol. 47, no. 8, pp. 615–620, 2004. [17] J. M. Nielson, P. E. Latham, M. Caplan, and W. G. Lawson, “Determination of the resonant frequencies in a complex cavity using the scattering matrix formulation,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 8, pp. 1165–1169, Oct. 1989. [18] O. N. Alyakrinsky, V. A. Dolgashov, A. N. Lukin, and V. D. Shemelin, “Development of overmode RF window using multimode matrix formalism,” presented at the 7th Int. Linear Colliders Workshop, Zvenigorod, Russia, Sep. 29–Oct. 3 1997.

X

Alexandr Bogdashov was born in Dzerzhinsk, Russia, on August 17, 1970. He received the M.S. degree from Nizhny Novgorod University, Nizhny Novgorod, Russia, in 1993, and the Ph.D. degree from the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, Russia, in 2005. Since 1993, he has been with the Institute of Applied Physics, where he is engaged in gyrotron development with GYCOM Ltd. He has authored or coauthored 40 publications on the theoretical and experimental topics. His research interest is concentrated on the computational electrodynamics and its applications for high-power electronics (waveguide mode converters design and optimization, quasi-optical mirror and antenna synthesis, notch filters, microwave transmission lines). Dr. Bogdashov was the recipient of the 2003 Medal and Young Scientist Award of the Russian Academy of Sciences.

Gregory Denisov was born April 30, 1956, in Gorky, Russia. He received the M.S. degree in radiophysics from Gorky State University, Gorky, Russia, in 1978, and the Ph.D. and Professor degrees from the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, Russia, in 1985 and 2002, respectively. He is currently Head of the Gyrotron Division, Institute of Applied Physics. His main activities include relativistic microwave oscillators and amplifiers, transmission lines and antenna systems for high-power microwave radiation, methods for measurement and control of wave beam parameters, powerful microwave sources for electron–cyclotron

4135

resonance heating (ECRH) systems in fusion installations (gyrotrons), and technological setups. Dr. Denisov was the recipient of the 1996 International Award for Excellence in Fusion Engineering presented by Fusion Power Associates and the 2004 State Award for achievements in science.

Dmitry Lukovnikov was born in Gorky, Russia, in 1965. He received the M.S. degree in electrophysical engineering from the Polytechnical Institute of Nizhny Novgorod, Nizhny Novgorod, Russia, in 1988, and the Ph.D. degree from the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, Russia, in 1998. Since 1988, he has been with the Institute of Applied Physics, Russian Academy of Sciences. He contributed to the creation of the FOM-Institute for Plasma Physics Rijnhuizen fusion finite-element method (FEM), Reinhuisen, The Netherlands. He elaborates numerical codes for the problems of electrodynamical systems of millimeter and submillimeter waves. He also participates in the design of notch filters, gyrotron, free electron maser cavities, etc. He has authored or coauthored approximately 20 papers mainly in the field of mathematical methods in microwave technology.

Yury Rodin was born in Gorky, Russia, in 1960. He received the M.S. degree in radio engineering from the Gorky Polytechnic Institute, Gorky, Russia, in 1984. Since 1979, he was been with the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, Russia. His main activities include high-power measurement of microwaves, control of wave beam parameters, and gyrotron transmission lines. He has authored or coauthored approximately 25 papers. Mr. Rodin was the recipient of a 1993 Soros grant.

Dmitry Sobolev was born in Nizhny Novgorod, Russia, in 1981. He received the M.S. degree in physics from Nizhny Novgorod State University, Nizhny Novgorod, Russia, in 2004. He is currently with the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, Russia. His scientific interests include high-power microwave transmission lines and computational electrodynamics.

Jay L. Hirshfield, photograph and biography not available at time of publication.

4136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Application of Electromagnetic Bandgaps to the Design of Ultra-Wide Bandpass Filters With Good Out-of-Band Performance Joan García-García, Member, IEEE, Jordi Bonache, Student Member, IEEE, and Ferran Martín, Member, IEEE

Abstract—In this study, a new technique for the design of ultrawide bandpass filters with spurious suppression over a very wide band is presented. The method consists on the combination of a well-known analytical design approach to achieve wide bandwidths with an electromagnetic bandgap structure, which is fundamental for spurious suppression. To illustrate the technique, a microstrip of ultra-wide bandpass filter centered at 3.4 GHz with a bandwidth covering 4.8 GHz is implemented in an Arlon substrate (permit= 2 4, thickness = 0 675 mm). Measured filter chartivity acteristics are good with in-band insertion losses below 0.90 dB and return losses better than 10 dB. Out-of-band performance is also good with spurious passband attenuation higher than 30 dB up to at least 20 GHz.

Fig. 1. Schematic of the ultra-wide bandpass filter where y and y are the normalized characteristic admittances of the stubs and transmission lines, respectively.

Index Terms—Electromagnetic bandgap (EBG), microstrip filters, ultra-wideband (UWB) technology.

I. INTRODUCTION VER THE last years, the design of wide and ultra-wide bandpass filters is generating a great interest due to the fast development of broadband wireless communication systems [1]–[10]. Traditional methods to implement ultra-wide bandpass filters usually introduce spurious bands. These undesired bands become an important drawback for ultra-wide bandpass filters performance due to their proximity to the passband of interest. In this study, a new technique for the design of ultra-wide bandpass filters with harmonic passband suppression is proposed. To this end, a standard ultra-wide bandpass filters design approach is combined with a periodic structure [i.e., an electromagnetic bandgap (EBG)], which is able to inhibit signal propagation over a very wide band [11], [12]. As will be shown, this design methodology allows for the implementation of ultra-wide bandpass filters with huge stopbands. The ultra-wide bandpass filters design methodology used in this study was first introduced by Levy as a new class of prototype filters with applications to mixed lumped/distributed component design [13]. The physical implementation of these fil-

O

ters consists of a cascade of shunt stubs of equal length alternating with uniform transmission lines, each of them twice the stub electrical length. This type of filters has been recently used by other authors to implement highly selective ultra-wide bandpass filters for communication systems [14]. As will be shown in this study, the substitution of the inter-stub uniform transmission lines by a low-pass filter structure implemented by means of periodic patterns significantly improves filter behavior by attenuating the undesired spurious bands. Moreover, by properly designing the EBG structure, the passband of interest is not altered. Alternatively to EBGs, other possibilities for spurious passband suppression are known [15], [16]. The EBGs used in this study are based on periodic capacitive patches and they provide several advantages, which are: 1) good controllability of the low-pass filter response [17]–[19]; 2) slow wave effect, which results in size reduction, as compared to the conventional ultra-wide bandpass filters implementation; and 3) full integration of the EBG structure in the active filter region. The proposed technique is very simple and it is useful for the design of spurious-free ultra-wide bandpass filters with more compact dimensions and similar in-band performance as compared to the conventional (i.e., EBG absent) distributed filters. II. ULTRA-WIDE BANDPASS FILTER IMPLEMENTATION

Manuscript received June 13, 2006; revised September 8, 2006. This work was supported by the Ministerio de Educación y Ciencia under Project Contract TEC2004-04249-C02-01 and Project Contract TEC2004-04249-C02-02, by the European Commission under the Network of Excellence METAMORPHOSE, and by the Generalitat de Catalunya under the Centro de Investigación en Metamateriales para La Innovación en Tecnologías Electrónica y de Comunicaciones. The authors are with the Departament d’Enginyeria Electrònica (GEMMA Group), Universitat Autònoma de Barcelona, 08193 Bellaterra, Barcelona, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.886155

In 1970, Levy proposed a new class of distributed filters consisting of a cascade of shunt stubs of equal electrical length (at the frequency ) alternating with uniform transmission lines, each of twice the stub electrical length . Fig. 1 shows the schematic of this filter structure [13], [20]. A very interesting characteristic of these filters is that by using stubs, an inseris implemented. If the stubs are tion function of degree short circuited, the proposed technique may be used to design bandpass filters with wide bandwidths. Besides a good control

0018-9480/$20.00 © 2006 IEEE

GARCÍA-GARCÍA et al.: APPLICATION OF EBGs TO DESIGN OF ULTRA-WIDE BANDPASS FILTERS WITH GOOD OUT-OF-BAND PERFORMANCE

4137

of the bandpass characteristics, these filters provide also nearly constant group delay over the passband. The network shown in Fig. 1 implements the transfer function described in (1a) as a function of the normalized frequency [21] variable (1a) with (1b)–(1d), shown at the bottom of this page, where and is the passband ripple constant. The and bandwidth of the filter is delimited by the frequencies ; therefore, the bandwidth can be controlled by the value of the angle . As an illustrative example, an prototype with GHz and (that implies a 4.8-GHz bandwidth) is implemented. To determine the impedance values of the shortcircuit stubs and line elements, the tabulated element values supplied by Hong and Lancaster in [21] for optimum distributed high-pass filters are used. The resulting impedance values are shown in Fig. 2. The simulation results depicted in Fig. 2(b) correspond to the structure described in Fig. 2(a), implemented in and thickan Arlon substrate with dielectric constant mm. Dielectric and metallic losses, as well as ness the finite thickness of the metallic layers (35 m), have been included in the simulations. Five reflection zeros can be observed in the transmission bands, such as one expects on account of the degree of the filter function (in our case, ). III. EBG DESIGN There are multiple options to eliminate spurious bands in bandpass filters. One possibility is to cascade a low-pass filter at the output stage, but this procedure increases the overall size of the device. It is, therefore, more convenient to combine (mix) both the low-pass and the bandpass filter structures. To this end, EBGs implemented by capacitive loaded transmission lines are useful [6], [7]. Namely, by substituting the inter-stub transmission lines by transmission lines periodic loaded with shunt connected capacitances, the undesired spurious bands can be efficiently suppressed. Obviously, to preserve the in-band characteristics, the EBG-based transmission lines placed between the shunt connected stubs must fit the at ) requirements. impedance and phase shift ( Harmonic passband suppression in bandpass filters by using sinusoidal patterned EBGs has been demonstrated previously [12], but capacitive loaded EBG transmission lines are also ef-

Fig. 2. (a) Layout of the ultra-wide bandpass filter including electrical parameters and geometry of transmission lines and stubs. (b) Simulated frequency response of the filter using the Agilent’s Momentum 2005A electromagnetic solver.

fective and they provide certain size reduction due to the slow wave effect associated to the presence of the shunt capacitors. This justifies the type of EBGs that has been used in this study. Moreover, the stopband of capacitive loaded transmission lines can be easily controlled, and it can be extended up to relatively high frequencies [17], [22], [23]. This will be important to achieve a wide stopband in the new EBG-based ultra-wide bandpass filters, as will be shown later. The equivalent-circuit model of the capacitive loaded EBG transmission line is depicted in Fig. 3(a), and the physical layout of the structure that will be integrated with the ultra-wide bandpass filters is shown in Fig. 3(b). By assuming that the transmission lines between adjacent patch capacitors can be described by the per-section inductance and capacitance , the structure exhibits a low-pass behavior with a cutoff (or Bragg) frequency given by [5] (2)

(1b) (1c) (1d)

4138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 3. (a) Equivalent-circuit model of the EBG capacitive loaded transmission lines. (b) Layout of the structure in microstrip technology. (c) Electrical and electromagnetic simulation of the EBG structure.

where is the loading capacitance. The characteristic (or and the impedance of the Bloch) impedance of the line unloaded line are given, respectively, by (3) (4) and the lower frequency limit of the first spurious is given by (5) Finally, the phase shift per cell can be obtained by a secondorder approximation of the well-known dispersion equation of a periodically loaded transmission line [16], [18]

Fig. 4. Layout of the: (a) standard filter, (b) improved filter, and (c) simulated responses of both filters using ADS 2005A Momentum microwave simulator. The filters are designed and implemented using an Arlon substrate with " = 2:4 and thickness h = 0:675 mm.

impedance becomes extreme. However, this behavior is only significant in close proximity to the Bragg frequency. It has been considered that within the passband of interest, this approximation holds and, indeed, the results of the final fabricated device point out a good behavior. Obviously the number of equations exceeds the number of ). To determine the parameters of the unknowns ( , , and and structure, we have set the Bloch impedance to the phase shift of the basic cell to at GHz in order to achieve the required 70 with a two-stage structure. Additionally, to obtain a huge stopband in the final filter, the spurious frequency of the EBG structure has been set to GHz. The electrical parameters have been determined from the following equations, which are directly obtained by inverting (3), (5), and (7):

(8) (6)

(9)

obtaining the following expression: (7) Equations (3) and (6) are valid sufficiently below the Bragg frequency of the capacitively loaded EBG. As frequency approximates to , dispersion becomes significant and the Bloch

(10) The results are pF, nH, and pF. With these values, the impedance of the unloaded line is , and the Bragg frequency is GHz, i.e., it

GARCÍA-GARCÍA et al.: APPLICATION OF EBGs TO DESIGN OF ULTRA-WIDE BANDPASS FILTERS WITH GOOD OUT-OF-BAND PERFORMANCE

4139

predicted value. Metallic and dielectric losses have been taken into account in the electromagnetic simulations. IV. EBG-BASED ULTRA-WIDE BANDPASS FILTER The transmission lines of the conventional filter have been substituted by the two-stage EBG structures of Section III. Due to the slow-wave effect characteristic of these periodic loaded structures, significant length reduction in the final EBG filter has been obtained. A comparison of the topologies of the EBG and the conventional filter is depicted in Fig. 4. Approximately 50% length reduction is obtained by using the EBG-based filter. Simulations of the EBG and conventional filters have been performed using Agilent’s Momentum. The results, depicted in Fig. 4(c), show that spurious bands are efficiently eliminated up to at least 20 GHz. Device bandwidth is 4.8 GHz centred at 3.4 GHz, which corresponds to 140% fractional bandwidth. Fig. 5(a) shows the EBG-based ultra-wide bandpass filter prototype implementation. Fig. 5(b) and (c) shows the measured -parameters and group delay, respectively. The out-of-band performance is good with a rejection level better than 30 dB up to 20 GHz. In-band losses are lower than 0.9 dB and return losses are better than 10 dB. V. CONCLUSIONS

Fig. 5. (a) Physical implementation of the improved filter in " = 2:4 and the 0.675-mm-thick Arlon. (b) Measured S -parameters. (c) Measured group delay. (Color version available online at http://ieeexplore.ieee.org.)

lies between the passband of interest and the first spurious frequency of the EBG-less filter. By using an Arlon substrate with and thickness mm, the unloaded linewidth mm (the LineCalc transmishas been found to be sion-line calculator has been used). The periodic perturbation has been implemented by means of low-impedance stubs following the standard formulas. Final layout dimensions [see Fig. 3(b)] of the EBG structure have been obtained through an optimization procedure (included in Agilent’s ADS) to fit as far , , and . The simas possible the nominal values of ulated results of the structure are plotted in Fig. 3(c). Good agreement between the electromagnetic simulation (obtained by means of Agilent’s Momentum) and the electrical simulation (by using Agilent’s ADS) has been found, except at high frequencies, were the validity of the lumped approach is questionable. Nevertheless, a huge stopband has been found above the Bragg frequency, which is situated in the very vicinity of the

In this study, it has been demonstrated that EBG structures consisting of transmission lines periodically loaded with capacitive patches are useful to improve the out-of-band performance of ultra-wide bandpass filters. This is achieved thanks to the wideband attenuation related to the presence of the EBG. Moreover, due to the slow wave effect associated to it, the final EBG-based filter can be substantially reduced as compared to conventional implementations. To illustrate the technique, a 4.8-GHz bandwidth ultra-wide bandpass filter centred at 3.4 GHz has been designed and fabricated. The measured frequency response exhibits good in-band characteristics and spurious passband suppression above 30 dB up to at least 20 GHz. Concerning dimensions, a reduction in area by a factor of 2 (as compared to the standard filter) has been achieved. In summary, the proposed EBG-based technique has been found to be useful for the design of ultra-wide bandpass filters with spurious suppression. REFERENCES [1] L. Zhu, S. Sun, and W. Menzel, “Ultra wide band (UWB) bandpass filters using multiple mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 796–708, Nov. 2005. [2] C. Nguyen, “Development of new miniaturized bandpass filters having ultrawidebandwidth,” Electron. Lett., vol. 30, pp. 767–768, May 1994. [3] J. Gao, L. Zhu, W. Menzel, and F. Bogelsack, “Short-circuited CPW multiple-mode resonator for ultra-wideband (UWB) bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 3, pp. 104–106, Mar. 2006, 2005. [4] K.-S. Chin, L.-Y. Lin, and J.-T. Kuo, “New formulas for synthesizing microstrip bandpass filters with relatively wide bandwidths,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 231–233, May 2004. [5] L. Zhu, S. Sun, and W. Menzel, “Ultra-wideband (UWB) bandpass filters using multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 796–798, Nov. 2005.

4140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

[6] M. K. Mandal and S. Sanyal, “Compact wideband bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 46–48, Jan. 2006. [7] M.-I. Lai and S.-K. Jeng, “Compact microstrip dual-band bandpass filters design using genetic-algorithm techniques,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 160–168, Jan. 2006. [8] Y.-C. Chiou, J.-T. Kuo, and E. Cheng, “Broadband quasi-Chebyshev bandpass filters with multimode stepped-impedance resonators (SIRs),” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3352–3358, Aug. 2006. [9] J. Gao, L. Zhu, W. Menzel, and F. Bogelsack, “Short-circuited CPW multiple-mode resonator for ultra-wideband (UWB) bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 3, pp. 104–106, Mar. 2006. [10] S. Sun and L. Zhu, “Capacitive-ended interdigital coupled lines for UWB bandpass filters with improved out-of-band performances,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 8, pp. 440–442, Aug. 2006. [11] F. R. Yang, Y. Qian, and T. Itoh, “A novel compact microstrip bandpass filter with intrinsic spurious suppression,” in Asia–Pacific Microw. Conf. Dig., Dec. 1998, pp. 593–596. [12] T. Lopetegi, M. A. G. Laso, F. Falcone, F. Martín, J. Bonache, L. PérezCuevas, and M. Sorolla, “Microstrip wiggly line bandpass filters with multispurious rejection,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 531–533, Nov. 2004. [13] R. Levy, “A new class of distributed prototype filters with applications to mixed lumped/distributed component design,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1064–1071, Dec. 1970. [14] W.-T. Wong, Y.-S. Lin, C.-H. Wang, and H. Chen, “Highly selective microstrip bandpass filters for ultra wideband (UWB) applications,” in Proc. Asia–Pacific Microw. Conf., Dec. 2005, vol. 5, no. 4–7, p. 4. [15] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. North Bergen, NJ: Artech House, 1985. [16] D. M. Pozar, Microwave Engineering. New York: Wiley, 1998. [17] F. Martin, X. Oriols, and J. García-García, “Comparison of distributed and lumped element models for the analysis of the filtering properties of nonlinear transmission lines,” Int. J. RF Microw. Comput.-Aided Eng., vol. 12, pp. 503–507, Nov. 2002. [18] F. Martín, F. Falcone, J. Bonache, T. Lopetegi, M. A. G. Laso, and M. Sorolla, “New periodic-loaded photonic bandgap coplanar waveguide with complete spurious passband suppression,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 11, pp. 435–437, Nov. 2002. [19] F. Martín, F. Falcone, J. Bonache, M. A. G. Laso, T. Lopetegi, and M. Sorolla, “Dual electromagnetic bandgap CPW structures for filter applications,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 393–395, Sep. 2003. [20] R. Levy, “Synthesis of mixed lumped and distributed impedance-transforming filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 3, pp. 223–233, Mar. 1972. [21] J.-S. Hong and M. L. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [22] S. Y. Huang and Y. H. Lee, “Tapered dual-plane compact electromagnetic bandgap microstrip filter structures,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2656–2664, Sep. 2005. [23] ——, “Compact U-shaped dual planar EBG microstrip low-pass filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3799–3805, Dec. 2005.

Joan García-García (M’05) was born in Barcelona, Spain, in 1971. He received the Physics degree and Ph.D. degree in electrical engineering from the Universitat Autònoma de Barcelona, Bellaterra (Barcelona), Spain, in 1994 and 2001, respectively. He then became a Post-Doctoral Research Fellow with the Institute of Microwaves and Photonics, The University of Leeds, Leeds, U.K., under the INTERACT European Project. In 2002, he was a Post-Doctoral Research Fellow with the Universitat Autònoma de Barcelona, under the Ramon y Cajal Project of the Spanish Government. In November 2003, he become an Associate Professor of electronics with the Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona.

Jordi Bonache (S’05) was born in Cardona (Barcelona), Spain, in 1976. He received the Physics and Electronics Engineering degrees from the Universitat Autònoma de Barcelona, Bellaterra (Barcelona), Spain, in 1999 and 2001, respectively, and is currently working toward the Ph.D. degree at the Universitat Autònoma de Barcelona. In 2000, he joined the High Energy Physics Institute of Barcelona (IFAE), where he was involved in the design and implementation of the control and monitoring system of the MAGIC telescope. In 2001, he joined the Department d’Enginyeria Electrònica, Universitat Autònoma de Barcelona, where he is currently an Assistant Professor. His research interests include active and passive microwave devices and metamaterials.

Ferran Martín (M’05) was born in Barakaldo (Vizcaya), Spain, in 1965. He received the B.S. degree in physics and Ph.D. degree from the Universitat Autònoma de Barcelona (UAB), Bellaterra (Barcelona), Spain, in 1988 and 1992, respectively. In recent years, he has been involved in different research activities including modeling and simulation of electron devices for high-frequency applications, millimeter-wave and terahertz-generation systems, and the application of EBGs to microwave and millimeter-wave circuits. He is also currently very active in the field of metamaterials and their application to the miniaturization and optimization of microwave circuits and antennas. He is the Head of the Microwave and Millimeter Wave Engineering Group, UAB, and a partner of the Network of Excellence of the European Union METAMORPHOSE. He is currently Guest Editor for two Special Issues on Metamaterials in two international journals. He has authored or coauthored over 190 technical conference, letter, and journal papers and is currently coauthoring the monograph on metamaterials entitled Metamaterials with Negative Parameters: Theory, Design and Microwave Applications (Wiley, to be published). He has filed several patents on metamaterials and has headed several development contracts. Dr. Martín has organized several international events related to metamaterials, including a Workshop at the 2005 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

4141

Compact Models Based on Transmission-Line Concept for Integrated Capacitors and Inductors Kok-Yan Lee, Member, IEEE, Saeed Mohammadi, Senior Member, IEEE, Pallab K. Bhattacharya, Fellow, IEEE, and Linda P. B. Katehi, Fellow, IEEE

Abstract—This paper presents a compact modeling methodology for inductors and capacitors based on transmission-line theory. Using continued fractions approximation, second- and third-order intrinsic inductor and capacitor models are demonstrated. With the second-order model, one can accurately predict inductor and capacitor behavior up to their first resonance frequencies. With the third-order model, one can match the measured inductor or capacitor response beyond the first resonance frequency. Wideband accurate passive models developed here are essential for transient and harmonic-balance analysis where out-of-band frequencies are important. The model parameters are extracted directly from -parameter measurement without a need for optimization. Furthermore, the frequency-dependent nonlinear effects of spiral inductors and metal–insulator–metal capacitors are expressed based on simple models without resorting to frequency-dependent parameters. Index Terms—Equivalent-circuit model, integrated passives, lumped elements, metal–insulator–metal (MIM) capacitors, quality factor, self-resonance, spiral inductors.

I. INTRODUCTION

I

NTEGRATED metal–insulator–metal (MIM) capacitors and spiral inductors are important components of RF circuits. While MIM capacitors present parasitic effects at high frequencies, integrated inductors suffer from a poor quality factor and low self-resonance frequency . Efforts to improve and of integrated inductors are widely reported in the literature [1]–[8]. For accurate time-domain analysis, as well as nonlinear harmonic-balance analysis, it is necessary to analyze and model both inductors and capacitors up to very high frequencies and sometimes beyond their respective self-resonance frequencies. Inductor analysis and design have been described by experimental means [9], electromagnetic (EM) simulations [10], or approximating formulas [11]–[14]. Most of these approximations are based on a comprehensive collection of formulas by Grover [15] or the algorithm for computing inductance of planar rectangular spirals by Greenhouse [16]. In addition to design equations, it is also important to develop inductor models verified against measured results to construct design libraries in computer-aided design (CAD) simulation enManuscript received February 17, 2006; revised July 19, 2006. K.-Y. Lee and P. K. Bhattacharya are with the Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109 USA (e-mail: [email protected]; [email protected]). S. Mohammadi is with the School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47907-2035 USA (e-mail: [email protected]). L. P. B. Katehi is with the Office of the Provost, University of Illinois at Urbana-Champaign, Urbana, IL 61820 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.886157

vironments. The most common inductor model is the standard -model with frequency-independent lumped elements [14], [17]. While this model is useful over a limited frequency range, it does not properly model the distributed effects, proximity effects, or the higher order loss effects such as the conductor skin effect, current crowding, capacitive coupling, and eddy current. A conventional -model has been extended with frequency-dependent lumped elements [2], [14], [18], [19]. The resulting models do a better job of describing the higher order frequency effects, but cannot be easily implemented in time-domain simulators. There are also alternative models that try to address the high-frequency effects [9], [14], [20], [21]. These models are not widely utilized in CAD simulators. Most capacitor models use standard series circuit. More complicated models including distributed and -models for capacitors have also been proposed [2], [22]–[27]. This paper presents a new comprehensive modeling methodology for integrated inductors and capacitors based on a transmission-line concept [28]. The third-order and higher order inductor and capacitor models developed here provide an accurate response beyond the first self-resonance frequency without the need for complicated optimization-based extraction. The models are very simple, flexible, and consist entirely of ideal frequency-independentlumpedelementssuitablefortime-domaintransientanalysis (SPICE) or harmonic-balance nonlinear simulation. Model parameters are extracted from -parameter data in an unambiguous manner without the need for optimization. This allows the designer to have an insight into the limitation and design parameters of integrated passive elements. Model development for both integrated inductors and capacitors is described in Section II, while parameter-extraction techniques are discussed in Section III. Section IV provides discussions and concluding remarks. II. MODEL DEVELOPMENT To develop an accurate model, it is necessary to extract the extrinsic shunt pad/parasitic capacitances of either an inductor or capacitor using a standard -model. Although only inductor modeling is shown, the capacitor model can be easily found by with (or with ). First, two-port substituting mameasured -parameter data are transformed to an trix. The relation between the matrix and components of the -model is given by

0018-9480/$20.00 © 2006 IEEE

(1)

4142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

where and are the shunt extrinsic admittance at ports is the impedance of 1 and 2, respectively, and is the admittance of the intrinsic inductor and the intrinsic capacitor to be modeled. Using (1), one can unambiguously extract extrinsic admittances of the passive device. It also allows us to work on the intrinsic inductor (or capacitor) matrix using model through the -parameter of the the following equations: (2) Next, the intrinsic inductor found from (2) is modeled as an ideal short-circuit transmission line. For capacitors, an ideal open-circuit transmission line is used. The short- or open-circuit transmission-line equations are then approximated using the first-, second-, or third-order continued fractions approxiand translated into traditional equivalent cirmation of cuits to obtain the first-, second-, or third-order intrinsic inductor and capacitor models. The model could easily be extended further to a fourth order, if necessary, to model the passive device beyond the second resonance. of a transmission line can be deThe input impedance scribed by (3) where is the length of the transmission line (actual value is is the load impedance, and and are the not needed), characteristic impedance and complex propagation constant of for an the transmission line, respectively. Substituting for an ideal short-circuit termination for inductors or ideal open-circuit termination for capacitors results in

Fig. 1. Second-order transmission-line circuit models of: (top) inductor and (bottom) capacitor.

in a simple series RL network. First-order approximation of the results in a shunt RC network. capacitor admittance The second-order approximations of the inductor admittance and capacitor impedance are (7) (8) where the equivalent-circuit models are shown in Fig. 1. The third-order approximation of the inductor input is impedance

where

(4) Expansion of mation given by [29]

using the continued fractions approxi-

(9)

(5)

The third-order approximation of the capacitor input impedance is where where (6) allows for very simple translation into an equivalent-circuit model. In the above equation, is the shunt capacitance per unit length in farads per meter, is the series inductance per unit length in henrys per meter, is the series resistance per unit length in ohms per meter, is the shunt conductance per unit length in siemens per meter, and is the length of the transmission line. By substituting (5) and (6) in (4), we are able to obtain the first-, second-, and third-order approximation of the intrinsic (capacitance admittance ). The inductor impedance results first-order approximation of the inductor impedance

(10) The equivalent-circuit models of inductors and capacitors using the third-order approximation are shown in Fig. 2. III. PARAMETER EXTRACTION Using a standard microfabrication process on high-resistivity Si, we fabricated three different inductors (1.5, 3.5, and 4.5

LEE et al.: COMPACT MODELS BASED ON TRANSMISSION-LINE CONCEPT FOR INTEGRATED CAPACITORS AND INDUCTORS

4143

the second-order inductor model shown in Fig. 1 and described by (7), one finds that (11a) (11b) where

, . By assuming that

,

, and , we can linearize

(11) as (12a) (12b)

Fig. 2. Third-order transmission-line circuit models of: (top) inductor and (bottom) capacitor.

Note that accurate model parameters can be extracted from the frequencies that meet the criteria of and is below the first resonance frequency. Similarly, Fig. 1 and (8) can be used to find the second-order parameter models of a ca, which is more pacitor. The assumption of accurate at higher frequencies, results in unambiguous extraction of the capacitor model parameters as (13a) (13b)

Fig. 3. Photomicrograph of fabricated 4.5-turn spiral inductor (left) and 6000-m MIM capacitor (right). (Color version available online at http://ieeexplore.ieee.org.)

turns) and three different capacitors (with areas of 1000, 3000, and 6000 m ). A two-metal process with vias through silicon dioxide was used to fabricate inductors and capacitors. A 1- m lower metal layer (Ti/Au) was used as an underpass for the inductors and lower metal plate for the capacitors. A 2- m top metal layer (Ti/Au) was used for spiral winding, as well as the capacitor top metal plate. The thickness of SiO separating the two inductor metal layers was 7500 Å, while the SiO dielectric thickness was 2500 Å for the capacitors. Fig. 3 shows fabricated inductors and capacitors in this technology. On-wafer two-port -parameter measurement was used to characterize the inductors and capacitors up to 26 GHz. The -parameter data was converted to an matrix and in, , , and as trinsic and extrinsic admittances a function of frequency were extracted using (2).

Equations (12) and (13) can be plotted as functions of angular frequency to determine second-order model parameters of measured inductors and capacitors. Fig. 4 shows the extraction technique for three different inductors, while Fig. 5 depicts the extraction of the second-order model parameters of three different capacitors. Note that the fitting of these parameters is done at relatively high frequencies, but still below the first self-resonance frequency of the device. A simple two-point linear approximation or a least squares linear approximation could be used to approximate the straight line and extract the model parameters. The imaginary parts of the admittances of the inductors (impedances of the capacitors) shown in Fig. 4 (Fig. 5) depict very linear characteristics, which result in accurate extraction of and ( and ), but the real part of the inductor admittances (capacitor impedances) are not linear at higher fre). Therefore, the second-order model does quencies (low not accurately predict losses ( , , and, therefore, ) of inductors and capacitors at very high frequencies and beyond the first resonance frequency.

A. Second-Order Intrinsic Inductor and Capacitor Models Here, we will describe the procedure to obtain all the model parameters for the second-order intrinsic inductor and capacitor models. Note that no optimization is used to achieve these results. The procedure relies on linearizing both the real and imaginary part of the admittance (impedance) of the second-order intrinsic inductor (capacitor) model. The linearization approximation becomes more accurate as the frequency increases. For

B. Third-Order Intrinsic Inductor and Capacitor Models Here, we will describe the extraction of the third-order inductor and capacitor models without optimization. The procedure is an extension to the second-order model parameter extraction described above and requires parameter values extracted from the second-order models that are used in the third-order model equations.

4144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

and C and (bottom) R and is extracted from S -parameter

Fig. 5. Graphical method to extract: (top) L and C and (bottom) R and R of three different capacitors from Z . Z is extracted from S -parameter with Z . measurements according to (2) by substituting Y

Starting from the standard third-order inductor model, as as shown in Fig. 2 and (9), we can obtain

Similarly, Fig. 2 and (10) can be used to find the third-order parameter models of a capacitor. The assumpresults in an unambiguous extraction tion of of the third-order capacitor model parameters as

Fig. 4. Graphical method to extract: (top) L R of three different inductors from Y . Y measurements according to (2).

(14) (17a)

This equation can be rewritten as (15a) (15b) Again, by assuming that (15) with the following:

, we can approximate

(16a) (16b) The model parameters are extracted from the frequencies where the assumption of is valid. Using (16) and plotting, one can extract the third-order parameters as shown in Fig. 6.

(17b) The model parameters are extracted from the frequencies where is valid. the assumption of In fact, the third-order parameters should be extracted from frequencies above the first resonance frequency and below the second resonance frequency. and can be accurately The extrinsic admittances modeled using second-order capacitance models, as described in Section III-A. Table I lists the final parameter values of the inductor models studied here. The 4.5-turn inductor and the 3.5-turn inductor uses the third-order intrinsic inductor model and the second-order extrinsic capacitance model. The 1.5-turn inductor is accurately modeled by the first-order intrinsic inductor model and second-order extrinsic capacitance model. This is due to the fact that the self-resonance frequency of the 1.5-turn

LEE et al.: COMPACT MODELS BASED ON TRANSMISSION-LINE CONCEPT FOR INTEGRATED CAPACITORS AND INDUCTORS

4145

Fig. 7. Complete third-order inductor model with second-order model for extrinsic admittances.

TABLE II COMPLETE MODEL PARAMETERS FOR MIM CAPACITORS FABRICATED AND CHARACTERIZED IN THIS STUDY

Fig. 6. Graphical method to extract: (top) L and C and (bottom) R and R by fitting the intermediate data to straight line equations.

TABLE I COMPLETE MODEL PARAMETERS FOR SPIRAL INDUCTORS FABRICATED AND CHARACTERIZED IN THIS STUDY

resistance is shown for completeness. They The negative are the result of inaccurate calibration/deembedding, measuresince ment, and extraction. These resistors should be left as they are in shunt with femtofarad capacitances. This means that only at much higher frequency than what was measured will the resistor be significant enough to measure impedance of the accurately. The third-order intrinsic capacitance model can be found using a similar approach taken for inductors, as described above. The model parameters extracted from -parameters are shown in Table II. For these capacitors, it was sufficient to use a third-order intrinsic capacitor model combined with first-order extrinsic admittance models, as shown in Fig. 8. IV. DISCUSSION AND CONCLUSION

inductor studied here is above 26 GHz, which was the maximum measured frequency. The complete third-order inductor model with second-order extrinsic admittance models is shown in Fig. 7.

Fig. 9 compares the measured -parameters of a representative capacitor and inductor with their models, while Fig. 10 compares the measured input impedances of the inductors with their complete equivalent-circuit models. Fig. 11 compares the measured input admittances of the capacitors with their complete equivalent-circuit models. It is also interesting to study the quality factor of these devices specially the inductors. The quality factor of an inductor is defined as the ratio of the magnetic energy stored in the inductor to the energy dissipated. It

4146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 8. Complete third-order capacitor model with first-order model for extrinsic admittances.

Fig. 10. Measured and modeled input impedance of inductors studied here.

Fig. 9. Comparison of the measured and modeled S -parameters across 0.05–26 GHz for a 4.5-turn inductor (top) and a 6000-m capacitor (bottom). (Color version available online at http://ieeexplore.ieee.org.)

is often hard to model of the inductor as the energy dissipation term is frequency dependent due to multiple loss effects (eddy current, skin effect, and current crowding). Additionally, for high- inductors, the dissipated energy is very small and, thus, errors in the measurement can result in inaccurate models. Fig. 12 depicts the modeled and measured quality factor of the 4.5-turn inductor studied here using both second- and third-

Fig. 11. Measured and modeled input admittance of capacitors studied here.

order models. Notice that with the third-order model, we are able to match the factor beyond the first resonance frequency

LEE et al.: COMPACT MODELS BASED ON TRANSMISSION-LINE CONCEPT FOR INTEGRATED CAPACITORS AND INDUCTORS

4147

could be implemented using least squares linear approximation resulting in an unambiguous model construction. REFERENCES

Fig. 12. Measured and modeled input quality factor of 4.5-turn inductor (top) and a 6000-m capacitor (bottom).

where the inductor behaves like a capacitor. The second-order model matches the measured inductors up to the first self-resonance frequency where distributed frequency-dependent loss effects can still be ignored. Similar conclusions could be made with the 6000- m capacitor. In conclusion, we have demonstrated a new modeling methodology for integrated spiral inductors and MIM capacitors based on fundamental transmission-line theory and continued fractions approximation. The model can be expressed either based on a second- or third-order approximation of shorted (or open-circuited) transmission-line impedance (admittance), which is a hyperbolic tangent function. As the model originates from a lossy transmission-line equation and is approximated using continued fraction approximation of a tanh equation, it can be very accurate and wideband. The second-order model can be extracted easily and is valid up to the self-resonance frequency of the passive component. The third-order model is able to accurately predicts the measured results of passive components beyond their first resonance frequency without any need for optimization. The wideband accuracy provided by our approach is shown in modeling the quality factor of inductors. The accurate wideband model for passive components is instrumental in transient response simulation and also in cases where the harmonic frequency response is important. This modeling methodology can very easily be extended to fourth or higher orders if necessary. The parameter-extraction technique discussed here for both secondand third-order models does not require any optimization and

[1] R. A. Johnson, C. E. Chang, P. M. Asbeck, M. E. Wood, G. A. Garcia, and I. Lagnado, “Comparison of microwave inductors fabricated on silicon-on-sapphire and bulk silicon,” IEEE Microw. Guided Wave Lett., vol. 6, no. 9, pp. 323–325, Sep. 1996. [2] J. N. Burghartz, M. Soyuer, and K. A. Jenkins, “Microwave inductors and capacitors in standard multilevel interconnect silicon technology,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 1, pp. 100–104, Jan. 1996. [3] W. B. Kuhn, X. He, and M. Mojarradi, “Modeling spiral inductors in SOS processes,” IEEE Trans. Electron Devices, vol. 51, no. 5, pp. 677–683, May 2004. [4] R. Ramachandran, D. Newlin, and A. Pham, “Development of RF/microwave on-chip inductors using an organic micromachining process,” in IEEE 10th Elect. Performance Electron. Packag. Top. Meeting Dig., 2001, pp. 97–100. [5] L. H. Lu, G. E. Ponchak, P. Bhattacharya, and L. P. B. Katehi, “High-Q X -band and K -band micromachined spiral inductors for use in Si-based integrated circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., 2000, pp. 108–112. [6] M. C. Hsieh, Y. K. Fang, C. H. Chen, S. M. Chen, and W. K. Yeh, “Design and fabrication of deep submicron CMOS technology compatible suspended high-Q spiral inductors,” IEEE Trans. Electron Devices, vol. 51, no. 3, pp. 324–331, Mar. 2004. [7] D. H. Weon, J. H. Jeon, J. I. Kim, S. Mohammadi, and L. P. B. Katehi, “High-Q integrated 3-D inductors and transformers for high frequency applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 877–880. [8] C. P. Yue and S. S. Wong, “On-chip spiral inductors with patterned ground shields for Si-based RF IC’s,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 743–752, May 1998. [9] I. J. Bahl, “High-performance inductors,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 4, pp. 654–664, Apr. 2001. [10] F. Ling, J. Song, T. Kamgaing, Y. Yang, W. Blood, M. Petras, and T. Myers, “Systematic analysis of inductors on silicon using EM simulations,” in Electron. Compon. Technol. Conf., 2002, pp. 484–489. [11] H. A. Wheeler, “Simple inductance formulas for radio coils,” Inst. Radio Eng., vol. 16, no. 10, pp. 1398–1400, Oct. 1928. [12] C. P. Yue, C. Ryu, J. Lau, T. H. Lee, and S. S. Wong, “A physical model for planar spiral inductors on silicon,” in IEEE Int. Electron. Device Meeting Tech. Dig., 1996, pp. 155–158. [13] C. P. Yue and S. S. Wong, “Physical modeling of spiral inductors on silicon,” IEEE Trans. Electron Devices, vol. 47, no. 3, pp. 560–568, Mar. 2000. [14] J. R. Long and M. A. Copeland, “The modeling, characterization, and design of monolithic inductors for silicon RF IC’s,” IEEE J. Solid-State Circuits, vol. 32, no. 3, pp. 357–369, Mar. 1997. [15] F. W. Grover, Inductance Calculations. New York: Van Nostrand, 1946. [16] H. M. Greenhouse, “Design of planar rectangular microelectronic inductors,” IEEE Trans. Parts, Hybrids, Packag., vol. PHP-10, no. 2, pp. 101–109, Jun. 1974. [17] N. M. Nguyen and R. G. Meyer, “Si IC-compatible inductors and LC passive filters,” IEEE J. Solid-State Circuits, vol. 25, no. 4, pp. 1028–1031, Aug. 1990. [18] E. Pettenpaul, H. Kapusta, A. Weisgerber, H. Mampe, J. Luginsland, and I. Wolff, “CAD models of lumped elements on GaAs up to 18 GHz,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 294–304, Feb. 1988. [19] J. R. Long and M. A. Copeland, “Modeling of monolithic inductors and transformers for silicon RFIC design,” in IEEE MTT-S Int. Microw. Symp. Dig., 1995, pp. 129–134. [20] L. Heinemann, R. Schulze, P. Wallmeier, and H. Grotstollen, “Modeling of high frequency inductors,” in IEEE Power Electron. Specialists Conf., 1994, vol. 2, pp. 876–883. [21] T. Kamgaing, T. Myers, M. Petras, and M. Miller, “Modeling of frequency dependent losses in two-port and three-port inductors on silicon,” in IEEE Radio Freq. Integr. Circuits Symp., 2002, pp. 307–310. [22] C. C. Kuo, M. Y. Kuo, and M. S. Kuo, “Modeling of capacitors and nonlinear inductors using piecewise curve fitting technique,” in IEEE 4th Comput. in Power Electron. Workshop, 1994, pp. 133–138. [23] S. Saimi, C. Joubert, and C. Glaize, “High frequency model for power electronics capacitors,” IEEE Trans. Power Electron., vol. 16, no. 2, pp. 157–166, Mar. 2001.

4148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

[24] B. Lakshminarayanan, H. C. Gordon, and T. M. Weller, “A substratedependent CAD model for ceramic multilayer capacitors,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 10, pp. 1687–1693, Oct. 2000. [25] R. A. Pucel, “Design considerations for monolithic microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 6, pp. 513–534, Jun. 1981. [26] W. Z. Cai, S. C. Shastri, M. Azam, C. Hoggatt, G. H. Loechelt, G. M. Grivna, Y. Wen, and S. Dow, “Development and extraction of highfrequency spice models for metal-insulator-metal capacitors,” in Proc. IEEE Int. Microelectron. Test Structures Conf., Mar. 2004, vol. 17, pp. 231–234. [27] S. S. Song, S. W. Lee, J. Gil, and H. Shin, “Simple wideband metal–insulator–metal (MIM) capacitor model for RF applications and effect of substrate grounded shields,” Japn. J. Appl. Phys., vol. 43, no. 4B, pp. 1746–1751, 2004. [28] K. Lee, S. Mohammadi, P. K. Bhattacharya, and L. P. B. Katehi, “Scalable compact models for embedded passives,” presented at the Eur. Microw. Conf., Oct. 4–6, 2005. [29] M. Abramowitz and I. A. Stegun, Handbook of Mathematical Functions With Formulas, Graphs, and Mathematical Tables. Washington, DC: U.S. Dept. Commerce, 1972. Kok-Yan Lee (S’99–M’05) received the B.Eng. degree (Hons.) from Nanyang Technological University, Nanyang, China, in 1995, and the M.Eng. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 2001 and 2005, respectively. Since 1991, he has been with DSO National Laboratories, Singapore, initially as a Technical Officer and currently as a Senior Member of Technical Staff. His research interests include microelectromechanical systems (MEMS) process and circuit applications, SiGe-based monolithic-microwave integrated-circuit (MMIC) process, device modeling, and circuit design. Dr. Lee was the recipient of the 1986 National Youth Service Award in Science and Technology (Group Award).

Saeed Mohammadi (S’89–M’92–SM’02) received the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2000. He is currently an Assistant Professor of electrical and computer engineering with Purdue University, West Lafayette, IN, where his group is currently involved in research on RF devices and circuits, RF packaging, and nanoelectronic technology. He has authored or coauthored over 60 journal and refereed conference papers in these areas.

Pallab K. Bhattacharya (M’78–SM’83–F’89) received the Ph.D. degree from the University of Sheffield, Sheffield, U.K., in 1978. He is the Charles M. Vest Distinguished University Professor of Electrical Engineering and Computer Science and the James R. Mellor Professor of Engineering with the Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor. He is Editor-in-Chief of the Journal of Physics D. He edited Properties of Lattice-Matched and Strained InGaAs (INSPEC, 1993) and Properties of III-V Quantum Wells and Superlattices (INSPEC, 1996). He also authored the textbook Semiconductor Optoelectronic Devices (Prentice-Hall, 1996, 2nd ed.). His teaching and research interests are compound semiconductors, low-dimensional quantum confined systems, nanophotonics, and opto-electronic integrated circuits. Prof. Bhattacharya is a Fellow of the American Physical Society, the Institute of Physics (UK), and the Optical Society of America (OSA). He was an editor for the IEEE TRANSACTIONS ON ELECTRON DEVICES. He was the recipient of the John Simon Guggenheim Fellowship, the IEEE Electron Device Society Paul Rappaport Award, the IEEE Lasers and Electro-Optics Society Engineering Achievement Award, the OSA Nick Holonyak Award, the SPIE Technical Achievement Award, and the Quantum Devices Award presented at the International Symposium on Compound Semiconductors. He was also the recipient of the S. S. Attwood Award, the Kennedy Family Research Excellence Award, and the Distinguished Faculty Achievement Award presented by The University of Michigan at Ann Arbor.

Linda P. B. Katehi (S’81–M’84–SM’89–F’95) is the Provost and Vice Chancellor for Academic Affairs with the University of Illinois at Urbana-Champaign. Her research is focused on the development and characterization of three-dimensional integration and packaging of integrated circuits with particular emphasis on MEMS devices, high- evanescent mode filters and the theoretical and experimental study of planar circuits for hybrid-monolithic and monolithic oscillators, amplifiers, and mixer applications. She has authored and coauthored nine book chapters, 190 papers in refereed journals, and 385 papers in symposia proceedings. Prof. Katehi is a member of the National Academy of Engineering, the Nominations Committee for the National Medal of Technology, the Kauffman National Panel for Entrepreneurship, the National Science Foundation (NSF) Advisory Committee to the Engineering Directorate, and numerous other engineering and scientific committees.

Q

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

4149

Prediction of the End-to-End Performance of a Microwave/RF Link by Means of Nonlinear/Electromagnetic Co-Simulation Vittorio Rizzoli, Fellow, IEEE, Alessandra Costanzo, Member, IEEE, Diego Masotti, Member, IEEE, Paolo Spadoni, and Andrea Neri

Abstract—This paper describes the simulation of a microwave link from the transmitter to the receiver intermediate-frequency ports, by means of a rigorous circuit-level nonlinear analysis approach coupled with the electromagnetic characterization of the transmitter and receiver front-ends. This includes a full electromagnetic computation of the radiated far field which is used to establish the connection between transmitter and receiver. Digitally modulated radio-frequency drive is treated by a modulation-oriented harmonic-balance method based on Krylov-subspace modelorder reduction to allow the handling of large-size front-ends. An artificial neural network model is then developed to allow fast computation of the link driven by long sequences of the order of millions of samples. In this way, a meaningful evaluation of such link performance aspects as the bit-error rate becomes possible at the circuit level. Index Terms—Bit-error rate (BER), distortion, electromagnetic analysis, nonlinear circuits, system analysis and design.

I. INTRODUCTION

T

HE traditional approach to RF/microwave link simulation is based on system-level tools describing the transmitter and receiver blocks as an open chain of components characterized by some basic sort of behavioral model such as AM/AM–AM/PM characteristics. This technique can predict system performance with high numerical efficiency and moderate accuracy and may thus be very useful especially at the early stages of system development. However, modern systems of high complexity introduce a number of simulation issues that cannot be handled by such ordinary means, including, for instance, bidirectional signal flow in the system chain, nonlinear interactions among interconnected subsystems, and hidden states of complex subsystems that are not excited in sinusoidal regime but strongly influence system dynamics under modulated drive [1]. For this reason, several research groups are currently developing higher order link analysis approaches that are typically based on a combination of advanced behavioral models and nonlinear analysis [2], [3], including the effects of interference. An additional important point concerns the environmental interaction of the system front-ends, which is often disregarded in ordinary link analysis. Antennas tend to be treated as isotropic point radiators that have no influence on Manuscript received June 7, 2006; revised August 31, 2006. V. Rizzoli, A. Costanzo, D. Masotti, and P. Spadoni are with the DEIS, University of Bologna, 40136 Bologna, Italy (e-mail: [email protected]). A. Neri is with the Fondazione Ugo Bordoni, 40044 Pontecchio Marconi (BO), Italy. Digital Object Identifier 10.1109/TMTT.2006.885566

signal transfer [4]. Electromagnetic (EM) couplings between antennas and front-ends that may significantly affect the system performance are normally ignored, as are the radiative couplings between front-ends and environment, that may generate significant linear and nonlinear compatibility problems [5]. In this paper, we expand upon the simplified approach presented in [6] to develop a full circuit-level nonlinear/EM co-simulation procedure for an entire microwave link (i.e., from the transmitter to the receiver intermediate-frequency (IF) ports) that is believed to provide an effective answer to most of the above issues. Circuit-level analysis represents an extreme choice that is made purposefully, with the aim of developing a general and rigorous link analysis method that may establish a reference frame for the accuracy of system-level simulation. The unavoidable problem of high CPU times is solved by an envelope-oriented harmonic-balance technique based on Krylov-subspace model-order reduction [7] that allows even complex digital-like subsystem topologies containing hundreds to thousands of nonlinear devices to be efficiently handled. Further speed improvements can be obtained by recent advances such as envelope-oriented harmonic balance based on automatic domain partitioning [8]. A distinctive feature of our approach is that the connection between the transmitter and receiver is analyzed by a full-wave computation of the EM field. In [6], full-wave EM analysis was limited to the antennas that were treated in the conventional way, i.e., as a one-port component interacting with the transceivers exclusively through their connection ports. In this way, field–circuit interactions were not considered. In this study, we adopt a much more advanced viewpoint, whereby each antenna may be described as part of an integral linear subnetwork that interacts with environmental EM fields as a whole, thus providing a unified approach to the treatment of ordinary transmission, active and passive interference, and EM compatibility. On the transmitter side, the radiated field is directly related to the transmitter front-end state variables so that its complex envelope may be directly computed by envelope-oriented harmonic balance when the transmitter IF input is driven by a modulated signal. On the receiver side, the connection is established by deriving the circuit-level receiver excitation through EM theory, starting from the complex envelope of the field incident on the receiver linear subnetwork, which includes the receiving antenna. This is equally valid for the desired (transmitted) field and for any other (interfering) field existing in the receiver environment. In this way, the most commonplace quality indicators for the link, such as eye diagrams and power spectra (both with and without interference), can be directly computed. Special attention is

0018-9480/$20.00 © 2006 IEEE

4150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

devoted to bit-error-rate (BER) calculation, which poses the additional problem of exceedingly long CPU times. In [6], BER calculation was not considered; in this study, it is made possible by resorting to an artificial neural network (ANN), allowing the extension of a relatively short sequence of output samples generated by simulation, both in the absence and in the presence of noise. The proposed analysis approach is validated by comparing the link performance computed by our technique with the results generated by direct time-domain analysis. This is done for a reference link whose transceivers are sufficiently small (eight transistors overall) to fall within the reach of SPICE-like simulation. A full demonstration of the capabilities of the method is then provided by simulating the transmission of a 16-QAM signal through an RF link with realistic front-end topologies (over 150 transistors), and computing the system BER as a function of drive level and signal-to-noise ratio (SNR).

where the ’s are integer harmonic numbers. A multitone harmonic balance analysis may be carried out by well-known al-vectors of the transmitter stategorithms to compute the and of the voltage harmonics at the variable harmonics at all discrete lines of a suitably linear subnetwork ports, truncated finite spectrum, as defined by (2) when the harmonic vector spans a finite set. One of such lines is the RF frequency of the signal to be transmitted, which will be obtained from . Once has been (2) for some , say found, making use of (1) and with the assumption of free-space in propagation, we may express the total radiated field at the form

II. TRANSMITTER ANALYSIS

(3)

We describe the RF/microwave transmitter front-end as an arbitrary set of nonlinear devices interconnected by a linear subnetwork. Transmitter-related quantities will be labeled by a subscript “T”: as an example, the number of device ports will be . In order to fully account for any EM coupling denoted by that may exist between the antenna and the front-end layout, the antenna is included into the linear subnetwork, which is characterized by a frequency-domain three-dimensional (3-D) EM simulator. This simulation takes into account the presence of any scatterer located in the vicinity of the antenna (such as the antenna support or even other neighboring antennas), so that all relevant near-field effects are included in the results. The is computed by a linear subnetwork admittance matrix sequence of EM analyses that are carried out with a unit-voltage sinusoidal source of angular frequency connected at the th port , and the remaining ports short-circuited. In the following, all quantities pertaining to such a situation will be labeled by the superscript “(q)”. The th analysis provides a row of the admittance matrix and a radiated far-field pattern that will be denoted by in a spherical coordiof the transmitnate system with origin in the phase center ting antenna. More precisely, the EM simulator will generate a two-dimensional (2-D) data base containing the scalar components of the normalized field

(1) where and are unit vectors in the and directions, respectively. Let us now assume that the transmitter front-end is excited by a sinusoidal IF signal of angular frequency and by a sinusoidal local oscillator (LO) of angular frequency . The resulting large-signal regime will be quasi-periodic with spectral lines at all of the intermodulation products

(2)

where is the q-th entry of . Equation (3) may be cast in compact vector notation by introducing the row matrices

(4) and the tensor (5) so that (6) where denotes an inner product. When one of the signals exciting the front-end is modulated, due to the circuit nonlinearity, modulation is transferred onto all intermodulation products of the carrier frequencies. The transmay thus be represented in the form mitter front-end state (7) where the superscript denotes time-dependent complex envelopes. Similar expressions hold for the voltages at the linear subnetwork ports. In such conditions, the circuit may be analyzed by envelope-oriented harmonic balance [7], whereby the circuit simulation is reduced to a sequence of multitone harmonic-balance analyses associated with the envelope sampling instants and backwards-coupled through the envelope dynamics. This method computes the time-dependent phasors of the linear and nonlinear subnetwork responses to a modulated excitation by the multidimensional fast Fourier transform (FFT) in order to decouple the carrier and modulation time scales. In addition, the method relies upon model-order reduction techniques based on Krylov subspaces [7], which allows large-size front-end topologies to be efficiently handled. In principle, the linear subnetwork response should be exactly

RIZZOLI et al.: PREDICTION OF END-TO-END PERFORMANCE OF MICROWAVE/RF LINK

evaluated by time-domain convolution [9], but a simplified and much more efficient approach based on the Taylor expansion of the linear subnetwork circuit matrices in the neighborhood is allowed if of each intermodulation product the relative signal bandwidth is not too broad (i.e., up to about 20% of the RF carrier) [7]. In such cases, the envelope-oriented harmonic-balance technique allows frequency-domain phasor equations to be directly converted into equivalent time-domain equations among complex envelopes. This is also true for the far-field envelope, which may be treated just as any other linear subnetwork response

(8)

4151

receiving antenna, the th analysis now provides a row of the and a 2-D data base containing the admittance matrix , of the normalized field scalar components

(10) where ( , , ; ) is the actual radiated field in the conditions under consideration. After storing all of this information, we reintroduce the incident field and suppress the voltage sources connected to the receiver front-end ports, thus leaving all ports short-circuited. In are the cursuch conditions, (9) shows that the entries of rents flowing through the short-circuited ports. Let us assume that the only incident field is the sinusoidal one of frequency that was computed in Section II and is given by (6). The to then represents the link distraight line connecting rection under free-space propagation conditions. This direction and in the will be defined by some angular coordinates transmitter-referred reference frame and by and in the receiver-referred frame. The required currents may then be expressed by a straightforward application of the reciprocity theorem [10]. Specifically, the th current may be cast in the form

Note that for our present purposes, the expansions should include up to the quadratic terms, because antennas are normally resonant systems. III. RECEIVER ANALYSIS Once the relevant information on the EM field incident on the receiver has become available, the primary remaining problem is to derive from it a circuit-level description of the receiver excitation. We first consider the case of a purely sinusoidal incident field at a generic angular frequency . As for the transmitter case, we describe the receiver front-end as an arbitrary set of nonlinear devices interconnected by a linear subnetwork. Receiver-related quantities will be labeled by a subscript “R” . The receiving so that the number of device ports will be antenna is included in the linear subnetwork, which is characterized by a frequency-domain 3-D EM simulation taking into account all relevant near-field effects. The distance between transmitter and receiver is assumed to be sufficiently large to provide virtually ideal decoupling, so that the receiver front-end in the presence of any incident field behaves as a linear active multiport network. Its frequency-domain admittance equations are thus of the form

(11) where is the distance between and (10), the excitation vector

and

. Making use of (6) becomes (12)

which defines the link transfer matrix of dimensions . The th row of is given by

(13) (9) is the linear subnetwork admittance matrix and is a set of Norton equivalent current sources to be related to the incident field. In order to find these unknown quantities, we first treat the receiver front-end linear subnetwork as if it were operating in a transmitting mode. We suppress the incident field and carry out a sequence of EM analyses with a unit-voltage sinusoidal source of angular frequency connected at the th port and the remaining ports short-circuited. If we establish a receiver-referred spherical coordinate system ( , , and ) with origin in the phase center of the where

where all unit vectors are evaluated in the link direction. With regard to (12) and (13), a few important remarks are in order. A first aspect is that, in this way, we may account for the effects of any interfering field incident on the receiver, even if such field is not received by the antenna in a standard sense, but excites unwanted currents in the receiver front-end metal pattern. In other words, our approach provides a general fullwave solution not only to the regular link analysis problem, but to a generalized EM compatibility problem as well [5]. A second point is that (13) is valid for free-space propagation only, but the analysis can easily be extended to better channel models. As an example, if we adopt a multiple-ray propagation model, which

4152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

N

Fig. 1. Radiating (

) and nonradiating (

N

) linear subnetworks in three different topological situations.

often provides acceptable results [11], is still given by (12), but with the link transfer matrix expressed by

(14) where

is the number of rays to be taken into account and now has the meaning of a ray transfer matrix. For the th ray, is an extension of the matrix defined by (13) that takes into account the reflection, diffraction, and scattering phenomena occurring along the ray path [11]. However, in this paper, we will concentrate on nonlinear/EM effects, while channel-related issues will be developed in a separate work [12]. When the incident field is modulated (with a carrier frequency ), constant complex phasors are replaced by time-dependent complex envelopes. Using an argument similar to the one developed in the transmitter analysis, we may cast the vector of Norton source envelopes in the form

(15) At this stage, a nonlinear analysis of the receiver front-end in the presence of the incident field may be again carried out by envelope-oriented harmonic balance [7]. The receiver excitations consist of a local oscillator of angular frequency and of a set of modulated sinusoidal current sources of carrier frequency and modulation laws expressed by (15), connected in parallel to the linear subnetwork ports. In the multitone harmonic balance analysis associated with each envelope sampling instant, the spectral lines are located at the intermodulation products of and . The envelope-oriented analysis then provides the complex envelopes of all signals supported by the receiver, including the IF output voltage.

IV. TOPOLOGICAL ASPECTS In the analysis approach discussed in the previous sections, both for the transmitter and the receiver front-ends, it is assumed that the antenna is embedded in the linear subnetwork, and that EM interactions may take place between the entire linear subnetwork and the antenna and/or the environment. This situation is schematically illustrated in Fig. 1(a); in such a case, all of the linear subnetwork ports are device ports in the sense that they are used to connect the linear subnetwork with the front-end nonlinear devices. In many practical cases, the situation may be simpler than this, in the sense that EM interactions may be limited to a relatively small part of the linear subnetwork, which will be conventionally named the radiating linear subnetwork and will be identified by the symbol . must obviously be described layout-wise and treated by full-wave EM analysis as detailed above. The remaining part of the linear subnetwork, which will be called the nonradiating linear subnetwork and will be identified by the symbol , may be treated by conventional circuit analysis algorithms. This has the obvious advantage of reducing the overall number of EM simulations that are required to perform the link analysis. In this case, some of the ports of both and are connection ports used to interconnect the two front-end sections, as illustrated in Fig. 1(b). From a nonlinear analysis viewpoint, harmonic balance simulation is now carried out by nonlinear segmentation according to the general approach introduced in [13]. While algorithmic details will not be repeated here, for our present purposes, it is worth mentioning that segmentation requires the introduction of an auxiliary set of state variables consisting of the voltages at the connection ports [13]. In this case, the analysis procedure based on EM simulation is carried out for only. On the transmitter side, (6) and (8) are still valid, with now representing the vector of the voltage phasors at all of the ports of (including device and connection ports) at angular frequency . Similarly, on the receiver side, (11)–(15) are still valid, with the superscript now spanning both the device and the connection ports of the receiver only. As a limiting case, for the transmitter and the receiver may reduce to the antenna only, as illustrated in Fig. 1(c). In this situation

RIZZOLI et al.: PREDICTION OF END-TO-END PERFORMANCE OF MICROWAVE/RF LINK

4153

Fig. 2. Dipoles as transmitting and receiving antennas.

has just one connection port for each front end, and and reduce to a scalar voltage and current, respectively. (a)

V. VALIDATION OF THE ANALYSIS APPROACH In order to validate our analysis approach, here we present a comparison between the results of a link analysis performed by the proposed method and those achieved by a SPICE-like time-domain technique. Time-domain analysis provides a reliable and well-established reference but is computationally slow when digitally modulated RF carriers must be handled. For validation purposes, we thus consider a relatively small-size problem. The transmitter consists of an active up-converter (one FET) followed by a three-stage power amplifier. The receiver includes a low-noise amplifier, an active down-converter, and a two-stage IF amplifier. The total number of transistors in the link is thus equal to eight (16 device ports overall). In addition, we choose as the transmitting and receiving antennas two identical collinear thin dipoles that can be mathematically analysed in closed form [14]. The front-end topology is the one represented in Fig. 1(c) both on the transmitter and on the receiver sides, so that the link transfer matrix reduces to a scalar , which can be computed analytically. The antennas and the reference frames are schematically illustrated in Fig. 2. Referring to Section II, when the transmitting antenna is excited by a unit-voltage sinusoidal source of angular frequency , its input current is numerically coincident with the dipole radiation admittance , which is also available in closed form from [14]. The radiated far field is then given by [14]

(16) where

is the dipole length. Equation (1) then yields

(17) In turn, when the receiving dipole is excited by a unit-voltage sinusoidal source, by a similar argument we get

(18)

(b) Fig. 3. (a) Comparison between in-phase components of a link output signal envelope computed by nonlinear/EM co-simulation and by time-domain analysis (64-bit slot). (b) Comparison between quadrature components of a link output signal envelope computed by nonlinear/EM co-simulation and by timedomain analysis (64-bit slot).

Referring to Fig. 2, in the link direction, we have , so that by (17) and (18) the link transfer function (13) becomes

(19) If we now connect the transmitter to the first dipole and the receiver to the second one, we are in a position to carry out the link simulation in either of two different ways, i.e., by the EM-based all-numerical procedure discussed in Sections II and III and by time-domain analysis using SpectreHDL. For the latter, we use the analytic channel description provided by (19) and perform frequency-to-time-domain conversion by the procedure discussed in [15]. In this way, completely independent algorithms are used in the two simulations. Making use of the 16-QAM input signal defined in Section VI, we obtain the in-phase and quadrature components of the output signal envelope displayed in Fig. 3(a) and (b). The waveforms generated by the two calculations are very close to each other except for minor details, with an rms error of approximately 3 10 .

4154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 5. Schematic topology of a single-conversion transmitter front-end.

Fig. 6. Schematic representation of a PIFA mounted on a handset.

Fig. 4. Comparison between the spectra of a link output signal computed: (a) by nonlinear/EM co-simulation and (b) by time-domain analysis.

Good agreement is also observed between the output signal spectra reported in Fig. 4(a) and (b), showing a small gain offset and some marginal differences confined to the noisy regions of the spectra. These small discrepancies are most likely due to slight differences in the nonlinear device models and to the fact that time-domain results are obtained under the assumption of ideally thin dipoles, while for the EM analysis a small but finite conductor diameter must be used. In addition, the radiation susceptance is not computed exactly, but is obtained by a variational method [14]. It is noteworthy that, even with the small size of the test problem, time-domain analysis is about 22 000 times slower than the nonlinear/EM approach is.

Fig. 7. E -plane and handset.

H -plane radiation

patterns of a PIFA mounted on a

VI. PERFORMANCE BENCHMARK In order to give a full demonstration of the proposed technique, here we discuss in detail a realistic link analysis problem. The transmitter front-end is single conversion and has the topology illustrated in Fig. 5 in terms of functional blocks. The circuit includes a doubly balanced quadrature mixer arranged in a lower sideband suppressing configuration, amplifiers, passive coupling circuits, and several linear parasitics, for a total of 98 device ports and 1185 nodes. The input 45-MHz IF carrier is phase- and amplitude-modulated with a 16-QAM format at a bit rate of 5.12 Mb/s. The total input IF power is 22 dBm. The LO signal is sinusoidal with 6-dBm available power at 855 MHz. Four LO harmonics plus one lower and one upper sideband per LO harmonic are taken into account in each harmonic balance analysis.

In order to mimic the uplink connection of a personal communications system, we select as the transmitting antenna a planar inverted-F antenna (PIFA) and, as the receiving antenna, a broadside array of four half-wave dipoles. The link distance is km, and the total radiated power is 220 mW. Fig. 6 gives a schematic representation of the PIFA mounted on a handset, and Fig. 7 shows its -plane and -plane radiation patterns computed by 3-D EM simulation, including the effects of the coaxial feeds. Notice that, in order to analyze the link in a generic condition (i.e., with an arbitrary orientation of the handset with respect to the link direction), we need not only the radiation diagrams, but also the frequency-dependent polarization and phase of the transmitted far field in any direction of space. It is then clear

RIZZOLI et al.: PREDICTION OF END-TO-END PERFORMANCE OF MICROWAVE/RF LINK

(a)

(b) Fig. 8. Normalized: (a) in-phase and (b) quadrature components of the transmitter input signal and of the far-field complex envelopes (50-bit slot).

4155

Fig. 10. Normalized power spectra of the link I/O signals.

The overall link performance is characterized in Figs. 10–13 in terms of power spectra of the I/O signals (see Fig. 10), I(t), Q(t) [Fig. 11(a) and (b)], I/O phase trajectories in the I-Q plane [Fig. 12(a) and (b)], and I/O eye diagrams [Fig. 13(a) and (b)]. The combined effects of linear and nonlinear distortion in the link are quite evident. For the transmitter front-end, the equivalent number of nodal unknowns for the harmonic-balance analysis to be carried out at each envelope sampling instant is 31 995; this figure raises to 47 115 for the receiver-front end. With these problem sizes, the use of model-order reduction techniques becomes a must in order to keep the CPU time within reasonable limits. With ordinary Krylov-subspace methods, the average CPU time required for the overall nonlinear analysis is about 7 s per envelope sampling instant on a DELL Latitude C480 PC. This is a reference figure that could be significantly reduced with recent algorithmic improvements such as harmonic balance based on automatic domain decomposition [8]. VII. FAST COMPUTATION OF LINK BER

Fig. 9. Schematic topology of a single-conversion receiver front-end.

that EM simulation is a must whenever it is desired to take into account the effects of real-world antenna systems. In Fig. 8(a) and (b), we plot the in-phase and quadrature components of the transmitter IF input signal and of the far-field complex envelopes in a 10- s time slot, showing distortion in the transmitting chain. For the sake of comparison, the field envelope in these figures has been shifted by the total group delay between the front-end input and the field observation point. A block diagram of the receiver front-end is given in Fig. 9. The circuit is arranged in an image-rejection configuration and has a total of 208 device ports and 1745 nodes. The output IF is 90 MHz, and the small-signal gain is 60 dB.

BER computation introduces an additional problem, since it is well known that a very large number of symbols of the order of several hundred thousands need be considered in order to obtain meaningful results [16]. According to the timing information provided in Section VI, this would lead to unrealistic CPU times even with advanced nonlinear simulation algorithms based on model-order reduction techniques. In order to overcome this difficulty, we resort to ANN modeling. The model features that we are looking for are high accuracy and low identification time, as well as the ability to achieve a reliable training making use of a small-size training set. On the other hand, for our present purposes, generality is not an issue. In other words, we do not seek a link model allowing the response to be computed under arbitrary input drive conditions, but rather an ANN that can extend a short sequence of output samples generated by simulation for a specific modulation format and input drive level. In addition, we are not looking for a cascadable system model, but only for a model of the system operating between known terminations. Referring to [1], we thus use only the input and output voltages and their time derivatives as state variables. In a discrete-time environment, this can be simply done by resorting to a recursive ANN of the kind discussed in [18] and [19]. Specifically, at a given envelope sampling instant , we feed to the network input the input signal envelope samples computed at and the input and output samples computed at a finite number m of preceding

4156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 11. Normalized: (a) in-phase and (b) quadrature components of the link I/O signal envelopes (50-bit slot).

Fig. 12. Phase trajectories of the link: (a) input and (b) output signals.

instants, namely, . The ANN thus has inputs andtwo 2 outputs, and its I/O maps may be cast in the form

(20) where and are the complex envelopes of the input and output IF signals, respectively. The nonlinear functions and are implemented by a standard feedforward three-layer perceptron [18], and the total number of state variables is defined by the integer . In principle, the optimum value of should be determined by the embedding process [1], whose application to a large system would require long CPU times. However, the very stringent restriction imposed on the input excitation (fixed modulation and power) justifies the heuristic conjecture that only a small number of internal states be excited and, consequently, a small number of state variables needed. Thus, only and have been tested for several circuits, and it has been found that and a set of several hundred samples appear to be sufficient to train

an ANN that is able to accurately determine the link response to the specific selected excitation at all subsequent instants. A number of hidden-layer neurons of the order of 20–30 are normally sufficient to achieve excellent results. Training is then performed by the Levenberg–Marquardt algorithm coupled with a stop criterion based on cross-validation in order to minimize the generalization error [19]. In order to demonstrate this method, we first carry out the BER calculation for the link described in Section VI in the absence of noise. The input sequence at the transmitter IF port is a sequence of 200 000 symbols which are sampled at a rate of eight points per symbol, for a total of 1.6 million samples that would approximately require 11 million s of CPU time on a 3-GHz PC. Following the proposed procedure, for each input power level, the simulation is stopped after 512 symbols or 4096 samples and takes about 28 600 s. A set of 512 consecutive samples extracted from this sequence is used as the training set for an ANN with 21 hidden neurons, and all of the remaining samples are used for validation. The overall training and validation time is about 7 s. The validation results are reported in Fig. 14(a) and (b), showing a comparison between the in-phase and quadrature components of the receiver IF output voltage envelope computed by simulation and by the ANN model in a 50-bit slot extracted from the validation set, but not belonging to the training set. At an input signal power level of 22 dBm across the channel, the rms error vector magnitude (EVM) is less than 1%. In order to double-check this result, we carried

RIZZOLI et al.: PREDICTION OF END-TO-END PERFORMANCE OF MICROWAVE/RF LINK

4157

Fig. 13. Eye diagrams of the link: (a) input and (b) output signals.

(a)

(a)

(b)

(b)

Fig. 14. Comparison between ANN and circuit-level results in terms of: (a) in-phase and (b) quadrature component of the link output signal envelope (50-bit slot extracted from the validation set).

Fig. 15. Comparison between ANN and circuit-level results in terms of: (a) in-phase and (b) quadrature component of the link output signal envelope (50-bit slot located one million bits apart from the time origin).

out a similar comparison in a further time slot of the same duration, but located very far away from the training set, i.e., after one million samples have elapsed since the beginning of the observation. The results are reported in Fig. 15(a) and (b), showing that the agreement is still perfect. These comparisons are believed to provide an indirect but very credible confirmation of our heuristic conjecture. Note that this is in reality the only proof we need, since we are not interested in the system response to a different excitation. Furthermore, it is worth observing that the range of values that the I/O

signals take on within the training sequence remains substantially stable even after a very long time. This implies that the ANN never works in an extrapolating mode, but always in the canonical interpolating mode. At this stage, the computation of 1 600 000 samples by the ANN then takes about 590 s, and the BER is estimated by direct I/O comparison. The whole procedure is then repeated at several input power levels to generate the distortion-related BER curve given in Fig. 16. Here, the 27-dBm power level roughly corresponds to the receiver 1-dB compression point, while the

4158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 16. Link BER versus input power level.

18-dBm level corresponds to a strong compression of about 12 dB. We now show that the same procedure can also be applied to BER computation in the presence of noise. Performing an accurate and general link noise analysis is a delicate and complex task and is beyond the scope of this paper, so for the time being we will limit ourselves to the consideration of a simple additive white Gaussian noise (AWGN) model. With the front-end topology of Fig. 1(c), this model can be simply implemented by introducing an additional noise current source connected in at the receiving antenna port. The constant specparallel to ) will be denoted by . tral density of this source (in According to classic noise theory [20], if we now subdivide the signal band into a relatively large number, say , of sufficiently small intervals , the noise components whose spectrum fall inside one of such intervals may be represented by a pseudosinusoidal signal with deterministic amplitude and frequency, but random phase. The noise signal source may thus be represented by the form [20] (21) where the ’s are independent random variables with uniform probability distribution over the interval . Equation (21) is obviously equivalent to a sinusoidal carrier of angular frequency phase- and amplitude-modulated with random modulation laws. Specifically, if we write

(22) then the in-phase and quadrature components of the complex envelope are given by

(23) It has been found that very good results (in the statistical sense) can be obtained with of the order of a few hundred and a sequence of values extracted by a random number generator [21].

(a)

(b) Fig. 17. Comparison between ANN model and circuit-level results in terms of: (a) in-phase and (b) quadrature components of the link output signal envelope for an AWGN channel (50-bit slot).

Suppose that we want to evaluate the link BER at a fixed input power level, say 27 dBm, and for several values of the SNR. The latter is defined as , where is average received energy per symbol, and is the noise power spectral density (in W/Hz) with a system impedance level . For this purpose, the link is modeled as the cascade connection of two ANNs of the kind described above. The input to the first ANN is the transmitter IF input envelope, while its output is the receiver exciting source envelope defined by (15). Its map is thus given by (20) with , replaced by and , respectively. The input to the second ANN is the superposition of signal (15) and noise (23), while its output is the receiver IF output envelope. Its map is thus again given by (20) with and replaced by and , respectively. The first ANN is trained once for the given input power in the way discussed above. The second ANN training proceeds in a similar way, but is repeated for each different noise level. As a representative example, the validation results for the entire noisy link are reported in Fig. 17(a) and (b), showing a comparison between the in-phase and quadrature components of the receiver IF output voltage computed by simulation and by the twin-ANN model. The SNR is 20 dB, and an rms EVM of less than 6% is obtained in this case, showing a satisfactory agreement in the presence of

RIZZOLI et al.: PREDICTION OF END-TO-END PERFORMANCE OF MICROWAVE/RF LINK

Fig. 18. Link BER versus SNR evaluated by the ANN model at an input power level of 27 dBm.

0

noisy waveforms as well. Finally, Fig. 18 shows the BER esti. mated in this way as a function of VIII. CONCLUSION In this paper, we presented a numerical approach to microwave link simulation based on modern nonlinear analysis techniques coupled to full-wave EM analysis. For the time being, this technique is not intended to be a competitor to but a reference for ordinary system analysis techniques. Some aspects of it are essential, though, since there is hardly any alternative to EM analysis if the effects of real-world antenna systems must be accounted for. The general philosophy underlying the proposed approach is as follows. There is no doubt that system designers will need a fast system design tool to do the job. However, they may also need a higher level simulation tool in order to establish how the numerousapproximationsimpliedbyordinarysystem-levelanalysis affect the overall accuracy. The obvious comparison is with circuit analysis techniques for high frequencies. Twenty years ago, EM analysis was essentially a research topic for academic people, and most designers confined themselves to the use of circuit techniques, possibly including quasi-static models for simple discontinuities. At that time, the proposal of an all-electromagnetic design procedure would have most likely produced a wealth of skeptical comments. Right now, circuit techniques are still universally in use, but almost everybody in the world would check his/her final design with EM analysis before going to fabrication. We believe that the same might become true with system design. In addition, the advent of more and more sophisticated and efficient nonlinear simulation algorithms is likely to greatly improve the usability of approaches like ours in the years to come. REFERENCES [1] J. Wood, D. E. Root, and N. B. Trufillaro, “A behavioral modeling approach to nonlinear model order reduction for RF/microwave ICs and systems,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2274–2284, Sep. 2004. [2] A. Layec, A. Bennadji, J. C. Nallatamby, R. Quere, and E. Ngoya, “Modeling of a communication chain components & principles of simulation in the Scilab/Scicos environment,” in Proc. IEEE Conf. Control Applic., Aug. 2005, pp. 1127–1133. [3] N. B. Carvalho, J. C. Pedro, W. Jang, and M. B. Steer, “Nonlinear RF circuits and systems simulation when driven by several modulated signals,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 572–579, Feb. 2006. [4] T. K. Sarkar et al., Smart Antennas. Hoboken, NJ: Wiley Interscience, 2003.

4159

[5] V. Rizzoli, A. Costanzo, and G. Monti, “General electromagnetic compatibility analysis for nonlinear microwave integrated circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 953–956. [6] V. Rizzoli, A. Costanzo, D. Masotti, and P. Spadoni, “Circuit-level nonlinear/electromagnetic co-simulation of an entire microwave link,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 813–816. [7] V. Rizzoli, A. Neri, F. Mastri, and A. Lipparini, “A Krylov-subspace technique for the simulation of RF/microwave subsystems driven by digitally modulated carriers,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 490–505, Nov. 1999. [8] V. Rizzoli, E. Montanari, A. Lipparini, D. Masotti, and F. Mastri, “A fully automatic domain partitioning technique for the efficient circuitlevel simulation of large nonlinear microwave subsystems,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 7, pp. 349–351, Jul. 2004. [9] V. Rizzoli, A. Costanzo, and F. Mastri, “Analysis of digitally modulated steady states in nonlinear circuits by Krylov-subspace harmonicbalance,” in Proc. Eur. Conf. Circuit Theory Design, Helsinki, Finland, Aug. 2001, pp. II/197–II/200. [10] R. F. Harrington, Time-Harmonic Electromagnetic Fields. New York: McGraw-Hill, 1961. [11] V. Degli-Esposti et al., “An advanced field prediction model including diffuse scattering,” IEEE Trans. Antennas Propag., vol. 52, no. 7, pp. 1717–1728, Jul. 2004. [12] V. Rizzoli, D. Masotti, P. Spadoni, A. Costanzo, and F. Fuschini, “Distortion analysis of RF links by means of circuit-level nonlinear/EM front-end simulation and realistic channel description,” presented at the 36th Eur. Microw. Conf., . [13] V. Rizzoli, A. Costanzo, D. Masotti, A. Lipparini, and F. Mastri, “Computer-aided optimization of nonlinear microwave circuits with the aid of electromagnetic simulation,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 362–377, Jan. 2004. [14] C. A. Balanis, Antenna Theory and Design. New York: Harper and Row, 1982. [15] T. J. Brazil, “Accurate and efficient incorporation of frequency-domain data within linear and non-linear time-domain simulation,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005. [16] J. Liu, A. Bourdoux, J. Craninckx, B. Come, P. Wambacq, S. Donnay, and A. Barel, “Impact of front-end effects on the performance of downlink OFDM-MIMO transmissions,” in Proc. IEEE RAWCOM, 2004, pp. 159–162. [17] A. Neri, C. Cecchetti, and A. Lipparini, “Fast prediction of the performance of wireless links by simulation-trained neural networks,” in IEEE MTT-S Int. Microw. Symp. Digest, Boston, MA, Jun. 2005, pp. 429–432. [18] K. S. Narendra and K. Parthasarathy, “Identification and control of dynamical systems using neural networks,” IEEE Trans. Neural Netw., vol. 1, no. 1, pp. 4–27, Feb. 1990. [19] M. Kimura and R. Nakano, “Learning dynamical systems by recurrent neural networks from orbits,” Neural Netw., vol. 11, pp. 1589–1599, 1998. [20] H. E. Rowe, Signals and Noise in Communications Systems. Princeton, NJ: Van Nostrand, 1965. [21] V. Rizzoli, C. Cecchetti, and F. Mastri, “A rigorous frequency-domain approach to large-signal noise in nonlinear microwave circuits,” IEEE Microw. Guided Wave Lett., vol. 8, no. 6, pp. 220–222, Jun. 1998. Vittorio Rizzoli (M’79–SM’90–F’94) joined the University of Bologna, Bologna, Italy, as a Full Professor of EM fields in 1980. His research interests are in the areas of NL microwave circuit simulation and design (with emphasis on modem CAD techniques for large-size problems), empirical device modeling, and EM design of integrated circuits. He has authored or coauthored over 190 technical papers on EM propagation, signal and noise analysis of microwave integrated subsystems, and related topics. He is on the Editorial Board of Wiley’s International Journal of RF and Microwave Computer Aided Engineering. He is also a member of the Paper Review Board of Electronics Letters. Prof. Rizzoli is a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, and, since 2005, has been serving as an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. From 1987 to 1995, he was on the Technical Program Committee of the European Microwave Conference. From 1990 to 1992, he served as

4160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

the Distinguished Microwave Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) for Region 8, lecturing in Europe, the U.S., and the Middle East on “Simulation and Design of NL Microwave Circuits.” In 1995, he served as chairman of the 25th European Microwave Conference, Bologna, Italy, in conjunction with the Celebrations for the First Centenary of the Invention of the Radio. From 1995 to 1996, he served as chairman of the Management Committee of the European Microwave Conference. Since 1993, he has been a member of the Technical Committee of the IEEE MTT-I on Computer-Aided Design. Since 1999, he has been a member of the Technical Program Committee of the IEEE MTT-S International Microwave Symposium (IMS).

Alessandra Costanzo (M’98) received the Dr. Ing. degree in electronic engineering from the University of Bologna, Bologna, Italy, in 1987. In 1989, she joined the University of Bologna as a Research Associate. Since 2001, she has served as an Associate Professor of electromagnetic fields with the University of Bologna. Her teaching and research activities have focused on several topics, including electrical and thermal characterization and modeling of nonlinear devices and simulation and the design of active microwave integrated circuits. She has also been devoted to the development of software tools for the broadband design of autonomous circuits and systems for electrical, stability, and noise performance. Most recently, she has worked on the development of algorithms for the analysis of self-oscillating circuits and systems excited by digitally modulated signals and for the broadband design of self-oscillating integrated antennas based on electromagnetic analysis. Dr. Costanzo was a member of the Technical Program Committee of the European Microwave Conference from 1995 to 1997. She was the recipient of a 1987 research grant issued by the Department of Electronics and Telettra S.P.A.

Diego Masotti (M’99) received the Dr.Ing. and Ph.D. degrees in electronic engineering from the University of Bologna, Bologna, Italy, in 1990 and 1997, respectively. In October 1998, he joined the Department of Electronics, University of Bologna, as a Research Associate. His research interests are in the area of microwave integrated circuit computer-aided design, including behavioral models and the development of general-purpose software tools for the signal and noise simulation of large nonlinear microwave subsystems. Dr. Masotti was the recipient of a 1991 research grant issued by Fondazione G. Marconi, Pontecchio Marconi, Italy and Alenia S.p.a., Rome, Italy.

Paolo Spadoni received the M.S. degree from the University of Bologna, Bologna, Italy, in 2004, and is currently working toward the Ph.D. degree in computer science, electronic, and telecommunication engineering at the University of Bologna. His current research interests include the areas of computer-aided techniques for analysis of microwave circuits and antennas.

Andrea Neri was born in Bologna, Italy, in 1957. He received the Electronic Engineering degree and Ph.D. degree in electronics and computer science from the University of Bologna, Bologna, Italy, in 1981 and 1987, respectively. In 1985, he joined Fondazione Ugo Bordoni, Rome. Since 1994, he has been a lecturer on microwaves with the University of Bologna. His main fields of interest are microwave oscillator design, stability analysis, numerical techniques for the circuit-level simulation of communication subsystems, and characterization of probes for electromagnetic-field monitoring. Dr. Neri was the recipient of 1983 and 1984 research grants issued by Fondazione G. Marconi, Pontecchio Marconi, Bologna, and Selenia S.p.A., Rome, to work on dielectric resonators and their applications in microwave integrated circuits.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

4161

A Planar High-Q Micromachined Monolithic Half-Coaxial Transmission-Line Filter Ignacio Llamas-Garro, Member, IEEE, Yongsung Kim, Chang-Wook Baek, Member, IEEE, and Yong-Kweon Kim, Member, IEEE

Abstract—In this paper, a surface micromachined high- planar transmission line is presented. The proposed structure is a halfcoaxial transmission line on a quartz substrate. The half-coaxial transmission line has a 100- m air gap between the half-coaxial center conductor and ground plane. The unloaded quality factor extracted from an experimental resonator was 153 at 32 GHz. The proposed structure can be easily integrated with other components on a chip and can be used to partially isolate components, e.g., a microwave filter. A three-pole 5% fractional bandwidth filter centered at 31.75 GHz with a Chebyshev response has been designed with the proposed half-coaxial transmission line, where a measured insertion loss of 2.83 dB has been obtained. Variations in filter response due to different etch hole positions on the suspended ground plane is discussed. Index Terms—Coaxial transmission lines, highmicrowave filters, surface micromachining.

resonators,

I. INTRODUCTION

P

LANAR transmission lines at millimeter-wave frequencies suffer from high losses. The losses associated with any transmission line are: conductor losses, dielectric losses, considering dilectrics with finite resistivity such as the case of semiconductors, and radiation losses. Several proposals to obtain low-loss transmission lines using micromachining techniques for applications in the millimeter-wave frequency range have been proposed over the last years and can be categorized in four groups as follows: waveguides [1]–[3], microstrips [4]–[7], coaxial lines [8]–[10], and coplanar waveguides [11]–[15]. The key of a micromachined transmission line is to obtain a good loss performance, considering issues like their integration with other components and the feasibility of the fabrication method used for its development. In general, the structures exhibiting the lowest losses are more bulky than the ones presenting higher losses. The structures presenting the lowest losses are normally

Manuscript received December 21, 2005; revised September 21, 2006. I. Llamas-Garro is with the Large Millimeter Telescope Group, National Institute for Astrophysics, Optics and Electronics, Puebla CP 72840, Mexico (e-mail: [email protected]). Y. Kim is with the School of Electrical Engineering and Computer Science, Seoul National University, 151-742 Seoul, Korea, and also with the Nano Fabrication Center, Samsung Advanced Institute of Technology, Yong-In 446-712, Korea (e-mail: [email protected]). C.-W. Baek is with the School of Electrical and Electronics Engineering, Chung-Ang University, Seoul 156-756, Korea (e-mail: [email protected]). Y.-K. Kim is with the School of Electrical Engineering and Computer Science, Seoul National University, Seoul 151-742, Korea (e-mail: [email protected]). Color versions of Table I and Figs. 2, 4–6, 8, and 11–14 are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.886369

completely shielded and use air as the propagation media, allowing for wide conductors to be used, minimizing conductor losses, avoiding dielectric losses, and presenting no radiation losses. The structures that present the lowest losses are the micromachined waveguides, which have been used to obtain of around 890 at 24.45 GHz unloaded quality factors [16], where the depth of the cavity will play an important role value, a deep cavity will result in high values. on the at millimeter waves is Another structure exhibiting a high the shielded microstrip, which consists of a wide, shielded air cavity with a wide microstrip transmission line suspended on of around a thin membrane inside it. With this structure, a 500 at 60 GHz has been obtained [5]. Coaxial transmission lines result in more compact structures compared with the of 153 at waveguide and the microstrip lines. In this paper, a 32 GHz is reported for a planar half-coaxial partially shielded transmission line, allowing shielded lines to be placed together with low or no crosstalk, resulting in good optimization of the chip space. Coplanar waveguides have been presented, where of around 78 at 60 GHz has been reported [11] using a a shielded membrane-supported coplanar waveguide. Also, for low-impedance coplanar waveguides, losses can be reduced by lifting the edges of a conductor, producing an overlap between conductors, resulting in better current distributions while having an air propagation media [13]. The appropriate choice of a transmission line will be a tradeoff between the loss performance, cost, complexity of the fabrication process involved, and its integration with other comis always ponents in a system. For microwave filters, a high desirable in order to get good insertion loss performance and good out-of-passband rejections for a given filter specification. In filter design, narrowband filters are always a challenge because of the higher losses associated with them compared with resonators in wideband filters, thus the importance of highnarrowband filter design. value In this paper, we have focused on obtaining a high using a fully monolithic, surface micromachined transmission line. To achieve this goal, we propose the use of a half-coaxial transmission-line structure in a planar form for ease of integration with other components on a chip. The use of half-coaxial transmission lines can present several advantages over the use of coplanar waveguides or microstrips. First, the half-coaxial transmission lines needs a single-side fabrication process, which is simpler compared with the microstrip which requires metal on both sides of the substrate [17]. Also, most of the electromagnetic field is trapped in the air region between the center conductor and ground plane, which allows wide center conductors to be used, providing a partially shielded structure. This allows

0018-9480/$20.00 © 2006 IEEE

4162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

TABLE I

Q STRUCTURE COMPARISON

HIGH-

Fig. 1. Micromachined half-coaxial transmission line.

The proposed half-coaxial transmission line shown in Fig. 1 due to the use of a suspended ground plane exhibits a high above a center conductor, which is anchored at the substrate, and provides a partial shielding to keep radiation losses to a minimum. Additional loss reduction is obtained by using a lowloss substrate, e.g., quartz, high-resistivity silicon, or gallium arsenide. In this paper, we have decided to use quartz because of its relatively low dielectric constant and low loss tangent, therefore allowing the use of wide center conductors while minimizing dielectric losses. The gap between the center conductor and ground plane consists of a thick air layer that also allows the use of a wide center conductor for the half-coaxial line for a given impedance, resulting in low conductor losses while providing a low-loss propagation media. Additionally, in this paper, we discuss fabrication problems found in the first fabrication runs and how these were solved. The unloaded quality factor extracted from a half-wavelength resonator was 153. A three-pole 5% fractional bandwidth filter centered at 31.75 GHz with potential application in local multipoint distribution systems (LMDSs) has been designed using the proposed half-coaxial transmission line. The differences between the measured and simulated data in this paper are found to be similar to other filters presented previously [4], [5], [9], [18]. denser circuit networks compared with open microstrips, where crosstalk will be an important issue to consider. The half-coaxial transmission lines present advantages over coplanar structures in the sense of providing better current distributions along the center conductor, which results in lower conductor losses. This is achieved by having the center conductor below the ground plane, while in coplanar lines the current distribution is tightly concentrated on the edges of the center conductor and ground plane [11], resulting in higher losses. Table I contains a comparison of structures that have been used to design filters or using a resonators. The proposed structure presents a high fully monolithic transmission line, made by a single-sided fabrication process using a thick sacrificial layer, resulting in a values high- planar transmission line. Traditionally, high have been obtained using bulky structures, where wafer bonding or double-sided processes have been used, resulting in more complex structures compared with the proposed planar halfcoaxial transmission line.

II. FABRICATION PROCESS To obtain the air gap cavity for the transmission line, we have used JSR-THB-151 N photoresist sacrificial layer, since it can be easily removed by oxygen plasma ashing, acetone, or exclusive stripper [19], [20]. Fig. 2 shows the fabrication process flow used to produce the proposed half-coaxial transmission line. First, a 3- m-thick Au center conductor is made by electroplating. AZ4330 was patterned as an electroplating mold. After the Au metal layer patterning on the quartz substrate, a 100- m -thick sacrificial layer was patterned by double coating THB151N resist, where baking and exposure conditions were carefully controlled to produce vertical sidewalls. A Ti/Au metal seed layer was then E-beam evaporated onto the sacrificial layer to ensure good conformal metal deposition on the sidewalls of the sacrificial layer as well as on its top surface. A 160- m mold

LLAMAS-GARRO et al.: PLANAR HIGH-

MICROMACHINED MONOLITHIC HALF-COAXIAL TRANSMISSION-LINE FILTER

4163

Fig. 4. CPW-to-half-coaxial transition in a back-to-back configuration. Fig. 2. Fabrication process flow. (a) Signal line patterning. (b) Sacrificial layer formation and seed deposition. (c) Mold patterning and Au electroplating. (d) Sacrificial layer removing.

Fig. 3. Mold patterned on the sacrificial layer.

was then formed with the same resist to cover the edge sides of the sacrificial layer completely, as shown in Fig. 3. To avoid stiction between the wafer and photomask caused by thick edge-bead phenomena during the alignment process, THB-151N was coated using a special jig and baked for 30 min. This is three to six times longer than the recommended condition by the vendor, whose experiment was performed with a stepper system and not with a contact aligner. The second Au layer was electroplated to form the suspended ground plane anchored at the substrate. Mold stripping was performed by oxygen plasma only; our reason for doing this was because, if the mold is stripped by a chemical remover, the swelling effect of the sacrificial layer destroys the transmission-line structure. After mold stripping, the Ti/Au seed layers on the sacrificial layer were removed by a wet etch process. Etch holes were left on the top surface of the ground plane for sacrificial-layer removal. Finally, the sacrificial layer is removed by oxygen plasma ashing and chemical wet etching. Most of the sacrificial layer was removed by oxygen plasma ashing, and the final residues were removed by sulfuric acid. In our first fabrication run, several problems were found. First, since the filter structure is long, parts of the sacrificial layer used to produce the suspended ground plane were not removed inside the structure, which produced an unwanted dielectric loss for the half-coaxial transmission lines [21]. In this paper, we used sulfuric acid instead of the heated THB-S1 exclusive remover, which was used in [21], which removed the sacrificial layer inside the long structure.

Another problem in the first fabrication run was that the long filter structure tended to detach due to the fact that Cr/Au seed layers were used and did not provide sufficient adhesion of the suspended ground plane at the anchors, resulting in a weak contact between the coplanar waveguide ground plane and the suspended ground plane. On the other hand, this effect was not observed for the coplanar waveguide-to-half-coaxial transition, which is much smaller. In this paper, Ti/Au seed layers replaced the Cr/Au seed layers used in [21] to provide improved adhesion. The filter response in this paper was obtained after a careful study of the structures obtained in the first fabrication run by varying and studying the effect of different etch-hole positions and anchor sizes with the Ti/Au seed layers which are discussed in Section V-A. These modifications resulted in improved measured results compared with previously published experiments [21]. III. CPW TO HALF-COAXIAL TRANSMISSION-LINE TRANSITION A CPW triangular taper is designed to interface the half-coaxial transmission line for on-wafer probing. A constant spacing between the CPW center conductor and ground plane is maintained. The CPW transmission line ends 100 m inside the half-coaxial transmission line in an abrupt transition to merge perpendicularly with the sides of the surface micromachined ground plane, where a half-coaxial mode is launched into the micromachined structure. This structure presented good impedance matching and low radiation losses when interfacing the half-coaxial transmission line for probing. Fig. 4 shows the layout of the back-to-back CPW-to-half-coaxial transition. Previous work on CPW-to-microstrip transitions can be found in [22]. The measured response of the back-to-back CPW-to-halfcoaxial transition is shown in Fig. 5, where a good agreement between simulation and experiment was obtained. The measured insertion loss of the transition was 0.9 dB for the frequencies of interest (31–32 GHz) and agreed well with the simulations. The transition has a wideband response, which is adequate for probing the filter presented in this paper. IV. EXPERIMENTAL RESONATOR The overall losses of the proposed half-coaxial transmission line are extracted using an experimental resonator. The resonator is a half-wavelength long at around 32 GHz. The losses

4164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 7. Experimental resonator response. Fig. 5. CPW-to-half-coaxial transmission line response.

Fig. 6. Experimental resonator.

are extracted as the of the resonator, which is a value of the overall losses associated with the structure, namely, conductor , dielectric losses , and radiation losses ; losses can be decomposed giving the following expression:

(1) The

Fig. 8. Filter topology. (a) Input and output fork coupling structure to the filter. (b) Filter structure.

of the resonator is defined by energy stored in the resonant circuit power loss in the resonant circuit

(2)

which is evaluated at the resonant frequency of the resonator . The layout of the half-coaxial experimental resonator is shown in Fig. 6, where the resonator has been weakly coupled from the measured loaded quality factor to extract the using the following approximation that is valid only for a weakly coupled resonator: (3) where is the resonant frequency of the experimental resonator is the 3-dB bandwidth of the resonance plotted in and Fig. 7. From the experimental resonator measurement in Fig. 7, a of 153 has been extracted at a center frequency of 32 GHz. value obtained gives the overall loss performance of the The proposed half-coaxial transmission line. The of the resonator can be further increased by having a bigger air cavity, allowing further reduction of losses. Also, the use of wider resonators will

also increase but, as a reference here, we have used a 50transmission-line resonator. The predicted for the resonator using HFSS [23] was 182. V. HALF-COAXIAL TRANSMISSION-LINE FILTER A three-pole 5% fractional bandwidth bandpass filter centered at 31.75 GHz having a Chebyshev response has been designed, where the filter topology consists of three capacitively coupled resonators that are successively aligned in a straight line down the half-coaxial line. The input and output coupling to the filter were achieved by using the fork structure shown in Fig. 8(a), and the overall filter dimensions and layout are shown in Fig. 8(b). The filter design follows a traditional design method, which starts with a low-pass prototype filter, calculating the initial values. From these, a bandpass transformation can be applied, and the and the coupling coefficients between resonators related to the input and output couexternal quality factor pling to the filter can be calculated. The low-pass filter element values for this particular filter are given in Table II and are chosen to produce a 0.1-dB passband ripple, where only half of the values are shown since the filter is symmetrical.

LLAMAS-GARRO et al.: PLANAR HIGH-

MICROMACHINED MONOLITHIC HALF-COAXIAL TRANSMISSION-LINE FILTER

4165

TABLE II FILTER DESIGN PARAMETERS

Fig. 10. External quality factor.

value is obtained from the low-pass element values [24], given in Table II, and calculated using the following expression: Fig. 9. Coupling coefficient.

(6) Once the elements of the low-pass prototype filter are set, the coupling required between resonators can be calculated [24] by using

(4) which is related to the coupling between the th and the th is the bandwidth of the resonators in a filter topology. is the center frequency of the filter, and bandpass filter, and are the low-pass element values given in Table II. For the filter presented here, since the filter is symmetrical and has three poles, only one coupling coefficient is needed related to the gap between the resonators and can be determined from the values in Table II using (4). Then, the simulated transmission response of the two coupled resonators can be obtained, which will present two resonant peaks due to the even and odd mode excitations. Now, by varying the distance between resonators, different coupling coefficients can be obtained [24] by using

(5) where and are the two resonant frequencies that arise from coupling the two resonators. The final distance between resonators is the one that gives the same value as the theoretical coupling derived from the low-pass element values, given in Table II, and calculated using (5). The coupling between resonators achieved for this filter topology is shown in Fig. 9, where is the spacing between resonators. To obtain the external quality factor, in a similar approach to the coupling coefficient between resonators, the theoretical

using a full-wave simulator [23], the first or To extract last resonator of the filter is weakly coupled on one side, and then the coupling value can be extracted from the setup shown in obtained using the fork-like structure Fig. 8(a). The values of are shown in Fig. 10, which is obtained by varying the length of can be the fork in Fig. 8(a), denoted as in Fig. 10. Now calculated using

(7) is the 3-dB bandwidth, extracted from the input where or output coupling response to the filter. After setting the correct parameters and dimensions, the final filter layout is defined. The simulated response of the filter using [23] is shown in Fig. 11. A. Etch-Hole Offset Effect on the Half-Coaxial Filter In order to remove the sacrificial layer used in the development of the half-coaxial transmission line, etch holes were placed on the suspended ground plane. In this section, the tendency in filter response related to the effect of placing the etch holes on the half-coaxial ground plane is discussed. We define offset as the distance from the half-coaxial vertical sidewall to the etch hole, as shown in Fig. 12. A square-type etch hole was positioned at offsets of 50, 100, and 150 m. Fig. 13 shows the simulated and measured filter response corresponding to each etch-hole offset. The simulated and measured filter response in Figs. 13 and 14 include the CPW-to-half coaxial transition; no deembedding techniques were used in this paper. The calibration technique used to remove probe transition and measurement system effects for the measurements was short-open-load-thru (SOLT) using Cascade ISS calibration standards. The filter response

4166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 11. Simulated filter response.

Fig. 12. Transmission line with etch holes.

shifts slightly in frequency as the etch-hole offset increases, which is caused by the change of resonator resonant frequency. The etch-hole position also has the effect of modifying the bandpass bandwidth of the filter. Small offset results in less bandwidth alteration compared with the filter design parameters, considering no etch holes. The bandwidth and passband ripple of the filter decrease as a result of the change in resonator effective electrical length, inter-resonator coupling, and input and output coupling to the filter, as the offset increases. The change of passband ripple can be observed from the passband is related to the minimum return loss. Passband ripple in decibels by the following passband return loss expression [24]: (8) The measured passband return loss increased compared with the simulations, which was more apparent for large etch-hole offsets. The 150- m offset case showed the largest difference compared with the simulations. The frequency shift and alteration of return loss resulted from a difference between the etchhole offset on the fabricated structure and the designed one. When the etch holes were patterned, overexposed energy to the photoresist on the suspended ground plane, made negative sidewall slopes, which made the etch hole smaller resulting in larger etch-hole offset positions compared with the designed value. The etch-hole position producing the smaller filter parameter (e.g., inter-resonator coupling, input and output coupling to

Fig. 13. Effect of etch-hole offset on the filter response. (a) Simulated filter response for different etch-hole offset positions. (b) Measured filter response for different etch-hole offset positions.

the filter, or resonator resonant frequency) alteration, compared with an ideal half-coaxial transmission line with no etch holes, is the farthest one from the half-coaxial center conductor. B. Filter Response The simulated and measured responses of the filter are shown in Fig. 14, where a good agreement between simulation and experiment was obtained. The effect of the etch holes on the filter altered the passband return loss, compared with the initial design without etch holes. The initial passband return loss according to the low-pass element values chosen was at arround 16 dB, as shown in Fig. 11. The effect of the etch holes produced a passband return loss of about 10 dB. The measured insertion loss of the filter was 2.83 dB and was found to be 1 dB higher than the simulated

LLAMAS-GARRO et al.: PLANAR HIGH-

MICROMACHINED MONOLITHIC HALF-COAXIAL TRANSMISSION-LINE FILTER

4167

[5] P. Blondy, A. R. Brown, D. Cross, and G. M. Rebeiz, “Low loss micromachined filters for millimeter-wave telecommunication systems,” in IEEE MTT-S Int. Microw. Symp. Dig., 1998, pp. 1181–1184. [6] J. E. Harriss, L. W. Pearson, X. Wang, C. H. Barron, and A. V. Pham, band resonator employing organic micro“Membrane-supported machined packaging,” in IEEE MTT-S Int. Microw. Symp. Dig., 2000, pp. 1225–1228. [7] H.-S. Lee et al., “New micromachined microstrip transmission lines for application in millimetre-wave circuits,” Microw. Opt. Technol. Lett., vol. 40, no. 1, pp. 6–9, Jan. 2004. [8] J. A. Bishop, M. M. Hashemi, K. Kiziloglu, L. Larson, N. Dagli, and U. Mishra, “Monolithic coaxial transmission lines for mm-wave ICs,” in Proc. IEEE/Cornell Adv. Concept High-Speed Semiconduct. Devices Circuits Conf., Aug. 5–7, 1991, pp. 252–260. [9] R. T. Chen, E. R. Brown, and C. A. Bang, “A compact low-loss band filter using 3-dimensional micromachined integrated coax,” in Proc. 17th IEEE Int.MEMS Conf., Jan. 2004, pp. 801–804. [10] I. Llamas-Garro, M. J. Lancaster, and P. S. Hall, “Air filled square coaxial transmission line and its use in microwave filters,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 152, no. 3, pp. 155–159, Jun. 2005. [11] K. J. Herrick, T. A. Schwartz, and L. P. B. Katehi, “Si-micromachined coplanar waveguides for use in high-frequency circuits,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 6, pp. 762–768, Jun. 1998. [12] W. Y. Liu, D. P. Steenson, and M. B. Steer, “Membrane-supported CPW with mounted active devices,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 4, pp. 167–169, Apr. 2001. [13] J.-H. Park, C.-W. Baek, S. Jung, H.-T. Kim, Y. Kwon, and Y.-K. Kim, “Novel micromachined coplanar waveguide transmission lines for application in millimetre-wave circuits,” Jpn. J. Appl. Phys., vol. 39, no. 12B, pt. 1, pp. 7120–7124, Dec. 2000. [14] D. P. Newlin, A.-V. H. Pham, and J. E. Harris, “Development of low loss organic-micromachined interconnects on silicon at microwave frequencies,” IEEE Trans. Compon. Packag. Technol., vol. 25, no. 3, pp. 506–510, Sep. 2002. [15] H. Zhang, J. Zhang, L. L. W. Leung, and K. J. Chen, “Bandpass and bandstop filters using CMOS-compatible micromachined edge-suspended coplanar waveguides,” in Proc. 17th Asia–Pacific Microw. Conf., Suzhou, China, Dec. 4–7, 2005, 4 pp. [16] M. Hill, J. Papapolymerou, J. East, and R. Ziolkowski, “High- micromachined resonant cavities in a -band diplexer configuration,” Proc. Inst. Elect. Eng., vol. 148, pt. H, pp. 307–312, Oct. 2001. [17] L. S. Lopez and T. M. Weller, “A low loss quartz-based cross-coupled filter integrated onto low-resistiviry silicon,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1809–1812, Aug. 2004. [18] L. Harle and L. P. B. Katehi, “A vertically integrated micromachined filter,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2063–2068, Sep. 2002. [19] F.-G. Tseng et al., Sens. Actuators A, vol. 97–98, pp. 764–770, 2002. [20] ——, Microsyst. Technol., vol. 8, pp. 363–367, 2002. [21] I. Llamas-Garro, Y. Kim, C.-W. Baek, and Y.-K. Kim, “A micromachined monolithic half coaxial transmission line filter,” in Proc. 17th Asia–Pacific Microw. Conf., Suzhou, China, Dec. 4–7, 2005, pp. 1658–1661. [22] G. P. Gauthier, L. P. Katehi, and G. M. Rebeiz, “ -band finite ground coplanar waveguide (FGCPW) to microstrip line transition,” in IEEE MTT-S Int. Microw. Symp. Dig., 1998, vol. I, pp. 107–109. [23] HFSS. ver. 9, Ansoft, Pittsburgh, PA, 2003 [Online]. Available: http:// www.ansoft.com [24] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001.

Ka

Ka

Fig. 14. Filter response.

value. The difference in insertion loss may be explained by the remaining photoresist inside the half-coaxial transmission line or may be due to a fact that an approximation is used when modeling the filter [23], where the complete structure including the CPW-to-half-coaxial transition was modeled assuming no radiation or leakage from the structure. An improved filter response has been obtained compared with our preliminary experiments [21]. The measured passband ripple was 0.38 dB and is in agreement with the simulation and (8), where the ripple was found to be 0.35 and 0.41 dB, respectively. VI. CONCLUSION A new low-loss micromachined monolithic half-coaxial transmission line was presented. The unloaded quality factor obtained from a half-wavelength resonator was 153. The low loss obtained has been based on having a 100- m air gap between the center conductor and ground plane. This air gap cavity allows the use of wide center conductors to reduce conductor losses, compared with smaller cavities. Also, the cavity is placed with the intention to have low radiation to neighboring circuits on the same chip and uses a quartz substrate to minimize dielectric losses. The proposed half-coaxial transmission line is monolithic microwave integrated circuit-compatible for use in low-cost high-production volume integrated circuits. The size of the cross section of the half-coaxial transmission line can be further extended and values. optimized to achieve higher The structure presented in this paper can be used to form micromachined electromagnetic bandgap structures using the photoresist mold, suspended inductors, or other low-loss devices. REFERENCES [1] J. Papapolymerou, J.-C. Cheng, J. East, and L. P. B. Katehi, “A micromachined high-band resonator,” IEEE Microw. Guided Wave Lett., vol. 7, no. 6, pp. 168–170, Jun. 1997. [2] M. Stickel, P. C. Kremer, and G. V. Eleftheriades, “High- microstrip-fed bulk micromachined silicon cavities,” in Proc. Int. IEEE AP-S Symp., Jun. 22–27, 2003, vol. 2, pp. 632–635. [3] B. A. Shenouda and L. W. Pearson, “Micromachined waveguide for millimetre wave applications,” in Proc. Int. Microw. Millimeter Wave Technol. Conf., Aug. 18–20, 1998, pp. 615–618. [4] K. Takahashi, U. Sangawa, S. Fujita, M. Matsuo, T. Urabe, H. Ogura, and H. Yabuki, “Packaging using microelectromechanical technologies and planar components,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 11, pp. 2099–2104, Nov. 2001.

QX

Q

Q

K

W

Ignacio Llamas-Garro (S’99–M’03) received the Electronics and Communications Engineering degree from the Autonomous University of Nuevo Leon State, Monterrey, Mexico, in 1998, and the Ph.D. degree from the University of Birmingham, Edgbaston, Birmingham, U.K., in 2003. From March 2004 to March 2005, he was with the Laboratory for Micro Sensors and Actuators as a Post-Doctoral Researcher and the Inter-University Semiconductor Research Center as a Visiting Researcher with the Seoul National University, Seoul, Korea. From March 2005 to March 2006, he was a BK-21 Assistant Professor with the School of Electrical Engineering and Computer Science, Seoul National University. He is currently an Associate Professor with the Large Millimeter Telescope Research Group, National Institute for Astrophysics, Optics

4168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

and Electronics, Puebla, Mexico. His research interests include microwave and millimeter-wave devices for wireless telecommunication and remote sensing systems, RF MEMS, and microwave superconductivity.

Yongsung Kim received the B.S. and M.S. degrees in electrical engineering from Seoul National University, Seoul, Korea, in 1997 and 1999, respectively. From 1999 to 2001, he was with the MEMS Laboratory, Samsung Electronics Company, where he performed projects in the field of optical MEMS—MOXC (Micro Optical Cross Connect). From 2001 to 2003, he was involved with developing thermal inkjet printer heads with the Samsung Advanced Institute of Technology, Yong-In, Korea, as a Member of Research Staff. His current research interests are focused on the RF MEMS (half-coaxial transmission line filter) and the panel display field such as a-Si and ZnO TFT AMOLED.

Chang-Wook Baek (S’94–M’95) was born in Anyang, Korea, in November 1970. He received the B.S., M.S., and Ph.D. degrees from the School of Electrical Engineering and Computer Science, Seoul National University, Seoul, Korea, in 1993, 1995, and 2000, respectively. In March 2000, he joined the Inter-University Semiconductor Research Center (ISRC), Seoul National University, as a Post-Doctoral Researcher, where he developed micromachined millimeter-wave devices. Since 2005, he has been

an Assistant Professor with the School of Electrical and Electronics Engineering, Chung-Ang University, Seoul, Korea. His current research interests are focused on the micro/nanoelectromechanical systems (MEMS/NEMS), including MEMS/NEMS processing technologies, material characterization in micro/nanoscale, RF/millimeter-wave MEMS devices, microinertial sensors, and micropower generation.

Yong-Kweon Kim (M’91) received the B.S. and M.S. degrees in electrical engineering from Seoul National University, Seoul, Korea, in 1983 and 1985, respectively, and the Dr. Eng. degree from the University of Tokyo, Tokyo, Japan, in 1990. His doctoral dissertation concerned modeling, design, fabrication, and testing of microlinear actuators in magnetic levitation using high-critical-temperature superconductors. In 1990, he joined the Central Research Laboratory, Hitachi Ltd., Tokyo, Japan, where he was a Researcher involved with actuators of hard disk drives. In 1992, he joined Seoul National University, where he is currently a Professor of electrical engineering. His current research interests are modeling, design, fabrication, and testing of electric machines, especially MEMS, microsensors, and actuators.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

4169

Dual-Band Lumped-Element Bandpass Filter Himanshu Joshi, Student Member, IEEE, and William J. Chappell, Member, IEEE

Abstract—In this study, a dual-band lumped-element bandpass filter (DBLEF) is presented, which is implemented in low-temperature co-fired ceramic. The design utilizes the tight component coupling possible in this technology to mimic a much more complex network of lumped components. The filter is unique in that it utilizes only a single set of lumped components to create a dual-passband response. Detailed design equations are presented for the dual-band design, and a DBLEF having passbands at 2.4 and 5.2 GHz is demonstrated, representing the common wireless local area network bands. This paper both introduces a novel approach to lumped-element dual-band filtering, while also demonstrating an effective method of implementing this complex filter in multilayer technology with a very small footprint. Both measured and simulated results verify the approach 135 22 8 mil3 with the overall size of the filter only 154 (3 85 3 38 0 57 mm3 ). Index Terms—Bandpass filter, dual-band filter, low-temperature co-fired ceramic (LTCC), multilayer substrates, resonators.

I. INTRODUCTION ITH THE increasing demand for multipurpose wireless applications, more and more wireless systems are leaning towards multifunctional/multiband operation. Bandpass filters are important components of wireless systems as they enable band selection in RF transceivers, separating the different receiver functions of interest and isolating a specific band from interferers in dense wireless traffic. As wireless systems converge into common devices, it will be increasingly important to have miniaturized filters that can select more than one band at a time. One fabrication technology that has been demonstrated to be suitable for extremely compact filtering is low-temperature co-fired ceramic (LTCC) [1], [2]. LTCC is particularly suitable for lumped-element filters as it allows high-density integration of passive components, leading to significant miniaturization as compared with surface-mount components [2], [3]. More importantly for filter designs, as opposed to just mimicking surface-mount implementations of a filter, the multilayer nature provides the ability to utilize interactions of multiple components to create couplings and feedback mechanisms, thus creating complex transfer functions in limited space [4]–[7]. As compared with planar transmission-line filters [8], [9], LTCC allows comparable filter performance using lumped-element components with a significantly smaller form factor [4]–[7]. In this

W

Manuscript received February 1, 2006; revised June 22, 2006. This work was supported in part by the 21st Century Research and Technology Funds through the State of Indiana. The authors are with the Electrical and Computer Engineering Department, Purdue University, West Lafayette, IN 47907 USA (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.885576

paper, we utilize the unique coupling mechanisms internal to the LTCC substrate to create a dual-band lumped-element filter (DBLEF). Previous work has shown that such a dual-band filter can be created through the parallel combination of two distinct filters, designed so that the two passbands do not affect each other [7]. In this current design, a distinctly different and more compact design approach is taken, in that only a single set of lumped elements is used. Through mutual couplings of the lumped elements within the LTCC stack, a dual-band response is created while preserving the ultracompactness made available through the multilayered substrate. The LTCC implementation reduces size in three manners. First, the compaction of two filters into one physical filter reduces total size. Second, size reduction is achieved by eliminating the need for many of the physical elements by utilizing mutual couplings within the filter to mimic lumped components. Third, there is no shielding needed between the inductors in the filters because the mutual inductances are used beneficially as part of the design. The center frequencies of the two bands can be independently controlled, and the transmission zeros can also be appropriately positioned to achieve rejection at the desired frequencies for both bands of interest. As a demonstration, a filter that covers the 2.4- and 5.2-GHz wireless local area network (WLAN) frequency bands is presented, and means of placing zeros at relevant locations within the frequency response, such as the image frequencies, is shown. II. FILTER DESIGN The challenge involved in designing a DBLEF using a single set of lumped components is to create the frequency variation in the resonators and coupling to achieve two passbands. Fig. 1 shows a prototypical implementation for achieving this frequency variation. Before going into the specifics of the exact values of each of the components, a notional description of the relationship of the different components in the filter design is instructive. As illustrated in the equivalent circuit representation in Fig. 1(a), the dual-band response shown in Fig. 1(b) is realized by the interaction of the circuit network created by the and the two parallel resonators formed by and inductor , with the second-order filter formed by , , , and . creates the three transmission The addition of the capacitor zeros present in the response shown in Fig. 1(b). The complex schematic in Fig. 1(a) can be simplified to separately design for the lower and upper bands. The design involves first designing for the lower and upper passbands without the and then placing the transmission zeros feedback capacitor as desired by suitably choosing . The details of the design are described in the following sections.

0018-9480/$20.00 © 2006 IEEE

4170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 2. Simplified lower band schematic.

Fig. 3. Simplified upper band schematic.

the second passband. Also, the frequency variation in the impedance should be such that it does not affect the lower passband. This is realized by the addition of parallel resonators and , which have input impedance given by formed by (1)

The input impedance is therefore infinite at the lower band , since it is chosen to be the same as . At center frequency , the resonators can be the upper band center frequency represented by an effective inductance whose value is given by Fig. 1. (a) Schematic of the DBLEF. (b) Example full-wave electromagnetic (EM) response of the DBLEF.

A. Design for the Lower Band The first design choice is to set the lower passband center frequency by a proper choice of the resonant frequency of the resonators in the coupling network formed by and . is chosen to be the same as in order to mask the effect of the resonators formed by and . This simplifies the effective network to that of a traditional second-order filter. The parallel resonator formed by and acts like an open circuit at , which masks the effect of the , , and , leaving as the dominant coupling element active in the local range of frequencies near the lower passband. The simplified schematic with as the coupling element for the lower band is shown in Fig. 2. The values for the components in Fig. 2 are computed for a second-order Butterworth filter ( , , , and ) using the synthesis method for a bandpass filter detailed in [10]. For the present demonstration, the center frequency is chosen to be 2.4 GHz, and a 3-dB bandwidth of 20% is assumed. The computation gives the values as pF, nH, nH, and pF.

(2)

For the present design choice of GHz and GHz, the above expression simplifies to , which, while negative, is still realizable through the and . The simplified use of the resonator formed by schematic for the upper band is shown in Fig. 3. The operation of the upper band network of elements is best seen by viewing this network through symmetry. The symmetry into two parallel plane is obtained by splitting the inductor inductors of value each. By splitting this network in terms of its even- and odd-mode resonances, the upper band resonant frequency is revealed [11]. The schematic in Fig. 3 will have even- and odd-mode resonances as shown in Fig. 4. The odd-mode resonance is determined by replacing the symmetry plane with a ground (Electric Wall), while the even-mode resonance is revealed by replacing the symmetry plane with an open (Magnetic Wall). The odd-mode resonance is not physically possible since the resulting inductance is negative, indicating a nonphysical resonance condition. Hence, there will only be an even-mode resonance defining the center frequency of the upper passband at

B. Design for the Upper Band In addition to the inductor , the coupling mechanism in Fig. 2 needs to have a frequency variation in order to create

(3)

JOSHI AND CHAPPELL: DUAL-BAND LUMPED-ELEMENT BANDPASS FILTER

4171

can be seen to not affect the resonant frequency of the (3), upper passband, and the bandwidth for the upper passband is controlled only by . Therefore, is an independent variable that can be used to alter the lower passband response without affecting the upper passband. In order to simplify the analysis, and are chosen to be 3 nH and 1.5 pF, respectively, so GHz and hence can be assumed that to be the dominant coupling mechanism controlling the and inverters for the lower passband. It can be designed to give the desired filtering response in conjunction with the previously for the lower passband. Ideal chosen , which now defines lumped-element simulations for the stacked DBLEF are shown in Fig. 7: Design 1 is a larger bandwidth design and Design 2 is a narrower bandwidth version. For Design 1, the bandwidths for the lower and upper passbands are 0.61 and 0.31 GHz, respectively, and, for Design 2, the bandwidths are 0.82 and 0.45 GHz, respectively. The values of the lumped components for the two designs are tabulated in Table I. These designs show the flexibility of this technique in moving towards more complex, higher order filtering functions.

Fig. 4. (a) Odd-mode schematic. (b) Even-mode schematic.

C. Out-of-Band Response Improvement

Fig. 5. Ideal lumped-element transmission zeros.

S -parameter response of the

DBLEF without

The resonance at the upper band can be adjusted as desired by do not appropriately choosing and . Also, since and affect the lower band due to the design choice of having the , the resonance can be adjusted without affecting the same as lower band, resulting in independent control of the two passband center frequencies. A value of 1.5 pF is chosen for , which, for the present design choice of GHz, nH. (3) can now be solved to get a value of 0.6 nH gives for , which completes the determination of all of the component values in Fig. 1(a). The design is simulated using Agilent Advanced Design System (ADS) [13] and the -parameter response is shown in Fig. 5 with the center frequencies of the two passbands located at 2.4 and 5.2 GHz, respectively. This is an example of the simplest dual-band filter that can be realized. As a demonstration of designing higher order filters with better control of the bandwidth for both of the passbands, particularly the upper passband, the DBLEF can be cascaded in series, as shown in Fig. 6. The cascaded filter creates a four-pole response for the lower passband and a two-pole response for the upper passband, respectively. In this manner, the bandwidth of both the lower and upper band filters can be shaped and controlled. The equivalent resonators for the higher passband are defined by Fig. 4(b) which are coupled together . The value of can be thus related to by the capacitor the bandwidth of the upper passband using standard bandpass filter design equations for a second-order filter [10]. From

The next step is to improve the out-of-band response by inserting transmission zeros in the response. Of particular interest is the placement of nulls at specified frequencies, such as the image or other interfering frequencies. A feedback capacitor between the ports of a single-band filter has been shown in [4] to add transmission zeros in the response. This effect can be advantageously used for the dual-band filter shown in Fig. 1(a) as well. The position of the zeros is given by the frequencies at which (4) is satisfied [4] (4) where is the parameter for the dual-band filter without can be calculated [12] using the the feedback capacitor . following expressions and is plotted in Fig. 8: (5) (6) (7) (8)

(9) Fig. 8 also shows the position of the zeros as the points where the line intersects the plot of . Two values of are plotted, pF and pF, respectively, in order to illustrate how the position of the transmission zeroes is affected on changing the value of the feedback capacitor . The -parameters for the two designs are plotted using ADS and are shown in Fig. 9. The addition of the nulls significantly improves the out-of-band response, which can be seen when

4172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 6. Two DBLEFs cascaded in series using a capacitor to demonstrate better control of bandwidths for the two passbands.

Fig. 7. Ideal lumped-element S -parameter results for the cascaded DBLEF.

Fig. 8. Plots of Y -parameters.

TABLE I COMPONENT VALUES FOR THE CASCADED DBLEF

comparing Figs. 5 and 9. Also, with regard to changing the value , the zeros can be appropriately positioned of the capacitor to reject the interfering frequencies. Increasing the value of the from 0.08 to 0.12 pF shifts the position of the zeros capacitor from 1.8, 3.1, and 4.1 GHz to 1.9, 2.9, and 4.4 GHz, respectively. Thus, the position of the third zero is most sensitive to the value of the capacitor , allowing for a wide range of zero locations near the upper band without significant changes in the lower band zero positions. III. LTCC IMPLEMENTATION The circuit-level simulation demonstrates that the theory can be used to create multiple passbands. Actual realization of the lumped-element filter components in close proximity and fully

Fig. 9. Improved ideal lumped-element S -parameter response of the DBLEF.

shielded so as to not interact with each other would be difficult, especially in a compact implementation. In particular, integrating the specific filter given in Fig. 1(a) as such in LTCC would be difficult because of the mutual couplings between each of the six inductors. To get the full benefit of the DBLEF, a compact form factor implementation is required. An implementation

JOSHI AND CHAPPELL: DUAL-BAND LUMPED-ELEMENT BANDPASS FILTER

4173

Fig. 11.

S -parameter response comparison of the original and new schematic.

Fig. 10. (a) Original prototype schematic. (b) Intermediate schematic. (c) New schematic realized in LTCC. Fig. 12. Layout of the dual-band filter. Not to scale (expanded z -axis) for clarity. (Color version available online at http://ieeexplore.ieee.org.)

advantageously utilizing the close stacking of the components in the LTCC instead of fighting the unintended coupling is shown in Fig. 10(c). An intermediate schematic shown in Fig. 10(b) is derived from the original lumped-element prototype schematic shown transformation equations given in in Fig. 10(a), using the [4]. The new schematic shown in Fig. 10(c), which is suited for LTCC integration, is derived from the intermediate schematic equations again. The mutual inductances by applying the and in Fig. 10(c) have replaced the inductors and in Fig. 10(a) and are easily realized by the vertical integration provided by LTCC. Equations (10)–(13) are derived from a simtransformation equations and relate the plification of the and and the mutual inductances and inductors in the new schematic [see Fig. 10(c)] to the inductor values , , and in the original prototype schematic [see Fig. 10(a)]. The transform equations utilized to derive the above equations are an approximation since they ignore second-order and due to the muinteractions between the inductors and and the effect of the capacitor . tual inductances However, full inclusion of each term disallows the derivation of closed-form solutions for the inductor and mutual coupling values. The closed-form approximate solutions represented in nH, (10)–(13) give the estimated values of nH, nH, and nH. These approximate values can be optimized by using a standard circuit simulator, like Agilent ADS, and the optimized values were determined nH, nH, nH and to be

nH. Only the mutual inductance is seen to be significantly affected by the approximations. The response from the new schematic and a comparison with the original prototype schematic is shown in Fig. 11 and indicates an almost identical response from the two schematics (10) (11) (12) (13) The physical layout of the dual-band filter without the feedback capacitor is shown in Fig. 12. The substrate tape used is Dupont 951 having a dielectric constant of 7.8 and a loss tangent of 0.006 at 3 GHz [15]. These values were used for all the full-wave EM simulations. The filter utilizes six tape layers of 3.8-mil thickness each, having a total height of 22.8 mil. The metal system used is a Dupont 6742 silver conductor. The cross-sectional view of the filter is shown in Fig. 13. The inducare realized as metal strips on the same tape layer, and tors a horizontal separation between them creates the mutual induc. The addition of one tape layer (3.8 mil in height) tance . below introduces the second mutual inductance

4174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 13. Cross-sectional view of the layout.

Fig. 15. Simulation results to illustrate sensitivity of the zero.

null at the resonant frequency of the parallel resonator formed and which is given by by

(14)

Fig. 14. (a) Layout of the single-band filter with a single magnetic coupling. (b) Schematic of the single-band filter. (c) Simplified schematic.

IV. SENSITIVITY OF THE LTCC COUPLING SCHEME The successful LTCC implementation of the dual-band filter in Fig. 10(c) depends significantly on the accurate implemenand . The shrinkage in tation of the mutual inductances the – dimensions (12.7 0.3%) as well as the axis (15 0.5%) [15] can severely effect and , respectively. Therefore, determination of whether the filter can be successfully implemented in LTCC while overcoming tolerance concerns is necessary. A single-band filter design with a single magnetic coupling was considered in order to determine the tolerance sensitivity. Therefore, a simpler filter network [6], which is extracted from the complex coupling structure in Fig. 13, was designed. The cross-sectional view of the layout of this intermediate filter design and the corresponding schematic are shown in Fig. 14(a) and (b), respectively. The schematic shown in Fig. 14(b) can also be represented transform by Fig. 14(c), which is obtained by using equations [4]. As can be seen from Fig. 14(c), there will be a

Equation (14) indicates that the location of the zero is a very good indicator of the internal component accuracy of the coupling network ( , , and ) and therefore is used as the measure of the tolerances for the system and, more importantly, the applicability of the method for precision filtering. The intended position of the zero is 1.8 GHz. The mutual inductance is realized using a horizontal separation between the inducon the same tape layer. Fig. 15 illustrates the sensitivity tors of the position of the zero to this edge-to-edge separation bestrips (gap). The layout and simulation was tween the two done using Ansoft Designer, which is a method-of-moments (MoM) simulator [14]. From Fig. 15, the sensitivity in the position of the zero on changing the gap is approximately 75 MHz/mil. Fig. 16 presents the comparison between the measured and the simulated results, and the shift in the position of the zero is only 30 MHz. Also, a rejection of 63 dB is measured at 1.8 GHz for the fabricated filter, which agrees closely with the estimated rejection of 56 dB from MoM simulations. This accuracy in the position and depth of the zero indicates that the fabrication tolerance is within acceptable limits to create sharp and deep nulls in the filter response, despite the inherent variations that arise in the LTCC processing steps. V. DUAL-BAND FILTER MEASURED RESULTS The dual-band filter was designed using a combination of both Ansoft Designer and Ansoft HFSS [14] to determine the dimensions of the individual components while taking the parasitics for individual components and the mutual couplings between the components into account. The horizontal separation strips was determined to be 8 mil in order between the two

JOSHI AND CHAPPELL: DUAL-BAND LUMPED-ELEMENT BANDPASS FILTER

4175

Fig. 16. Measured and full-wave EM results for the single-band filter.

Fig. 17. Measured and full-wave EM results for the DBLEF.

to generate the required mutual inductance , and the widths and were chosen to be 8 and 14 mil, reof the inductors spectively, so that enough overlap would be possible between . For simulathem to create the required mutual inductance tions, the dielectric constant and loss tangent of Dupont 951 was assumed to be 7.8 and 0.006, respectively. The filters were fabricated using in-house LTCC capabilities at Purdue University. The measured results for the dual-band filter without the feedback capacitor are shown in Fig. 17, and they indicate a good agreement with the MoM simulation results over the entire frequency range. The slight shift is due to the fact that the shrinkage of the LTCC tape was slightly more than what was expected at the time of fabrication. The simulation results represent first pass results without resimulating for feature distortions measured after processing. The measured 3-dB bandwidth is 545 and 455 MHz for the lower and upper bands, respectively. The lowest measured insertion loss is 1.7 and 1.6 dB for the lower and upper bands, respectively.

Fig. 18. (a) Measured and full-wave EM results for DBLEF design A, fabricated at Purdue. (b) Measured and full-wave EM results for DBLEF design B, fabricated at Harris.

The filter in Fig. 17 was modified using a feedback capacitor on the top layer to improve the out-of-band response. The measured results for the dual-band filter with the feedback capacitor are shown in Fig. 18(a). This filter was fabricated at Purdue University and has the same stack-up and geometries as detailed previously, except for the additional feedback capacitor. On comparing Figs. 17 and 18(a), it can be seen that the isolation between the two passbands has been improved by the addition of the feedback capacitor. The only undesirable effect due to the addition of the feedback capacitor is that the roll-off at the higher skirt of the upper passband in Fig. 18(a) is less steep than that in Fig. 17, which is probably due to signal transmission through the feedback capacitor at higher frequencies. The filter clearly demonstrates expected performance across the band. The measured and simulated results are detailed in Tables II–IV. The main variation in the response is the frequency deviation in the

4176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

TABLE II MEASURED AND SIMULATED RESULTS FOR THE LOWER PASSBAND

This result bodes well for using this filter design procedure for multiple applications and specifications.

VI. CONCLUSION

TABLE III MEASURED AND SIMULATED RESULTS FOR THE UPPER PASSBAND

A compact LTCC DBLEF has been presented. The filter utilizes the vertical integration capability of LTCC to create a dualband response using a single set of lumped components. The filter is shown to be suitable for LTCC processing and a design with the two passbands at 2.4 and 5.2 GHz is demonstrated showing a very good agreement between the designed filter and the measured response.

ACKNOWLEDGMENT TABLE IV MEASURED AND SIMULATED RESULTS FOR THE POSITION OF THE ZEROES

upper region of the band. The top zero and the upper passband of the measured filter are higher than those in the simulation, indicating that the effective value of the inductor is underestimated. Further possibilities were that the scaling factor was slightly overestimated in the design. This could have been because of the lab-scale fabrication procedures (screen printing accuracy or paste viscosity in a moderately controlled environment for example) or the simulation process, and, therefore, further investigation was desired. To demonstrate better accuracy, a filter was fabricated at a professional LTCC fabrication house, specifically Harris Corporation. However, in this design, due to cost concerns, the filter was fabricated in the same process as many other components. Fifteen tape layers in total were used in the stack-up with varying levels of thickness layers. Therefore, an exact replica of the previous filter geometry was not possible. The redesign follows the same design procedure as above using six tape layers, but now accounts for 1.7-mil-thick tape layers at layers 1, 3, 4, and 5, while the other layers were again 3.8-mil-thick tape layers. The new filter was designed to be nominally the same in all features (e.g., bandwidth and passband frequencies), except that the upper zero was shifted to demonstrate that nearly independent control of this zero is possible. The resulting filter is shown in Fig. 18(b). The result is a more accurate filter due to the more mature fabrication processing at the professional fabrication house. Even the zero levels are nearly exactly predicted, down to the 43-dB level. A comparison of relevant features is detailed in Tables II–IV. Equally as important as the demonstration of the accuracy, the result of this design shows that the highest frequency zero can be changed in the design process.

The authors are extremely grateful to E. Hoppenjans and E. Rice at Purdue University for the low-temperature cofired ceramic fabrication of the filters. The authors would also like to thank J. Smelser of Omega Wireless Systems for the assistance in layout, and Harris Corporation Government Systems Division, namely T. Smyth and T. Provo, Delphi Electronics and Safety, Kokomo, Indiana, and DuPont for donating the materials and equipment for this project.

REFERENCES [1] A. Bailey, W. Foley, M. Hageman, C. Murray, A. Piloto, K. Sparks, and K. Zaki, “Miniature LTCC filters for digital receivers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1997, pp. 999–1002. [2] C. Q. Scrantom and J. C. Lawson, “LTCC technology: Where we are and where we’re going. II,” in IEEE MTT-S Int. Microw. Symp. Dig., Feb. 1999, pp. 193–200. [3] X. Gong, W. J. Chappell, and L. P. B. Katehi, “Multifunctional substrates for high-frequency applications,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 10, pp. 428–430, Oct. 2003. [4] L. K. Yeung and K.-L. Wu, “A compact second-order LTCC bandpass filter with two finite transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 337–341, Feb. 2003. [5] W.-Y. Leung, K.-K. M. Cheng, and K.-L. Wu, “Multilayer LTCC bandpass filter design with enhanced stop band characteristics,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 7, pp. 240–242, Jul. 2002. [6] A. Sutono, J. Laskar, and W. R. Smith, “Design of miniature multilayer on-package integrated image reject filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 156–162, Jan. 2003. [7] Y.-X. Guo, L. C. Ong, M. Y. W. Chia, and B. Luo, “Dual-band bandpass filter in LTCC,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 2219–2222. [8] S.-F. Chang, Y.-H. Jeng, and J. L. Chen, “Dual-bandstep-impedance bandpass filter for multimode wireless LANs,” Electron. Lett., vol. 40, no. 1, pp. 38–39, Jan. 2004. [9] L.-C. Tsai and C.-W. Hsue, “Dual-band bandpass filters using equallength coupled-serial-shunted lines and Z-transform technique,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1111–1117, Apr. 2004. [10] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance Matching Networks and Coupling Structures. New York: McGraw-Hill, 1980. [11] J. Reed and G. J. Wheeler, “A method of analysis of symmetrical four port networks,” IEEE Trans. Microw. Theory Tech., vol. MTT-4, no. 4, pp. 246–252, Oct. 1956. [12] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2003. [13] Agilent Technologies. Palo Alto, CA, 94306, USA. [14] Ansoft Corporation. Pittsburgh, PA, 15219, USA. [15] Technical Data Sheet, Dupont 951 Green Tape, Dupont Microcircuit Materials.

JOSHI AND CHAPPELL: DUAL-BAND LUMPED-ELEMENT BANDPASS FILTER

Himanshu Joshi (S’06) received the B.Tech degree in electrical engineering from the Indian Institute of Technology Kanpur, India, in 2004. He is currently working toward the Ph.D. degree in electrical and computer engineering at Purdue University, West Lafayette, IN. His research interests include advanced packaging for microwave applications and RF/analog circuits.

4177

William J. Chappell (S’98–M’02) received the B.S.E.E., M.S.E.E., and Ph.D. degrees from the University of Michigan, Ann Arbor, in 1998, 2000, and 2002, respectively. He is currently an Assistant Professor with the Electrical and Computer Engineering Department, Purdue University, West Lafayette, IN. His work focuses on silicon micromachining, polymer formation, and low-loss ceramics for high-frequency circuits and antennas. In addition, his research interests include rapid prototyping, free-form fabrication, and small-scale formation of electrically functioning ceramic and polymer passive components. He also oversees projects investigating RF design for wireless sensor networks, chemical sensors, and electrotextiles. He is a member of the Birck Nanotechnology Center and the Center for Wireless Systems and Applications. Dr. Chappell was the recipient of the 2004 Joel Spira Outstanding Educator Award and been designated as a Teacher for Tomorrow in his department at Purdue.

4178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Combined Left- and Right-Handed Tunable Transmission Lines With Tunable Passband and 0 Phase Shift Hongjoon Kim, Sung-Jin Ho, Min-Ki Choi, Alexander B. Kozyrev, and Daniel W. van der Weide, Member, IEEE

Abstract—We combine a right-handed (RH) and a left-handed (LH) nonlinear transmission line (NLTL) to realize a new frequency and phase-tunable bandpass filter (BPF). An RH NLTL is a voltage-controlled low-pass fiter and an LH NLTL is a voltage-controlled high-pass filter, so combining both allows for simultaneous and independent control over the low and high cutoff frequencies of the passband. Also, by using the positive phase propagation of a RH NLTL and the negative phase propagation of an LH NLTL, control over the phase propagation in the passband can be achieved. In the fabricated circuit, the controllable low cutoff frequency is from 480 to 721 MHz and the high cutoff frequency is from 625 to 1005 MHz. Also, we note that whatever the passband is, the phase propagation close to the center frequency is 0 . Index Terms—Bandpass filters (BPFs), lumped elements, nonlinear transmission line (NLTL), phase propagation, transmission line, tunable bandpass filter, varactors.

I. INTRODUCTION

T

UNABLE bandpass filters (BPFs) are an essential element to extract signals in modern multimode, multiband communication systems. Most tunable filters are categorized as mechanically tunable, magnetically tunable, and electronically tunable [1]. Electronically tunable BPFs are preferred over other types due to their fast tuning speed, wide tuning range, and compact size [1]. Most electronically tunable BPFs use passive resonator circuits with a voltage-variable capacitor as a tuning element [2]–[7]. Two voltage-variable capacitors are in common use. One type uses conventional varactors [2]–[5], and the other uses a MEMS bridge [6], [7]. Tunable BPFs using the MEMS technique have an advantage in terms of loss. However, to control bridge heights, a very high dc-bias voltage must be applied, and they are slow. Often, fabrication and packaging of MEMS are case-expensive. Therefore, MEMs-based BPFs are not the ideal candidate for commercial wireless applications. In contrast, tunable BPFs with varactors show faster tuning speed and lower

Manuscript received February 9, 2006; revised July 3, 2006. This work was supported by the Air Force Office of Scientific Research under the Multiuniversity Research Initiative Program under Grant F49620-03-1-0420. H. Kim was with the Electrical Engineering Department, University of Wisconsin–Madison, Madison, WI 53706 USA. He is now with City College, City University of New York, New York, NY 10021 USA (e-mail: [email protected]. edu). S.-J. Ho, M.-K. Choi, A. B. Kozyrev, and D. W. van der Weide are with the Electrical Engineering Department, University of Wisconsin–Madison, Madison, WI 53706 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.885884

varactors, voltage operation. However, because of the low loss inside the passband can be large in varactor-tunable BPFs. Whether a tunable BPF is constructed with MEMS bridges or varactors, many electronically tunable BPFs use passive resonator circuits consisting of transmission lines [2]–[7]. As a result, the circuit size of a tunable BPF is often large, especially at lower frequencies. Furthermore, in such a configuration, control of both passband frequency and bandwidth is impossible because the control over variable capacitors moves both sides of the cutoff frequency simultaneously. In most cases, tunable-BPF design focuses on control over the passband frequency at a constant bandwidth. In a conventional nonlinear transmission line (NLTL), varactors are periodically distributed along the transmission line [8]–[10]. This NLTL configuration has been used for shockwave formation [8], [9] and for phase modulation [10]. The periodic series inductors and shunt varactors in the NLTL structure work together as a low-pass filter (LPF). Using varactor capacitance, control over cutoff frequency can be achieved with dc-bias resulting in a voltage-controlled LPF. Recently, the dual of the conventional NLTL, a left-handed (LH) NLTL, has been constructed and used as a harmonic generator [11] as well as an effective linear phase modulator [12]. This synthetic NLTL can be constructed with cascaded varactors and shunt inductors. This structure exhibits a high-pass filter (HPF) response and allows for control over the cutoff frequency with dc bias. When a synthetic transmission line is constructed with cascaded capacitors and shunt inductors, its phase propagation occurs in the opposite direction to the power flow. Thus, this synthetic transmission line is called an LH transmission line, in contrast to a right-handed (RH) transmission line in which the power flow and phase propagation occur in the same direction. Many new microwave devices using negative phase propagation have been reported [13]–[17]. In [17], the authors demonstrated a 0 phase shifter using a composite LH and RH transmission line. Here, we combine an LH NLTL and an RH NLTL, allowing independent control over low and high cutoff frequencies using dc bias on the varactors. This is the first BPF to allow independent control over both cutoff frequencies. Furthermore, control over phase propagation inside the passband can be achieved by using the positive and negative phase propagation of an RH NLTL and an LH NLTL, respectively. Phase shift control is very useful in coherent-communication channels because it preserves phase information after bandpass filtering.

0018-9480/$20.00 © 2006 IEEE

KIM et al.: COMBINED LH AND RH TUNABLE TRANSMISSION LINES WITH TUNABLE PASSBAND AND 0 PHASE SHIFT

4179

Fig. 1. Section of an RH NLTL. Cascading several sections constructs an RH NLTL which works as a voltage-variable LPF.

II. THEORY A. RH NLTL Theory Fig. 1 shows a section of an RH NLTL. A section is constructed with two series inductors and a shunt varactor. Highimpedance transmission lines have often been used instead of lumped inductors in conventional NLTL designs [9]. However, the use of lumped elements saves space and lowers fabrication cost, as was shown in [18]. Since this is an LPF structure, a periodic cutoff frequency (Bragg cutoff frequency) exists when we cascade several identical sections. This cutoff frequency is defined as

(1) Here, is a series inductor and is a shunt varactor capacitance. In this synthetic transmission line, the characteristic also can be approximated with impedance

Fig. 2. Theoretical phase propagation constant and cutoff frequency changes for a section of an RH NLTL for several diode capacitance value (L = 22 nH). The frequency at which the phase propagation becomes 180 is the Bragg cutoff frequency.

Fig. 3. Section of an LH NLTL. This is the dual of the RH NLTL and works as a voltage-variable HPF.

The Bragg cutoff frequency, the characteristic impedance , and the phase propagation constant are given in [12] as follows:

(2) The phase propagation constant

(4)

is given as

(5) (3)

(6)

where we have used the derivation outlined in [19]. Note that diode capacitance can be controlled with dc-bias voltage, and every parameter is a function of diode capacitance. Therefore, we can control cutoff frequency and phase. Fig. 2 shows theoretical phase propagation constant and cutoff frequency variations for several diode capacitance values in a section of an RH NLTL.

Fig. 4 shows the calculated profile of the phase propagation constant and the changes in the cutoff frequency in a section of an LH NLTL as a function of diode capacitance value. Note that the phase change is negative in the LH NLTL structure.

B. LH NLTL Theory As shown in Fig. 3, the structure of an LH NLTL section is the dual of an RH NLTL section. The identical cells are cascaded together to construct an LH NLTL. A LH NLTL is a periodic HPF structure where control over the cutoff frequency and phase propagation is possible by applying dc-bias to the varactors.

C. Tunable BPF Fig. 5 shows the schematic of a tunable BPF. We note that, for simplicity, the two dc control lines could be combined together, if desired. However, in the resulting filter, the bandwidth cannot be controlled at any given center frequency, thus making this configuration less appealing. Fig. 6 shows the theoretical total phase propagation constant inside the passband when a section of an LH NLTL and an RH NLTL are combined. To see the cutoff frequency and phase propagation constant variations

4180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 4. Theoretical phase propagation constant and cutoff frequency changes for a section of an LH NLTL for several diode capacitance value (L = 12 nH). The frequency at which the phase propagation becomes 180 is the Bragg cutoff frequency.

0

Fig. 5. Tunable BPF. RH NLTL sections and LH NLTL sections are combined. With the independent control of the RH NLTL and the LH NLTL, both sides of the cutoff frequencies can be controlled.

theoretically, we used the data sheet of Skyworks Inc. hyperabrupt silicon varactors. Those diodes are also used in the expF, periment. For an LH NLTL, SMV 1233 ( pF, and pF) is used and SMV 1234 ( pF and pF) is used for an RH NLTL. When a combined LH NLTL and RH NLTL are biased at 0 V, the passband is from 0.455 to 0.691 GHz, making the passband center frequency 0.573 GHz. The point at which phase propagation become 0 is 0.562 GHz, which is very close to the passband center frequency [see Fig. 6(a)]. At 3-V bias, the passband center frequency is 0.946 GHz and the phase 0 point is 0.93 GHz [see Fig. 6(b)]. Thus, very little phase change occurs near the passband center frequency, even though we have changed the cutoff frequency. A small dc-bias adjustment for either an LH NLTL or an RH NLTL will enable perfect 0 phase propagation at the desired frequency inside the passband. We demonstrate simultaneous independent dc-bias control for an LH NLTL and an RH NLTL in Fig. 7. Here, an LH NLTL is biased at 2 V and an RH NLTL is biased at 3 V. To have a sharp stopband attenuation, we must increase the number of cascaded LH NLTL and RH NLTL sections. In this case, the phase propagation inside the passband is just multiples of a one-section case, and the 0 phase propagation point remains the same. III. CIRCUIT FABRICATION AND SIMULATION METHOD We constructed a tunable BPF on Rogers RT/Duroid 3010 , as shown in Fig. 8. The circuit was built with board

Fig. 6. Theoretical phase propagation constant and cutoff frequency when sections of an RH NLTL and an LH NLTL are combined and given the same dc-bias voltage (L = 12 nH, L = 22 nH). (a) Both are biased at 0 V. (b) Both are biased at 3 V. Phase change around the passband center frequency is 0 for both cases.

Fig. 7. Theoretical phase propagation constant and cutoff when sections of an RH NLTL and an LH NLTL are combined and given different bias voltages. A 2-V bias is applied for the LH NLTL and a 3-V bias is applied for the RH NLTL (L = 12 nH, L = 22 nH).

lumped-element inductors and varactors, resulting in a compact size. High- and high-self-resonant frequency Murata series (10, 12, and 22 nH) inductors were used. Skyworks Inc. silicon hyperabrupt varactor tuning elements were used. In particular, SMV1233 varactors were used for the LH NLTL and

KIM et al.: COMBINED LH AND RH TUNABLE TRANSMISSION LINES WITH TUNABLE PASSBAND AND 0 PHASE SHIFT

4181

Fig. 8. Fabricated tunable BPF. Four sections of an RH NLTL and an LH NLTL are combined. To have independent bias controls for both NLTLs, a dc block is inserted between them.

SMV1234 varactors were used for the RH NLTL. A large valued dc block capacitor (150 pF) was inserted between the LH NLTL and the RH NLTL in order to have independent bias control for these lines, and 3-k resistors were used to give dc bias to the transmission lines. Four sections of an RH NLTL were cascaded with four sections of an LH NLTL. The whole circuit was constructed on microstrip. All of the lumped elements were attached using either silver epoxy or soldering. The total circuit size is 3.5 cm 2 cm, neglecting the input and output transmission lines and connectors. It is evident that this circuit will be very small if fabricated in the monolithic microwave integrated circuit (MMIC) style because the transmission line is constructed with only lumped elements. At 0-V bias, the resistance of the varactor is at a maximum and the insertion loss is the largest. At this bias, we set the characteristic impedance as 50 using (2) and (5) in order to minimize power loss due to reflection. In our simulations, we used varactor and inductor models that were guided by the manufacturer’s data sheet, which also includes parasitics (e.g., resistance, inductance, and packaging capacitance). IV. SIMULATIONS AND MEASUREMENT RESULTS The simulated insertion loss variation graph (Fig. 9) indicates that the stopband attenuation can be sharper by increasing the number of sections. However, the insertion loss inside the passband becomes larger. In this study, we combined four sections of an RH NLTL and an LH NLTL, respectively. We have also studied the relationship between the insertion loss and the resistance. Fig. 10 shows insertion loss variation versus resistance to give proper dc bias to the diodes. Because the characteristic impedance of the transmission lines varies around 50 , the resistor should be much larger than 50 . We used 3 k in the final circuit. A larger value of resistance reduces the insertion loss at the expense of circuit size, especially when it is made as a MMIC. We performed a thru-reflect-line (TRL) calibration first before measuring -parameters of the device. The insertion loss and return loss of the simulated and measured results are shown in Fig. 11(a) and (b), for several voltages, when the two dc-bias lines are combined. Although a small difference is observed, the

Fig. 9. Simulated insertion-loss variation graph with different numbers of sections when the dc bias is 1 V for both an RH NLTL and an LH NLTL. A tradeoff exists between stopband attenuation and loss inside the passband.

Fig. 10. Simulated insertion loss versus resistance value at 700 MHz when 1-V dc bias is applied. The insertion loss is smaller with a larger resistance value.

measurement and simulation agree well. In the measurement results, the insertion loss is little bit larger than that in the simulation. This may be because the varactors and inductors may have a larger resistance than that indicated on the manufacturer’s data sheet. Also, we may have to consider the parasitics of silver epoxy and soldering. Note that this is an analog control and that the cutoff frequencies are continuously tunable within certain control voltages between 0–3 V. A relatively large insertion loss inside the passband of this circuit is due to the large resistance value inside the varactor we used. A full custom design of this circuit with MMIC fabrication process will minimize the insertion loss. In particular, a very careful design of a varactor is quite important. In that sense, a MEMS bridged capacitor is a good candidate to replace varactors in this circuit because resistance value is very low in such a device. Fig. 12(a) and (b) shows phase delay inside the passband for 0- and 3-V biasing, respectively. We set 10-dB points in Fig. 11(a) as cutoff frequencies of the passband. Tables I and II

4182

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 12. Phase delay for the passband frequency when the two bias lines are combined. (a) When the circuit is biased at 0 V. (b) When the circuit is biased at 3 V. The phase 0 point is very close to the passband center frequency for both cases. Fig. 11. Comparison of the simulated and measured results of a tunable BPF when two bias control lines are combined and given the same voltage. (a) Insertion loss versus frequency. (b) Return loss versus frequency.

summarize the passband frequency, the exact center frequency, and the actual phase 0 point for the simulated and measured results when biased at 0 and 3 V, respectively. As predicted by theory, the point at which phase propagation becomes 0 is very close to the passband center frequency (differing by several megahertz). Also, the simulated and measured results match well. Although phase information is given only at 0- and 3-V bias, we observed the several-megahertz difference for any dc bias between 0 and 3 V. At around the passband center frequency, phase delay according to frequency varies linearly, as can be seen in Fig. 12. This means that a constant group delay and a broadband signal can be applied without serious signal distortion. We also present data on independent bias control over the passband. Fig. 13 shows the insertion loss and return loss for various voltages when biased independently for an LH NLTL and an RH NLTL. In these cases, we observed almost 0 phase propagation around the center frequency. However, we

TABLE I PASSBAND FREQUENCY, EXACT CENTER FREQUENCY, AND 0 PHASE PROPAGATION FREQUENCY WHEN BIASED AT 0 V

TABLE II PASSBAND FREQUENCY, EXACT CENTER FREQUENCY, AND 0 PHASE PROPAGATION FREQUENCY WHEN BIASED AT 3 V

noticed that, when the passband is very wide (for instance, when the LH NLTL is biased at 0 V and the RH NLTL biased at 3 V), then the 0 phase point deviates away from the exact center frequency.

KIM et al.: COMBINED LH AND RH TUNABLE TRANSMISSION LINES WITH TUNABLE PASSBAND AND 0 PHASE SHIFT

4183

REFERENCES

Fig. 13. Measured insertion loss and return loss for various control voltages. (a) Insertion loss versus frequency. (b) Return loss versus frequency. An RH NLTL and an LH NLTL are biased independently so that the cutoff frequencies of both sides of the passband can be controlled independently.

V. CONCLUSION A new tunable BPF structure was constructed, and detailed theory concerning its operation were discussed. Independent bias control over the left- and right-handed cutoff frequencies enable the control over both the passband frequency and passband bandwidth. By utilizing both the positive and negative phase propagation properties of the RH NLTL and the LH NLTL, respectively, 0 phase propagation was achieved near the passband center frequency. The whole circuit size was compact since only lumped elements were used to construct the device. If we fabricate the circuit in MMIC, there could be additional advantages in terms of cost. By utilizing a reverse bias of the diodes to control the cutoff frequency, the tunable BPF consumes very little power. ACKNOWLEDGMENT The authors would like to thank C. Paulson for reviewing this paper’s manuscript.

[1] J. Uher and W. J. R. Hoefer, “Tunable microwave and millimeter-wave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 4, pp. 643–653, Apr. 1991. [2] G. L. Matthaei, “Narrow-band, fixed-tuned, and tunable bandpass filters with zig–zag hairpin-comb resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1214–1219, Apr. 2003. [3] B. Kim, Y. Chun, and S. Yun, “Varactor-tuned active bandpass filter with low noise performance,” Electron. Lett., vol. 40, no. 15, pp. 945–946, Jul. 2004. [4] B. Kim and S. Yun, “Varactor-tuned combline bandpass filter using step-impedance microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1279–1283, Apr. 2004. [5] S. R. Chandler, I. C. Hunter, and J. G. Gardiner, “Active varactor tunable bandpass filter,” IEEE Microw. Guided Wave Lett., vol. 3, no. 3, pp. 70–71, Mar. 1993. [6] H. Kim, J. Park, Y. Kim, and Y. Kwon, “Low-loss and compact V -band MEMS-based analog tunable bandpass filters,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 11, pp. 432–434, Nov. 2002. [7] Y. Liu, A. Borgioli, A. S. Nagra, and R. A. York, “Distributed MEMS transmission lines for tunable filter applications,” Int. J. RF Microw. Comput.-Aided Eng., vol. 11, pp. 254–260, Aug. 2001. [8] D. W. van der Weide, “Delta-doped Schottky diode nonlinear transmission lines for 480-fs, 3.5 V transients,” Appl. Phys. Lett., vol. 65, pp. 881–883, 1994. [9] M. J. W. Rodwell et al., “GaAs nonlinear transmission lines for picosecond pulse generation and millimeter-wave sampling,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1194–1204, Jul. 1991. [10] P. Akkaraekthalin, S. Kee, and D. W. van der Weide, “Distributed broadband frequency translator and its use in a 1–3 GHz coherent reflectometer,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pt. 2, pp. 2244–2250, Dec. 1998. [11] A. B. Kozyrev and D. W. van der Weide, “Nonlinear wave propagation phenomena in left-handed transmission line media,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 238–245, Jan. 2005. [12] H. Kim, A. B. Kozyrev, A. Karbassi, and D. W. van der Weide, “Linear tunable phase shifter using a left-handed transmission line,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 5, pp. 366–368, May 2005. [13] G. V. Eleftheriades, A. K. Iyer, and P. C. Kremer, “Planar negative refractive index media using periodically LC loaded transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2702–2712, Dec. 2002. [14] C. Caloz, A. Sanada, and T. Itoh, “A novel composite right-/left-handed coupled-line directional coupler with arbitrary coupling level and broad bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 980–992, Mar. 2004. [15] S. Lim, C. Caloz, and T. Itoh, “Electronically scanned composite right/ left handed microstrip leaky-wave antenna,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 277–279, Jun. 2003. [16] ——, “Metamaterial-based electronically controlled transmission-line structure as a novel leaky-wave antenna with tunable radiation angle and beamwidth,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2678–2690, Dec. 2004. [17] M. A. Antoniades and G. V. Eleftheriades, “Compact linear lead/lag metamaterial phase shifters for broadband applications,” IEEE Antennas Wireless Propag. Lett., vol. 2, pp. 103–106, 2003. [18] F. Ellinger, H. Jäckel, and W. Bächtold, “Varactor-loaded transmission-line phase shifter at C -band using lumped elements,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1135–1140, Apr. 2003. [19] A. S. Nagra and R. A. York, “Distributed analog phase shifters with low insertion loss,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1705–1711, Sep. 2004. Hongjoon Kim was born in Taegu, Korea, in 1972. He received the B.S. degree in electrical/electronics engineering from Kyungpook National University, Taegu, Korea, in 1997, the M.S. degree in communication engineering from the University of Southern California, Los Angeles, in 1999, and the Ph.D. degree in electrical engineering from the University of Wisconsin–Madison (UW–Madison), in 2006. In 2000, he was with the Samsung Electronics Company as a Research Engineer. In August 2002, he joined City College, City University of New York, as an Assistant Professor. His research focuses on RF/microwave systems and circuits. In particular, he is interested in phase shifters and their applications using right- and left-handed nonlinear transmission lines.

4184

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Sung-Jin Ho received the B.S. degree in ceramic engineering from Yonsei University, Seoul, Korea, in 1997, the M.S. degree in electrical engineering from the University of Wisconsin–Madison (UW–Madison), in 2003, and is currently working toward the Ph.D. degree in electrical engineering at UW–Madison. His research interests include the fabrication and modeling of high-speed III–V devices and RF and microwave integrated circuit designs.

Min-Ki Choi received the B.S. and M.S. degrees in electrical and computer engineering from the University of Wisconsin–Madison (UW–Madison), in 2001, and 2004, respectively, and is currently working toward the Ph.D. degree in electrical and computer engineering at UW–Madison. In 2001, he joined Prof. van der Weide’s group (VDW Group), where he was involved in the development of compact and cheap vector network analyzers, compact broadband antenna design, and the detection of hazardous substances in the terahertz frequency regime. His current research interests are the development of nonlinear transmission lines for the terahertz frequency generation and detection.

Alexander B. Kozyrev was born in Gorky (now Nizhny Novgorod), Russia, in 1971. He received the Diploma degree (with distinction) in radiophysics and electronics from Nizhny Novgorod State University, Nizhny Novgorod, Russia, in 1993, and the Ph.D. degree from the Institute for Physics of Microstructures, Russian Academy of Sciences (RAS), Nizhny Novgorod, Russia, in 2001. From 1993 to 1994, he was with the Institute of Applied Physics, RAS. In 1994, he joined the Institute for Physics of Microstructures, RAS.

Since 2003, he has been a Research Associate with the University of Wisconsin–Madison. His research interests include wave-propagation phenomena in nonlinear transmission lines and their applications in microwave electronics, left-handed metamaterials, ultrafast phenomena in semiconductors, and semiconductor heterostructures.

Daniel W. van der Weide (S’86–M’86) received the B.S.E.E. degree from the University of Iowa, Iowa City, in 1987, and the Master’s and Ph.D. degrees in electrical engineering from Stanford University, Stanford, CA, in 1989 and 1993, respectively. He held summer positions with the Lawrence-Livermore National Laboratory and Hewlett-Packard, and full-time positions with Motorola as an Engineer and the Watkins-Johnson Company as a Member of the Technical Staff. From 1993 to 1995, he was a Post-Doctoral Researcher with the Max-Planck-Institut für Festkörperforschung (Solid State Research), Stuttgart, Germany, after which he joined the Department of Electrical and Computer Engineering, University of Delaware, as an Assistant and Associate Professor and Director of the Center for Nanomachined Surfaces. In 1999, he joined the Department of Electrical and Computer Engineering, University of Wisconsin–Madison, and is currently a Professor. From 2002 to 2004, he was a University of Wisconsin Vilas Associate. He was the Principal Investigator on a 2003 Air Force Office of Scientific Research (AFOSR) Multidisciplinary Research Initiative (MURI) entitled “Nanoprobe Tools for Molecular Spectroscopy and Control.” His current research involves ultrafast electronics, low-dimensional electronic systems, and the application of high-frequency techniques in biotechnology. Dr. van der Weide was the recipient of the National Science Foundation (NSF) CAREER and PECASE Awards in 1997 and the Office of Naval Research (ONR) Young Investigator Program Award in 1998.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

4185

Design of Matching Circuits for Microstrip Triplexers Based on Stepped-Impedance Resonators Pu-Hua Deng, Ming-Iu Lai, Student Member, IEEE, Shyh-Kang Jeng, Senior Member, IEEE, and Chun Hsiung Chen, Fellow, IEEE Abstract—New matching circuits for microstrip triplexers are proposed based on half-wavelength tapped-connected (or fed) stepped-impedance resonators. The stepped-impedance resonators play important roles for the matching circuits, either to serve as a through pass at the center frequency of a bandpass filter or to provide a short circuit at the center frequency of another bandpass filter. First, three tapped-connected stepped-impedance resonators together with suitable branch transmission lines are utilized to develop the matching circuits for a microstrip triplexer. The design procedure for these matching circuits is much simpler than that for the conventional triplexer structures due to the use of tapped-connected stepped-impedance resonators. Second, to reduce the number of stepped-impedance resonators and to improve the spurious resonances associated with the proposed matching circuits, modified matching circuits for the triplexer are also proposed. Agreement between measured and simulated results is observed and supports the usefulness of the design procedure. Index Terms—Microstrip, triplexer.

stepped-impedance

resonator,

I. INTRODUCTION UTIPLEXERS are key components of the transceiver for modern wireless or mobile communication systems. Nowadays, planar circuits are widely adopted due to their compact size and low integration cost using the printed circuit technology [1]. In multiservice and multiband communication systems, diplexers and triplexers are needed to possess the capabilities of high compactness, light weight, and high isolation. Basically, a multiplexer is composed of bandpass filters and associated matching circuits, and thus proper designs of high-performance filters and matching circuits are essential in the development of a multiplexer. Several diplexer [2]–[5], triplexer [6]–[10], and multiplexer [11] structures have been proposed for the multiservice and multiband communication systems. Recently, planar diplexers and triplexers were demonstrated by properly locating the attenuation poles near the passband of the diplexer and triplexer [8], [9]. In [10], the low-temperature co-fired ceramic (LTCC) diplexer and triplexer were implemented using a parallel-coupled line filter connected with a capacitor. In general, the designs of T-junction and branch lines are essential in the development of diplexers and triplexers because they may affect the characteristic of each filter. In designing the T-junction and

M

Manuscript received March 20, 2006; revised July 1, 2006. This work was supported by the National Science Council of Taiwan, R.O.C., under Grant NSC 94-2752-E-002-001-PAE and Grant NSC 94-2219-E-002-008. The authors are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.886161

branch lines for a diplexer or triplexer, one of the ports should be matched at its center frequency and the other port(s) should be look opened. Specifically, only one open condition is needed for diplexer design, while two open conditions are required for triplexer design. Consequently, the design procedure for the triplexer structures is more complicated than that for diplexer structures. Until now, no simplified design procedure has been available for the triplexer structures. In this study, a systematic design procedure for the matching circuits of microstrip triplexers is presented. The proposed matching circuits are constructed based on the stepped-impedance resonators (SIRs), which are tapped-connected to the branch transmission lines. Usually, the SIRs were used in the filter design so as to reduce the circuit size [12]–[14], to push the spurious passband to the higher frequency, and even to suppress the multiple spurious passbands as discussed in [15] and [16]. In this study, the SIRs are tapped-connected and employed mainly for the matching purpose. By properly designing the tapped-connected SIR to resonate at the center of the first bandpass filter and to adjust the tapped frequency position of this SIR so as to provide a short circuit at the center frequency of the second bandpass filter, for instance, one of the matching circuits may easily be designed accordingly. However, by an introduction of these three SIRs, spurious resonances would be produced when the total length of any two open stubs is of a half-wavelength in length. To improve the spurious resonances associated with the proposed matching circuits and to reduce the number of SIRs, modified matching circuits for the triplexer are also proposed. II. TAPPED-FED SIRS The basic matching circuit for the proposed triplexer is composed of two transmission-line sections of characteristic im, and electrical lengths and , which are pedances tapped-connected to an SIR as shown in Fig. 1(a). The SIR is also made of transmission-line sections of characteristic impedances and and electrical lengths and . The equivalent circuit may be represented by Fig. 1(b), in which and are the input impedances looking into either side of the SIR, and is the resultant input impedance to the tapped-connected or tapped-fed SIR looking at the feed point, i.e., (1) The SIR is an essential part of triplexer’s matching circuits. It should provide an open circuit or a through pass to the transmission line sections connected to input/output ports 1 and 2 at the center frequency of one passband, and should also provide an impedance zero or a short circuit

0018-9480/$20.00 © 2006 IEEE

4186

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 1. (a) Basic matching circuit. (b) Equivalent circuit.

at the two center frequencies of other two passbands. To this end, the tapped-fed SIR structure shown in Fig. 1 needs careful investigation. The SIR may be tapped connected or fed across the line section of characteristic impedance as shown in Fig. 2(a). From this feed point, the input impedances looking into either side of SIR may be expressed as (2) (3) where

is the impedance ratio for the SIR and , , is the variable to specify the feed location. By letting (4)

one may determine the resonance frequency of the SIR structure at which the SIR behaves like an open circuit , and thus it may provide a through pass to the transmission-line sections in Fig. 1. Based on (4), the information about the resonance frequency may easily be established and will be used in the design of a triplexer’s matching circuits. By letting or be equal to zero, one may determine the zero frequency of the SIR structure at which the SIR behaves like a short circuit . Based on (2) and (3), the equation to determine the zero frequency may simply be written as (5) or (6)

Fig. 2. (a) SIR tapped fed across the line with impedance Z . (b) Curves to show the relation between the zero frequency f and the feed location r (normalization with respect to the resonance frequency f ).

Here, the SIR

, is the resonance frequency of , is the zero frequency of the SIR , and are the electrical lengths of the SIR sections at . Based on (5) and (6), the impedance zero frequencies may be solved by the root-finding algorithms. For the case of such that , the relation between the zero frequency and the feed location for the SIR [see Fig. 2(a)] may be depicted in Fig. 2(b), which will be useful in the design of the matching circuits for a triplexer. For the general case of [17], which also gives , the design curves relating the zero frequency to the feed location may again be obtained in a similar manner. However, the curves for the general case are not included because only the curves for the special case Fig. 2(b) are adopted in the subsequent design of the proposed triplexer. Alternatively, the SIR may be tapped connected or fed across the line section of characteristic impedance , as shown in Fig. 3(a). From this feed point, the impedances looking into either side of the SIR may be written as (7) and (8), shown at the bottom of this page. By setting (7) or (8) equal to zero, the impedance zero frequencies associated with

(8)

DENG et al.: DESIGN OF MATCHING CIRCUITS FOR MICROSTRIP TRIPLEXERS BASED ON SIRs

4187

Fig. 3. (a) SIR tapped fed across the line with impedance Z . (b) Curves to show the relation between the zero frequency f and the feed location r .

the tapped-connected SIR may be determined as follows:

(9) or Fig. 4. Triplexer structures. (a) Conventional. (b) Proposed.

(10) For the particular case of , the zero frequencies against the feed location may be depicted in Fig. 3(b). Again, the design curves for the general case, [17], may similarly be obtained, but are not included. III. MATCHING CIRCUITS FOR TRIPLEXERS In general, the design of a triplexer structure is more difficult than that of a diplexer. The design of the branch lines for the triplexer’s matching circuits is the key to the triplexer implementation. The design becomes more complicated and usually influences the characteristics of the triplexer. Fig. 4(a) shows the conventional triplexer structure [9], in which three branch lines are connected to three bandpass filters (BPF1, BPF2, and BPF3). Here, , or , is the input impedance defined at the left-hand side of each branch line, as shown in Fig. 4(a). The branch line is designed under the conditions of no reflection at the center frequency of one passband and

total reflection at the center frequencies of the other two passbands. In [9], the characteristic impedances , of the branch lines are set to be 50 , and the lengths of branch lines , are chosen such that the following conditions are satisfied: (11) (12) (13) For instance, in designing the first branch line, its length should be chosen such that both open conditions in (11) must be fulfilled simultaneously. The step of adjusting one to meet two open conditions (11) is rather difficult and usually needs some optimization. Note that the conventional diplexer does not face with the design difficulty because each branch line is adjusted to meet only one open condition. Consequently, the design of conventional

4188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

triplexer structures is more complicated than that of the diplexer structures. In this study, simplified matching circuits for the microstrip triplexer composed of three tapped-connected (or tapped-fed) SIRs are proposed. Fig. 4(b) shows the architecture of the proposed triplexer structure. The SIRs play two important roles for the matching circuits. The first one is to provide an impedance zero or a short circuit to the branch line, as mentioned in transmission Section II. By adding a quarter-wavelength line to this short circuit, an open circuit can be obtained to fulfill the open conditions in (11)–(13). The other role is to serve as a through path when the specific SIR resonates at the center frequency of the filter connected to each branch line. Note that the short-circuit property provided by the SIR is quite narrow in bandwidth. The practical bandwidth realized by the use of the SIR is around the order of 10%. For designing a triplexer with the bandwidth exceeding this limit, the passband performance of triplexer may be degraded. Three SIRs are tapped-connected to suitable branch transmission lines to form the matching circuits for the proposed microstrip triplexer. The branch lines have the characteristic im, which are preset to 50 , and their pedances lengths are , , and , respectively. First, the resonator SIR1, for instance, is designed to resonate at so as to provide a through path to the filter BPF1 at , and thereby the condition may be fulfilled. Due to the tapped-connected feature of this resonator, this SIR1 produces several impedance zeros to provide a short circuit to the branch line which is tapped-connected to the SIR1. These impedance zeros are specified by (5), (6), (9), and (10) and are represented in Figs. 2(b) and 3(b). Second, one of these impedance zeros is selected to locate at the center frequency of BPF2 so that . The length of branch line is adjusted to give at , so that the condition is satisfied. of the branch line is adjusted so that the Third, the length remaining open condition is met. Note that the adjustment of has no influence on the condition . Consequently, the open condition is not altered under the process of adjusting . Similarly, the matching circuits for other two channels may easily be designed to meet the open conditions in (12) and (13). In this study, all of the circuits are fabricated on the Rogers RO4003C substrate ( , , and thickness mm). Fig. 5 shows the layout of the implemented microstrip triplexer, based on the proposed configuration in Fig. 4(b), which has three fourth-order cross-coupled bandpass filters as discussed in [18]. The dimensions of each part in Fig. 5 are given in Table I for further reference. The implemented triplexer has a dimension of 40.45 mm 120.43 mm. The three matching circuits are realized by the three microstrip SIRs, three microstrip lines, and another three microstrip lines of proper lengths so as to meet all impedance conditions in (11)–(13). The measured and simulated results of the implemented triplexer (Fig. 5) are shown in Figs. 6 and 7. For the first passband, the measured center frequency is at 1.47 GHz, the minimum insertion loss is 3.4 dB, and the 3-dB bandwidth is 4.96%. For the second passband, the measured center frequency

Fig. 5. Layout of the proposed microstrip triplexer.

TABLE I DIMENSIONS (IN mm) OF EACH PART OF THE TRIPLEXER (FIG. 5)

is at 1.75 GHz, the minimum insertion loss is 3.57 dB, and the 3-dB bandwidth is 4.57%. For the third passband, the measured center frequency is at 1.986 GHz, the minimum insertion loss is 3.64 dB, and the 3-dB bandwidth is 4.82%. The isolation between the three passbands is better than 25 dB, as shown in Fig. 7(b). To illustrate the performance improvement due to matching circuits, a comparison of the triplexer responses with and without matching circuits is given in Fig. 8. The triplexer without matching circuits includes no SIRs and has only three 50- branch lines of lengths, such as 9 mm, which are not

DENG et al.: DESIGN OF MATCHING CIRCUITS FOR MICROSTRIP TRIPLEXERS BASED ON SIRs

4189

Fig. 7. Measured wideband responses of the microstrip in Fig. 5. (a) Insertion loss. (b) Isolation.

Fig. 6. Measured and simulated results of the proposed microstrip triplexer in Fig. 5. Frequency responses for: (a) the first passband, (b) the second passband, and (c) the third passband. Fig. 8. Simulated responses of the triplexer with and without the matching elements.

optimized. Obviously, an improvement in triplexer performance is observed due to the inclusion of SIRs in matching circuits. The addition of matching elements unavoidably introduces additional insertion loss to the proposed triplexer circuit. On the average, the matching circuit adds 0.5 dB of insertion loss to the filter of each channel. Eventually, the three matching circuits introduce 0.9 dB of extra insertion loss to the resultant triplexer circuit due to the combination of three channels. It is worth mentioning that unwanted spurious resonances would be introduced when the total length of any open stub is of in length. Fig. 9 explains the mechanism of inducing unwanted spurious resonances associated with channel 1, which consists of the bandpass filter BPF 1 together with its matching

element. Specifically, two spurious resonances are observed at 1.12 and 1.87 GHz, as shown in Fig. 9(b). These spurious resonances are generated when the open stubs denoted by and have their lengths approaching at 1.12 and 1.87 GHz, respectively. Intuitively, these spurious resonances may be improved by reducing the number of SIRs. IV. MODIFIED MATCHING CIRCUITS FOR TRIPLEXERS To improve the spurious responses mentioned in Section III and to reduce the number of SIRs, modified matching circuits for the triplexer is also proposed.

4190

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 9. Spurious resonances associated with the channel 1 of the triplexer in Fig. 5. (a) Layout. (b) Simulated responses.

Fig. 10(a) shows the architecture of the modified triplexer. For the branch lines, their characteristic impedances , are preset to 50 as before, and their lengths , , and are properly chosen according to the criteria given below. SIR1 is designed to resonate at so as to serve as a through pass at as before; therefore, the condition may be fulfilled. The tap position of SIR1 is also selected so that it may provide a short circuit again at . The branch line is so designed that its length at and hence the open condition is met. Finally, the branch line is adjusted such that the open condition is satisfied. The design procedure for the first matching circuit is similar to the one given in Section III. For the second and third matching circuits shown in Fig. 10(a), the branch-line length is chosen to satisfy the condition and the length is selected to met the condition , respectively. Finally, the length is adjusted to fulfill the condition . By adjusting the branch-line lengths according to the above-mentioned criteria, the required matching conditions may be satisfied again, and the design procedure is thus completed. Note that the filters BPF2 and BPF3 are designed based on the reference impedance 50 at their input and output ports; therefore, the characteristic impedances of branch lines are better selected such that . If these characteristic impedances are not identical or not equal to 50 , some reflections at the input ports of BPF2 and BPF3 may be produced. The microstrip triplexer, based on the configuration in Fig. 10(a), which uses three fourth-order cross-coupled bandpass filters as in [18], is implemented with its layout shown in

Fig. 10. (a) Structure and (b) layout of the modified microstrip triplexer.

TABLE II DIMENSIONS (IN MILLIMETERS) OF EACH PART IN THE MODIFIED TRIPLEXER [SEE FIG. 10(b)]

Fig. 10(b). The dimensions of each part in Fig. 10(b) are also given in Table II. The implemented triplexer has a dimension of 87.78 mm 103.12 mm. The measured and simulated results of the implemented modified triplexer Fig. 10(b) are shown in Figs. 11 and 12. For the first passband, the measured center frequency is at 1.483 GHz, the minimum insertion loss is 3.38 dB, and the 3-dB bandwidth

DENG et al.: DESIGN OF MATCHING CIRCUITS FOR MICROSTRIP TRIPLEXERS BASED ON SIRs

4191

Fig. 12. Measured wideband responses of the triplexer in Fig. 10(b). (a) Insertion loss. (b) Isolation.

V. CONCLUSION

Fig. 11. Measured and simulated results of the modified microstrip triplexer in Fig. 10(b). Frequency responses for: (a) the first passband, (b) the second passband, and (c) the third passband.

is 5.35%. For the second passband, the measured center frequency is at 1.75 GHz, the minimum insertion loss is 2.94 dB, and the 3-dB bandwidth is 5.6%. For the third passband, the measured center frequency is at 1.984 GHz, the minimum insertion loss is 3.23 dB, and the 3-dB bandwidth is 5.04%. The isolation between the three passbands is better than 32.58 dB, as shown in Fig. 12(b). For the output signals from ports 3 and 4 of the structure in Fig. 10(b), their spurious responses shown in Fig. 11(b) and (c) have been improved when compared with the ones shown in Fig. 6(b) and (c). This is due to the fact that the number of SIRs used in matching circuits is reduced, as shown in Fig. 10(b). Note that some spurious responses are also observed in Fig. 11(a) due to the use of the SIR in forming the matching circuit for port 2, as shown in Fig. 10(b).

New matching circuits for microstrip triplexers have been tapped-connected SIRs. The design proposed based on procedure of the proposed matching circuits is simple and may be followed easily. In this study, two microstrip triplexer structures have been proposed. The first triplexer uses three tapped-connected SIRs to design the matching circuits for the triplexer. However, it has several unwanted spurious resonances in the stopband. In order to improve these spurious responses and to reduce the number of SIRs, the modified triplexer structure using only one tapped-connected SIR has been proposed. Both of the proposed triplexer structures are implemented and carefully examined. REFERENCES [1] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, ch. 8. [2] A. F. Sheta, J. P. Coupez, G. Tanne, S. Toutain, and J. P. Blot, “Miniature microstrip stepped impedance resonator bandpass filters and diplexers for mobile communications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1996, pp. 607–610. [3] C. M. Tsai, S. Y. Lee, C. C. Chuang, and C. C. Tsai, “A folded coupledline structure and its application to filter and diplexer design,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 1927–1930. [4] G. A. Lee, M. Megahed, and F. D. Flaviis, “Design of multilayer spiral inductor resonator filter and diplexer for system-in-a-package,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 527–530. [5] S. Srisathit, S. Patisang, R. Phromloungsri, S. Bunnjaweht, S. Kosulvit, and M. Chongcheawchamnan, “High isolation and compact size microstrip hairpin diplexer,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 101–103, Feb. 2005.

4192

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

[6] R. R. Mansour, S. Ye, V. Dokas, B. Jolley, W.-C. Tang, and M. Kudsia, “Design considerations of superconductive input multiplexers for satellite applications,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 7, pp. 1213–1218, Jul. 1996. [7] S. J. Fiedziuszko, J. A. Curtis, C. Holme, and R. S. Kwok, “Low loss multiplexers with planar dual-mode HTS resonators,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 7, pp. 1248–1257, Jul. 1996. [8] T. Ohno, K. Wada, and O. Hashimoto, “A class of a planar triplexer by manipulating multiple attenuation poles,” in Proc. 34th Eur. Microw. Conf., Oct. 2004, pp. 625–628. [9] ——, “Design methodologies of planar duplexers and triplexers by manipulating attenuation poles,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2088–2095, Jun. 2005. [10] C. W. Tang and S. F. You, “Design methodologies of LTCC bandpass filters, diplexer, and triplexer with transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 717–723, Feb. 2006. [11] M. I. Lai and S. K. Jeng, “A microstrip three-port and four-channel multiplexer for WLAN and UWB coexistence,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3244–3250, Oct. 2005. [12] J. S. Hong and M. J. Lancaster, “Theory and experiment of novel microstrip slow-wave open-loop resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2358–2365, Dec. 1997. [13] S. Y. Lee and C. M. Tsai, “New cross-coupled filter design using improved hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2482–2490, Dec. 2000. [14] C. M. Tsai, S. Y. Lee, and C. C. Tsai, “Performance of a planar filter using a 0 feed strucure,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2362–2367, Oct. 2002. [15] P. H. Deng, S. C. Lin, Y. S. Lin, C. H. Wang, and C. H. Chen, “Microstrip bandpass filters with dissimilar resonators for suppression of spurious responses,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1263–1266. [16] S. C. Lin, P. H. Deng, Y. S. Lin, C. H. Wang, and C. H. Chen, “Wide-stopband microstrip bandpass filters using dissimilar quarter-wavelength stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1011–1018, Mar. 2006. [17] M. Sagawa, M. Makimoto, and S. Yamashita, “Geometrical structures and fundamental characteristics of microwave stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1078–1085, Jul. 1997. [18] J. S. Hong and M. J. Lancaster, “Couplings of microstrip square open-loop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 11, pp. 2099–2109, Nov. 1996.

Pu-Hua Deng was born in Kaohsiung, Taiwan, R.O.C., in 1978. He received the B.S. degree in electrical engineering from National Sun Yet-Sen University, Kaohsiung, Taiwan, R.O.C., in 2002, the M.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 2004, and the Ph.D. degree from National Taiwan University, Taipei, Taiwan, R.O.C. in 2006. His research interests include the design and analysis of microwave filter circuits.

Ming-Iu Lai (S’04) was born in Kaohsiung, Taiwan, R.O.C., in 1976. He received the B.S.E.E. degree from National Taiwan University of Science and Technology, Taipei, Taiwan, R.O.C., in 1998, the M.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 2000, and is currently working toward the Ph.D. degree at National Taiwan University. From 2001 to 2002, he was with the ZyXEL Communication Corporation, Hsinchu, Taiwan, R.O.C., where he involved with signal integrity (SI) and electromagnetic interference (EMI) analyses. From 2002 to 2004, he was with the SynComm Communication Corporation, Hsinchu, Taiwan, R.O.C. He then joined the Applied Electromagnetic Research Laboratory, Microelectronics and Information System Research Center, National Chiao Tung University, Hsinchu, Taiwan, R.O.C., where he was involved with the designs of beam-steering antennas and high-frequency flip-chip packages. His current research interest includes array antennas and multiple-input and multiple-output antenna designs.

Shyh-Kang Jeng (M’86–SM’98) received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 1979 and 1983, respectively. In 1981, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From 1985 to 1993, he was with the University of Illinois, Urbana-Champaign, as a Visiting Research Associate Professor and a Visiting Research Professor. For six months in 1999, he was with the Center for Computer Research in Music and Acoustics, Stanford University, Stanford, CA. His research interest includes numerical electromagnetics, ultra-wideband wireless systems, music signal processing, music information retrieval, intelligent agent applications, and electromagnetic scattering analysis.

Chun Hsiung Chen (SM’88–F’96) was born in Taipei, Taiwan, R.O.C., on March 7, 1937. He received the B.S.E.E. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1960, the M.S.E.E. degree from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1962, and the Ph.D. degree in electrical engineering from National Taiwan University, in 1972. In 1963, he joined the Faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From August 1982 to July 1985, he was Chairman of the Department of Electrical Engineering, National Taiwan University. From August 1992 to July 1996, he was the Director of the University Computer Center, National Taiwan University. In 1974, he was a Visiting Scholar with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley. From August 1986 to July 1987, he was a Visiting Professor with the Department of Electrical Engineering, University of Houston, Houston, TX. In 1989, 1990, and 1994, he visited the Microwave Department, Technical University of Munich, Munich, Germany, the Laboratoire d’Optique Electromagnetique, Faculte des Sciences et Techniques de Saint-Jerome, Universite d’Aix-Marseille III, Marseille, France, and the Department of Electrical Engineering, Michigan State University, East Lansing, respectively. His areas of interest include microwave circuits and computational electromagnetics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

4193

Electronically Switchable Bandpass Filters Using Loaded Stepped-Impedance Resonators Shih-Fong Chao, Chao-Huang Wu, Zou-Ming Tsai, Student Member, IEEE, Huei Wang, Fellow, IEEE, and Chun Hsiung Chen, Fellow, IEEE

Abstract—Stepped-impedance resonators with diodes loaded at one end are used to develop switchable bandpass filters in this paper. The loaded diodes are used to switch the resonance conditions of the stepped-impedance resonators. The equations for resonance conditions of the stepped-impedance resonators with different loads at one end are derived and discussed. With these derived equations, the switchable filters can be easily designed and synthesized using the coupled-resonator filter theory. When the switchable filter is switched on, a bandpass filter response with a wide stopband rejection is achieved by making the on-state coupled resonators have the same fundamental resonant frequency, but different higher order resonant frequencies. When switched off, a high and wideband isolation is obtained by properly misaligning the resonant modes of the off-state resonators. The design concept is demonstrated by two single-pole-single-throw fourth-order Butterworth-type switchable microstrip bandpass filters, which utilize two and three switched stepped-impedance resonators, respectively. Finally, a compact single-pole-double-throw switchable microstrip bandpass filter using common resonators is demonstrated for wireless communication applications. Index Terms—Coupled-resonator bandpass filter, steppedimpedance resonator, switch, switchable filter.

I. INTRODUCTION MICROWAVE switch is a key building block at the RF front-end for time-division duplexing (TDD) communication systems. Recently, several works using passive field-effect transistors (FETs) or p-i-n diodes have been reported for microwave and millimeter-wave transceiver applications [1]–[5]. Most of these switches are based on wideband design, implying that their operating bandwidths are usually over 50% and cannot provide sharp cutoff outside the operating band. Such a wideband switch shows a poor band selectivity for a system application. Therefore, a bandpass filter will be needed to cascade with a switch to reject out-of-band signals. Planar filters are popular in millimeter-wave filter designs because they can be easily fabricated using printed circuit technology and integrated with other circuit components. However, conventional design of planar filters suffers from spurious responses in the upper stopband due to the nature of distributed elements [6], [7]. Therefore, several techniques have been proposed to resolve this problem [8]–[13].

A

Manuscript received July 29, 2006; revised September 8, 2006. This work was supported in part by the National Science Council under Grant NSC 94-2752-E-002-003-PAE, Grant NSC 94-2219-E-002-006, Grant NSC 94-2219-E-002-009, and Grant NSC 94-2752-E-002-001-PAE. The authors are with the Graduate Institute of Communication Engineering and the Department of Electrical Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.885898

From the above discussions, a switchable bandpass filter that integrates a bandpass filter function and a switch is desired to perform a bandpass filter function with wide stopband extension in the on state and provide a good isolation while in the off state. In [4], a ring resonator loaded with a p-i-n diode had been developed as a switchable filter. By mounting the p-i-n diodes across the gap at 90 from the feed point, the odd modes can be switched according to different bias conditions to control the on and off states. However, it occupied a large layout size, and a high-order implementation is difficult. A coplanar waveguide-slotline switchable filter was given in [15], in which p-i-n diodes are mounted over the end of the open stubs to make the circuit switchable. In [16], a switchable microstrip bandpass filter based on quarter-wavelength short-stub structures was proposed. The quarter-wavelength resonators were replaced by inductive short-stubs shunt with passive FETs to make it switchable. However, these previously mentioned designs mainly focus on designing the performance around the passbands, which means that only the on-state filter response and off-state isolation in the vicinity of the center frequency were considered. Consequently, those designs would suffer from unwanted spurious responses and narrowband isolation in the on and off states, respectively. In this paper, stepped-impedance resonators with diodes loaded at one end are developed as switchable resonators. The diode-loaded stepped-impedance resonators and unloaded stepped-impedance resonators are used to construct a switchable microstrip coupled-resonator bandpass filter. In the on state, the diodes are reversed-biased, and the diode-loaded stepped-impedance resonators behave like half-wavelength resonators. The loaded resonators together with unloaded ones form a half-wavelength coupled-resonator bandpass filter in the on state. Also, all resonators are designed to have staggered higher order resonant frequencies; therefore, a bandpass filter with wide stopband rejection can then be achieved. In the off state, the diodes are forward biased, and the diode-loaded stepped-impedance resonators act as quarter-wavelength resonators. By interlacing the loaded quarter-wavelength resonators with the unloaded half-wavelength resonators to stagger their resonant frequencies for rejecting any passband, an excellent wideband isolation can be obtained while in the off state. II. RESONANT CONDITIONS FOR STEPPED-IMPEDANCE RESONATORS WITH DIFFERENT LOADS Fig. 1 shows the circuit structure of stepped-impedance resonator with a load at one end. The resonator is composed of two transmission-line sections of different line widths with

0018-9480/$20.00 © 2006 IEEE

4194

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 1. Layout of the stepped-impedance resonators with loads at one end.

Fig. 3. Resonant conditions for stepped-impedance resonators with capacitive = 250 . load

X

A. Capacitive Load Fig. 2. Stepped-impedance resonator with a diode loaded at one end and its simplified on- and off-state equivalent circuits.

a load connected to one end. If the input impedance of the stepped-impedance resonator seen from the open end is defined as , the parallel resonance of the resonator occurs when , and the resonance condition may be written as

(1) where and are the characteristic impedances of the two line sections, and and are the electrical lengths of the two line sections. By defining the length ratio of the stepped-impedance resonator as (2) one may rewrite the resonance condition as

(3) In this paper, the switchable resonator is realized by replacing with a p-i-n diode. Fig. 2 shows the proposed circuit configuration of the diode-loaded stepped-impedance resonator and its simplified on- and off-state equivalent circuits. When the diode is reverse-biased (on state), the resonator is loaded with a junction capacitor. If the diode is forward-biased (off state), the resonator is then terminated by a parasitic inductor. Therefore, resonant frequencies of the resonator could be changed by applying different bias conditions to the diode. In the following subsections, the resonance conditions of the stepped-impedance resonators with different loads (inductive or capacitive) will be analyzed and discussed to characterize the resonance phenomenons.

In beginning, consider the case of capacitive loads. Applying to (3) yields

(4) From (4), it is observed that the resonance condition depends on the stepped length ratio, the impedance values of and , and the loaded capacitive reactance. To investigate the resonant condition, Fig. 3 is plotted according to a given capacitive load . Note that the capacitive reactance value is given at the first resonant frequency . In Fig. 3, the resonant electrical length with respect to different line impedance conditions for a given capacitive load are shown. The trends of these curves are similar to those of the resonators with open-circuited, but the required electrical length for each resonance mode is reduced. This is because the capacitive load absorbs some electrical length of the open end transmission line. In the uniform-impedance cases, the ratio of the th resonant frequency to fundamental frequency is slightly greater than , and the ratio will increase as the line impedance is higher or the capacitive reactance is lower. In the stepped case, under a given capacitive load, one can keep a low-impedance section longer than a high-impedance section under , will be lower than that of its uniform then the ratio of impedance case. On the contrary, if the high-impedance section is longer than the low-impedance section, the ratio will be greater than that of its uniform-impedance case. It is also noted that, when the capacitance equals zero or , the case will become stepped-impedance resonators with both ends opened [10]. B. Inductive Load For inductive loads, i.e.,

, (3) is reduced to

(5)

CHAO et al.: ELECTRONICALLY SWITCHABLE BANDPASS FILTERS USING LOADED STEPPED-IMPEDANCE RESONATORS

4195

Fig. 5. Equivalent-circuit model of the p-i-n diode.

Fig. 4. Resonant conditions for stepped-impedance resonators with inductive = 10 . loads

X

The resonance conditions are discussed in Fig. 4 under the . The inductive reactance value inductive load of is given at the first resonant frequency. The trends of the curves in these figures are similar to those of the resonators with one end short-circuited, but the resonant electrical length needed for each resonance is decreased. Physically, the inductive load absorbs some electrical length of the short-circuit transmission line. For a given inductive load, in the case when , the ratio of the th resonant frequency to fundamental resonant frequency is slightly larger than . Also, the lower the line impedance or the larger the inductive reactance, the larger the ratio will be. When , for a fixed inductive load, the ratio of will be lower than that of its uniform-impedance case if the high-impedance line section is longer than the lowimpedance section under . On the contrary, the ratio will be greater than that of its uniform-impedance case as the lowimpedance section is longer than the high-impedance section. It is also noted that, when the inductance equals zero or , the case will become stepped-impedance resonators with one end shorted to ground [13]. According to the above discussions, the resonators with capacitive loads at one end behave like half-wavelength resonators, while those with inductive loads at one end behave like quarter-wavelength resonators. From (4) and (5), the resonance conditions are related to a few parameters. Therefore, there will be flexibility to arrange the resonant frequencies. For example, when a specific capacitive/inductive load is given, one can set the fundamental resonance to a specific frequency and keep the spurious frequencies away from other resonant frequencies of other resonators by properly adjusting the length ratio and impedances of the two line sections. III. ELECTRONICALLY SWITCHABLE FILTERS USING DIODE-LOADED STEPPED-IMPEDANCE RESONATORS Based on the resonant frequencies of loaded resonators, a new concept to design electronically switchable filters using diodeloaded stepped-impedance resonators is proposed. In order to estimate the resonant frequencies of the diode-loaded resonator, the equivalent-circuit model of the p-i-n diode is given in Fig. 5. Here, the Infineon’s BAR65-02V p-i-n diode [19] is used, with

Fig. 6. Layout of the fourth-order switchable filter A composed of two diodeloaded resonators and two unloaded resonators.

nH, nH, junction capacitor at 10 V with reversed parallel resistance k , and forward resistance under 1-mA biasing current. The reverse junction capacitor value varies from 0.34 to 0.33 pF when the bias voltage changes from 6 to 20 V, and the -factor of the junction capacitor at 1.5 GHz is approximately 60. The operating temperature range of the diode is between 55 C and 125 C. In the following subsections, two fourth-order Butterworthtype single-pole-single-throw (SPST) switchable bandpass filters using two and three loaded switchable resonators will be demonstrated. For convenience, they are labeled as switchable filters A and B, respectively. A. Fourth-Order SPST Switchable Filter With Two Diode-Loaded Stepped-Impedance Resonators Fig. 6 shows the circuit configuration of the fourth-order Butterworth microstrip coupled-resonator switchable filter. The diodes connected to the resonators are biased via 10-k resistors. In the on state (i.e., diodes are reverse-biased), by properly adjusting the impedance and length ratio of the resonator, the four stepped-impedance resonators could be designed to have the same fundamental frequency while with staggered higher order spurious frequencies. As a consequence, the spurious passband of the bandpass filter could be rejected [12], [13]. When the switchable filter is turned off (i.e., diodes are forward-biased), the equivalent terminated loads of resonators 2 and 4 are changed from capacitors to inductors, which means that the resonance conditions of the diode-loaded resonators 2 and 4 are switched from half-wavelength resonators to quarter-wavelength resonators. Thus, under the same geometry structure, the first two resonant frequencies will move from around 1 and 2 times to near 0.5 and 1.5 times the center frequency. Therefore, a high isolation could be obtained around the center frequency. Moreover, the resonant frequencies of the

4196

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

TABLE I CIRCUIT PARAMETERS AND RESONANT FREQUENCIES OF EACH RESONATOR FOR SWITCHABLE FILTER A IN THE ON AND OFF STATES

off-state resonators are also designed to distribute irregularly over the band of interest to achieve a wideband isolation. The design procedure for a switchable filter could be summarized as follows. 1) Determine the geometric structures of the on-state resonators (diodes are reverse-biased) such that the constitutive stepped-impedance resonators have the same fundamental frequency, but different spurious frequencies. 2) Check the resonant frequencies of off-state steppedimpedance resonators (diodes are forward-biased) if they are misaligned over the band of interest. If not, go back to step 1) and fine tune the geometric structures of the stepped-impedance resonators. 3) Decide the bandpass filter response, using the coupled-resonator filter theory to obtain the distance between coupled resonators and input/output tapping points according to different filter-type responses. According to the design procedure, the circuit parameters and resonant frequencies of the four stepped-impedance resonators in switchable filter A are listed in Table I. It can be observed that, in the on state, each resonator has the same fundamental resonant frequency of 1.5 GHz and different higher order resonant frequencies in order to reject spurious response. In the off state, the resonant frequencies of the four resonators are also misaligned to achieve good isolation. The fourth-order Butterworth-type bandpass filter was designed to have a center frequency of 1.5 GHz and 3-dB fracof 5%. For the given specifications, the tional bandwidth coupling coefficients and the external quality factor can be obtained as

(6) represents the coupling coefficient between reswhere onators and , is the low-pass prototype parameter, and and are the external quality factors in association with the input and output couplings, respectively [20]. The proposed switchable filter is fabricated on a Rogers RO4003 substrate with a dielectric constant of 3.38, thickness of 0.508 mm, and loss tangent of 0.0028. Fig. 6 shows the layout of the fourth-order switchable filter A. The dimension of this circuit is by 49 33 mm , where is the guided wavelength of the microstrip line on this substrate at

Fig. 7. Measured and simulated performance of the switchable filter A. (a) On state. (b) Off state. (c) Narrowband response.

the center frequency. The electromagnetic simulation tool used here is Sonnet [21]. Fig. 7 shows the measured and simulated results of the switchable filter A. At the on state, the circuit performs a bandpass filter function with a measured passband insertion loss of 3.4 dB at a center frequency of 1.49 GHz with a 3-dB

CHAO et al.: ELECTRONICALLY SWITCHABLE BANDPASS FILTERS USING LOADED STEPPED-IMPEDANCE RESONATORS

Fig. 8. Layout of the fourth-order switchable filter B composed of three diodeloaded resonators and one unloaded resonator.

bandwidth of 5%. It is noted that some additional passband insertion loss is resulted from the finite -factor of the junction capacitor when the diodes are reversed-biased. It is also observed that, in the on state, the filter has a wide stopband rejection of 30 dB up to 10.5 GHz. In the off state, the circuit has a measured isolation of 47 dB at the center frequency and a wideband isolation better than 35 dB from dc to 8.7 GHz. In designing a conventional switch, the performances of a switch highly depend on the device characteristics. In other words, a high-performance switch needs both a small junction capacitor and a small on-state resistor ; however, there is always a tradeoff in obtaining a small and a small , either for diodes or passive FETs. Unlike the conventional switches, the of the proposed switchable filter serves as a capacitive load of the stepped impedance resonator in the thru-state, and the value of will not affect the passband insertion loss. In the off state, the proposed switchable filters achieve high isolations by staggering the resonant frequencies of each resonator, which means that the high isolation is not mainly determined by the value of the loaded forward resistor . One thing worth mentioning is that conventional switches using p-i-n diodes usually need high forward biasing current for small turn-on resistance to minimize insertion losses or achieve high isolations, but that will result in a high dc power dissipation. The diodes of the proposed switchable filters only need to be biased in barely turn-on region, under which the total dc power consumption is less than 1 mW. B. Fourth-Order SPST Switchable Filter With Three Diode-Loaded Stepped-Impedance Resonators In the previous design, when switchable filter A is switched off, the two unloaded resonators, resonators 1 and 3, have the same fundamental frequency of 1.5 GHz. Therefore, a little spike at the center frequency in the off state is observed in Fig. 7(c) from both the simulation and measurement results. Intuitively, if we can avoid the two resonators resonating at the center frequency in the off state, a higher isolation could be obtained around the center frequency. This idea could be easily realized by using three diode-loaded resonators together with one unloaded resonator in a fourth-order design. Fig. 8 shows the circuit configuration of the microstrip coupled-resonator switchable filter B. In this design example, the fourth-order switchable filter B is constructed by three diode-loaded stepped-impedance resonators together with one

4197

unloaded stepped-impedance resonator. The circuit parameters and resonant frequencies of the four resonators are listed in Table II. In the on state, the four stepped-impedance resonators of 1.5 GHz and different spurious frequenhave the same cies. In the off state, the resonant frequencies of the four stepped-impedance resonators are scattered over the band of interest to achieve good isolation. Switchable filter B was designed to have a Butterworth-type response at a center frequency of 1.5 GHz and 5% bandwidth. The required coupling coefficients and external quality factors can be found in (6) for the same specification. The circuit was also fabricated on the same Rogers RO4003 substrate. The physical dimensions of the circuit is 50 40 mm . Fig. 9 shows the measured and simulated results. In the on state, the switchable filter B presents a bandpass filter response with a measured passband insertion loss of 3.9 dB at a center frequency of 1.49 GHz with 3-dB fractional bandwidth of 5%, and it has a stopband rejection of 30 dB up to 13.5 GHz. As can be predicted, the passband insertion loss is higher than that of the switchable filter A due to the use of three diode-loaded resonators instead of two. When switched off, the circuit shows a measured isolation of about 65 dB at the center frequency, which has an improvement of 18 dB compared with that of the switchable filter A. It also presents a wideband isolation of better than 35 dB from dc to 13.3 GHz. According to the results, the tradeoffs between the passband insertion loss and in-band isolation depend on the different number of diode-loaded steppedimpedance resonators used in each design. From the above discussions, most design efforts were focused on determining geometric structures of the resonators. Sometimes a few iterations may be needed, then (4), (5), and their corresponding figures can serve as guidelines to fine tune the circuit. IV. COMPACT SPDT SWITCHABLE FILTER WITH COMMON RESONATORS In modern TDD wireless communication systems, an SPDT switch and a preselected bandpass filters are essential building blocks at the RF front-end, as shown in Fig. 10(a). Based on the SPST switchable filter described above, a concept of integrating a preselected bandpass filter with an SPDT switch into a single circuit is illustrated in Fig. 10(b). The SPDT switchable filter is designed on the basis of two SPST ones. Fig. 11(a) shows the conventional coupling structure of the SPDT circuit, where each solid dot represents an unloaded resonator, each hollow circle represents a diode-loaded resonator, and the solid lines indicate the main path couplings. The input-matching T-junction is used to transform the input impedance of isolated terminal into high impedance at the center frequency, which is widely used in the millimeter-wave SPDT switch and diplexer circuit designs [4], [5], [17], [18]. Fig. 11(b) shows the proposed coupling structure for designing a compact SPDT switchable filter: the structure utilizes two common resonators to replace the matching T-junction and reduce the total number of resonators. As a result, the total circuit size could be significantly reduced. The circuit structure of this SPDT switchable filter is shown in Fig. 12. In later discussions, the resonators in the circuit are

4198

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

TABLE II CIRCUIT PARAMETERS AND RESONANT FREQUENCIES OF EACH RESONATOR FOR SWITCHABLE FILTER B IN THE ON AND OFF STATES

Fig. 10. System block of a TDD wireless communication system. (a) Conventional architecture using a preselected bandpass filter and a SPDT switch. (b) Proposed architecture using an SPDT electronically switchable bandpass filter.

Fig. 9. Measured and simulated performance of the switchable filter B. (a) On state. (b) Off state. (c) Narrowband response.

labeled R1–R6 for convenience. As can be observed, the two unloaded R1 and R2 are used as the common resonators, and the diode-loaded resonators R3–R6 are used to control the signal flow. Consider the condition when R3 and R4 are on (the loaded diodes are reverse-biased) and R5 and R6 are off (the loaded

Fig. 11. Coupling structures of a fourth-order SPDT switchable filter. (a) Conventional structure. (b) Proposed structure.

CHAO et al.: ELECTRONICALLY SWITCHABLE BANDPASS FILTERS USING LOADED STEPPED-IMPEDANCE RESONATORS

4199

Fig. 12. Layout of the SPDT switchable filter using mutually coupled resonators.

Fig. 13. SPDT switchable filter.

diodes are forward-biased). As a consequence, R1–R4 will form a fourth-order bandpass filter to allow the passband signal to pass from port 1 to port 2. In the meanwhile, the passband of interest is not affected by the presence of resonators R5 and R6 because the resonant frequencies of the off-state resonators do not locate in the vicinity of the center frequency. Note that, due to misalignments of resonance frequencies of R1–R2 and R5–R6, port 3 stays in an isolated state. If the bias condition is reversed, then the passband signal will pass through port 3, and port 2 will be in the isolated state. In this SPDT circuit design, the two SPST circuits can be designed independently. This means that the filter composed of R1–R4 and the filter composed of R1–R2 and R5–R6 could follow the SPST design flow as mentioned before and then combine the two SPST circuits by means of the unloaded common resonators to accomplish an SPDT switchable filter. The SPDT switchable filter was also fabricated on the same RO4003 substrate. Fig. 13 shows the photograph of the SPDT switchable filter. Fig. 14 shows the measured performance of this circuit. During testing, only one port was set at the thrustate, while the other output port stayed at the isolated state. In Fig. 14(a), at the thru-port (port 2), a bandpass response with a passband insertion loss of 3.6 dB at a center frequency of 1.49 GHz with 3-dB fractional bandwidth of 5% was measured, and a stopband rejection of 30 dB up to 15 GHz was obtained. At the isolated-port (port 3), the circuit shows a measured isolation greater than 47 dB at the center frequency, and a wideband isolation is better than 35 dB from dc to 10.4 GHz. Fig. 14(b) shows the measured results when port 3 is on and port 2 is off. The measured passband insertion loss of port 3 is 3.6 dB at 1.49 GHz with a 3-dB fractional bandwidth of 5%, and a stopband rejection of 27 dB up to 15 GHz was also obtained. The measured isolation at port 2 is better than 48 dB at the center

Fig. 14. Measured results of the SPDT switchable filter. (a) On-/off-state (S =S ). (b) Leakage between transmitter and receiver (S ). (c) Narrowband response.

frequency, and a wideband isolation of better than 35 dB from dc to 8.4 GHz was also observed. Fig. 14(c) shows the isolation between ports 2 and 3, which represents the leakage from the transmitter to the receiver ends. The measured results shows that the leakage is 51 dB at the center frequency and higher than 45 dB from dc to 11.3 GHz. In this fourth-order SPDT switchable filter design, two common resonators are utilized to reduce the number of total resonators. Actually, the number of common resonators equals the unloaded resonators used in each SPST switchable filter design. For example, if three common resonators are used in this SPDT design, the total number of resonators will be reduced to five, but the isolation performance will degrade due to the fact that there is only one switchable resonator in each signal path. On the contrary, if only one common resonator is used (three switchable resonators will exist in each signal path),

4200

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

TABLE III COMPARISON TABLE

then the isolation performance can be improved with a tradeoff for the circuit size and passband insertion loss. Therefore, for a compromise among the circuit size, passband insertion loss, and isolation, two common resonators are adopted in this SPDT design. To compare direct cascade of a conventional filter and a switch with the proposed switchable filters, Table III shows recently published wide stopband filters, high-performance monolithic-microwave integrated-circuit (MMIC) switches, and electronically switchable filters. At the on state, the proposed switchable filters serve as bandpass filters with good stopband rejection which are comparable to the filters with wide stopband performances [11]–[13]. At the off state, the proposed switchable filters provide high and wideband isolations that are competitive with those of MMIC switches [1]–[3]. In other words, the proposed switchable filters can have both the advantages of a high-performance switch and a bandpass filter with good stopband rejection. It is observed that the previously published switchable filters could not provide a good stopband rejection as a bandpass filter at the on state and wideband isolation at the off state [14]–[16]. Compare with the bandpass filters with the same order and 3-dB bandwidth [12]: the passband insertion losses increased is about 1 dB, while it can trade for high and wideband isolations in the off state. By embedding the diodes into the stepped-impedance resonators to implement the switchable filters, another advantage is to eliminate a switch. The advantage will be more obvious at higher frequencies when the size of a switch is comparable to

that of a filter [4], [5]. These features will be useful for many system applications and high-level integration MMICs. V. CONCLUSION A new concept to design electronically switchable filters using diode-loaded stepped-impedance resonators is proposed in this paper. Resonance conditions of stepped-impedance resonators with different loads at one end are also studied and discussed. The proposed switchable filters successfully integrate a bandpass filter and a switch into a single component and can combine both of their advantages. Besides the wide stopband rejection of the bandpass filter response in the on state, high isolation performance is also obtained from dc to many octave bandwidth in the off state. Finally, a compact SPDT switchable filter using common resonators is also demonstrated to show its application in wireless communication systems. Although the design concept is demonstrated using hybrid circuits in this paper, the idea could also be easily applied to MMIC design for high-level integrations. ACKNOWLEDGMENT The authors would like to thank M.-I. Lai and R.-B. Sun, both with National Taiwan University, Taipei, Taiwan, R.O.C., for the helpful suggestions. REFERENCES [1] F. J. Huang and K. O. , “A 0.5- m CMOS T/R switch for 900-MHz wireless applications,” IEEE J. Solid-State Circuits, vol. 36, no. 3, pp. 486–492, Mar. 2001.

CHAO et al.: ELECTRONICALLY SWITCHABLE BANDPASS FILTERS USING LOADED STEPPED-IMPEDANCE RESONATORS

[2] C. Tinella, J. M. Fournier, D. Belot, and V. Knopik, “A high-performance CMOS-SOI antenna switch for the 2.5–5-GHz band,” IEEE J. Solid-State Circuits, vol. 38, no. 7, pp. 1279–1283, Jul. 2003. [3] Z. Li and K. K. O. , “15-GHz fully integrated nMOS switches in a 0.13m CMOS process,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2323–2328, Nov. 2005. [4] J. Kim, W. Ko, S. H. Kim, J. Jeong, and Y. Kwon, “A high-performance 40–85 GHz MMIC SPDT switch using FET-integrated transmission line structure,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 505–507, Dec. 2003. [5] K. Y. Lin, W. H. Tu, P. Y. Chen, H. Chen, H. Wang, and R. B. Wu, “Millimeter-wave MMIC passive HEMT switches using traveling-wave concept,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1798–1808, Aug. 2004. [6] S. B. Cohn, “Parallel coupled transmission-line resonator filters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 2, pp. 223–231, Apr. 1958. [7] E. G. Cristal and S. Frankel, “Hairpin-line and hybrid hairpin-line/halfwave parallel-coipled-line filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 11, pp. 719–728, Nov. 1972. [8] J. G. Garca, F. Martn, F. Falcone, J. Bonache, I. Gil, T. Lopetegi, M. A. G. Laso, M. Sorolla, and R. Marqus, “Spurious passband suppression in microstrip coupled line bandpass filters by means of split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 416–418, Sep. 2004. [9] T. Lopetegi, M. A. G. Laso, F. Falcone, F. Martin, J. Bonache, J. Garcia, L. Perez-Cuevas, M. Sorolla, and M. Guglielmi, “Microstrip wigglyline bandpass filters with multispurious rejection,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 531–533, Nov. 2004. [10] K. F. Chang and K. W. Tam, “Miniaturized cross-coupled filter with second and third spurious responses suppression,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 122–124, Feb. 2005. [11] P. Cheong, S. W. Fok, and K. W. Tam, “Miniaturized parallel coupledline bandpass filter with spurious-response suppression,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1810–1816, May 2005. [12] C. F. Chen, T. Y. Huang, and R. B. Wu, “Design of microstrip bandpass filters with multiorder spurious-mode suppression,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3788–3793, Dec. 2005. [13] S. C. Lin, P. H. Deng, Y. S. Lin, C. H. Wang, and C. H. Chen, “Wide-stopband microstrip bandpass filters using dissimilar quarter-wavelength stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1011–1018, Mar. 2006. [14] T. S. Martin, F. Wang, and K. Chang, “Theoretical and experimental investigation of novel varactor-tuned switchable microstrip ring resonator circuits,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1733–1739, Dec. 1988. [15] Y. H. Shu, J. A. Navarro, and K. Chang, “Electronically switchable and tunable coplanar waveguide-slotline bandpass filters,” IEEE Trans. Microwa.Theory Tech., vol. 39, no. 3, pp. 548–554, Mar. 1991. [16] J. Lee, Z. M. Tsai, and H. Wang, “A bandpass filter-integrated switch using field-effect transistors and its power analysis,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 768–771. [17] B. Strassner and K. Chang, “Wide-band low-loss high-isolation microstrip periodic-stub diplexer for multiple-frequency applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1818–1820, Oct. 2001. [18] S. Srisathit, S. Patisang, R. Phromloungsri, S. Bunnjaweht, S. Kosulvit, and M. Chongcheawchamnan, “High isolation and compact size microstrip hairpin diplexer,” IEEE Microw. Wireless Comp. Lett., vol. 15, no. 2, pp. 101–103, Febuary 2005. [19] “Datasheet for BAR65 Series,” Infineon, Munich, Germany. [Online]. Available: http://www.infineon.com/ [20] J. S. Hong and M. J. Lancaster, Microstrip Filter for RF/Microwave Applications. New York: Wiley, 2001, ch. 8. [21] “Sonnet User’s Manual, Release 10.0,” Sonnet Software Inc., North Syracuse, NY, 2004. Shih-Fong Chao was born in ChangHua, Taiwan, R.O.C., in 1979. He received the B.S. degree in electrical engineering from National Cheng-Kung University, Tainan, Taiwan, R.O.C., 2002, and is currently working toward the Ph.D. degree at National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include microwave and millimeter-wave circuit designs.

4201

Chao-Huang Wu was born in Taoyuan, Taiwan, R.O.C, in 1980. He received the B.S. degree in electrical engineering from the National Sun Yet-Sen University, Kaohsiung, Taiwan, R.O.C., in 2002, and the Ph.D. degree from the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, R.O.C., in 2006. His research interests include the design and analysis of microwave filter circuits.

Zou-Min Tsai (S’01) was born in MaioLi, Taiwan, R.O.C., in 1979. He received the B.S. and Ph.D. degrees from the National Taiwan University, Taipei, Taiwan, R.O.C., in 2001 and 2006, respectively. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interest is the theory of microwave circuits.

Huei Wang (S’83–M’87–SM’95–F’06) was born in Tainan, Taiwan, R.O.C., on March 9, 1958. He received the B.S. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1980, and the M.S. and Ph.D. degrees from Michigan State University, East Lansing, in 1984 and 1987, respectively, all in electrical engineering. During his graduate studies, he was engaged in research on theoretical and numerical analysis of electromagnetic radiation and scattering problems. He was also involved in the development of microwave remote detecting/sensing systems. He joined Electronic Systems and Technology Division, TRW Inc., in 1987. He has been an MTS and Staff Engineer responsible for MMIC modeling of CAD tools and MMIC testing evaluation and design, and he became the Senior Section Manager of the MMW Sensor Product Section, RF Product Center, TRW Inc. He visited the Institute of Electronics, National Chiao-Tung University, Hsin-Chu, Taiwan, R.O.C., in 1993 to teach MMIC-related topics and returned to TRW Inc. in 1994. He joined the faculty of the Department of Electrical Engineering, National Taiwan University, as a Professor in February 1998. Dr. Wang is a member of Phi Kappa Phi and Tau Beta Pi. He was the recipient of the Distinguished Research Award of National Science Council, R.O.C. (2003–2006). He was also elected as the first Richard M. Hong Endowed Chair Professor of National Taiwan University in 2005.

Chun Hsiung Chen (SM’88–F’96) was born in Taipei, Taiwan, R.O.C., on March 7, 1937. He received the B.S.E.E. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1960, the M.S.E.E. degree from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1962, and the Ph.D. degree in electrical engineering from National Taiwan University in 1972. In 1963, he joined the Faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From August 1982 to July 1985, he was Chairman of the Department of Electrical Engineering, National Taiwan University. From August 1992 to July 1996, he was the Director of the University Computer Center, National Taiwan University. In 1974, he was a Visiting Scholar with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley. From August 1986 to July 1987, he was a Visiting Professor with the Department of Electrical Engineering, University of Houston, Houston, TX. In 1989, 1990, and 1994, he visited the Microwave Department, Technical University of Munich, Munich, Germany, the Laboratoire d’Optique Electromagnetique, Faculte des Sciences et Techniques de Saint-Jerome, Universite d’Aix-Marseille III, Marseille, France, and the Department of Electrical Engineering, Michigan State University, East Lansing, respectively. His areas of interest include microwave circuits and computational electromagnetics.

4202

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Polymer–Ceramic Composites for Microwave Applications: Fabrication and Performance Assessment Stavros Koulouridis, Member, IEEE, Gullu Kiziltas, Member, IEEE, Yijun Zhou, Member, IEEE, Derek J. Hansford, and John L. Volakis, Fellow, IEEE

Abstract—We present a novel technique to fabricate conformal and pliable substrates for microwave applications including systems-on-package. The produced materials are fabricated by combining ceramic powders with polymers to generate a high-contrast substrate that is concurrently pliable (bendable). Several such polymer–ceramic substrates are fabricated and used to examine the performance of a patch antenna and a coupled line filter. This paper presents the substrate mixing method while measurements are given to evaluate the loss performance of the substrates. Overall, the fabricated composites lead to flexible = 20 and sufficiently substrates with a permittivity of up to low loss. Index Terms—Control of dielectric properties, high-contrast substrates, particle dispersion technique, pliable substrates, system-on-package (SoP).

I. INTRODUCTION

M

ANY COMPLEX, mobile structures (aircrafts, ships, and automobiles) require conformal antennas for radio communication. This requirement can be particularly challenging for small platforms since a large antenna is needed at these frequencies. Concurrent requirements for greater bandwidth and multifunctionality imply an even greater need for conformality. Existing conformal antennas are still printed on rigid laminate substrates with curved shapes, making them expensive and cumbersome, if not impractical, to manufacture and, hence, not applicable for such platforms. Further, an increasing demand for integration of antennas with radio-frequency (RF) front-end circuits makes use of such high-contrast substrates very attractive since they also allow for miniaturization. Polymers are rapidly becoming important among materials for microwave and electronic applications whether used in pure form or combined with ceramic powders. For example, in optoelectronics, polymers have been used to produce mechanically flexible “electronic paper” [1] and high-efficiency light-emitting diodes [2]. Liquid crystal polymers (LCPs) have been proposed for system-on-package (SoP) applications, displaying attractive properties like low loss, low water absorption, and low cost [3]. As a result, the LCPs have been promoted as a less exManuscript received January 6, 2006; revised July 5, 2006. S. Koulouridis, Y. Zhou, D. J. Hansford, and J. L. Volakis are with The Ohio State University, Columbus, OH 43212 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). G. Kiziltas was with The Ohio State University, Columbus, OH 43212 USA. She is now with Sabanci University, Tuzla 34956, Istanbul, Turkey (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.885887

pensive application than the system-on-chip (SoC) technology [4]. For SoPs, a three-dimensional (3-D) fabrication capability is needed, and this is done via stereolithography where traditional lithography is applied to fabricate complex microwave components [5]. Among example RF applications already reported, we note the use of an electro-optic polymer in [6] to design photonic RF arrays. In [7], polymer–ceramic composites were proposed as substrate materials for a scanning antenna, and, in [8] and [9], polymer–ceramic mixtures were used for thin-film capacitors. What is important to note about polymers is that: 1) though they are not intrinsically functional, they can be doped and made functional and 2) their “soft” or pliable nature (unlike crystalline materials) enables flexible free-standing substrates in a variety of different shapes. In this paper, we propose (for the first time, to the best of our knowledge) ceramic-reinforced elastic polymer composite substrates for truly conformal microwave applications suitable for a wide range of operating frequencies, i.e., 100 MHz–20 GHz. A key advantage of the polymer–ceramic mixtures is the capability to specify a range of high-contrast substrates by controlling the ceramic mixture. The ceramic is introduced into the polymer via a particle dispersion process, and its inherent elasticity is maintained provided the ceramic powder mixture is kept below a certain percentage, e.g., 30%–40%. Nevertheless, this percentage level of mixtures allows for a significant range of substrate dielectric constants which can also vary within the substrate for texturing or other material design applications [10]. The practicality of the proposed polymer–ceramic substrates presents us with other benefits, including the capability for metallic inclusions within the substrate with no limitation on substrate thickness, whichis typically not the case with low-temperature co-fired ceramic (LTCC) technology [11], [12]. Additionally, SoP integration applications can be considered. Moreover, the proposed mixing method is simple and avoids expensive machinery needed for composite fabrication. It is being carried out at room temperature, the complete procedure takes about a day, and it avoids issues often encountered with hard ceramic substrates (e.g., thermal mismatches or cracks). In this paper, we use composite substrates created by combining polydimethylsiloxane (PDMS) polymers from Dow Corning with various ceramic powders, namely barium titanate (BT-BaTiO3), Mg-Ca-Ti (MCT) from Trans-Tech Inc., and Bi-Ba-Nd-Titanate (BBNT) from Ferro Corporation. The dielectric properties of the fabricated substrates are measured using an Agilent impedance material analyzer, and measurements are verified by manufacturing and testing simple patch

0018-9480/$20.00 © 2006 IEEE

KOULOURIDIS et al.: POLYMER–CERAMIC COMPOSITES FOR MICROWAVE APPLICATIONS: FABRICATION AND PERFORMANCE ASSESSMENT

4203

Fig. 1. Fabrication procedure for the proposed substrates. From left to right: (a) PDMS is prepared by mixing silicone gel with a crossing agent, stirred and then degassed to remove surface bubbles; (b) ceramic is added, mixture is stirred, poured into containers, degassed, and left to dry; and (c) schematic representation of the procedure.

antennas on the polymer–ceramic substrates. A coupled line filter is also designed and measured to ensure low-loss performance. Both the filter and patch are measured after bending at various angles to demonstrate the flexibility of the substrate. In the next sections, we begin by presenting fabrication processes followed by the characterization of the substrates performance and suggested use for antennas and filter applications. II. MATERIALS AND FABRICATION METHODS Fig. 2. Example fabricated polymer–ceramic substrates.

A. Materials Among available polymer materials, we chose to work with silicone primarily due to its highly desired elastic behavior. PDMS is the most widely used silicone-based organic polymer and is known for its unusual rheological/flow properties: it is nonflammable, water- and chemical-resistant, and stable at high temperature. PDMS has been extensively used in MEMS technology and for the production of various probes and chips in medical applications [13]. Among the various shades of commercial ceramic powders, namely LTCC and high-temperature co-fired ceramics (HTCC), we chose to work with BT-, BBNT-, and MCT-type powders because of their wide range of available dielectric constants. BT has been widely employed in capacitor technology due to its ferroelectric properties [14], [15]. It is usually mixed with polymers [8], [9], [16] and demonstrates a wide range of attainable dielectric permittivity (from a few tens to a few thousands) values depending on its chemical form, grain size, environment temperature, and added dopants [17]. BBNT falls into the LTCC group of ceramic powders. It displays a dielectric permittivity of up to 100 [10]. The MCT powder is an HTCC and is commercially available in different dielectric shades from 20 to 140. B. Fabrication Procedure The proposed particle dispersion process is particularly suited for pliable substrates. Unlike other techniques, it is implemented at ambient temperatures. The process starts with the preparation of T2 Silastic PDMS by adding one part (mass) of a cross-linking

agent to ten parts (mass) of silicone gel. The resulting silicone gel is mixed thoroughly and placed into a vacuum chamber where excessive gas is removed by venting the surface bubbles within the preparedgel.Next,thedesiredamountofceramicpowderisadded to the degassed silicone gel and is again mixed thoroughly. The resulting ceramic–polymer slurry mixture is poured into a plastic container (of the desired shape). Degassing of the resulting mixture is then done by placing the containers into a vented vacuum chamber as done for the pure silicone gel. This process is the most tedious step and plays a critical role to achieving homogenous ceramic-reinforced polymer substrates. An average degassing time for a dish (of average thickness 6 mm and average diameter 30 mm, filled with 20% ceramic) is approximately 3 h. The resulting fully degassed mixture is then left for ambient drying and solidification (lasting about 24 h). The procedure is displayed in Fig. 1 with examples of flexible manufactured samples shown in Fig. 2. III. MATERIAL PROPERTIES OF POLYMER–CERAMIC SUBSTRATES Before using the bendable polymer–ceramic substrates, we proceeded to characterize their dielectric material properties, i.e., permittivity and loss tangents. We used an Agilent E4991A RF Impedance/Material Analyzer and 16453A calibration kit. The employed technique is actually based on capacitance measurements, and, as a reference load, we used a 0.78-mm-thick . Teflon sample having a dielectric permittivity of

4204

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 3. (a) Dielectric permittivity. (b) Loss tangent for the BT/PDMS samples at different volume ratios.

Fig. 4. (a) Dielectric permittivity and (b) loss tangent for the MCT/PDMS samples at different volume ratios.

Fig. 5. (a) Dielectric permittivity and (b) loss tangent for BBNT/PDMS samples at different volume ratios.

Different volume percentages of BT, MCT, and BBNT in a PDMS matrix as well as pure PDMS samples were fabricated and measured. The reported dielectric values for BBNT and , respectively. For the and MCT were BT-PDMS composites, the maximum attainable volume percentage was 25%, whereas for MCT-PDMS and BBNT-PDMS composites the corresponding percentage was 30%. It should be noted that bubbles within the MCT and BBNT mixtures were encapsulated leading to lower dielectric values than it would be expected. The measured permittivity and loss tangent versus frequency for the BT-PDMS composites are shown in Fig. 3.

The permittivity remains almost constant in the measured 100-MHz–1-GHz window, except for a slight linear drop as the frequency increases. The maximum permittivity value was for a 25% BT volume mixture, and similar around results were reported in [14] and [15] for BT mixtures with polymers. However, the loss tangent increased as the volume ratio of BT also increased and was worse for higher frequencies. More specifically, the highest loss for a 10% BT mixture was , whereas for the 25% mixture it was at 1 GHz. Corresponding measurements for MCT/PDMS and BBNT/ PDMS composites are shown in Figs. 4 and 5. The dielectric

KOULOURIDIS et al.: POLYMER–CERAMIC COMPOSITES FOR MICROWAVE APPLICATIONS: FABRICATION AND PERFORMANCE ASSESSMENT

4205

Fig. 6. (a) Dielectric permittivity and (b) loss tangent for the various volume ratios of the ceramics powders in the PDMS matrix.

Fig. 7. (a) Gain and (b) return loss for a 24 mm volume ratio) and a pure PDMS substrate.

2 24 mm patch antenna placed on a BT/PDMS substrate (20% volume ratio), a MCT/PDMS substrate (10%

permittivity is obviously lower as compared with the BT/PDMS mixtures discussed above with the 30% BBNT volume sample . Similar values are observed for the MCT/PDMS giving mixtures as shown in Fig. 4(a). The nonlinear behavior of the for the MCT and PDMS samples is likely due to measured voids within the mixture. As far as the loss tangent is concerned, the MCT and BBNT mixtures show a consistent and fairly low in the 100-MHz–1-GHz range loss tangent of and for all the volume percentages up to 30%. The losses are primarily due to the PDMS content, and this is more apparent in Fig. 6, where we present the mean values of permittivity and loss tangent for all samples. As the volume ratio of the MCT or BBNT increases, the loss tangent decreases slightly. At the same time (see Fig. 6), we also observe that higher permittivity of the BT mixtures is obtained at the expense of higher losses. IV. MICROWAVE APPLICATIONS PERFORMANCE ON CERAMIC–POLYMER SUBSTRATES The above mentioned materials were used as substrates for two applications, namely, a patch antenna and a coupled line filter. The goal with the patch, apart from evaluating the polymer surface metallization process, was to evaluate the antenna gain and assess the loss-tangent impact. A set of three patches were fabricated with metal epoxy used to print on the substrates. The rectangular patches having cross mm were printed on 20% BT volume, section of

pure silicone, and 10% MCT volume mixtures 4-mm thick (see Fig. 7). The patches were fed by a 50 coaxial cable and simulations were carried out with Ansoft HFSS for comparison. As shown in Fig. 7(b), the measured and simulated return losses for the three samples are in agreement, thus verifying the previously found dielectric constants. A minor disagreement is only observed in the “depth” of the resonance, and this is likely due to the fact that feeding points between simulation and measurement may be slightly misplaced. The antenna gain measurements along with the corresponding simulated results for the three substrates are shown in Fig. 7(a). As expected, the higher BT/PDMS loss tangent leads to rather low gain of 0.5 dBi at boresight. In contrast, when the substrate is pure PDMS, the gain is recovered and is near 5 dBi. Moreover MCT/PDMS substrates give also high gain measurements close to 5 dBi. The difference (0.5 dB) between PDMS and MCT/PDMS substrates falls inside the measurement error. To demonstrate the flexibility of the polymer substrates, we selected the MCT/PDMS substrate and printed on it a 36 cm 36 cm patch. The substrate was 4-mm thick and was formed by mixing 10% MCT in volume with PDMS, resulting in an and loss tangent equivalent permittivity of 9 10 . Referring to Fig. 8, the patch was measured at three bending angles, namely 30 , 60 , and 90 , with the feed placed 7.5 mm from the center of the patch and along the dividing axis A of the patch as shown. The bending was done along the circumferential direction [18] [around the A axis in Fig. 8(a)] and

4206

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

2

Fig. 8. Return loss patterns curves for a patch bent around circumferential (A axis) and axial (B axis) directions. The patch was 38 mm 38 mm and was placed on 4 mm mixed with a 10% MCT volume substrate to yield a dielectric constant of 3.5. (a) S for circumferential bending (around the A axis), (b) S for axial bending (around the B axis), (c) geometrical display of the bending angle, and (d) patch projection showing the feed location and the axes of bending.

Fig. 9. (a) Transmission coefficient S and (b) return loss S of a four-coupled-line filter (c) flat and bent at different angles and (d) geometrical details of the filter (mm). The filter was placed on BBNT/PDMS substrate (15% volume ratio) 1.5-mm thick.

the axial direction [around the B axis in Fig. 8(b)] for a total of six configurations. It is clear from Fig. 8(a) that bending around the A axis (circumferential) shifts the patch resonance to lower frequencies. This is expected since the substrate is elongated due

to its elastic properties. However, bending around the B axis has little effect [see Fig. 8(b)], as the resonance mode of the patch antenna is not affected this time. The reader is referred to [18] for a study on the radiation patterns and gain due to curvature.

KOULOURIDIS et al.: POLYMER–CERAMIC COMPOSITES FOR MICROWAVE APPLICATIONS: FABRICATION AND PERFORMANCE ASSESSMENT

As would be expected, the radiation pattern broadens when the bending increases. Apart from the simple patches, we also designed, fabricated, and tested a microwave filter. This consisted of a four-coupledline filter with its geometrical details given in Fig. 9(d). Two 50- SMA probes were used for the input and output ports. They were matched to the filter by using a microstrip transmission line [see Fig. 9(d)]. The designed operating frequency of the filter was 6.6 GHz, and its bandwidth was 800 MHz. It was placed on a 1.5-mm-thick substrate of relative dielectric conand a loss tangent of 0.9. stant The manufacturing phase was completed in three steps. First, the substrate (a 15 vol% BBNT/PDMS sample) was prepared, and subsequently the filter (printed on an FR4 thin film—thickness less than 0.2 mm) was placed on the BBNT/PDMS substrate. After applying the feeding and the ground plane (copper tape), the structure was encapsulated into pure silicone (PDMS), which stabilized the printed film and allowed for “hard” handling of the filter. It was then measured in four different positions, flat and bent at three different angles 30 , 60 , and 90 [see Fig. 9(c)] as before for the patch. Shown in Fig. 9(a) is the measured and simulated transmission coefficients for the flat position. The results are seen to satisfy our goal giving values over 2 dB for nearly 700 MHz at the central frequency of 6.4 GHz. Nevertheless, there are some differences in the response, and this is attributed to the presence of air bubbles encapsulated between the filter layer and the substrate. This statement is supported by the return loss [see Fig. 9(b)] data showing good agreement between measurements and calculations (the substrate performance has been verified as well). Direct printing on the PMDS substrate is expected to eliminate this issue. When the filter is bent, the general band performance does not change, but the transmission coefficient is decreased slightly. The transmission coefficient is affected more, of course, when the filter is bent at 90 presenting the highest value of 3 dB (probably due to radiation leakage). As far as the return loss is concerned [Fig. 9(b)], as the filter is bent, its return loss improves, which is probably due to the fact that less signal arrives at and reflects from the receiving side. V. DISCUSSION AND CONCLUSION We presented a novel approach for fabricating pliable substrates used for SoP technologies. A particular advantage of the ceramic–polymer mixtures relates to the capability of obtaining a wide range of dielectric constants while retaining pliability. Our measurements showed that the BT/PDMS composites but had losses displayed high permittivity of up to . In contrast, the MCT/PDMS and BBNT/PDMS but were asmixtures exhibited low losses sociated with lower dielectric permittivities . To demonstrate the substrate performance, we constructed and measured patch antennas and a coupled line microwave filter on the PDMS substrates loaded with ceramic powders and showed satisfactory response in terms of predicted substrate permittivity and loss behavior. The filter and a patch antenna were measured in flat and in bent positions to demonstrate the substrate pliability/flexibility.

4207

A further development of the proposed substrates would be the fabrication of mixtures having high dielectric permittivities with low loss characteristics . To generate substrates with large volume ratios, we can slightly alter the fabrication method such us heating the produced mixtures during the fabrication process, since the original liquid gel would present more fluidity. At the same time, heating of the samples could lead to removal of unwanted air bubbles inside the ceramic/polymer composites. Use of powders with different grain size may also be considered to find an optimal composition for the desired substrate characteristics. Direct printing is another issue to be addressed for commercial repeatable stages. REFERENCES [1] J. A. Rogers, Z. Bao, K. Baldwin, A. Dodabalapur, B. Crone, V. R. Raju, V. Kuck, H. Katz, K. Amundson, J. Ewing, and P. Drzaic, “Paperlike electronic displays: Large area, rubber stamped plastic sheets of electronics and electrophoretic inks,” Proc. Nat. Acad. Sci., vol. 98, no. 9, pp. 4835–4840, 2001. [2] D.-H. Hwang, S. T. Kim, X.-C. Li, B. S. Chuah, J. C. DeMello, R. H. Friend, S. C. Moratti, and A. B. Holmes, “New luminescent polymers for LEDs and LECs,” in Proc. Macromolecular Symp., 1998, vol. 125, pp. 111–120. [3] R. Li, G. DeJean, M. M. Tentzeris, J. Papapolymerou, and J. Laskar, “Radiation-pattern improvement of patch antennas on a large-size substrate using a compact soft-surface structure and its realization on LTCC multilayer technology,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 200–208, Jan. 2005. [4] A. Matsuzawa, “RF-SoC: Expectations and required conditions,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pt. 2, pp. 245–253, Jan. 2002. [5] B. Liu, X. Gong, and W. J. Chappell, “Applications of layer-by-layer polymer stereolithography for three-dimensional high-frequency components,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2567–2575, Nov. 2004. [6] J. Han, “Single-chip integrated electro-optic polymer photonic RF phase shifter array,” J. Lighwave Technol., vol. 21, no. 12, pp. 3257–3261, Dec. 2003. [7] Y. Yashchyshyn and J. W. Modelski, “Rigorous analysis and investigations of the scan antennas on a ferroelectric substrate,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 427–438, Feb. 2005. [8] S. Ramesh, B. A. Shutzberg, C. Huang, J. Gao, and E. P. Giannelis, “Dielectric nanocomposites for integral thin capacitors: Materias design, fabrication and integration issues,” IEEE Trans. Adv. Packag., vol. 26, no. 1, pp. 17–24, Feb. 2003. [9] K. Paik, S. Cho, and J. Hyun, “Novel Epoxy/BaTiO3 composite embedded capacitor films embedded in organic substrates,” in Proc. Int. IEEE Conf. Asian Green Electron., 2004, pp. 68–73. [10] G. Kiziltas, D. Psychoudakis, J. L. Volakis, and N. Kikuchi, “Topology design optimization of dielectric substrates for bandwidth improvement of a patch antenna,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2732–2743, Oct. 2003. [11] B. Hunt and L. Devlin, “LTCC for RF modules,” in Proc. Packag. Intercon. Microw. mm-Wave Freq., IEE Seminar, 2000, pp. 5/1–5/5. [12] T. Kutilainen, Intern. Microel. Pack. Soc., Ceramic Interconnect initiative NExtGen 2003, LTCC [Online]. Available: http://www.imaps.org/ cii/NextGen2003.pdf] [13] M. Seki, R. Aoyama, J. W. Hong, T. Fujii, and I. Endo, “Multiple diagnostic analyses by enzymatic and chemical reaction on a PDMS microchip,” in Proc. Int. IEEE-EMBS Conf. Microtech. Med. Biol., 2000, pp. 21–24. [14] C. K. Chiang, R. Popielarz, and L. P. Sung, “Dielectric properties and morphology of ferroelectric ceramic-polymer composite films,” in Proc. Mat. Res. Soc. Symp., 2001, vol. 682E, pp. N6.9.1–N6.9.6. [15] R. Popielarz, C. K. Chiang, R. Nozaki, and J. Obrzut, “Dielectric properties of polymer/ferroelectric ceramic composites from 100 Hz to 10 GHz,” Macromolecules, vol. 34, pp. 5910–5915, 2001. [16] H. Windlass, P. M. Raj, D. B. , S. K. Bhattacharya, and R. R. Tummala, “Colloidal processing of polymer ceramic nanocomposite integral capacitors,” IEEE Trans. Electron. Pack. Manuf., vol. 26, no. 2, pp. 100–105, Apr. 2003.

4208

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

[17] H. M. Al-Allak, J. Illingsworth, A. W. Brinkman, and J. Woods, “Permittivity-temperature behaviour of donor-doped positive temperature coefficient of resistance BaTiO3,” J. Phys. D: Appl. Phys., vol. 22, pp. 1920–1923, 1989. [18] L. C. Kempel, J. L. Volakis, and R. Sliva, “Radiation by cavity-backed antennas on a circular cylinder,” Proc. Inst. Elect. Eng., pt. H, pp. 233–239, 1995. Stavros Koulouridis (M’05) was born January 18, 1975, in Athens, Greece. He received the Diploma (equivalent to M.Sc degree) and Ph.D. degrees in electrical and computer engineering from the National Technical University of Athens (NTUA), Athens, Greece, in 1999 and 2003, respectively. From 1999 to 2003, he was a Research Engineer with the Microwave and Fiber Optics Laboratory and Biomedical Simulations and Medical Imaging Laboratory, NTUA. He taught as a Lecturer with the School of Pedagogic and Technological Education (ASPAITE) from 2000 to 2003. He was also a Teaching Assistant from 2000 to 2003 with NTUA. In 2004, he served in the military in the Communications section. Since September 2004, he has been a Postdoctoral Research Visiting Scholar with the Electroscience Laboratory, The Ohio State University, Columbus. His research interests include antenna design, development and fabrication of novel materials, microwave applications in medicine, electromagnetic optimization techniques, bioelectromagnetics, applied computational electromagnetics, and parallel processing techniques. He serves as a reviewer for the IEE Proceedings Science Measurement and Technology. He has served as a reviewer for the Telecommunications Institute (ETRI) Journal. Dr. Koulouridis was the recipient of a three-year Ph.D. Scholarship on Biomedical Engineering from Hellenic State Scholarships Foundation. He was also the recipient of the Best Ph.D. Dissertation Award from NTUA in 2004. He serves as a reviewer for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He has served as a reviewer for the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY.

Gullu Kiziltas (S’03–M’05) was born on December 20, 1972, in Ankara, Turkey. She received the B.Sc. and M.Sc. degrees from the Mechanical Engineering Department, Middle East Technical University, Ankara, Turkey, in 1995 and 1998, respectively, and the Ph.D. degree in mechanical engineering from the Universityof Michigan, Ann Arbor, in 2003. She was awarded a Doctoral Fellowship by the Mechanical Engineering Department, University of Michigan, in September 1998, when she joined the Computational Mechanics Laboratory and the Radiation Laboratory of Electrical Engineering and Computer Science Department in 1999. During her doctoral work, she focused on extending topology optimization design methods to high-frequency electromagnetic applications and the design, analysis, and characterization of artificially engineered materials for RF applications. She was a Post-Doctoral Researcher with both the ElectroScience Laboratory, The Ohio State University, Columbus, and the University of Michigan from May 2003 until September 2005. She also coordinated with the Ceramic Research Group, Material Science and Engineering Department, University of Michigan, on the advanced fabrication of dielectric composites. She is currently an Assistant Professor with the Mechatronics Program, Faculty of Engineering and Natural Sciences, Sabanci University, Tuzla, Turkey. Her current research interests include the design, analysis, and fabrication of complex engineering systems such as miniaturized electromagnetic, mechanical, electromechanical, and biomedical devices and multidisciplinary design optimization.

Yijun Zhou (S’05) received the B.S. degree in electric engineering from Shanghai Jiao Tong University, Shanghai, China, in 2004. He is currently working toward the Ph.D. degree at The Ohio State University, Columbus. His research interests include small antenna design and novel material development for electromagnetic applications.

Derek J. Hansford received the B.S. degree from Case Western Reserve University, OH, in 1994, and the M.S. and Ph.D. degrees from the University of California, Berkeley, in 1996 and 1999, respectively, all in materials science and engineering. He has also been an intern with NASA Glenn (1994) and an engineering intern with Cepheid Inc. (1998). Since 1999, he has been an Assistant Professor with The Ohio State University, Columbus, in biomedical engineering, materials science and engineering, and wth the Biophysics graduate program. His primary research is polymer microfabrication for biomedical and electronic applications, including research on polymer chemistry, fluid flow in nanofluidics, development of microprocessing techniques for polymers, patterning of chemistry for selective growth and surface modifications, and patterning of conducting and dielectric polymers.

John L. Volakis (S’77–M’82–SM’89–F’96) was born on May 13, 1956, in Chios, Greece. He received the B.E. degree (summa cum laude) from Youngstown State University, Youngstown, OH, in 1978, and the M.Sc. and Ph.D. degrees from The Ohio State University, Columbus, in 1979 and 1982, respectively. From 1982 to 1984, he was with Rockwell International, Aircraft Division (now Boeing Phantom Works), Lakewood, CA, and, during 1978–1982, he was a Graduate Research Associate with The Ohio State University ElectroScience Laboratory. Since January 2003, he has been the Roy and Lois Chope Chair Professor of Engineering with The Ohio State University and serves as the Director of the ElectroScience Laboratory. Prior to joining The Ohio State University, he was a Professor with the Electrical Engineering and Computer Science Department, University of Michigan, Ann Arbor (1984–2003). He also served as the Director of the Radiation Laboratory from 1998 to 2000. His primary research deals with antennas, computational methods, electromagnetic compatibility and interference, design of new RF materials, multiphysics engineering, and bioelectromagnetics. He has published 230 papers in major refereed journal articles (nine of these have appeared in reprint volumes), nearly 350 conference papers, and ten book chapters. In addition, he coauthored three books: Approximate Boundary Conditions in Electromagnetics (Institution of Electrical Engineers, 1995), Finite Element Method for Electromagnetics (IEEE Press, 1998), and Frequency Domain Hybrid Finite Element Methods in Electromagnetics (Morgan & Claypool, 2006). He has also written two well-edited coursepacks on introductory and advanced numerical methods for electromagnetics and has delivered short courses on numerical methods, antennas, and frequency-selective surfaces. He graduated/mentored over 45 Ph.D. students/post-docs, and coauthored with them five best paper awards at conferences. Dr. Volakis is a member of Commissions B and E of URSI. In 1998, he was the recipient of the University of Michigan (UM) College of Engineering Research Excellence Award and in 2001 the UM Department of Electrical Engineering and Computer Science Service Excellence Award. He is listed by ISI among the top 250 most referenced authors (2004, 2005). He served as an associate editor of the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION from 1988 to 1992, and as an Associate Editor of Radio Science from 1994 to 1997. He chaired the 1993 IEEE Antennas and Propagation Society Symposium and Radio Science Meeting and cochaired the same Symposium in 2003. He was a member of the AdCom for the IEEE Antennas and Propagation Society from 1995 to 1998 and served as the 2004 President of the IEEE Antennas and Propagation Society. He also serves as an Associate Editor for the Journal of Electromagnetic Waves and Applications, the IEEE Antennas and Propagation Society Magazine, and the URSI Bulletin.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

4209

A Systematic Design to Suppress Wideband Ground Bounce Noise in High-Speed Circuits by Electromagnetic-Bandgap-Enhanced Split Powers Chien-Lin Wang, Guang-Hwa Shiue, Wei-Da Guo, and Ruey-Beei Wu, Senior Member, IEEE

Abstract—In this paper, the split power planes with electromagnetic bandgap structures enhancement is proposed for the wideband suppression of ground bounce noise in high-speed printed circuit boards. A systematic design procedure is presented, featuring a modified analytic design formula, a novel compact electromagnetic bandgap layout, and a discussion on the minimum number of cascaded rows. As it is capable of selectively suppressing the ground bounce noise at several desired frequencies, the approach is applied to deal with the coupled noise between two isolation islands and the ground bounce noise induced by signal line crossing the split power planes. Successful noise suppression over an ultrawide band from dc to 5 GHz and reduction of the peak ground bounce noise in the time domain by 75% by an electromagnetic bandgap strip 1.44 cm wide is demonstrated. Good agreement is seen from the comparison between simulation and experimental results. Index Terms—Electromagnetic bandgap (EBG), electromagnetic (EM) interference, power integrity, signal integrity, simultaneously switching noises, split power plane.

I. INTRODUCTION

ROUND bounce noise, also known as simultaneous switching noise, is becoming one of the major concerns in high-speed digital circuits with faster data rates and lower voltage levels. This noise can produce false switching in digital circuits and breakdown in analog circuits. Mainly due to the high-speed time-varying currents through vias in the parallel-plate layer, it may cause significant signal integrity problems and electromagnetic (EM) interference in high-speed circuits [1], [2]. With the increasing clock frequencies of digital circuits, the ultra-wideband noise suppression from dc to several gigahertz becomes a critical design consideration. Several different methods for ground bounce noise reduction have been proposed in the literature. Adding decoupling capacitors [3] is the most commonly used approach, as they can provide grounding paths for the voltage fluctuations on the reference dc voltage planes, but the performance at high frequencies

G

Manuscript received May 3, 2006; revised August 3, 2006. This work was supported in part by the National Science Council, Taiwan, R.O.C., under Grant NSC93-2213-E- 002-016 and by Inventec Incorporated. The author are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of Figs. 1–14 are available at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.886387

is limited by the increasing impedance of equivalent series inductance. Dividing the power plane into power islands can also help isolate the noisy elements. However, its resonance at certain frequencies will cause significant coupling noise [4]. It is also reported that significant ground bounce may occur due to the signal lines crossing split power planes [5], which is another mechanism magnetically dual to the via-induced ground bounce. To achieve better performance, one may employ embedded capacitors [6] through the use of an additional layer of high dielectric material. One may also introduce the cascaded electromagnetic bandgap (EBG) structures [7] to the ground plane, which occupy large areas for suppressing all resonant frequencies and is deficient in reducing wideband noise. All of these methods suffer from either limited bandwidth in noise reduction or expensive manufacturing process. Recently, a new idea of using EBG structures embedded periodically between the parallel plates has been proposed and can provide good suppression of ground bounce noises at frequencies over several gigahertz [8], [9]. A simple circuit model for one unit cell of an EBG structure is even proposed with equivat a given resonant frequency [10]. However, alent and time-consuming full-wave analysis is usually required to calculate the -parameter and dispersion diagram for the design of EBG structures. Given the noise suppression by split planes for low frequencies, it is interesting to investigate the enhancement at high frequencies due to the employment of EBG structures. The primitive simulation results showed that EBG combined with a split plane is able to provide wideband ground bounce suppression [11]. In this paper, we have elaborated on the idea and a systematic analysis and design procedure is presented for an efficient method of designing EBG structures with more accurate design formula, a novel EBG layout for the size reduction, and the required numbers of rows for sufficient noise suppression. The proposed procedure is then applied to suppress ultra-wideband coupled noise between isolation islands and slot-induced ground bounce by signal lines crossing split power/ground planes, while maintaining the signal transmission quality within the required specifications for common signaling standard. The organization of this paper is as follows. After a brief statement of the problem, Section II describes the systematic procedure for the design of compact EBG structures. Some numerical examples to demonstrate its performance in noise suppression are given in Section III, with experimental validation given in Section IV. Finally, brief conclusions are drawn in Section V.

0018-9480/$20.00 © 2006 IEEE

4210

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 1. Noise-reduction mechanism by EBG structures within parallel plates of the power distribution network.

N

Fig. 3. Top view of a unit-cell EBG with: (a) rectangular layout ( = 0), (b) spiral layout ( = 1), and (c) spiral layout ( = 2). (d) Side view of a unit-cell EBG embedded within parallel plates.

N

N

noise coupling between two isolation islands at resonant frequencies [4]. For example, for rectangular planes with size , the resonant frequencies are given by

(1) In addition, there are two main ground bounce noise-generating mechanisms within the split parallel plates, either by fast switching current through vertical vias, as in Fig. 2(b), or by signal propagating across the slot [5], as in Fig. 2(c). The noise propagates between the parallel plates and may deteriorate the noise isolation by the split planes at aforementioned resonant frequencies. The EBG structures with band rejection effects can help reduce the noise coupling. It is desired to have a systematic design procedure for the EBG structures which may exhibit sufficient noise suppression, but occupy only a small area. B. Design of Center Band-Reject Frequency

Fig. 2. (a) Top view of split power plates. (b) Side view of two isolation islands with via-induced ground bounce. (c) Side view of signal-line-crossing split power plates with slot-induced ground bounce.

II. COMPACT EBG DESIGN

A. Statement of the Problem Consider split power planes in a printed circuit board with the enhancement of noise suppression by EBG structures. Fig. 1 demonstrates the noise generation and radiation as well as the suppression mechanism by means of the split planes and EBG structures. The split planes are commonly used in high-speed digital circuits for isolation of noisy elements and/or provision of multiple power sources. Since power planes are separated by slots as in Fig. 2(a), the noise from different power islands can be isolated at least for lower frequencies. However, there is still significant

Within split power planes, ground bounce noises usually propagate at specific frequencies as in (1). For achieving wideband noise suppression, EBG with several rejection frequency bands is important for the suppression mechanism shown in Fig. 1. The unit-cell EBG structure is shown in Fig. 3, which includes a layer with metallization layout and shorted with the ground through a center via connection. In contrast to the traditional square patch layout [10], a novel spiral type is proposed here for the size reduction. The layouts with number of turns are shown in Fig. 3(a)–(c), respectively. Other important parameters for the unit-cell spiral EBG are the gap and width of the spiral line. Note that the special case with corresponds to the traditional EBG of a rectangular patch layout [8], [9] and equivalent model [10]. In order to predict the center frequency of the spiral EBG rejection band, a revised equivalent circuit model is shown in Fig. 4(a), in which the circuit elements are given by

(2) (3)

WANG et al.: SYSTEMATIC DESIGN TO SUPPRESS GROUND BOUNCE NOISE IN HIGH-SPEED CIRCUITS BY EBG-ENHANCED SPLIT POWERS

4211

Fig. 5. Comparison of the simulated results of via inductance versus h =r with those of the analytic design formula.

Fig. 4. (a) Equivalent circuit of a unit cell of an EBG. (b) Inductance formula derived from the image theorem.

and, hence, the center band-reject frequency

(4) In this model, and denote the capacitance between the EBG structure and the top and bottom plates, respectively, and denote the inductance of the via and spiral EBG and layout. For the via inductance , it is worth mentioning that the inductance formula is suitable only for the cases in which via radius is much smaller than layer height , and the constant is determined empirically from the full-wave simulation results [10]. A general form of the inductance formula can be revisited if the image theory is applied to substitute the lower ground plane as in Fig. 4(b). As a result, the inductance equals one half of that . for which the exact formula is of a single via of total length available. From the internal and external inductances for a round via, the inductance formula can thus be given by

(5)

In case of long vias , it is worth noting that (5) can . be reduced to (3) with In order to verify the accuracy of the inductance formula, the full-wave simulation results below the resonant frequency are compared with those by traditional formula (3) with and the present modified formula (5). In Fig. 5, with the increase of , it can be seen that (3) deviates significantly from the full-wave simulation result [14], while (5) can yield accurate varies from 0.5 to 4. Note that the inductance is results as important in accurately determining the band-reject frequency of the EBG structures as given by (4). It is evident from Fig. 1 that significant board area will be occupied by the EBG structures, which limits the available real , having estate for circuit layout. The spiral EBG layout larger equivalent inductance than the rectangular patch design, can provide the advantage of size reduction. However, the inductance of spiral structures is difficult to derive, and one should resort to numerical simulation. By choosing different , , and , the inductance of the spiral EBG inductor can be derived from numerical model extraction as well. The extracted are shown in Fig. 6. It is considspiral layout inductances ered that the advantage of introducing a spiral inductor is to add one more variable for controlling the center band frequency of EBG rejection, in addition to the size of square patch to conand . trol For the analysis of spiral EBG structures, a dispersion diagram is usually used to derive the bandstop region. In the simulation setup for dispersion relation extraction, a unit cell (e.g., spiral patch, power plate, ground plate, via, or dielectric materials) is considered. The full-wave simulator [14] is employed to calculate the resonant frequencies versus the two-dimensional (2-D) propagation vectors. The frequency band over which there are no propagation modes depicts the bandgap region of the EBG structures. Fig. 7 compares the dispersion diagram between a rectangular EBG with width mm and a spiral EBG with and width mm ( mm and mm). mm and substrate height at The via height is fixed at

4212

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 6. Equivalent inductance of spiral EBG layout (h = 0:77 mm).

Fig. 7. Dispersion diagram for spiral EBG structure (N = 1, w = 1:5 mm, s = 0:2 mm, and h = 0:77 mm) and rectangular EBG (d = 9:5 mm and = 0:77 mm). h

mm. The center frequency of both structures is designed at 3.05 GHz with rectangular and spiral EBG design. About 75% size reduction can be achieved by spiral EBG design, but at the same time with 27% decrease in bandwidth as tradeoff. This is mainly due to the impedance mismatch between parallel-plate and EBG structures and can be compensated for with the increase of dielectric constant [12], [13]. By choosing different , , and , the characteristics of spiral EBG structures are analyzed as well. The extracted center band-reject frequency and relative bandwidth are shown in Fig. 8. From these charts, given the frequency band of major noise to be suppressed, the design dimensions of the spiral EBG can be easily determined. It is also worth noting that the greater the number of turns, the greater the shrinkage on the relative bandwidth of the spiral EBG structures. GHz and as conTaking one example as stant, patch widths of 6.1 and 4 mm can be respectively derived and . Compared with rectangular design, for patch width of 13 mm is needed for suppressing resonance at

Fig. 8. (a) Resonant frequency and (b) relative bandwidth of spiral EBG structures with slot width s as a parameter (h = 0:77 mm).

2.5 GHz. This amounts to a size reduction of 54% and 70%, respectively. To have a compact EBG design, it is important to determine the minimum number of EBG rows that can efficiently suppress the ground bounce noise. As demonstrated in the previous work [11], employing only two rows of EBG patches are sufficient to achieve a band-reject effect of 20 dB, while more rows allow deeper band rejection. The investigations have been repeated and . Although not shown both for the spiral EBG with here, the dependence of the band-reject characteristics on the number of EBG rows is found to be similar to that of a rectangular EBG. III. DESIGN EXAMPLES AND NUMERICAL RESULTS Given the design guideline for the compact EBG structures in Section II, a systematic design procedure will be discussed in this section to suppress the ground bounce noise within the split power planes. Two different kinds of ground bounce mechanisms will be considered. One is with the coupled ground bounce near the resonant frequencies of the split plates. The

WANG et al.: SYSTEMATIC DESIGN TO SUPPRESS GROUND BOUNCE NOISE IN HIGH-SPEED CIRCUITS BY EBG-ENHANCED SPLIT POWERS

4213

TABLE I VARIOUS EBG STRUCTURE DESIGNS FOR 2.3 AND 4.6 GHZ DERIVED BY FULL-WAVE SIMULATOR [14] (THICKNESS = 1:54 mm, " = 4:4, AND GAPWIDTH = 0:4 mm)

other is with the slot-induced ground bounce due to signal propagating across the slot. The geometrical parameters of the and their arrangement spiral EBG structures with different within the parallel plates will be designed. A. Wideband Noise Suppression for Split Power Planes Via-induced ground bounce noise happens commonly within split planes as shown in Fig. 2(a) and (b). At certain frequencies, the noise will be strongly coupled to port 2 and exhibit some power integrity concern. A remedy to enhance the noise suppression at these resonant frequencies is to employ the systematic EBG design in Section II. For example, consider the mm structure given in Fig. 2(a) and (b) with dimensions mm, feeding port at the left boundary, substrate and mm, dielectric constant of 4.4, and gapthickness mm. The first two main resonant frequencies at width GHz which the coupling noise is significant are GHz by (1). and The spiral EBG can be employed to reject the coupling signals at these two main resonant frequencies and achieve good ground bounce suppression over dc to 5 GHz. By using (2), (4), (5), and the design chart in Fig. 8, the geometric dimensions of the spiral EBG for different ’s are listed in Table I. For simultaneously suppressing the noise at both frequencies, two EBG structures each operating at a certain frequency are cascaded while each EBG structure consists of two rows to achieve at least 20-dB enhancement. The top view is shown in the inset of Fig. 9(a). at enAssume that the rectangular EBG structures ) as shown tries 1 and 2 and the spiral EBG structures ( in Fig. 3(b) and (c) at entries 4 and 5 in Table I are realized. The noise suppression characteristics can be validated and compared by full-wave simulation [14]. Fig. 9(a) shows the coupling coefversus frequency between the two isolation islands. ficient The dotted line denotes the simulated result without EBG structures. Significant coupling noise happens near the two resonant frequencies below 5 GHz. They can be suppressed by the embedded EBG structures and achieve wideband noise reduction, as is evident from the solid curve. More than 20-dB noise suppression with rectangular and spiral EBG design is seen from dc to 5 GHz between ports 1 and 2. Fig. 9(b) shows the time-domain results by three-dimensional (3-D) finite integration simulator [15]. In this case, a Gaussian impulse voltage source with amplitude 0.25 V and rising time of 100 ps is used as the signal excitation on port 1. Based on the present design, it can be seen that the peak coupling noise is

Fig. 9. Reduction of coupling noise by rectangular EBG and spiral EBG between isolation power islands. (a) S of isolation power islands. (b) Coupled noise at port 2.

reduced more than 90% on output port 2 by employing a strip of the rectangular EBG with a width of 4 cm and more than 75% by spiral EBG design with a width of 1.44 cm. In other words, the employment of spiral design leads to about 70% area reduction, but with a 15% decrease in time-domain noise suppression as a tradeoff. mm are also considered, Different feeding positions at which will cause more resonant modes within split planes. Here, the setup is the same as the previous case in Fig. 2(a) and (b). The full-wave simulator [14] is employed to analyze the coupled noise in the frequency domain between two isolation islands. The dotted line in Fig. 10(a) shows the simulation result without

4214

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 10. Reduction of coupling noise by rectangular EBG and spiral EBG structures between isolation power islands. (a) S of isolation power islands. (b) Coupling noise at port 2.

EBG structures. Significant coupling noise can be found at 2.3, 3.1, 3.8, and 4.6 GHz. In this case, two kinds of cascaded spiral EBG are selected, for high frequency and one with for one with low frequency. The dimensions of the spiral EBG can be derived by using (2), (4), (5), and Fig. 6 as in the previous design. Good noise suppression can still be achieved with rectangular and spiral EBG structures, as is evident in Fig. 10(a). Fig. 10(b) shows the time-domain results. In this case, a similar setup is done as in the previous case. It can be seen that the spiral EBG structures can reduce noise by 75% on output port 2, but with much smaller total EBG size. Therefore, based on different resonant frequencies of radiated noise with different feeding positions, a specific spiral EBG can be designed for suppressing unwanted ground bounce noise. B. Wideband Noise Suppression for Signal Line Across Slot The signal propagating along a microstrip line over a slot on the power plane will also induce ground bounce noise [5], which will propagate within parallel plates. In this case, EBG structures can be used to suppress the ground bounce noise induced by a signal line. A typical multilayer structure is shown in Fig. 2(c), where the top layer is the signal plane, the middle

Fig. 11. Signal-line-crossing split power plane with embedded EBG structures for noise suppression. (a) Top view of stacked cascaded rectangular EBG structures. (b) Top view of stacked crossed rectangular EBG structures. (c) Side view of crossed rectangular EBG structures.

layer is the split power plane, and the bottom layer is the ground plane. For example, consider the EBG-enhanced structure given in mm, mm, substrate Fig. 11 with dimensions mm, dielectric constant 4.4, and gapwidth thickness mm. A Gaussian voltage source with amplitude of 2 V and rising time of 100 ps launches onto the microstrip line at A. Furthermore, the voltage source is in series with an internal resistance of 50 , while on the other end the signal line is terminated with a matched load at B. Since the slot-induced ground bounce propagates outward between the parallel plates, the combination of rectangular EBG structures deserves consideration. Two kinds of EBG arrangements are compared here. One is the traditional cascaded rectangular EBG structures shown in Fig. 11(a), and the other is the crossed rectangular EBG structures shown in Fig. 11(b). Based on the design guideline in Section II, two kinds of EBG structures with only two rows are used for suppressing two main resonant frequencies. Fig. 12 shows the time-domain simulation results. The voltages at points A–D of Fig. 11 are compared among the crossed EBG, cascaded EBG, and the case with no EBG. It can be found

WANG et al.: SYSTEMATIC DESIGN TO SUPPRESS GROUND BOUNCE NOISE IN HIGH-SPEED CIRCUITS BY EBG-ENHANCED SPLIT POWERS

4215

Fig. 12. Simulated waveforms at points A–D in Fig. 11 for signal line through split power plane with or without EBG structures.

that the presence of both EBG structures only have a little influence on the signal integrity of original signal at source A and received signal at B. However, it can successfully reduce the ground bounce at C and D as shown in Fig. 11(c) between power and ground planes. Cascaded EBG structures show different improvement at C and D because of the asymmetric arrangement, and the best reduction in ground bounce noise is about 45%. If crossed EBG structures are used, it can achieve about 62% ground bounce noise reduction compared with the case with no EBG. Hence, the crossed EBG structures can provide better noise reduction for omni directionally propagating slot-induced ground bounce. Similar conclusions can be derived for spiral EBG structures with different numbers of turns. IV. EXPERIMENT VALIDATION A two-layer PCB of two isolation islands is fabricated to investigate the coupling noise between the two split plates. Consider the structure shown in Fig. 2(a) and (b) with dimensions mm, mm, mm, substrate thickness mm, dielectric constant 4.4, and gapwidth mm. Two kinds of two-row EBG structures with rectangular or spiral scheme are cascaded to enhance the noise-suppressing ability of the isolation islands. By using (2), (4), and (5) and the design diagram of Fig. 8, the widths of rectangular EBG structures are designed to be 8 and 12 mm and the widths of spiral ones to

Fig. 13. Comparison between simulated and measured results of: (a) rectangular- and (b) spiral-embedded split power planes in the frequency domain.

and 3.8 mm . The simulated be 3.4 mm and measured waveforms will be compared in both the time and frequency domains to verify the better ground bounce noise reduction by EBG-enhanced split plane structures. For the frequency-domain verification, the scattering paare measured on a vector network analyzer R&S rameters ZVB20. The comparisons with the simulated results of rectangular and spiral EBG structures are presented in Fig. 13. It can be seen that serious noise coupling occurs at some resonant frequencies. However, through the insertion of cascaded two-row EBG structures, both the simulated and measured results exhibit better than 20-dB improvement in the noise isolation at the two-frequency band gap, each over 1-GHz bandwidth. As a whole, the present EBG-enhanced split planes can provide ultra-wideband ground bounce noise suppression from dc to 5 GHz. This is validated by the good agreement between measurement and simulation results.

4216

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

crossing slot is proposed, designed, examined, and validated. Using the concept of cascading EBG structures with different band-reject frequencies, the coupling noise between isolation islands can be significantly reduced. Compact size can also be achieved by spiral EBG structures with an increasing number of turns to save the occupied area, but with some relative bandwidth shrinkage as the tradeoff. For ease and accuracy in the design of EBG structures, the modified inductance formula, the design chart of spiral EBG structures, and the minimum number of EBG rows are also presented. A systematic design procedure is established based on which the design of EBG structures for suppressing undesired frequencies becomes more convenient, without resorting to the time-consuming full-wave simulator.

REFERENCES

Fig. 14. Comparison between simulated and measured results of: (a) rectangular- and (b) spiral-embedded split power planes in the time domain.

For the time-domain measurement, the experimental verification is performed on a reflectometer TEK/CSA8000. A ramped step pulse of 0.5 V and rising time of approximately 50 ps is used as the excitation on port 1. The coupling noise can be measured from the TDT signal, which shows a peak-to-peak noise of about 23.8 mV in the absence of EBG structures. This means that the coupling noise by isolation islands for the present case may achieve about 9.5% of input signal. The simulated and measured waveforms of TDT signals with rectangular and spiral EBG layouts are both shown in Fig. 14. It is found that the coupled noise can be significantly suppressed by 81% and 64%, respectively. Good agreement is also noticed from the comparison between simulation and experimental results. V. CONCLUSION In this paper, an EBG-enhanced structure for ultra-wideband noise suppression on split power/ground planes and signal line

[1] S. Radu and D. Hockanson, “An investigation of PCB radiated emission from simultaneous switching noise,” in IEEE Int. Electromagn. Compat. Symp., Aug. 1999, vol. 2, pp. 893–898. [2] S. Shahparnia and O. M. Ramahi, “Electromagnetic interference (EMI) reduction from printed circuit board (PCB) using electromagnetic bandgap structures,” IEEE Trans. Electromagn. Compat., vol. 46, no. 6, pp. 580–587, Nov. 2004. [3] Y.-J. Kim, H.-S. Yoon, S. Lee, G. Moon, J. Kim, and J.-K. Wee, “An efficient path-based equivalent circuit model for design, synthesis, and optimization of power distribution networks in multilayer printed circuit boards,” IEEE Trans. Adv. Packag., vol. 27, no. 1, pp. 97–106, Feb. 2004. [4] C. T. Wu and R. B. Wu, “Two-dimensional finite-difference time-domain method combined with open boundary for signal integrity issues between isolation islands,” in IEEE 11th Elect. Perform. Electron. Packag. Topical Meeting, Oct. 2002, pp. 283–286. [5] C. T. Wu, G. H. Shiue, S. M. Lin, and R. B. Wu, “Composite effects of reflections and ground bounce for signal line through a split power plane,” IEEE Trans. Adv. Packag., vol. 25, no. 2, pp. 297–301, May 2002. [6] M. Xu and T. H. Hubing, “Estimating the power bus impedance of printed circuit boards with embedded capacitance,” IEEE Trans. Adv. Packag., vol. 25, no. 3, pp. 424–432, Aug. 2002. [7] S. Shahparnia and O. M. Ramahi, “Simultaneous switching noise mitigation in PCB using cascaded high-impedance surfaces,” Electron. Lett., vol. 40, pp. 98–100, Jan. 2004. [8] R. Abhari and G. V. Eleftheriades, “Metallo-dielectric electromagnetic bandgap structures for suppression and isolation of parallel-plate noise in high-speed circuits,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1629–1639, Jun. 2003. [9] T. Kamgaing and O. M. Ramahi, “A novel power plane with integrated simultaneous switching noise mitigation capability using high impedance surface,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 1, pp. 21–23, Jan. 2003. [10] S. Shahparnia and O. M. Ramahi, “Simple and accurate circuit models for high-impedance surfaces embedded in printed circuit boards,” in Proc. IEEE Antennas Propagat. Symp., Jun. 2004, vol. 4, pp. 3565–3568. [11] C. L. Wang, G. H. Shiue, and R. B. Wu, “EBG-enhanced split power planes for wideband noise suppression,” in Proc. IEEE 13th Elect. Perform. Electron. Packag. Topical Meeting, Oct. 2005, pp. 61–64. [12] J. Lee, H. Kim, and J. Kim, “High dielectric constant thin film EBG power/ground network for broadband suppression of SSN and radiation emissions,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 8, pp. 505–507, Aug. 2005. [13] S. Shahparnia and O. M. Ramahi, “Miniaturized electromagnetic bandgap structures for ultra-wide band switching noise mitigation in high-speed printed circuit boards and packages,” in Proc. IEEE 13th Elect. Perform. Electron. Packag. Topical Meeting, Oct. 2004, pp. 211–214. [14] High Frequency Structure Simulator. ver. 9.1, Ansoft, Pittsburgh, PA. [Online]. Available: www.ansoft.com [15] Microwave Studio. ver. 5.1, CST, Darmstadt, Germany [Online]. Available: www.cst.com

WANG et al.: SYSTEMATIC DESIGN TO SUPPRESS GROUND BOUNCE NOISE IN HIGH-SPEED CIRCUITS BY EBG-ENHANCED SPLIT POWERS

Chien-Lin Wang was born in Taipei, Taiwan, R.O.C., in 1982. He received the B.S. degree in electrical communication engineering from National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., in 2004, and the M.S. degree from the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, R.O.C., in 2006. His areas of interest are signal/power integrity and ground bounce suppression with EBG structure and analysis of interconnect electromagnetic problem in printed circuit boards.

Guang-Hwa Shiue was born in Tainan, Taiwan, R.O.C., in 1969. He received the M.S. degree in electrical communication engineering from National Taiwan University of Science and Technology, Taipei, Taiwan, R.O.C., in 1997, and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2006. He is currently a Teacher with the Electronics Department, Jin Wen Institute of Technology, Taipei, Taiwan, R.O.C. His areas of interest include numerical techniques in electromagnetics, microwave planar circuits, signal/power integrity, and electromagnetic interference/compatibility for high-speed digital systems, and electrical characterization of system-in-package.

4217

Wei-Da Guo was born in Taoyuan, Taiwan, R.O.C., on September 25, 1981. He received the B.S. degree in communication engineering from the Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 2003, and is currently working both the M.S. and Ph.D. degrees in communication engineering at National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include computational electromagnetics and signal/power integrity in the design of high-speed digital systems.

Ruey-Beei Wu (M’91–SM’97) received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 1979 and 1985, respectively. In 1982, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor and the Department Chair. He is also with the Graduate Institute of Communications Engineering, which was established in 1997. From March 1986 to February 1987, he was a Visiting Scholar with IBM, East Fishkill, NY. From August 1994 to July 1995, he was with the Electrical Engineering Department, University of California at Los Angeles (UCLA). He became the Director of the National Center for High-performance Computing (1998–2000) and has served as the Director of Planning and Evaluation Division since November 2002, both under the National Science Council. His areas of interest include computational electromagnetics, transmission-line and waveguide discontinuities, microwave and millimeter-wave planar circuits, and interconnection modeling for computer packaging.

4218

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Multilayer Design Techniques for Extremely Miniaturized CMOS Microwave and Millimeter-Wave Distributed Passive Circuits Mohan K. Chirala, Student Member, IEEE, and Cam Nguyen, Fellow, IEEE

Abstract—Multilayer design techniques are investigated for reducing the size and enhancing the performance of microwave and millimeter-wave distributed circuits in CMOS. Various distributed passive circuits are implemented in a standard 0.25- m RF/mixed-signal process, including a novel broadside-coupled Lange coupler, a microstrip ring hybrid, and a hairpin resonator incorporating a complementary slow-wave structure. The broadside-coupled Lange coupler exhibits 3.3 to 3.35 dB through, 3.3 to 3.7 dB coupling, more than 12-dB isolation, and 15-dB return loss across 25–35 GHz while occupying only 217 185 m of chip area. The multilayer ring hybrid has 3.1 to 3.18 dB through, 5.1 to 5.7 dB coupling, and more than 17-dB isolation and 10-dB return loss from 25–35 GHz while occupying 282 314 m. A slow-wave structure based on multilayer complementary design principle is implemented for hairpin resonators. The measured quality factor of the multilayer complementary slow-wave hairpin resonator increases to about 14.5 from 11.3 for a similar sized resonator with a single-layer slow-wave structure, while retaining similar size-reduction properties as the latter. Index Terms—CMOS radio-frequency integrated circuits (RFICs), couplers, hairpin resonator, Lange coupler, microwave and millimeter-wave circuits, multilayer circuits, resonators, ring hybrid, slow-wave structures.

I. INTRODUCTION ECENTLY, there has been renewed interest in the implementation of traditional microwave passive circuits in monolithic silicon technologies. The need for having composite analog and microwave design techniques in CMOS circuit design in the radio-frequency (RF) domain is one of the reasons for this resurgent interest. Commercially viable monolithic silicon technologies present a cost-efficient prospective to realize highly integrated systems that target communication and radar applications in the millimeter-wave range [1], [2]. Diverse circuits ranging from passive circuits like couplers and filters to active circuits like IQ modulators, and balanced amplifiers employ distributed passive circuitry in the microwave and millimeter-wave bands. However, as the frequency drops toward the microwave frequency band and even the lower end of the

R

Manuscript received April 21, 2006; revised August 3, 2006. This work was supported in part by the National Science Foundation. The authors are with the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX 77843 USA (e-mail: mohan@ece. tamu.edu; [email protected]). Color versions of Figs. 3, 5, and 10 are available at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.885567

millimeter-wave band, passive circuit design poses a stiff challenge for CMOS implementation with respect to size. CMOS is heavily constrained by problems arising from the lossy nature of silicon substrates and the necessity of having extremely miniaturized, high-performance circuits in order to retain the cost efficiency of silicon-based microwave and millimeter-wave systems. Miniaturization is always a desirable aspect of monolithic system integration, particularly for on-chip systems. Earlier attempts at miniaturization in microwave monolithic integrated circuits (MMICs) have involved stub loading [3], [4], aggressive meandering [5], incorporating slow-wave structures [6], [7] and employing multilayer design techniques [8], [9]. Inductive stub loading [4] is an attractive option at millimeter-wave frequencies, owing to the large fractional bandwidths and over 60% size reduction demonstrated earlier. However, they are not suitable for multilayer microstrip implementation, which is attractive for CMOS RF integrated circuits (RFICs) due to the fact that multilayer microstrips can minimize loss in top-layer circuits by shielding them from the effects of lossy silicon substrates. Aggressive meandering has been earlier exploited in CMOS millimeter-wave design [5] and is useful in moderately minimizing the circuit size, but it could also lead to electric fields being crowded near the bends, resulting in increased loss at higher frequencies. Slow-wave structures are indeed another useful means in minimizing circuit area, but they also tend to expose the top-layer circuits to the effects of lossy silicon substrates, which could result in deterioration of quality factor ( ) values for resonators and other passive elements. This paper reports the development of miniaturized couplers and resonators, which employ multilayer design techniques in novel ways so as to enhance the performance as well as reduce the dimensions of distributed passive circuitry specifically for CMOS and other silicon-based technologies. A new broadside topology of the Lange coupler is first presented in Section II, which discusses the implementation of a four-conductor unfolded Lange coupler along the multiple layers of the CMOS metal stack. This new topology is claimed to occupy 60% lesser circuit area along with extremely low insertion loss and superior fractional bandwidths compared with earlier implementations [5]. Section III presents a multilayer microstrip version of a ring hybrid that also employs multilayer design techniques capable of scaling down the circuit dimensions by 50%. The ring hybrid also exhibits superior phase and through-port insertion loss compared with earlier versions implemented in CMOS technology [5]. Its multilayer structure along with a phase inverter

0018-9480/$20.00 © 2006 IEEE

CHIRALA AND NGUYEN: MULTILAYER DESIGN TECHNIQUES FOR MINIATURIZED CMOS MICROWAVE AND MILLIMETER-WAVE CIRCUITS

4219

TABLE I COUPLING AND WIDTH ESTIMATION FROM EM ANALYSIS

Fig. 1. Layout of the proposed asymmetric broadside-coupled Lange coupler, with the cross section shown in the inset. The four fingers are implemented on the top four metal layers (M2–M5). The bottom metal layer (M1) is used as the ground plane.

in the arm facilitates significant size reduction. Section IV discusses a complementary multilayer slow-wave structure that shields the top-level circuit from the effects of lossy silicon substrates. The concept of complementary slow-wave structures is demonstrated on a hairpin resonator, whose exhibits a significant increase compared with the case when it only employs a single slow-wave structure. II. ASYMMETRIC BROADSIDE-COUPLED LANGE COUPLER A. Design The Lange coupler, which was originally conceived by Julius Lange [10] in 1969, is a tight-coupling, low-loss, broadband quadrature hybrid. One of the major impetuses behind the development of that structure was the difficulty in obtaining multilayer metal stacks in microwave monolithic technologies at that time. In order to obtain tight coupling, inter-digitation was used with multiple strips on the same metal layer. The original structure was unfolded [11] to reduce the number of vias. An alternative approach presented here exploits the tightly stacked CMOS metal layers. It implements the unfolded Lange coupler in a broadside-coupled structure to facilitate significant size reduction through simple meandering, while simultaneously enhancing the performance through tight broadside coupling. The proposed four-finger Lange coupler variant, designed in the TSMC 0.25- m CMOS process [12], is shown in Fig. 1. A major consideration in the design of the broadside-coupled Lange coupler is the treatment of the inhomogenous and asymmetric nature of the structure, which prohibits the occurrence of even and odd modes [13]. Earlier Lange design procedures by Ou [14] and Paolino [15] cannot be used. Furthermore, in order to obtain similar characteristic impedance for each finger in the multilayer broadside-coupled structure, different widths need to be used, owing to their differences in distance to the ground plane and in the oxide dielectric layers surrounding them. This further adds an asymmetric dimension along the broadside configuration.

The design was carried out by individually calculating the effect of the ground plane (M1) on each finger. The coupling effect of the two adjacent fingers for the second (M4) and third (M3) fingers was also taken in account. It is evident from the cross section of the structure that the top finger (M5) is not particularly affected by the bottom two fingers (M3 and M2), and the bottom finger (M2) is not strongly affected by the top two fingers (M5 and M4). This fact has been exploited to simplify the design by assuming the presence of only one finger (M4 or M3) adjacent to the top (M5) or bottom (M2) finger, respectively. A full-wave electromagnetic (EM) analysis was then performed using IE3D [16], which is a finite-difference time-domain (FDTD) tool, aiming for the basic impedance matching criteria for a set of adjacent parallel-coupled lines. Table I shows the possible widths for each finger obtained by this assumption.The values in parenthesis for the widths in Table I are the optimized numbers obtained after all four layers were incorporated together in EM simulation and after the widths were adjusted for impedance match and 90 phase difference conditions. Coupling ( ) for each pair of adjacent fingers was calculated with another round of full wave EM analysis from -parameters ( , where and are port numbers). They indicate the tight coupling facilitated by the broadside topology. It must be noted that the coupling (as well as EM fields) for M4 and M3 is distributed unevenly between the two adjacent layers. The mathematical expressions for these coupling factors could be derived from the basic theory of broadside-coupled microstrip lines by assuming asymmetric lines, while solving the Green’s functions for [L], [R], [C] and [G] matrices using a quasi-TEM analysis [17]. The Green’s function could also be solved for the capacitance matrix in the method provided in [18] for multilayer transmission lines. The equalization of characteristic impedances of each line was necessary to obtain impedance match to the 50- ports. This required that the widths be so optimized that they simultaneously provide 50 in parallel. Estimated characteristic impedances were about 48 at the input of each port, which satisfies the matching criteria. The four-finger broadside-coupled Lange coupler, shown in Fig. 1, was fabricated on the TSMC 0.25- m CMOS process. The strip widths are indicated in Table I, while the electrical length of each strip is estimated as 1238.5 m based on the length requirement. The distances between adjacent metal layers, including the ground–plane metal, are the same and dictated by the CMOS fabrication process. The structure was meandered in order to make it more compact. Circular corners were used instead of rectangular corners in order to avoid field crowding effects at high frequencies. The vias were connected through a meandering arc between alternate multilayers, that

4220

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 2. (a) Calculated and (b) measured S -parameters. (c) Phase difference between the coupled and through ports. 1: input; 2: through; 3: coupled; and 4: isolated port.

Fig. 3. Die photograph of the fabricated Lange coupler (217 RF pads).

2 185 m without

is, between M5-M3 and M4-M2, which could be easily de-embedded numerically as they introduce only a minor phase shift in the measured results. B. Measurement Results Three different couplers were laid out for two-port through, coupling, and isolation measurement. The results are shown in Fig. 2. The die photograph of the fabricated structure is shown in Fig. 3. The measured results show a broadband performance and close concurrence with the simulated results. The circuit measures just 217 185 m (without RF pads) which is significantly smaller than any previously reported implementations in Si CMOS and GaAs MMIC technologies, with respect to frequency, for Lange couplers, as seen in Table II, which compares the size and performance of the developed multilayer broadside-coupled Lange coupler with those recently reported. Measured performance exhibits 3.3 to 3.35 dB through, 3.3 to 3.7 dB coupling, and more than 12-dB isolation and 15-dB return loss across 25–35 GHz. The measured amplitude imbalance is about 0 to 0.35 dB while the measured phase imbalance is 90 4 over the 25–35-GHz range. The excess loss is not significant and is attributed mainly to the conductor losses of the fingers, especially those in the lower thin metal layers (M3 and M2), as well as to the nonideal nature of vias. III. MULTILAYERED RING HYBRID RAT RACE COUPLER A. Design circumConventional ring hybrid or rat race requires ference, which consumes an exorbitant amount of space. To cir-

arm has been replaced by a cumvent this problem, the phase shifter in a finite ground co-planar waveguide (FGCPW) implementation [5], [21]. Such an implementation, however, risks the dissipation of the electric field from the top metal layer circuit through the lossy silicon substrate, which leads to deterioration in insertion loss. A microstrip implementation can overcome this problem by shielding the metal layers from the effects of the silicon substrate. Multilayer design techniques could additionally be employed to further minimize the circuit area. Layout of this multilayered ring hybrid employing a phase inverter, designed using the TSMC 0.25- m CMOS process, is shown in Fig. 4. arm The phase inverter compensates for the typical arm. Though each arm of the ring hybrid is through a long, significant size reduction can be obtained through simple meandering if opposite arms of the structure are implemented in different layers (M5 and M4). This would result in a difference in the width of each arm in order to maintain similar characteristic impedance, considering the different distance of each layer from the ground plane. The characteristic impedance of this structure is derived from the relation for a Chebyshev response rat race by numerically solving the admittance matrix of 50 , the electrical in [21]. For a characteristic impedance length was derived as 54.7 from the expression [5], [21] (1) is the port impedance. However, after subsequent opwhere timization, the characteristic impedance and electrical length were obtained as 48 and 53 , respectively. The widths required to achieve these parameters were simulated from IE3D. The thicker M5 layer has a width of 10 m while the M4 layer is 7.1 m wide. Both of them have a similar electrical length of 781.6 m. The phase inverter was realized with a 10- m slit in the signal (M5) and ground (M1) planes with via holes connecting the M5 and M1 layers through M3. One concern was the impact of overlapping layers (M5 over M4) on the overall performance. The overlap spans for 47 m on each turn, which represents 12% of the length of each arm. However, the transverse layout of the M5 and M4 bends makes the magnetic field generated by currents flowing on each metal to be orthogonal to each other, which effectively minimizes the coupling between these two arms.

CHIRALA AND NGUYEN: MULTILAYER DESIGN TECHNIQUES FOR MINIATURIZED CMOS MICROWAVE AND MILLIMETER-WAVE CIRCUITS

4221

TABLE II COMPARISON WITH RECENTLY PUBLISHED MICROWAVE/MILLIMETER-WAVE LANGE COUPLERS

TABLE III COMPARISON WITH RECENTLY PUBLISHED MICROWAVE/MILLIMETER-WAVE RAT-RACE COUPLERS

Fig. 5. Die photograph of the multilayered ring hybrid coupler (314 without RF pads).

2 282 m

Fig. 4. Layout of the ring hybrid coupler.

B. Measurement Results Three structures were fabricated in order to measure the through, coupling, and isolation. A die photograph of a typical ring hybrid is shown in Fig. 5. Since the structure is meandered and exploits the multilayer implementation, a very compact ring hybrid is achieved. The dimensions of this structure are 282 m without RF pads. Earlier silicon-based 314 m ring hybrids resulted in slightly larger dimensions even at twice the operating frequency [5]. Previously reported MMIC implementations also do not have such significant size compression, as is evident from Table III, which shows some of the recent microwave and millimeter-wave integrated couplers.

The measured and simulated results are shown in Fig. 6. The through and coupled ports exhibit measured amplitudes from 3.1 to 3.18 and 5.1 to 5.7 dB with more than 17-dB isolation between 25–35 GHz, respectively. The excess loss at the coupled port is attributed mainly to the finite conductivity of the metal layers, the nonideal nature of vias, and the phase inverter. This excess loss is, however, a reasonable compromise for obtaining such extremely compact structures, owing to the significant emphasis placed on chip area in silicon technologies. The structure is very well matched around 28 GHz with the measured return loss remains below 10 dB between 20–35 GHz. The measured phase difference between the through and coupled ports presents a 180 response at 30 GHz with a 5 imbalance across 25–35 GHz.

4222

Fig. 6.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

S -parameters for: (a) simulated, (b) measured responses, and (c) phase difference between coupled and inversion ports.

stant, thereby reducing the phase velocity of the propagating wave. However, this structure leads to partial exposure of the top metal layer to the lossy silicon substrate, thus degrading the . In the multilayer slow-wave structure, the field lines are mostly shielded from the silicon substrate by the presence of a complementary image of the structure right above it. B. Design

Fig. 7. Illustration of multilayer slow-wave pattern shielding the top metal passive structure from a lossy silicon substrate. Periodic parallel-strip patterns, different from those used in actual resonators, are used here to facilitate the illustration.

IV. COMPLEMENTARY SLOW-WAVE RESONATOR A. Principles of Multilayer Slow Wave At low microwave frequencies, the size of passive distributed circuits poses a big challenge for implementation in CMOS processes. Slow-wave structures have been demonstrated as an effective size-reduction mechanism [25], [26]. Recently, it has been demonstrated in [6] that the size reduction of microwave resonators in standard CMOS technology is feasible with slowwave techniques. In this section, it is demonstrated that the incorporation of multilayer slow-wave structures, which extend the concept of slow wave into three dimensions, results in a further and size reduction of microwave improvement in the resonators in CMOS technology, as compared with those in [6]. The multilayer slow-wave structure includes a complementary image of the bottom ground-plane slow-wave structure on the metal layer immediately above it, so that the EM fields emanating from the microstrip circuits (on the top metal layer) are confined to the region between the circuits and the bottom ground plane rather than penetrating into the lossy silicon substrate. The complementary image is not grounded and is left free-floating. This pattern has a smaller surface area, and, thus, any currents induced on it would not be significant. The concept of multilayer slow wave using a complementary image is illustrated in Fig. 7. A periodic structure on the ground plane of a microstrip line contributes to an enhancement of the effective dielectric con-

The concept of multilayered slow wave in silicon CMOS technology is demonstrated on a hairpin resonator with a uniplanar compact photonic bandgap (UC-PBG) [27] pattern and its complementary image. The UC-PBG structure was selected because it is a very easily realizable two-dimensional (2-D) slow-wave structure and particularly attractive for monolithic implementation. The resonator is implemented on the topmost metal layer M5, while the complementary slow-wave structures are implemented on the M1 and M2 layers. In order to observe the impact of multilayered structure, three hairpin resonators of similar dimensions, one with a solid ground plane, one with a UC-PBG ground plane, and another with multilayered slow-wave structure, were designed. The hairpin resonators were designed following a similar procedure as cited in [6] with the values of the series and parallel electrical lengths and characteristic impedances as follows: , , , and . These parameters were then incorporated into the EM simulator IE3D to perform a full-wave EM analysis and fine-tune the multilayered UC-PBG resonator. The shunt- and series-arm lengths are 980 and 425 m, respectively. Fig. 8(a)–(c) depicts the hairpin resonators with different ground planes, from solid to UC-PBG and finally multilayer complimentary UC-PBG. While designing the UC-PBG structure, numerical simulations were performed to obtain accurate dimensions for each cell. In accordance with the stop-band [27], where is the phase constant and is condition the lattice period, simulations indicated that a 1 6 lattice of 230 230– m size, placed along the length of the resonator, would be sufficient in providing the desired slow-wave effect. m, m, Each cell has the dimensions of m, and m, following the dimensional notations given in [27]. C. Fabrication and Measurement Results Three hairpin resonators, each with different ground planes as indicated in Fig. 8, were fabricated in a standard TSMC

CHIRALA AND NGUYEN: MULTILAYER DESIGN TECHNIQUES FOR MINIATURIZED CMOS MICROWAVE AND MILLIMETER-WAVE CIRCUITS

4223

Fig. 8. Cadence layouts of hairpin resonators with: (a) solid ground plane, (b) UC-PBG ground plane, and (c) UC-PBG patterned ground plane with a complementary pattern on top. Each resonator is 1405 240 m.

2

Fig. 9. S -parameters of the hairpin resonators with: (a) solid, (b) UC-PBG, and (c) multilayered UC-PBG ground planes. Solid and dotted lines indicate calculated and measured results, respectively.

0.25- m RF/mixed-signal CMOS process. Fig. 9 depicts the measured and simulated performance of these structures. The UC-PBG structure enhances the effective dielectric constant of the structure and serves as a size-reduction mechanism while the complementary UC-PBG structure further reduces the size and simultaneously provides an enhancement of the . The resonator with the solid ground plane operates at 28 GHz while the UC-PBG-incorporated resonator operates at 17.5 GHz. This indicates a frequency drop of over 35%, implying that a structure proportionally scaled down and incorporating a UC-PBG structure would resonate at the same frequency as a much larger size resonator using a solid ground plane, thereby validating the size-reduction concept. The multilayered UC-PBG resonator, on the other hand, resonates at 16.5 GHz—which is lower than the UC-PBG resonator—and also provides a relatively higher improvement. The quality factors of the resonators with solid, UC-PBG, and multilayer UC-PBG ground planes are 10, 11.13, and 14.5, respectively. The values were estimated using the , where is the resonant frequency and relation is the 3-dB bandwidth. The increase in for the multilayer

Fig. 10. Die photograph of the hairpin resonator incorporating a novel multilayered UC-PBG ground plane (1405 240 m without RF pads).

2

resonator vindicates the concept of complementary UC-PBG. Fig. 10 shows a die photograph of the multilayer UC-PBG resonator. V. CONCLUSION Multilayer design techniques have been utilized to successfully scale down dimensions of distributed passive circuits in a

4224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

standard CMOS technology. To the best of the authors’ knowledge, this is the first time an attempt has been made to utilize all of the metal layers of the CMOS metal stack to contribute toward miniaturization of distributed circuits. Significant size reduction for the Lange coupler was achieved by implementing it in a broadside-coupled configuration, exploiting all of the metal layers within a CMOS process. Performance enhancement and size reduction were possible with the ring hybrid coupler as well, exploiting multilayer design techniques. As the comparison tables indicate, these are the -band Lange and rat-race coumost miniaturized - and plers implemented in any monolithic technology to date, with 217 m and 282 m 314 m, rea size of 185 m spectively. The multilayer UC-PBG displays size reduction as well as -enhancement application as compared with a regular UC-PBG structure, which could be considered as the next step in the implementation of slow-wave structures on monolithic circuits. Finally, it is worth mentioning that all of the multilayer techniques presented in this paper could be utilized in scaling down the dimensions of distributed circuits at higher end of millimeter-wave spectrum to obtain an even more significant size reduction. REFERENCES [1] C. H. Doan, S. Emami, A. M. Niknejad, and R. W. Brodersen, “Millimeter-wave CMOS design,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 144–155, Jan. 2005. [2] B. A. Floyd, S. K. Reynolds, U. R. Pfeiffer, T. Zwick, T. Beukema, and B. Gaucher, “SiGe bipolar transceiver circuits operating at 60 GHz,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 156–167, Jan. 2005. [3] T. Hirota, A. Minakawa, and M. Muraguchi, “Reduced-size branchline and rat-race hybrids for uniplanar MMIC’s,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 3, pp. 270–275, Mar. 1990. [4] K. Hettak, G. A. Morin, and M. G. Stubbs, “Compact MMIC CPW and asymmetric CPS branch-line couplers and Wilkinson dividers using shunt and series stub loading,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1624–1635, May 2005. [5] M. K. Chirala and B. A. Floyd, “Millimeter-wave Lange and ring hybrid couplers in a silicon technology for E-band applications,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 11–16, 2006, pp. 1547–1550. [6] M. K. Chirala and C. Nguyen, “A novel CMOS hairpin resonator using slow wave structure,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 8, pp. 527–529, Aug. 2005. [7] P. Meissner and M. Kitlinski, “A 3-dB multilayer coupler with UC-PBG structure,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 52–54, Feb. 2005. [8] R. K. Settaluri, A. Weisshaar, C. Lim, and V. K. Tripathi, “Compact multi-level folded coupled line RF couplers,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, Jun. 13–19, 1999, pp. 1721–1724. [9] K. Hettak, G. A. Morin, and M. G. Stubbs, “A novel compact multilayer MMIC CPW branchline coupler using thin-film microstrip stub loading at 44 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 6–11, 2004, pp. 327–330.

[10] J. Lange, “Interdigitated strip-line quadrature hybrid,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 12, pp. 1150–1151, Dec. 1969. [11] R. Waugh and D. LaCombe, “Unfolding the Lange coupler,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 11, pp. 777–779, May 1972. [12] TSMC 0.25-m CMOS Process MOSIS Foundry. Marina del Rey, CA. [13] M. Tran and C. Nguyen, “Modified broadside-coupled microstrip lines suitable for MIC and MMIC applications and a new class of broadsidecoupled bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 8, pp. 1336–1342, Aug. 1993. [14] W. P. Ou, “Design equations for an interdigitated directional coupler,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 2, pp. 253–255, Feb. 1973. [15] D. D. Paolino, “Design more accurate interdigitated couplers,” Microwaves, vol. 15, pp. 34–38, 1976. [16] IE3D. ver. 10.0, Zeland Software Inc., Fremont, CA, USA. [17] J. Bernal, F. Medina, and M. Horno, “Quick quasi-TEM analysis of multiconductor transmission lines with rectangular cross section,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 9, pp. 1619–1626, Sep. 1997. [18] F. Medina and M. Horno, “Determination of Green’s function matrix for multiconductor and anisotropic multidielectric planar transmission lines: A variational approach,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 10, pp. 933–940, Oct. 1985. [19] G. Carchon, W. De Raedt, and B. Nauwelaers, “Integration of CPW quadrature couplers in multilayer thin-film MCM-D,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pt. 1, pp. 1770–1776, Oct. 2001. [20] L. H. Chua, A. C. Ng, G. I. Ng, H. Wang, J. Zhou, and H. Nakamura, “Design and analysis of coplanar Lange coupler for millimetre-wave applications up to 90 GHz,” in Proc. IEEE Asia-Pacific Microwave Conf., Dec. 3–6, 2000, pp. 392–395. [21] C.-Y. Chang and C.-C. Yang, “A novel broadband Chebyshev-response rat-race ring coupler,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 455–462, Apr. 1999. [22] C. Y. Ng, M. Chongcheawchamnan, and I. D. Robertson, “Miniature 38 GHz couplers and baluns using multilayer GaAs MMIC technology,” in Proc. 33rd Eur. Microw. Conf., Oct. 7–9, 2003, vol. 3, pp. 1435–1438. [23] G. E. Ponchak and J. Papapolymerou, “180 hybrid (rat-race) junction on CMOS grade silicon with a polyimide interface layer,” in Proc. IEEE Silicon Mono. Int. Circ. RF Syst., Apr. 2003, pp. 96–99. [24] B. Piernas, H. Hayashi, K. Nishikawa, and T. Nakagawa, “Improvement of the design of 180 rat-race hybrid,” Electron. Lett., vol. 36, no. 12, pp. 1035–1036, Jun. 2000. [25] C. Y. Hang, V. Radisic, Y. Qian, and T. Itoh, “High efficiency power amplifier with novel PBG ground plane for harmonic tuning,” in IEEE MTT-S. Symp. Dig., Anaheim, CA, Jun. 13–19, 1999, pp. 807–810. [26] Y.-J. Park, A. Herschlein, and W. Wiesbeck, “A photonic bandgap (PBG) structure for guiding and suppressing surface waves in millimeter-wave antennas,” IEEE Trans. Microw. Theory Tech., vol. 49, pp. 1854–1859, Oct. 2001. [27] F.-R. Yang, K.-P. Ma, Y. Qian, and T. Itoh, “Uniplanar Compact photonic-bandgap (UC-PBG) structure and its applications for microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, pp. 1509–1514, Aug. 1999. Mohan K. Chirala (S’04), photograph and biography not available at time of publication.

Cam Nguyen (F’05), photograph and biography not available at time of publication.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

4225

Active Harmonic Load–Pull for On-Wafer Out-of-Band Device Linearity Optimization Marco Spirito, Student Member, IEEE, Marco J. Pelk, Fred van Rijs, Steven J. C. H. Theeuwen, Dave Hartskeerl, and Leo C. N. de Vreede, Senior Member, IEEE

Abstract—In this paper, we present an active harmonic load–pull system especially developed for the on-wafer linearity characterization/optimization of active devices with wideband modulated signals using the out-of-band linearization technique. Our setup provides independent control of the impedances at the baseband, fundamental, and second-harmonic frequencies presented to the input and output of the device under test. Furthermore, to enable realistic test conditions with wideband-modulated signals, the electrical delays in the load–pull system are kept as small as possible by implementing a novel loop architecture with in-phase quadrature modulators. We have achieved a phase variation of the reflection coefficient of only 5 MHz for both the fundamental and second-harmonic frequencies. We demonstrate the high potential of the system for the on-wafer evaluation of new technology generations by applying out-of-band linearization to heterojunction bipolar transistor (HBT) and laterally diffused metal–oxide–semiconductor (LDMOS) devices. For the HBT, we outline a game plan to obtain the optimum efficiency–linearity tradeoff. Finally, a record-high efficiency–linearity tradeoff was achieved (without digital predistortion) for an inverse class-AB operated Philips Gen 6 LDMOS device, yielding 44% efficiency at an adjacent channel power level of 45 dBc at 2.14 GHz for an IS-95 signal. Index Terms—Device characterization, heterojunction bipolar transistor (HBT), large-signal characterization, laterally diffused metal–oxide–semiconductor (LDMOS), linearity, load–pull, nonlinear distortion, on-wafer, out-of-band linearization.

I. INTRODUCTION HE GENERAL demand for higher data rates and larger numbers of users within confined frequency bands has led to an increased use of spread-spectrum-based modulation techniques in mobile communication systems. These techniques (e.g., W-CDMA) provide high spectral efficiency at the price of an increased peak-to-average power ratio of the transmitting signal. As a consequence, the transmitting power amplifier must behave linearly over the whole power range, including the

T

Manuscript received May 17, 2006; revised August 3, 2006. M. Spirito was with the Laboratory of High-Frequency Technology and Components (HiTeC), Delft Institute of Microelectronics and Submicrontechnology (DIMES), Delft University of Technology, Delft 2600 GB, The Netherlands. He is now with the Department of Electronics and Telecommunications Engineering, University of Naples “Federico II,” 80125 Naples, Italy (e-mail: marco. [email protected]). M. J. Pelk and L. C. N. de Vreede are with the Laboratory of High-Frequency Technology and Components (HiTeC), Delft Institute of Microelectronics and Submicrontechnology (DIMES), Delft University of Technology, Delft 2600 GB, The Netherlands (e-mail: [email protected]). F. van Rijs and S. J. C. H. Theeuwen are with Philips Semiconductors, 6543 AE Nijmegen, The Netherlands. D. Hartskeerl is with Philips Research, 5656 AA Eindhoven, The Netherlands. Digital Object Identifier 10.1109/TMTT.2006.885568

peak power condition, and, at the same time, provide a good efficiency. This classic problem has resulted in an intensive search at the device and circuit level in order to find solutions that provide an improved linearity–efficiency tradeoff. The traditional way to address this problem is to use Class-AB (all higher harmonics shorted) or inverse Class-AB operation (all higher harmonics open [1]) and simply “back-off” the device from its 1-dB compression point, until an acceptable linearity performance is obtained. Unfortunately, in most practical situations, this yields a rather poor efficiency of the amplifier output stage for signals with high peak-to-average power ratio. To overcome this limitation, recently, linearization techniques have been proposed, which utilize the out-of-band terminations at the baseband and second-harmonic frequencies, to enhance the in-band linearity [2]–[4]. These new techniques can provide excellent linearity up to the compression point of the active device without any compromise in gain or dc power consumption, making it a very valuable option for the realization of efficient, highly linear, low-complexity power amplifiers. To support the successful implementation of the out-of-band linearization technique and to evaluate device technologies for their linearity, a dedicated large-signal characterization setup is required. To be more specific, such a setup must provide: • very high dynamic range in terms of signal integrity and measurement range, facilitating the calibrated testing of highly linear devices at all frequencies of interest (e.g., , , , and third- and fifth-order intermodulation frequency). • flexible and independent control of the baseband, fundamental, and second-harmonic terminations at both the device-under-test (DUT) input and output over the full Smith chart (e.g., shorts and opens), facilitating optimum terminations for linear device operation. • A minimized electrical delay in the active loads, to ensure loading conditions versus frequency, as constant as possible, for all frequency components. This is essential to mimic realistic circuit conditions for wideband-modulated signals (e.g., IS-95, WCDMA, and 3GPP). Unfortunately, current available commercial load–pull systems fail to meet the above-listed requirements. Commercially available passive load–pull systems1 2 cannot control the baseband (BB) impedance and do not cover the complete Smith 1Maury Microwave Corporation. [Online]. Available: http://www.maurymw. com/, 2Focus Microwaves. [Online]. Available: http://www.focus-microwaves. com/

0018-9480/$20.00 © 2006 IEEE

4226

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

chart. The latter problem can be solved with an active load–pull system3 [5], [6]. However, this system contains long electrical delays and thus cannot be used for useful linearity characterization with wideband signals. These facts combined with the high potential of the “out-of band” linearization technique triggered the development of a custom active harmonic load–pull system. In this study, we first give the basic principles of the out-ofband matching technique, which yielded the specification of the measurement system. Next, the resulting system configuration is discussed in terms of dynamic range, independent control of the BB, fundamental, and second-harmonic impedances and the minimization of electrical delay in the setup. Based on this, we analyze the practical constrains of the setup for device linearity optimization in terms of power handling, spectral purity, and bandwidth. In conclusion, the high potential of the out-of-band linearization technique will be demonstrated experimentally, using two-tone as well as realistic wideband-modulated signals, for HBT and laterally diffused metal–oxide–semiconductor (LDMOS) devices of various technology generations. II. OUT-OF-BAND CANCELLATION TECHNIQUE In general, large-signal operation of an active device can be modeled by a combination of nonlinear current and charge sources which depend on the voltages of the (internal) device terminals. When driven with a two-tone input signal, the nonlinear sources in the active device will give rise to distortion. For not too large-signal amplitudes, this distortion can be analyzed through the use of Volterra series [7]. In this technique, each of the nonlinear sources is approximated by Taylor series representing the first-, second-, third-, and fourth-order dependency on the nodal voltages. It is common knowledge that the first-order terms determine the desired linear device transfer, while the third-order nonlinearities of the device give rise to third-order intermodulation distortion (IM3), which corrupts the desired signal transfer. These distortion products resulting directly from the third-order nonlinearities are referred to as direct IM3 products. When applying the out-of-band linearization technique, we aim to cancel these direct IM3 components by making use of the indirect IM3 products, which are present anyway. These latter distortion products result from the secondary mixing of the fundamental tones with the second-order products (BB and second-harmonic frequencies) over the nonlinear junctions of the device. By controlling the BB and second-harmonic terminations at the input and output of the device, the magnitude and phase of these indirect components can be adjusted. Consequently, the indirect IM3 components can be used, under some specific conditions, to cancel the direct ones [3]. This method can provide excellent linearity over a large power range up to the compression point [8]. Fig. 1 visualizes the basic principle of the out-of-band linearization technique, which relates to the definition of our measurement setup. The figure shows the typical output spectrum of a nonlinear device driven by a two-tone signal. It must be stressed that the above principles for device linearity apply to any circuit configuration or measurement setup, independently if intentional control of 3Progettazione pafmicro.com

Alta

Frequenza.

[Online].

Available:

http://www.

Fig. 1. Basic principle of the out-of-band linearization technique. By controlling the out-of-band impedances at the BB and second-harmonic frequencies, the indirect third-order intermodulation products are used to cancel out the direct ones. The direct components result from third-order nonlinearities, whereas the indirect terms are the consequence of secondary mixing between fundamental and second-order components over the second-order device nonlinearities.

the out-of-band terminations is applied or not. Consequently, to make valid and useful conclusions on device linearity, careful attention must be given to the out-of-band source and load terminations at all times. In view of the above, independent control of the BB [9], fundamental, and second-harmonic impedances at the input and output of the device is required to optimize the device linearity. In addition, to mimic realistic circuit conditions, the electrical delays of these (harmonic) terminations should be minimized to avoid severe variation of the reflection coefficients within the bandwidth of the modulated signal. These considerations together with the high dynamic range requirement yielded the system definition of our active harmonic load pull system, which will be discussed next. III. IMPLEMENTATION OF THE ACTIVE HARMONIC LOAD–PULL SETUP The first attempt to independently control both BB and second-harmonic impedances at the input and output of the device using an active load–pull system was given in [10]. This work was based on loss-compensating diplexers in combination with mechanical tuners to implement the active terminations. Although promising results have been achieved, the system had limitations in the repeatability of the device impedance, measurement speed, and the stability of the active loads when measuring high-performance LDMOS devices. To overcome these problems, in this study, we present a new system that utilizes electronic in-phase quadrature modulators to control the active loads and improved BB impedance control board, supporting fast and extensive device testing and optimization for linearity, as will be demonstrated in Section V. The simplified block diagram of the new setup is given in Fig. 2. The HP 8510 mainframe is used as a linear receiver for both the RF ) and the BB frequency (via the down-converting mixer components (via up-converting mixer ). This avoids the need for a low-frequency oscilloscope to measure the BB signals or impedances. The design of the frequency multiplexer sets the operating frequency of the active loops. Two different

SPIRITO et al.: ACTIVE HARMONIC LOAD–PULL FOR ON-WAFER OUT-OF-BAND DEVICE LINEARITY OPTIMIZATION

4227

Fig. 2. Block diagram of the active harmonic load–pull system for on-wafer linearity characterization of active devices.

multiplexer frequencies were chosen for the implementation of the active harmonic load–pull system (which we will call the Delft-system hereafter), namely, 1.96/3.92 and 2.14/4.28 GHz harmonic). These frequencies correspond to: Tx. ( UMTS, Rx. IS95 (1.96 GHz) and Rx. UMTS (WCDMA), and IMT2000 (2.14 GHz). The choice of these bands is based on the high linearity requirements of these communication standards and, consequently, the high interest from industry in optimizing the linearity of power amplifiers intended for these applications. Fixing the fundamental frequency of the loops is required to optimize the system for wideband-modulated signals, as will be discussed in Section IV. The calibration procedure is a combination of the techniques described in [11]–[13] and utilizes the same standards (e.g., short, open, load, and thru) for both RF and BB calibration. This provides a very fast and easy procedure to fully calibrate the system at all frequency components of interest.

to down convert the power waves for detection, namely, a fundamental mixer and a harmonic mixer. The fundamental tones and intermodulation products (1.7–2.4 GHz) are measured by the fundamental mixer, which provides a maximum dynamic range of 80 dB for the IM3 measurements. All of the higher harmonics are measured by the harmonic mixer, which uses a high-pass filter (passband of 3.0–26.5 GHz) in the signal path. This filter provides a rejection of the fundamental signal by 40 dB and therefore eliminates the need for an attenuator in front of the mixer, to avoid the generation of spurious responses by the mixer itself. This maximizes the dynamic range of the system for these signal components, which is now only limited by the intermediate-frequency (IF) bandwidth of the network analyzer, e.g., 3 kHz for the HP 8510, resulting in a dynamic range for the higher harmonics of more than 100 dB.

A. Dynamic Range

In order to facilitate independent control of the BB, fundamental, and second-harmonic impedances at the device terminals, a new multiplexer board has been developed (Fig. 3). This board decouples the frequency components of interest through lines at the use of a multistub network, which employs and to obtain high isolation between the fundamental and second-harmonic signal path ( 30 dB). It is important to mention that this network presents also low-reflection coefficients at the DUT for the frequency spectrum outside the con. This is essential to trolled frequency bands at BB, , and . avoid oscillations when measuring devices with a high The feed line in Fig. 3 provides the required dc biasing and BB

A basic requirement for the characterization of highly linear devices is a spectral clean input signal. In the Delft-system twotone excitation is achieved by using two independent synthesizers, followed by linear amplifiers, isolators, and low-pass filters. The resulting measured input signal purity yields a thirdof 65.5 dBm, which is more order input intercept point than sufficient for all power amplifier test conditions below a few watts of output power. To enhance the dynamic range of the HP8510 receiver [14] for the “low” power levels of the harmonic components, at each coupled port of the bidirectional couplers, two mixers are used

B. Independent Control of BB, Fundamental, and Second-Harmonic Impedance

4228

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 3. Multiplexer board used to split the DUT signal in BB fundamental and double frequency component using a multistub network. The BB impedance (dc-coupled) can be controlled via the resistive switch bank. The resulting impedance can be measured by the HP 8510 VNA.

Fig. 5. (a) Conventional active loop using separate amplitude and phase adjustment. (b) Block diagram of implemented active loop combining the phase and amplitude adjustment in on circuit block.

Fig. 4. Various BB impedances at the DUT reference plane, measured at 1 MHz using the BB impedance calibration procedure. The reference resistance used for the different ranges is also indicated, and the zoomed window shows the 0.5-

resolution.

impedance control, avoiding the need for a decoupling coil. This without suffering from allows the use of large tone spacing BB inductance, which would yield IM3 asymmetry [15] (this problem often appears when using conventional bias-Tees in linearity measurements). The resistor switch bank provides excellent control of the BB impedance by using quality switches with low-inductance resistors. This facilitates a 0.5- resolution in the control of the BB impedance (see Fig. 4). This high resolution is required in the linearity optimization procedure of bipolar transistors as described in [9]. Note that the total phase shift of the BB reflection coefficient (including connecting cables) is limited to 5.3 /MHz. The multiplexer board also facilitates the use of an external electronically controlled load or a modulated BB signal [16] (see Fig. 3). The BB signals are sensed over the reference resistor (Fig. 3). The value of this resistor can be set to 1, 5, and 50 to maximize the measurement accuracy of the BB impedance. When the lowest BB impedance is required, this reference resistor can also be short-circuited by a switch. The BB (envelope) signals sensed over the reference resistor (available at the outputs BB mixer a and BB mixer b in Fig. 3) are then up-converted by low-frequency mixers to the IF of the HP 8510 receiver (20 MHz). In this way, the BB signals can also be mea-

sured by the HP 8510 unit. This facilitates the calibrated measurement of the complex source and load BB reflection coefficients offered to the DUT without the need of additional equipment. The corrected BB loading conditions are calculated, from the raw sensed data, using the calibration formulas in [13]. C. Active Loads With Low Electrical Delay The active loads are implemented using the closed-loop architecture of Fig. 5. By adjusting the phase and magnitude in the signal path, the complete Smith chart can be covered. The biggest advantage of the loop architecture is that it provides an almost constant reflection coefficient, versus power and frequency, for not too excessive wideband signals. This is important since the fundamental and the nearby distortion components (i.e., third- and fifth-order intermodulation products) must experience the same reflection coefficient to mimic a realistic circuit condition. The only limitation of the loop topology is set by variation versus the electrical length of the loop yielding a frequency. Consequently, the physical dimensions of the loop should be minimized. For this purpose the conventional loop architecture [Fig. 5(a)], using a separate phase shifter and attenuator, is replaced by the more compact implementation of Fig. 5(b) which utilizes a custom developed in-phase quadrature modulator to implement the phase and magnitude control. As a result, the electrical length of the loop is minimized. The modulator is realized using highly linear pin diodes,4 providing fast settling times for high-speed measurements. 4BAP70-03 Data Sheet. [Online]. Available: http://www.semiconductors.philips.com

SPIRITO et al.: ACTIVE HARMONIC LOAD–PULL FOR ON-WAFER OUT-OF-BAND DEVICE LINEARITY OPTIMIZATION

4229

Fig. 6. In-phase quadrature modulator used for controlling the phase and amplitude of the wave in the loop at f .

The final design of the modulator is shown in Fig. 6. A fixed attenuator is used to optimize the power levels in the loop. The loop filter is added to eliminate gain outside the intended frequency band, which might trigger unwanted oscillations. IV. SYSTEM PERFORMANCE A. Power Handling and System Linearity To characterize the power handling and linearity of the active loads, we perform a two-tone power sweep (tone spacing 200 kHz) over a calibration “Thru,” while the output active loop at is set to provide a high reflection coefficient for four different angles at the DUT reference plane of the setup versus the power in Fig. 2. The magnitude of the resulting of wave ( ) at the DUT reference plane is given in Fig. 7(a). Note that the loops present an almost constant and therefore linear reflection coefficient up to 25 dBm for the various conditions. The related effective third-order intercept (IP3) of the active loops at the DUT reference plane is measured and computed as follows:

dBm

Fig. 7. (a) Magnitude of load reflection coefficient versus power of the b wave at the DUT reference plane, for different 0 values. (b) Third-order intercept of output active loop versus power of the b wave measured at the DUT reference plane for different 0 values.

If we assume that all power levels are given in dBm, we can write the following expression:

(1)

represents the power of the wave (output wave where for the incident to DUT). Fig. 7(b) shows the measured four different angles of the reflection coefficient. This data can be considered a close to worst-case condition , since for lower magnitudes of the reflection coefficient, the required power from the loop amplifier drops significantly, relaxing the linearity constraints. For the situation that we aim to characterize, linear devices with different (lower) conditions, we can derive some qualitative linearity specifications of the system. For this purpose, we consider the incident and reflected waves at the output of the device. Through these, we can relate the power of the IM3 component of the wave to the power delivered to the load for any given load reflection coefficient at the DUT reference plane.

dBm

(2)

where represent the third-order intercept of the active loop at the DUT reference plane for the wave. From Fig. 7, we of can conclude that, for power levels below 25 dBm, the the active loops is always better than 50 dBm. Using this value as a constant in (2), we can plot the IM3 component generated by the active loop, which will set a lower limit on the measurable , as shown in Fig. 8. In this plot, we components for a given assume that the nonlinearity of the loop is the only limitation in the detection of the IM3 components where we have assumed . Note that, when the worst case scenario, namely, that is lower, the the magnitude of the reflection coefficient IM3 contribution due to the active loop decreases drastically

4230

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

TABLE I ACTIVE LOOP 0 VARIATION

Fig. 8. Calculated power of the IM3 component of the a wave in dBc versus power delivered to the load for various j0 j conditions.

Fig. 9. Measured 0 variation with frequency at the DUT reference plane for the active loop at the output (frequency span 3 MHz).

since less loop power is required, allowing the proper detection of much smaller components. B. Bandwidth Consideration for Modulated Signals For wideband-modulated signals, the system components in the DUT signal path must be kept as compact as possible to variaminimize the electrical delay and, consequently, the tion with frequency. This requirement comes from the need to provide realistic reflection coefficients when employing widevariation with freband-modulated signals. The achieved quency of the active loads at the DUT reference planes (Fig. 2) is shown in Fig. 9. To place these results better in perspective, variation with frequency of it is useful to mention that the commercial active load–pull systems is in the order of 30 /MHz. (passive load–pull setups can provide in principle a lower variation with frequency, but fail to provide the high magnitude for the harmonic reflection coefficients). The individual contrivariation with frequency of the various system butions to the components are summarized in Table I. The table shows that

the loop at achieves a smaller variation with frequency than the fundamental loop. This is mostly due to the reduced dimensions of the in-phase quadrature modulator (see Fig. 6). variation with freTo highlight the degrading effects of the quency on the device linearity when using wideband-modulated signals, we consider the out-of-band linearization technique for a bipolar device [3], [9]. This technique (see also Section II), when applied to a bipolar device, can result in frequency-independent IM3 cancellation, provided that the optimum out-of-band terminations are applied at the BB and double frequencies. For this reason, the only practical parameter limiting the wideband linearity performance of variaan out-of-band optimized bipolar device will be the tion with frequency. When considering two-tone test conditions between the fun(Fig. 1), it is clear that, for a tone spacing damental tones, the spectrum of interest at the double frequency . This indicates that, at first approximais twice as large variation at the second harmonic is the parameter tion, the that mostly affects the bandwidth of the cancellation technique. variation with frequency on To evaluate the impact of the the achievable bipolar device linearity, we consider the simulation setup of Fig. 10. In this setup, an idealized RF bipolar transistor is represented by the Gummel Poon model in Agilent’s ADS. The device is matched for its optimum gain at the funda, while the linearity is optimized through mental frequency the BB and second-harmonic terminations. To mimic the variation with frequency, we have used an ideal 50- transmission line at the input of the device;5 note that this line will only rotate the reflection coefficients as a function of its electrical length but does not change the magnitude of the intended reflection coefficients. Next, the electrical length of this transmission line is stepped up in value to provide the different values of variation with frequency. When considering the results the of the HB simulations, we see from Fig. 11 that the power gain only weakly depends on the variation with frequency. variation In contrast, when we consider the influence of the on the device linearity, we see a large impact [see Fig. 12(a)]. Here, the constant third-order output intercept point trace versus represents the ideal condition (0 /MHz). Invariation with frequency by stepping up the creasing the electrical length of the transmission line at the input of the DUT considerably reduces the bandwidth of the IM3 cancellation, yielding linearity degradation. For comparison, the performance achievable with a system with 30 /MHz is also given. 5Here, we have only used the TL at the input of the device to simplify the analysis.

SPIRITO et al.: ACTIVE HARMONIC LOAD–PULL FOR ON-WAFER OUT-OF-BAND DEVICE LINEARITY OPTIMIZATION

4231

Fig. 10. Agilent’s ADS simulation setup for bipolar device linearity simulation.

G

Fig. 11. Simulated power gain ( ) versus power available from the source ( ) considering different conditions of 0 variation with frequency (0, 1.15, 4.8, 6, and 30 /MHz).

P

The impedances seen at the second harmonic by the device (source side) are shown for the Delft system (AL) and the commercially available system in Fig. 12(b). For the commercial system, we observe that high linearity can only be achieved in a very narrow bandwidth. At large bandwidths, the third-order output intercept point starts to increase in the order of 10 MHz since for again for a tone spacing variation versus frequency this tone spacing, with the given (30 /MHz), the reflection coefficient of the second harmonic has turned around the entire Smith chart, returning to its original values. It is obvious that this effect has no practical value when dealing with modulated signals, since these can be considered to be composed out of a very large number of tones with various frequency spacing. The marker at 2.58 MHz is used to provide an indication of the maximum linearity achievable for this fictive bipolar device under an IS-95 CDMA signal (bandwidth of 1.29 MHz). V. EXPERIMENTAL OUT-OF-BAND LINEARIZATION RESULTS The hardware presented in the previous sections allows the Delft system to perform some unique measurements in the characterization and optimization of device linearity for power amplifier applications. To illustrate the features of the realized

Fig. 12. (a) Simulated OIP3 point for the device of Fig. 11 versus tone spacing = (1 ) for different conditions of the 0 variation with frequency at 28 dBm. The case for the realized active loop (AL) has been indicated. (b) 0 variation with frequency for 2.58-MHz bandwidth for active loop (AL) and reference commercial system.

0

P

system, we consider both bipolar junction transistor (BJT) as well as field-effect transistor (FET) devices in (inverse) class-AB operation. The motivation to address both device types is given by the fundamentally different electrical behavior of these devices, resulting in different out-of-band impedance requirements for optimum linearity. A. Two-Tone BJT Linearity Optimization Bipolar devices are characterized by their exponential behavior. This well-defined behavior allows analytical considerations for the optimum out-of-band source and load conditions when the device is operated far from compression. Consequently, it was shown [17] that, for a bipolar device in class-AB operation, frequency-independent IM3 cancellation can be achieved when the following conditions are satisfied:

(3)

4232

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

load BB impedance;

the given device that results in frequency-independent IM3 cancellation. Fig. 14 clearly shows that satisfying the conditions of (3) indeed results in the best linearity performance at low power levels. This becomes evident when comparing with the classic class-AB condition (Fig. 14: trace ref, all out-of-band termination conditions short-circuited) with the condition (Fig. 14: trace t1). To improve the linearity of the bipolar device close to compression and hence to improve its power-added efficiency (PAE), it was shown [9] that keeping at its optimum value, while increasing the quiescent current, yields a IM3 sweet spot close to the compression point. Note that the presence of the sweet spot moves now the rising slope of the IM3 trace to a higher power level, which results in an improved adjacent channel power (ACPR) versus output power when using complex modulated signals. To further improve both efficiency and linearity levels close and to the compression point of the device, we increase lower the quiescent current. This violates the wideband cancellation condition, which we can correct for, in a restricted bandwidth, by allowing now a complex second-harmonic source termination. is found by a source-pull on the The required complex bipolar device (traces t4 and t5). As a result, the sweet spot is moved even closer to compression (Fig. 14), extending the linear operation to even higher power levels. The lower quiescent current and the better linearity at higher power levels translate directly into a significant efficiency improvement of the amplifier, as will be shown in Section V-B.

load second-harmonic impedance;

B. BJT Linearity Optimization Using Wideband Signals

optimum quiescent collector current;

As mentioned before, modern communication modulation schemes present a higher peak to average ratio then two-tone signals. For this reason, the achieved linearity improvement for two-tone stimulus has to be also tested using a realistic digital modulated signal. Since the Delft system was developed in order to facilitate the use of wideband signals, an IS-95 CDMA signal is used to measure the achieved linearity and efficiency level for loading conditions of traces t3, t4, and t5. In Table II, we summarize the achieved and efficiency for these cases when using an adjacent channel power constrain of ( 45 dBc). The achieved results of Table II show the same trend found in the two-tone analysis, shown in Fig. 14.

(OIP ) levels = using

Fig. 13. Measured maximum third-order output intercept point for upper and lower IM3 components versus resistive Z : GHz, : MHz). a swept I bias conditions (f

= 2 14

1 =05

Z

when

where source BB impedance; source second-harmonic impedance

transconductance; forward current gain of the transistor; emitter–base capacitance in the operating point; forward delay time in the operating point. As a result of these relations, when aiming for frequency-independent IM3 cancellation in a given technology, the out-of-band impedances and quiescent current are fixed. Setting the out-ofband terminations to these values leads to significant improvements in linearity for both the lower and upper IM3 sidebands. The use of (3), however, assumes an accurately precharacterized device and associated model, while one of the goals of load–pull measurements is to circumvent these needs. In view of this, in [15], it was shown that the out-of-band impedances can cause asymmetry between the upper and lower intermodulation distortion products. We have utilized this phenomenon to define an experimental procedure to determine the optimum out-of-band loading conditions [9]. In this procedure, for a low-power two-tone input signal, we sweep the quiescent m GaAs Skyworks HBT) and meacurrent of a ( sure the maximum in third-order output intercept point for both lower and upper IM3 bands while increasing the ohmic value of (Fig. 13). The point where the third-order output intercept point for both the lower and upper bands are equal results in the best linearity and provides us the specific impedance and quiescent current for

C. FET Linearity Optimization The FET linearity characterization and optimization have been performed using Philips LDMOS devices of different technology generations. The gate length of the tested devices of these generations (Gen 2 to Gen 6) is 1.8 mm. In contrast with bipolar devices, there is no analytical expression for the optimum biasing current and out-of-band impedances available for LDMOS devices. For this reason, we will have to use an experimental procedure for their linearity/efficiency optimization. The biasing point for the various generations is set close to the threshold and is summarized in Table III. In [18], it was first shown that out-of-band IM3 cancellation technique at the input of a device could provide linearity im-

SPIRITO et al.: ACTIVE HARMONIC LOAD–PULL FOR ON-WAFER OUT-OF-BAND DEVICE LINEARITY OPTIMIZATION

4233

Fig. 14. Third-order intermodulation versus PAE for the various biasing cases and the reference class-AB case.

CDMA P

AND

TABLE II EFFICIENCY AT IM3 OF

045 dBc

TABLE III LDMOS BIASING POINT

provements close to the compression point of LDMOS devices. Moreover, in [19], it was proven that BB shorts in the input and and output combined with an open condition for both yields the best LDMOS efficiency for a specified linearity level. In this study, we compare the linearity performances versus output peak envelope power (PEP) of the various Philips LDMOS generations (Fig. 15). The initial optimum load and source conditions for the funwere provided by Philips Semiconducdamental frequency tors, Nijmegen, The Netherlands. The source and load BB impedances are set to a short condition. The figure clearly shows the linearity improvement of each successive LDMOS generation. It should be mentioned that, here also, the rising slope in IM3 versus output power close to compression is a good indicator of the linearity. Shifting this slope to higher power levels will improve the adjacent channel power for complex modulated signals at these levels. With the above in mind, we have used our Deflt system to optimize the second-harmonic out-of-band terminations of the Gen 6 device, resulting in the use of an and (trace ). If open-open condition for we use a 45-dBc reference level to qualify the achieved shift in power level for the upgoing IM3 slope, we observe 2-dB improvement in PEP. In Fig. 16, the linearity levels for the various generations are plotted versus PAE. This plot clearly quantifies the efficiency

Fig. 15. Measured IM3 levels of various LDMOS generations, versus PEP.

improvement for a given linearity level of the various device generation. When using the optimized second-harmonic conditions for a ) an additional improveGen 6 device (Fig. 16: trace ment as high as 10% in efficiency can be reported for a linearity level of 45 dBc. D. FET Linearity Optimization Using Wideband Signals As shown for the bipolar device, for LDMOS devices also, the linearity improvement found under two-tone excitation is verified using a digital modulated signal (IS-95). In Fig. 17, the adjacent channel power (ACP) of the various generations is shown versus power added efficiency. Also, here we find the same trend as with the IM3 measurements, while the open-open secondresult in the rather spectacular harmonic conditions efficiency value of 44% at an adjacent channel power reference level of 45 dBc. To the best of the authors’ knowledge, this is a record value for “class-AB” operated LDMOS devices without digital predistortion. In Fig. 18, we show the output spectrum of the IS-95 CDMA signal for the various generations of LDMOS generations and

4234

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

VI. CONCLUSION

Fig. 16. Measured IM3 levels of various LDMOS generations versus two-tone efficiency (PAE).

Fig. 17. Measured ACP levels of various LDMOS generations versus CDMA efficiency (PAE).

In this study, we have presented a custom load–pull setup entirely optimized for on-wafer device linearity characterization. To support this goal, the impedances at the fundamental, BB, and second-harmonic frequencies at both the source and load sides are independently controlled and accurately measured using real-time calibration techniques. The phase variation of the reflection coefficients offered to the DUT is minimized by reducing all the electrical delays in the system. This results in very realistic (circuit-like) loading conditions for the active device when performing linearity testing with wideband-modulated signals (e.g., CDMA). The resulting system performance in terms of the maximum measurable linearity has been carefully verified both by measurements and analysis as function of power, matching conditions, and bandwidth and found to be compatible with the current requirements in highly linear applications (e.g., handsets and base stations). The unique capabilities of the system for linearity optimization are demonstrated using the out-of-band linearization technique for a (nonproduction) Skyworks GaAs HBT device. By following a carefully chosen sequence of steps to define: the optimum bias, fundamental, BB, and second-harmonic loading conditions an efficiency of 31.5% was achieved for the IS-95 signal using an adjacent channel power level constraint of 45 dBc. Even more exciting results are found when monitoring the steady performance increase over the various Philips LDMOS generations for base station applications yielding a state-of-the-art linearity efficiency performance of 40%. By applying second-harmonic input and output tuning on the latest GEN6 LDMOS generation, an efficiency of 44% was achieved for an IS-95 signal with an adjacent channel power reference level of 45 dBc. To the best of the authors’ knowledge, this is a record value for a “class-AB” operated LDMOS device. ACKNOWLEDGMENT

Fig. 18. Output spectrum of CDMA IS-95 signal for the various LDMOS generations.

for the optimized 27 dBm.

for a channel output power level of

The authors would like to thank J.-E. Muller, Infineon Technologies, for sponsoring this project and for his useful advice, H. Jos, J. Gajadharsing, and P. Hammes, all with Philips Semiconductors, for sponsoring the load–pull hardware and the technical feedback and for providing the LDMOS device technologies, P. Zampardi, Skywork, for providing III-V HBT samples, R. Tuijtelaars, BSW, G. Simpson, Maury Microwave, and D. Poulin, for providing load–pull hardware support and supporting discussions, A. Ferrero, University of Torino, for his advice on the system calibration, and J. Dunsmore, H. Westra, H. Kuijs, and S. v.d. Loo, all with Agilent, for their patience and extensive support of Agilent equipment beyond standard operation. The authors would also like to thank M. v. d. Heijden (currently with Philips Research), A. Akhnoukh, E. Neo, and V. Cuoco, all with the Delft University of Technology, for their many contributions, suggestions, and encouragements. REFERENCES [1] F. van Rijs, R. Dekker, H. A. Visser, H. G. A. Huizing, D. Hartskeerl, P. H. C. Magnee, and R. Dondero, “Influence of output impedance on power added efficiency of si-bipolar power transistors,” in IEEE Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, pp. 1945–1948.

SPIRITO et al.: ACTIVE HARMONIC LOAD–PULL FOR ON-WAFER OUT-OF-BAND DEVICE LINEARITY OPTIMIZATION

[2] V. Aparin and C. Persico, “Effect of out-of-band terminations on intermodulation distortion in common-emitter circuits,” in IEEE MTT-S Int. Microw. Symp Dig., Anaheim, CA, Jun. 1999, pp. 977–980. [3] M. P. van der Heijden, H. C. de Graaff, and L. C. N. de Vreede, “A novel frequency-independent third-order intermodulation distortion cancellation technique for BJT amplifiers,” IEEE J. Solid-State Circuits, vol. 37, no. 9, pp. 1175–1183, Sep. 2002. [4] S. Liwei and L. E. Larson, “An Si-SiGe BiCMOS direct-conversion mixer with second-order and third-order nonlinearity cancellation for WCDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2211–2220, Nov. 2003. [5] Y. Takayama, “A new load–pull characterization method for microwave power transistors,” in IEEE Int. Microw. Symp. Dig., Cherry Hill, NJ, Jun. 1976, pp. 218–220. [6] A. Ferrero, F. Sanpietro, U. Pisani, and C. Beccari, “Novel hardware and software solutions for a complete linear and nonlinear microwave device characterization,” IEEE Trans. Instrum. Meas., vol. 43, no. 2, pp. 299–305, Apr. 1994. [7] P. Wambacq and W. Sansen, Distortion Analysis of Analog Integrated Circuits. Dordrecht, The Netherlands: Kluwer, 1998. [8] M. P. van der Heijden, “Rf amplifier design techniques for linearity and dynamic range,” Ph.D. dissertation, Faculty Elect. Eng., Math., Comput. Sci., Technical Univ. Delft, Delft, The Netherlands, Jun. 2005. [9] M. Spirito, M. P. van der Heijden, M. Pelk, L. C. N. de Vreede, P. J. Zampardi, L. E. Larson, and J. N. Burghartz, “Experimental procedure to optimize out-of-band terminations for highly linear and power efficient bipolar class-AB RF amplifiers,” in Proc. Bipolar/BiCMOS Circuit Technol. Meeting, Santa Barbara, CA, Oct. 2005, pp. 112–115. [10] M. Spirito, L. C. N. de Vreede, M. de Kok, M. Pelk, J. H. Jos, and J. N. Burghartz, “A novel active harmonic load–pull setup for on-wafer device linearity characterizion,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2003. [11] A. Ferrero and U. Pisani, “An improved calibration technique for on-wafer large-signal transistor characterization,” IEEE Trans. Instrum. Meas., vol. 42, no. 2, pp. 360–364, Apr. 1993. [12] G. Berghoff, E. Bergault, B. Huyart, and L. Jallet, “Automated characterization of hf power transistors by source-pull and multiharmonic loadpull measurements based on six-port techniques,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2068–2073, Dec. 1998. [13] M. J. Pelk, L. C. N. de Vreede, M. Spirito, and J. H. Jos, “Base-band impedance control and calibration for on-wafer linearity measurements,” in 63rd Automatic RF Tech. Group Conf. Dig., Jun. 2004, pp. 35–40. [14] D. D. Poulin, J. R. Mahon, and J. P. Lanteri, “A high power on-wafer pulsed active load pull system,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2412–2417, Dec. 1992. [15] N. B. Carvalho and J. C. Pedro, “Two-tone IMD asymmetry in microwave power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, pp. 445–448. [16] D. J. Williams, J. Leckey, and P. J. Tasker, “Envelope analysis of measured time domain voltage and current waveforms provide for improved understanding of factors effecting linearity,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 1411–1414. [17] M. P. van der Heijden, M. Spirito, M. Pelk, L. C. N. de Vreede, and J. N. Burghartz, “On the optimum biasing and input out-of-band terminations of linear and power efficient class-AB bipolar RF amplifiers,” in Proc. Bipolar/BiCMOS Circuit Technol. Meeting, Montreal, QC, Canada, Oct. 2004, pp. 44–47. [18] W. C. E. Neo, M. P. van der Heijden, L. C. N. de Vreede, M. Spirito, V. Cuoco, and F. van Rijs, “A technique to linearize LDMOS power amplifiers based on derivative superposition and out-of-band impedance optimization,” in Proc. Eur. Solid-State Device Res. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 1173–1176. [19] D. M. H. Hartskeerl, I. Volokhine, and M. Spirito, “On the optimum 2nd harmonic source and load impedances for the efficiency-linearity trade-off of LDMOS power amplifiers,” in Proc. IEEE Radio Frequency IC Symp., Long Beach, CA, Jun. 2005, pp. 447–450. Marco Spirito (S’01) received the M.Sc. degree (cum laude) in electrical engineering from the University of Naples “Federico II,” Naples, Italy, in 2000, and the Ph.D. degree from the Delft University of Technology, Delft, The Netherlands, in 2006. In August 2000, he joined the Faculty of Electrical Engineering, Mathematics and Computer Science, Laboratory of High-Frequency Technology and Components, Delft University of Technology. He was first involved in the design and optimization of high-performance and rugged power amplifiers.

4235

From 2002 to 2005, he focused on the development and implementation of advanced characterization setups for power amplifiers, such as passive, differential, and active load–pull setups. From 2000 to 2001, he was a Guest Researcher with Infineon Technologies, Munich, Germany. In 2006, he joined the Department of Electronics and Telecommunications Engineering, University of Naples “Federico II.” His interests include characterization of highly linear power amplifiers and development of advanced characterization tools and procedures for modern high-speed digital memory. Dr. Spirito was the recipient of the Best Student Paper Award for his contribution to the BCTM Conference in 2002.

Marco J. Pelk was born in Rotterdam, The Netherlands, in 1976. He received the B.S. degree in electrical engineering from The Hague Polytechnic, The Hague, The Netherlands, in 2000. In 2000, he joined the Department of Electrical Engineering, Laboratory of Electronic Components, Technology and Materials (ECTM), Delft University of Technology, Delft, The Netherlands. From 2000 to 2002, he was involved in the implementation of compact and mixed-level device models for circuit simulation. Beginning in 2002, he was involved with the design of several components for a novel active harmonic load–pull system. He is also supporting other activities related to microwave measurements. His current interests are nonlinear device characterization and microwave circuit design.

Fred van Rijs received the Ph.D. degree in electrical engineering (cum laude) from the Technical University of Delft, Delft, The Netherlands, in 1992. In 1992, he joined Philips Research Laboratories, Eindhoven, The Netherlands, where he was involved with advanced silicon technologies and demonstrated successfully silicon double-poly bipolar technology for power amplifiers for cellular showing record-level power-added efficiency. In 1999, he joined Philips Semiconductors, Nijmegen, The Netherlands, where he was with the Innovation Group for three years working on CATV initiating pHEMT technology for the next generation of CATV power amplifiers. After that, for three and one-half years, he was involved with power transistors for base stations and was responsible for the development of LDMOS technology. In 2005, he joined the Innovation Center RF, where he is currently involved with low-distortion GaAs–AlGaAs HFETs and GaN. He has authored or coauthored over 20 technical papers.

Steven J. C. H. Theeuwen received the M.S. degree in physics from the Eindhoven University of Technology, Eindhoven, The Netherlands, in 1994, and the Ph.D. degree in nanophysics from the Delft University of Technology, Delft, The Netherlands, in 2000. He then joined Philips Semiconductors, Nijmegen, The Netherlands, as an RF Device Physicist to develop high-frequency transistors. He is currently focused on efficiency and linearity of LDMOS power devices.

Dave Hartskeerl was born in Geleen, The Netherlands, in 1975. He received the B.S. in physics from Heerlen Polytechnic, Heerlen, The Netherlands, in 1997. In 1998, he joined Philips Research Laboratories, Eindhoven, The Netherlands, where he is involved in modeling and RF characterization of transistors and power amplifiers found in advanced IC processes.

4236

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Leo C. N. de Vreede (M’01–SM’04) was born in Delft, The Netherlands, in 1965. He received the B.S. degree in electrical engineering from The Hague Polytechnic, The Hague, The Netherlands, in 1988, and the Ph.D. degree from the Delft University of Technology, Delft, The Netherlands, in 1996. In 1988, he joined the Department of Electrical Engineering, Laboratory of Telecommunication and Remote Sensing Technology, Delft University of Technology. From 1988 to 1990, he was involved in the characterization and physical modeling of CMC capacitors. From 1990 to 1996, he was involved with the modeling and design

aspects of HF silicon ICs for wideband communication systems. In 1996, he became an Assistant Professor with the Delft University of Technology, where he was involved with nonlinear distortion behavior of bipolar transistors at the device physics level, compact model level, as well as the circuit level at the Delft Institute of Microelectronics and Submicron Technology (DIMES). During Winter 1998–1999, he was a guest with the High-Speed Device Group, University of California at San Diego, La Jolla. In 1999, he became an Associate Professor, responsible for the Microwave Components Group, Delft University of Technology. His current interest is technology optimization and circuit design for improved RF performance and linearity.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

4237

A Three-Dimensional Finite-Difference Time-Domain Scheme Based on a Transversely Extended-Curl Operator Anastasios H. Panaretos, Student Member, IEEE, James T. Aberle, Senior Member, IEEE, and Rodolfo E. Díaz, Member, IEEE

Abstract—In this paper, a three-dimensional finite-difference time-domain (FDTD) scheme is presented with improved isotropy characteristics and higher Courant number than the standard Yee scheme. The basic idea is to transversely extend the curl operator in order to improve the transverse Laplacian representation of the curl–curl operator. A stability analysis is performed, and the dispersion characteristics of the proposed scheme are investigated. It is shown that the latter is significantly more isotropic than the regular FDTD scheme. Additionally, it is proved that under certain conditions a unity Courant number can be achieved, and the resulting scheme is characterized by dispersion characteristics complementary to those of the regular FDTD scheme. Numerical simulations are performed that validate the theoretically derived results. Index Terms—Curl operator, finite-difference time-domain (FDTD) method, Laplacian operator.

I. INTRODUCTION HE YEE formulation of the finite-difference time-domain (FDTD) method is a very popular tool that has been successfully applied to a wide variety of electromagnetic problems. However, the capabilities of conventional FDTD are limited due to its inherent dispersion and anisotropy errors [1]. Hence, despite its level of maturity, the development of FDTD is still a topic that attracts a lot of attention, and efforts are made to expand its applicability and improve its accuracy. Thus far, numerous successful attempts have been reported that mitigate the aforementioned errors. Higher order finite-difference schemes are considered to be a very promising approach towards the development of a dispersionless and isotropic FDTD scheme. Several interesting versions and modifications of Fang’s scheme [2] have been proposed, such as the ones in [3] and [4]. In these papers, it was demonstrated that, if the stencil is appropriately weighted, then its performance can be optimized, with respect to the dispersion error and the phase velocity anisotropy. A different approach is the one presented in [5] where an improved isotropy scheme

T

Manuscript received June 13, 2006; revised August 17, 2006. This work was supported by the Defense Advanced Research Projects Agency under Contract N00014-05-1-0221. The authors are with the Department of Electrical Engineering, Ira A. Fulton School of Engineering, Arizona State University, Tempe, AZ 85287-5706 USA (e-mail: [email protected]; [email protected]; [email protected]). Color versions of Figs. 2, 3, 5, 7, and 8 are available online at http://ieeexplore. ieee.org. Digital Object Identifier 10.1109/TMTT.2006.885900

was derived by optimally combining the Yee scheme with the staggered collocated grid introduced by Bi in [6]. Of particular interest are those FDTD schemes developed on hexagonal grids, such as the one presented in [7] and recently in [8], which exhibit superior isotropy characteristics and reduced dispersion errors. Furthermore, in [9], dispersion error reduction was achieved by introducing artificial anisotropy in the regular FDTD update equations. This can be done through appropriate modification of the constitutive parameters of the modeled material. The material properties can be tuned and dispersion characteristics can be optimized with respect to a single frequency. Additionally, in [10], a rather sophisticated technique was presented that decreases dispersion in a certain angular span and can be very beneficial for the modeling of elongated domains. Finally, an excellent presentation of the most significant low-dispersion FDTD schemes can be found in [11]. Our approach follows a path different from the ones presented previously. In particular, recall that the Yee-based formulation of FDTD, Maxwell’s equations, are treated in finite-difference curl form in a leapfrog time-stepping algorithm. It is well known that Maxwell’s equations, either in the coupled curl form or the decoupled vector wave equation form, ultimately propagate waves through the curl–curl operator, whose fundamental element is the two-dimensional (2-D) Laplacian. The importance of the Laplacian term for accurate modeling of wave propagation phenomena has been demonstrated in [12], where it was shown that, in the case of the 2-D scalar wave equation, a more isotropic Laplacian representation ensures highly isotropic wave propagation. Consequently, the question is the following: given the FDTD phase velocity anisotropy, is it possible to achieve its improvement by modifying the Laplacian operator? At first blush, the reply would appear to be negative since, due to the coupled form of the FDTD update equations, only first-order derivatives are involved, and hence the existence of the Laplacian term is masked, preventing us from directly modifying it. However a more rigorous examination of the update equations reveals that the Laplacian is indeed computed during an FDTD time step, albeit implicitly. The purpose of this study is to demonstrate how the approximation of this transverse Laplacian term regulates the phase velocity anisotropy of the FDTD scheme. Moreover, an alternative curl representation is proposed, referred to as the extended-curl operator. The latter is defined on a Cartesian grid and allows one to directly map any Laplacian approximation onto the FDTD

0018-9480/$20.00 © 2006 IEEE

4238

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

update equations. Hence, starting from an isotropic Laplacian representation, a highly isotropic and less dispersive three-dimensional (3-D) FDTD scheme is constructed. Additionally, it is demonstrated how the extended-curl operator allows for a stable unity Courant-number scheme. The latter is proven to be more isotropic and less dispersive than the Yee algorithm, it satisfies the “magic time-step” condition for propagation along the major axis, and it does not suffer from grid decoupling. This paper is structured as follows. In Section II, the formulation of the proposed scheme is discussed, and the corresponding update equations are presented. In Sections III and IV, stability and dispersion analyses are performed. Also, in Section V, the scheme’s performance is studied from a different perspective, and its relation to the nonphysical grid decoupling effect is detailed. Finally, in Section VI, simple numerical experiments are performed that validate the proposed scheme. II. FORMULATION In [13], it was demonstrated that the inherent phase velocity anisotropy of a 2-D FDTD grid, can be attributed to the representation of the transverse Laplacian associated with the curl–curl operator. More precisely, it was shown, that during an FDTD time-step, the Laplacian term in (1) is implicitly approximated via a strongly anisotropic five-point representation. Starting from this observation, it was claimed and proven that, if a more isotropic representation is utilized, the grid anisotropy can be drastically reduced. In what follows, this idea is extended and implemented for the 3-D FDTD formalism. Before proceeding with further details of the formulation, it is beneficial, for the support and theoretical justification of the proposed scheme, to address the issue of a Laplacian’s isotropy, through the definition of its quantitative measure. Due to its even symmetry and direction invariance property, the most general, second-order accurate Laplacian representation is [14], [15]

Fig. 1. Extended-curl stencil for E and H .

Notice that the leading error term is the biharmonic operator, whose perfect isotropy can be easily verified by computing its Fourier transform, i.e., . Obviously, the operator’s dispersion properties are independent of the propagation angle. For comparison purposes, let us examine the error introduced by the five-point Laplacian related to the regular FDTD scheme. In this case, the corresponding approximation , and it yields is given by (2) if we set the following leading error term: . The Fourier transform of this differential operator is: , and, evidently, its dispersion characteristics are dependent on the direction of propagation. It follows that, in contrast to the previous case, this truncation error term introduces dispersion discrepancies anisotropically into the grid. It has to be mentioned that, in terms of isotropy and second-order accuracy, (3) is the best we can achieve. For any desired improvement, such as higher order isotropic leading error terms, Laplacian approximations with more degrees of freedom are necessary which can be realized by widened finite-difference stencils. For the development of the proposed scheme in 3-D, the framework of the Yee scheme is adopted, that is, the update equations retain their curl form and the electric and magnetic field components are staggered both in space and time. Similar to the 2-D case, the transverse Laplacian term is implicitly, rather than explicitly, computed. The implicit Laplacian calculation can be intuitively thought of as a two-stage procedure where the intermediate one determines its accuracy. This scenario is illustrated in the following diagram, and essentially it is the FDTD realization of (1):

(2) From the Laplacians’ family defined above, the member that exhibits the best isotropy can be determined by inspecting the dispersion properties of its truncation error term and, more precisely, the dispersion variations with respect to direction. For this reason, first we need to take the 2-D Taylor expansion of (2) and then calculate the combination of , , and that yields the most isotropic truncation error term [15, pp. 39]. Following this procedure, it is revealed that the only approximation that meets this requirement is given by

(3)

cross terms

(4)

It can be seen that the components determine how many components from the th time-step, and in what combination will contribute to the calculation of an at the next time-step. Put differently, the components link components from consecutive time-steps and regulate the Laplacian’s form. In our case, a Laplacian with nine nonzero elements, instead of five, is desired to be recovered. In order to increase the number of contributing components, it is required that an extended-curl stencil be utilized as shown in Fig. 1(a). The extended-curl operator can be viewed as a longitudinal extension of the involved derivatives approximation, with respect to the direction of the derived component. Furthermore, notice that, unlike the 2-D case, in a 3-D space, transverse Laplacians can be defined in

PANARETOS et al.: 3-D FDTD SCHEME BASED ON TRANSVERSELY EXTENDED-CURL OPERATOR

all three major planes, i.e., , , and . Therefore, since for all six field components a transverse plane can be defined, each component can be associated with a 2-D Laplacian through equations like (1). Consequently, for all six update equations, an extended-curl stencil is applicable. For instance, in . According to Fig. 1(b), there is the extended-curl stencil for this convention, the update equations for and for a linear, isotropic, and uniform medium are the following:

4239

. In the same which is the discretized version of manner, we can derive the discrete version of the magnetic charge conservation formula. III. STABILITY ANALYSIS The stability analysis of the proposed scheme is performed by employing a standard von Neumann analysis. Hence, if we assume a 3-D unbounded, linear space, then the time-step bound that ensures numerical stable simulations is obtained if spatial discrete Fourier modes of the form (8)

(5)

are substituted in the update equations. The resulting homogeneous system of finite-difference equations are cast in the following matrix form: (9) where the state space vector, and by

(10)

(6) and . For compactness, we utilized where the central difference operator defined as: . The great advantage of this curl modification is that superior isotropy can be achieved without having to resort to different grid topologies and without having to introduce additional field components. Additionally, notice that although we are working in a 3-D space, we aim for the improvement of the fundamental 2-D transverse Laplacian operator. Under this consideration, we have determined how to tailor the curl operators; apparently, their longitudinal planar extension is sufficient for substantial isotropy improvement. An alternative interpretation of this scheme, which further justifies the proposed modification of the update equations, is given in Section V. Now, in order to permit accurate and physically meaningful results, the proposed scheme should implicitly be flux conservative. More precisely, if a problem’s initial conditions are divergence-free, this condition must be satisfied at any time instant. For the extended-curl scheme, it can be proved that, for any cell in the grid, the following condition holds: (7)

is is the amplification matrix given

where

(11) is given in (12), shown at the bottom of this page. Also, , , , and with . The eigenvalues of the amplification matrix are as follows: and

(13) where . The scheme is stable if the absolute value of all eigenvalues is less than or equal to one, which leads to the following time-step constraint:

(14)

(12)

4240

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

where, for brevity, we set , and is the speed of light in the material being determines the modeled. The minimum upper bound of Courant–Friedrichs–Lewy (CFL) condition. The latter is oband substitute the tained if we allow maximum value of the square rooted quantity. Thus

(15) Notice that, when , (15) reduces to the CFL con. dition of the conventional 3-D FDTD scheme, i.e., The choice and gives a CFL condition of . Thus, as in the 2-D case, we notice that the time-step bound can be considered to be a function of two arbitrary real numbers and . Hence, it becomes quite intriguing to study its behavior and identify whether it is possible to relax more the already restrictive CFL condition. We begin our investigation by examining the dependency between and . One way1 to realize this is by checking the consistency of the proposed finite-difference formula. Using Taylor series expansions, it is straightforward to show that

(16) Obviously, the above relation represents a derivative approximation if (17) For reasons that will become apparent later in our discussion, let us first examine whether it is possible, and under what conditions, to achieve . This implies that

(18) Given the previously derived consistency condition, it can be shown that (see the Appendix) (19) If we substitute (19) into (18) and additionally take into account that the square-rooted quantity must be positive, we obtain the following constraint for : (20) The system of (17) and (20) can be solved graphically as shown in Fig. 2. Similarly to the 2-D case, the solution pairs lie along the solid line section , defined by the dotted and dashed lines, which means that, for this continuum of and values, 1An alternative way would be to determine the and values that minimize the error of the numerical dispersion relation.

Fig. 2. Determination of parameters and .

a Courant number can be achieved. A discussion about pairs is given in the scheme’s performance for different Sections IV and V. Now, according to the preceding analysis, it could be claimed that, were a similar procedure to be followed, the necessary and sufficient conditions, if any, could be derived so that a Courant number higher than one could be achieved. This statement is false as we prove hereafter. If , then, from (18), we have , which, based on ordering arguments, is impossible. On that ground, the highest Courant number that can be achieved by the scheme under study is equal to one. It is important to mention that this Courant number comes at the cost of isotropy deterioration, as will be demonstrated in Section IV. IV. DISPERSION ANALYSIS The dispersion relation can be derived if we assume discrete temporal Fourier modes in (8), in addition to the spatial ones, or . Hence, (9) yields the following eigenvalue problem: (21) Requiring nontrivial solutions for the system in (21) leads to the following dispersion relation: (22) where is the velocity of light in the medium. Notice that, when the discretization vanishes , (22) yields the constraint equation that governs the propagation of a monochromatic wave in a general 3-D medium. After substituting , the dispersion relation can be solved iteratively to determine the numerical phase velocity versus propagation angles and . The dispersion properties of the three most representative implementations of the extended-curl scheme are examined, namely, the Yee scheme, the most isotropic, and the “Courant number equal to one” scheme, denoted as Case 1, Case 2, and

PANARETOS et al.: 3-D FDTD SCHEME BASED ON TRANSVERSELY EXTENDED-CURL OPERATOR

4241

TABLE I COMPARISON OF THE MOST REPRESENTATIVE EXTENDED-CURL SCHEMES

Fig. 3. Normalized phase velocity. (a)  = 0 . (b)  = 45 .

Case 3, respectively. Their characteristics are summarized in Table I. Fig. 3(a) and (b) depicts comparative plots of the normalized numerical phase velocity for each case as a function of the elevation angle along two different azimuthal planes: and . The calculations were performed for a discretization of . As expected, the dashed line (Case 2) that corresponds to the most isotropic Laplacian approximation exhibits highly isotropic phase velocity characteristics in both planes. Moreover, the solid and dotted lines in Cases 1 and 3, respectively, are characterized by complementary trends, with the latter being overall more isotropic. This is in accordance with the last column of Table I, where we can see that this scheme’s Laplacian truncation error term is “closer” to complete a biharmonic operator than the one in Case 1. However, regardless of this scheme’s poorer isotropy, compared with Case 2, its most attractive feature is that, for propagation along the principal axis, the magic time-step condition is satisfied, meaning that the dispersion error is totally eliminated for all frequencies along this axis. This can be very beneficial for the modeling of problems where propagation is primarily concentrated along the principal axis of a Cartesian grid, such as waveguide-like problems. Similarly to the 2-D case, the choice of for Case 3 is the optimal case. Experimentation with the numerical phase-velocity curves revealed that, for all other pairs, although the magic time-step condition along the principal axis is preserved, the scheme’s isotropy characteristics worsen. As a matter of fact, the smaller the value of [moving from to in Fig. 2], the worse the isotropy becomes.

V. EXTENDED-CURL AND GRID DECOUPLING Here, we revisit the extended-curl scheme and try to investigate from a different perspective its behavior as a function of and . For simplicity, our analysis assumes a 2-D field configuration; however, it is straightforward to extend these arguments in the case of a 3-D space. The development of the extended-curl scheme was based on a Cartesian grid and a field arrangement as the Yee algorithm suggests. This trait is highly desirable because FDTD over a Cartesian grid is well established and easier to implement. In what follows, we reexamine the extended-curl concept through a different prism that allows a non-Cartesian field arrangement. An alternative representation of (3) is given by [15]

(23) to , then the first If we correspond square-bracketed term of (23) can be considered as a curl operation, which in FDTD naturally results in a perpendicular, to the curl plane, electric field component. The second term is a -rotated version of the first one and, based on the previous

4242

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 4. Reformulated extended-curl discretization.

argument, it also represents a curl operation. However, the associated electric field components are oriented in accordance to the aforementioned rotation. This is better depicted in Fig. 4, where it can be seen that there are two fluxes contributing to: a regular FDTD flux wards the update of and a flux generated by the new rotated electric field components. Consequently, as also indicated in the figure, a different path to generate nine-point Laplacians in FDTD is by considering an additional interlaced rhombic grid where, along the edges of its unit cell, additional field components are defined. Notice that, for this case, the latter are collocated with the nodes of the regular FDTD cell. In addition, it can be readily shown (by appropriately manipulating the update equations) that this alternative scheme is mathematically equivalent to the initially proposed extended-curl, in the sense that both of them achieve, through different paths, the recovery of the desired nine-point Laplacian. Their only, yet major, difference is that the extendedcurl schemes realize Laplacians by incorporating information from diagonal cells directly in the update equations, using existing field components. In contrast, the reformulated scheme using a rhombic grid analogy incorporates the same information through the additional “auxiliary” components. Now, based on the reformulated extended-curl scheme, the update equation for can be rewritten in the following form, where the Yee grid flux as well as the rotated Yee grid flux are explicitly used:

(24) This expression is valid not only for the isotropic scheme (Case 2) but for every member of the extended-curl family, that is, for pair. Hence, we have all the necessary information every to explain the following: the authors during their experimentation with the Case 3 scheme noticed that, when the grid is excited by localized sources as (or gets smaller than 3/4), the computational domain exhibited a rather deconstructed field configuration. Recall that, in Section IV, it was noted that the choice of for Case 3 is optimal because, for any other pair, the scheme’s isotropy grows worse. This is an absolutely valid statement, albeit theoretical, in the sense that it only explains a function’s behavior (the numerical dispersion

relation) but lacks physical insight and of course fails to explain , severely distorted field configuthe behavior itself. As rations ensue because, as (24) shows, the Yee flux term vanishes and the primary flux contribution is due to the rotated Yee grid components. This creates grid decoupling meaning that only part of the field components are excited, resulting in a “sawtooth field pattern.” This artifact was first reported in [16] in the context of finite elements Consequently, for the Case 3 scheme, is optimal not only because the choice of it is the least anisotropic, but also because it prevents the development of the detrimental nonphysical grid-decoupling effect. A point of interest is that, since the extended-curl scheme and its reformulated version are mathematically equivalent, they yield computationally identical results. Moreover, the reformulated version is more compact because all of the field components are contained within a unit cell. This characteristic makes it more flexible to handle material boundaries. Therefore, given a computational domain, this can be partitioned into regions with and without material discontinuities. Then, the two schemes could be judiciously interfaced so that the reformulated version handles the regions involving material discontinuities and the initial version the rest of the domain. Of course, this comes at the cost of an increase in the computational burden, since additional components have to be computed. For this hybridized scheme, caution is required when dealing with perfect electric conductor (PEC) edges. This is because the reformulated scheme essentially assigns finite values to electric field components located on the nodes of the Yee cell. Hence, it cannot accurately model the well-known field singularity occurring at PEC edges. VI. NUMERICAL EXPERIMENTS Here, numerical experiments are performed to examine the accuracy of the 3-D extended-curl scheme. First, a 3-D domain 1 m 1 m 1 m is considered, and it is uniformly discretized using a cell size of cm. The computational domain consists of 100 cells, and a 12-cell-thick uniaxial PML is placed around it. The domain is excited by injecting a -directed electric field at one of the domain’s bottom corners. The source has a differentiated Gaussian-pulse time dependence whose frequency spectrum is shown in Fig. 5(a). We let the spherical waves propagate and record the time history of at three equidistant points, with respect to the excitation point: one along the principal axis , one along the domain’s short

PANARETOS et al.: 3-D FDTD SCHEME BASED ON TRANSVERSELY EXTENDED-CURL OPERATOR

4243

Fig. 5. Numerical experiments. Cell-size h = 1 cm and h=c = 0:03 ns. (a) Excitation pulse frequency content. (b) Case 1. (c) Case 2. (d) Case 3. Notice that E has been scaled to the elevation angle sine.

diagonal , and one along the long diagonal . Simulations are performed for the three Cases of Table I, and the corresponding results are shown in Fig. 5(b)–(d). As expected, Case 2 is highly isotropic; however, the pulse has been distorted due to dispersion errors. Case 3 is essentially the opposite of Case 1, since the best pulse propagation can be achieved along the principal axis and the worst along the direction of the long diagonal. Additionally, due to its mitigated dispersion error characteristics, pulse distortion is not as severe as in Case 1, and the phase lag is not noticeable. The second problem we examine is that of a pulse propagation inside an air-filled parallel plate waveguide. Propagation is assumed along the -direction and the mode is excited by appropriately injecting the necessary surface currents. The dimensions of the waveguide are 0.15 m 2 m 0.15 m, and the domain is uniformly discretized using a cell size of cm. Along the direction of propagation, the waveguide is terminated by a ten-cell-thick uniaxial PML. Since this is a 3-D problem, the sideways infinite extent of the waveguide is modeled using perfect magnetic conductor (PMC) walls. The geometry has been chosen to be rather elongated so that any

Fig. 6. PEC boundary treatment.

dispersion discrepancies of the propagating pulse will be pronounced. Additionally, in order to ensure an undisrupted propagation of the excited mode, special treatment is required for

4244

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

for this specific type of problems is validated, making it a very reliable and precise wideband modeling tool. Finally, we note that, in the parallel-plate case, due to the geometry’s simplicity, the image principle is easy to apply, and it is convenient and accurate. This is not usually the case with more complex structures, and an attempt for its systematic implementation throughout an arbitrary PEC boundary can be a very laborious programming exercise. Nevertheless, simply encoding a PEC sphere with the PEC cube algorithm and without including any image algorithm corrections yields very good results for the backscattered field, as shown in Fig. 8. VII. CONCLUSION The approach presented in this paper was prompted by the observation that conventional FDTD approximates implicitly the 2-D Laplacian related to the curl–curl operator via a strongly anisotropic five-point representation. Then, we demonstrated that a transverse and appropriately weighted extension of the curl operator in the update equations can recover a more isotropic Laplacian, which significantly reduces any phase velocity anisotropies in a 3-D FDTD grid. Additionally, it was shown that the resulting scheme is characterized by a higher Courant number and mitigated dispersion errors. The capabilities of the extended-curl stencil were further explored, and it was shown that, for a certain combination of weights, a family of stable schemes exists, with a unity Courant number and total dispersion elimination along the principal axis. The theoretically derived characteristics of the extended-curl-based schemes were confirmed by numerical experiments.

Fig. 7. Pulse propagation in a parallel-plate waveguide.

APPENDIX Fig. 8. Bistatic radar cross section (RCS) of a PEC sphere on the y (a = 10h, h = =40).

0 z plane.

the spatial discontinuities created by the PEC and PMC boundaries. The details of this modification are shown in Fig. 6. For simplicity, we have assumed that the PEC wall consists of PEC cubes i.e., the electric field components along all 12 edges surrounding a grid point are set equal to zero. Additionally, all of the magnetic field components perpendicular to the cube faces have to be set to zero, in oder to prevent them from coupling with the surrounding space, and exhibit nonzero values. Hence, an component parallel and adjacent to the PEC wall requires for its updating two components from the PEC cell, which are naturally set to zero. By employing image theory [17], the value of these perpendicular components are restored and the wave propagation is accurately modeled preventing artificial scattering from the PEC wall. The same recipe has to be used for electric field components parallel to PMC walls. The excitation pulse has a Gaussian profile with significant frequency content up to 3 GHz. Cases 1 and 3 were simulated, and, in Fig. 7, there are comparative plots of the pulse’s spatial variation at a specific time instant. As expected, the Yee formulation suffers from dispersion [1], while the Case 3 extended-curl scheme, being dispersion-free, is in excellent agreement with the analytical solution. Hence, with this simple test, the accuracy of the method

Here, we derive the maximum value of the following quantity:

(25) given that

. For simplicity, we set

therefore,

(26) with , , and

. It is then

(27) where

PANARETOS et al.: 3-D FDTD SCHEME BASED ON TRANSVERSELY EXTENDED-CURL OPERATOR

Let us first calculate the maximum value of quantity . The latter can be expressed as a monomial with respect to as

4245

1) If

, then

2) If

, then

3) If

, then

(28) We examine the following cases. : Case 1)

Since

Case 2)

, then

It can be concluded that

:

(31) a) If

, then

ACKNOWLEDGMENT The authors would like to thank Dr. B. Mann, DARPA–DSO, and Dr. R. Malek-Madani, ONR, for their support of this project.

b) If

, then

REFERENCES

which is impossible. c) If , then

d) If

, then

Therefore, we can conclude that (29) Now, since (27) is a monomial of , the maximum value of given by

is

and (30) We notice that and are maximized for different values of and , meaning that they cannot always be maximized simultaneously. Consequently, the following is true.

[1] A. T. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 2nd ed. Norwell, MA: Artech House, 2000. [2] J. Fang, “Time domain finite difference computation for Maxwell’s equations,” Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., Univ. California at Berkeley, Berkeley, CA, 1989. [3] T. T. Zygiridis and T. D. Tsiboukis, “Low-dispersion algorithms based on the higher order (2; 4) FDTD method,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1321–1327, Apr. 2004. [4] G. Sun and C. W. Trueman, “Optimized finite-difference time-domain methods based on the (2; 4) stencil,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 832–842, Mar. 2005. [5] E. A. Forgy and W. C. Chew, “A time-domain method with isotropic dispersion and increased stability on an overlapped lattice,” IEEE Trans. Antennas Propag., vol. 50, no. 7, pp. 983–996, Jul. 2002. [6] Z. Bi, K. Wu, C. Wu, and J. Litva, “A new finite-difference time-domain algorithm for solving Maxwell’s equations,” IEEE Microw. Guided Wave Lett., vol. 1, no. 12, pp. 382–384, Dec. 1991. [7] Y. Liu, “Fourier analysis of numerical algorithms for the Maxwell equations,” J. Comput. Phys., vol. 124, pp. 396–416, 1996. [8] F. Xiao, X. Tang, and X. Zhang, “The construction of low-dispersive FDTD on hexagon,” IEEE Trans. Antennas Propag., vol. 53, no. 11, pp. 3697–3703, Nov. 2005. [9] J. S. Juntunen and T. D. Tsiboukis, “Reduction of numerical dispersion in FDTD method through artificial anisotropy,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 4, pp. 582–588, Apr. 2000. [10] S. Wang and F. L. Teixeira, “A finite-difference time-domain algorithm optimized for arbitrary propagation angles,” IEEE Trans. Antennas Propag., vol. 51, no. 9, pp. 2456–2463, Sep. 2003. [11] K. L. Shlager and J. B. Schneider, “Comparison of the dispersion properties of several low-dispersion finite-difference time-domain algorithms,” IEEE Trans. Antennas Propag., vol. 51, no. 3, pp. 642–653, Mar. 2003. [12] G. Cohen, “A class of schemes, fourth order in space and time, for the 2-D wave equation,” in Proc. 6th IMACS Int. Comput. Methods Partial Differential Equations Symp., Bethlehem, PA, Jun. 1987, pp. 23–27. [13] T. Panaretos, J. T. Aberle, and R. E. Díaz, “A new FDTD scheme based on an extended curl operator: 2-D formulation,” Arizona State Univ., Tempe, AZ, Tech. Rep., 2006. [14] M. Patra and M. Karttunen, “Stencils with isotropic discretization error for differential operators,” Numer. Methods Partial Differential Equations, vol. 22, no. 4, pp. 936–953, Jul. 2006.

4246

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

[15] G. H. Cohen, Higher-Order Numerical Methods for Transient Wave Equations. Berlin, Germany: Springer-Verlag, 2002. [16] S. L. Ray, “Grid decoupling in finite element solutions of Maxwell’s equations,” IEEE Trans. Antennas Propag., vol. 40, no. 4, pp. 443–445, Apr. 1992. [17] R. F. Harrington, Time–Harmonic Electromagnetic Fields. New York: Wiley, 2001. Anastasios H. Panaretos (S’01) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens (E.M.II.), Athens, Greece, in 2000, the M.S. degree in electrical engineering from Arizona State University (ASU), Tempe, in 2003, and is currently working toward the Ph.D. degree in electrical engineering at ASU. Since 2001, he has been a Graduate Research Associate with the Department of Electrical Engineering, ASU. His research interests are mathematical physics.

James T. Aberle (S’81–M’92–SM’92) received the B.S. and M.S. degrees from the Polytechnic Institute of New York (now Polytechnic University), New York, NY, in 1982 and 1985, respectively, and the Ph.D. degree from the University of Massachusetts at Amherst, in 1989, all in electrical engineering. From 1982 to 1985, he was with the Hazeltine Corporation, Greenlawn, NY, where he was involved with the development of wideband phased-array antennas. From 1985 to 1989, he was a Graduate Research Assistant with the University of Massachusetts, where he developed and validated computer models for printed

antennas. Since 1989, he has been a faculty member with Arizona State University (ASU), Tempe, where he is currently an Associate Professor of electrical engineering. His research interests include the design of RF systems for wireless applications, as well as the modeling of complex electromagnetic phenomena. During Summer 1993, he was a National Aeronautics and Space Administration (NASA)/American Society for Engineering Education (ASEE) Summer Faculty Fellow with the NASA Langley Research Center. During the 1997–1998 academic year, he took a sabbatical leave from ASU. During his sabbatical, he was a Visiting Academic with the Royal Melbourne Institute of Technology, Melbourne, Victoria, Australia, as well as a Visiting Researcher with the Atlantic Aerospace Electronics Corporation, Greenbelt, MD. He recently returned to ASU after a two-year leave of absence. During this leave, he was with a start-up company that provided innovative technological solutions for the wireless market.

Rodolfo E. Díaz (M’00) received the B.S. degree in physics from Yale University, New Haven, CT, in 1978, and the M.S. degree in physics and Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 1980 and 1982, respectively. During 20 years in the aerospace industry, his research has spanned many of the disciplines comprising modern electromagnetic engineering from lightning protection, electromagnetic compatibility, and electromagnetic radiation safety on the space shuttle, through design of broadband missile antennas and radomes, to the design, evaluation, and prototyping of electromagnetic composite materials for low observable (stealth) applications. He is currently an Associate Professor with the Department of Electrical Engineering, Arizona State University (ASU), Tempe, where he directs the Material-Wave Interactions Laboratory, and performs research on optical, microwave, and acoustic interactions with natural and engineered materials. He holds 19 patents ranging from the design of broadband radomes to the amplification of magnetic fields.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

4247

Shaping the Power Spectrum of Ultra-Wideband Radio-Frequency Signals Jason D. McKinney, Member, IEEE, Ingrid S. Lin, Student Member, IEEE, and Andrew M. Weiner, Fellow, IEEE

Abstract—We demonstrate the ability to tailor the power spectrum of ultra-wideband (UWB) RF waveforms via a photonicsbased electromagnetic pulse shaper. We describe and experimentally demonstrate a waveform design methodology that allows us to achieve desirable power spectrum properties, such as broad bandwidth and minimal spectral ripple. As one example, we generate a 115% fractional-bandwidth impulsive waveform which spans the 3–10-GHz band, with ripple below 1.5 dB over a 5-GHz band. Furthermore, by treating the RF spectral phase as a design parameter, we demonstrate how to achieve increased power spectral density. We illustrate the spectral design capabilities of our technique by presenting a variety of tailored UWB waveforms (including impulses, chirped signals, and arbitrary waveforms) with bandwidths that range from 4 to 8 GHz. Index Terms—RF photonics, spectral engineering, ultra-wideband (UWB) signal generation.

I. INTRODUCTION

W

ITH THE 2002 decision by the Federal Communications Commission to allow unlicensed operation of ultrawideband (UWB) devices in the 3.1–10.6-GHz frequency band, there has been significant interest in utilizing UWB signals for various wireless applications. For example, time-hopping impulse radio [1] and hybrid spread-time/time-hopping schemes [2] have been proposed for multiple-access wireless communication systems. In these systems, as with examples of UWB ground-penetrating radar [3], the signals frequently employed (or proposed) are monocycle waveforms. While these waveforms may be quite short in duration (and, hence, quite broadband) and easily implemented electronically [4], little attention has been paid to the spectral content of these waveforms relative to the FCC-specified spectral emissions limits [5] for UWB systems. While monocycle waveforms may certainly be designed to have spectral content in the above frequency band, their spectral shape may only be moderately controlled via electronic techniques, that is, the spectral shape is predetermined once the center frequency is chosen. Others have acknowledged it is desirable to tailor the power spectral density (PSD) of UWB signals [2]; however, there have been no hardware techniques proposed to accomplish this task.

Manuscript received March 29, 2006; revised July 10, 2006. This work was supported by the U.S. Army Research Office under Contract DAAD19-03-10275. J. D. McKinney is with SFA Inc./U.S. Naval Research Laboratory, Washington, DC 20375 USA (e-mail: [email protected]). I. S. Lin and A. M. Weiner are with the School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47907-2035 USA. Digital Object Identifier 10.1109/TMTT.2006.885573

Here, we demonstrate the ability of a photonics-based electromagnetic pulse-shaping technique [6] to tailor the PSD of UWB waveforms. This technique allows definition and control of the RF spectral amplitude, as well as the spectral phase, of UWB signals with frequency content that spans the range of 3–10 GHz. Here, we address the constraints inherent in this photonic technique and how these constraints may be exploited to achieve signals that exhibit extremely flat RF power spectra aimed at efficient use of the FCC-allocated UWB frequency band. This study represents the first UWB signal generation technique (either electronic or photonic) to address and demonstrate efficient use of the above frequency band. Our paper is organized in the following manner. In Section II, we review the relevant parameters of our electromagnetic pulse shaper and introduce the constraints imposed on the electrical waveforms synthesized via our technique. Section III explains our frequency-domain waveform design methodology and provides the first rigorous discussion of how the waveform constraints inherent in our technique affect the output electrical waveforms. In addition, this section presents several examples of our technique as applied to broadband impulsive waveforms. In Section IV, we demonstrate the capability of our apparatus to control the RF spectral phase of UWB signals and demonstrate that chirped waveforms may be used in our system to increase waveform energy and the RF power spectral density. Additionally, this section provides the first theoretical analysis of the chirped waveforms that may be synthesized in our apparatus subject to a limited waveform time aperture and provides a prediction of the expected energy increase obtained by using chirped waveforms. Finally, in Section V, we conclude. II. ELECTROMAGNETIC PULSE SHAPING BASED ON FEMTOSECOND OPTICAL PULSE-SHAPING TECHNOLOGY In this study, we synthesize arbitrary UWB time-domain RF waveforms that exhibit user-defined RF spectral content (e.g., shape, bandwidth, and center frequency) in the 3.1–10.6-GHz frequency band while minimizing frequency content outside of this range to address the FCC-specified spectral emission limits on UWB systems. To achieve these waveforms, we utilize a photonics-based electromagnetic pulse shaper [6] that allows direct specification of arbitrary time-domain RF waveforms with frequency content in the above band. Here, we address how the above functionality may be achieved via our photonics-based approach and the relevant operational concerns that must be addressed to do so. Our apparatus is discussed in detail in [6]; here, we address the basic system functionality in relation to the RF spectral control that may be achieved in the output electrical waveforms. Our apparatus (as well as those demonstrated by others [7]) is shown

0018-9480/$20.00 © 2006 IEEE

4248

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 1. Schematic representation of our time-domain electromagnetic pulse shaper. Short optical pulses from a mode-locked fiber laser are converted to tailored optical intensity waveforms with a Fourier transform optical pulse shaper followed by dispersive stretching in a 5.5-km length of single-mode optical fiber. These intensity waveforms ( 3-ns duration, 45-ps temporal resolution) then drive a photodiode which enables synthesis of ultrabroadband user-defined RF waveforms.





schematically in Fig. 1. Short optical pulses ( 100 fs) from a mode-locked laser source are spectrally filtered in a Fourier transform optical pulse shaper [8]. This pulse shaper allows the user to impress an arbitrary optical filter function onto the complex amplitude spectrum of the input short pulse. Subsequently, these filtered pulses are dispersed in a length of single(km) and dispersion parameter mode optical fiber [length (ps/nm/km)]. The chromatic dispersion of the optical fiber uniquely maps optical frequency to time; thus, the temporal optical intensity after the fiber stretcher is a scaled version of the power spectrum of the spectrally filtered optical pulse. The photodiode functions as an optical-to-electrical converter that yields electrical voltage waveforms whose shape is determined by the driving optical intensity waveforms. This functionality enables the user to directly specify the output electrical waveform by applying a scaled version of the desired waveform as the optical filter function in the optical pulse shaper. In our apparatus, the time aperture (duration) of the output waveform is determined by the available optical bandwidth in concert with the frequency-to-time (F/T) constant of the fiber stretcher (the product of the length and dispersion parameter ). The finest temporal feature in the output waveform is determined by the spectral resolution of the optical pulse shaper and the F/T conversion constant of the fiber stretcher. In our apparatus’ current configuration, the waveform time aperture is approximately 3 ns, and the finest temporal feature is 45 ps; the latter yields an RF bandwidth of 11 GHz. We note that these parameters may be configured by the user by adjusting the relation of the optical bandwidth and total dispersion of the fiber stretcher. We note that other techniques for generation of arbitrary pulsed electrical waveforms have also been demonstrated [9], [10]. In these techniques, individual frequency components of an optical frequency comb (synthesized via nonlinear interactions in optical fiber in [9], derived from a mode-locked semiconductor laser in [10], or derived from a mode-locked fiber laser in [11]) are modulated in amplitude and/or phase. When these modulated frequency components are recombined and converted to an electrical waveform via a photodiode, the result is a periodic train of pulsed signals with a repetition rate determined by the element spacing in the optical frequency comb; the shape of an individual pulse is determined by the inverse Fourier transform of the frequency-domain modulation envelope sampled by the optical frequency comb. Essentially, the Fourier series of the desired pulsed waveform is synthesized. Without emphasizing the practical or complexity differences between these techniques and ours, the primary difference in functionality is the electrical frequency content that may be

achieved in the output waveform. In contrast with our technique, in which the frequency content of the output electrical waveform is determined by the interplay of optical bandwidth, the F/T constant of the fiber stretcher and the resolution of the optical pulse shaper, in Fourier synthesis techniques, it is the granularity of the optical filter used to access individual optical comb elements that fundamentally determines the achievable electrical frequency content. In the Fourier synthesis systems of [9] and [10], the filter granularity is on the order of tens of gigahertz (waveform durations of 50–100 ps), making these techniques well suited to electrical waveforms centered in the millimeter range. However, our interest lies in UWB signals with frequency content below 11 GHz, which is to fine to resolve with the optical filters used in [9] and [10]. Our technique, however, is easily applied to synthesis of arbitrary waveforms in the RF and microwave frequency bands. This technique of mapping tailored time-domain optical intensity waveforms to RF voltage waveforms offers unprecedented waveform agility. To fully utilize the flexibility provided by this technique, it is important to understand the constraints of the system and how to control the conditions these constraints impose on the output electrical waveforms (in the time and frequency domains). There are three major constraints on the waveforms achievable from this technique. The output electrical waveforms are: 1) positive definite as determined by the mapping of optical intensity to voltage; 2) of finite-duration as determined by the available optical bandwidth; and 3) peak voltage-limited as determined by the available optical energy and photodiode responsivity. In Section III, we detail how our waveform design process accommodates these constraints and how they may be utilized to tailor the spectral content of our waveforms. III. FREQUENCY-DOMAIN WAVEFORM DESIGN METHODOLOGY FOR FINITE-DURATION UWB SIGNALS In contrast with previous work where we directly specify arbitrary broadband time-domain waveforms in our system (such as the sinusoids and monocycle waveforms presented in [6]), here we are interested in waveforms that exhibit particular spectral characteristics. To that end, instead of directly specifying a particular time-domain waveform, we begin by numerically specifying (e.g., in MATLAB) our target complex RF spectrum in both amplitude and phase (limitations on the phase variation will be discussed in a later section). In terms of angular frequency, our target RF spectrum is expressed as (1)

MCKINNEY et al.: SHAPING THE POWER SPECTRUM OF UWB RF SIGNALS

4249

We are interested in manipulating the spectral content of our waveforms in the 3.1–10.6-GHz frequency band; as this band is offset from dc, the resulting RF waveforms will be oscillatory in nature. As stated in the first constraint above, the mapping of optical intensity to voltage time requires that our output voltage waveforms be positive-definite. To achieve oscillatory waveforms with apparent negative voltage values, a minimal dc is added to our waveforms. Our target RF speccomponent trum including this dc component is given by (2) To obtain the basic time-domain waveform that will yield the desired spectrum, we perform an inverse fast Fourier transform (IFFT) of the frequency-domain data. The resulting time-domain signal is given by

Fig. 2. Two window functions utilized in our apparatus. (a) Approximately 2.9-ns rectangular window which corresponds to the shape of the optical power spectrum utilized in our apparatus. (b) 960-ps FWHM Gaussian window designed to reduce the steep rising/falling edges in the rectangular window in (a).



(3) denotes an inverse Fourier transform. At this point, where the 3-ns time aperture (the second constraint above) of our electromagnetic pulse shaper must be addressed. This time aperture constraint is included by multiplying the dc offset waveequal to the time aperform by a rectangular window ture of our apparatus (here, ns). This is equivalent to modeling the optical power spectrum in our apparatus as perfectly flat over the available optical bandwidth. Looking slightly ahead, one could envision a more general implementation of this constraint where the fixed rectangular time aperture is multi. In this case, plied by a user-defined windowing function the general time-domain RF voltage waveform obtained from our apparatus is given by (4) and the RF power spectrum is

(5) where denotes convolution. The finite time aperture and choice of window function contribute to the structure of the RF spectra of our waveforms in two ways. First, the baseband term in (5) shows that the window function itself contributes spectral con(this effect tent beyond that of the target RF spectrum will dominate at low frequencies due to the width of the main ). Second, the spectral structure lobe in the spectrum of of the window function will contribute to amplitude fluctuations (ripple) across the RF spectrum. In the signal processing community, these two effects are analogous to the window bandwidth and spectral leakage, respectively, in spectral analysis applications involving windowed Fourier transforms [12]. To illustrate these effects, we first analyze the two basic windows utilized in our system. Fig. 2(a) illustrates the voltage waveform obtained when our apparatus is programmed to produce a 3-ns rectangular waveform (by programming the

optical filter in Fig. 1 to unity transmission for all wavelengths). This voltage waveform corresponds to the shape of the optical power spectrum from our optical pulse shaper (with no additional apodization); the dashed curve shows the ideal 3-ns rectangular time aperture. Here, the steep rising and falling edges are of the most interest as these will contribute most heavily to structure in the RF power spectrum. Though there is definite structure to this waveform, i.e., the amplitude is not constant over the window duration, it is basically rectangular for our purposes. Though not performed here, the nonuniformity of this window may be addressed through equalization of the optical power spectrum in our system. In Fig. 2(b), a Gaussian window designed to reduce the steep rising/falling edges of the window in Fig. 2(a) is shown. Here, the dashed line is a numerical fit to the experimental data which yields a 960-ps full-width-at-half-maximum (FWHM) duration for the window. The normalized RF power spectra corresponding to the waveforms of Fig. 2 are shown in Fig. 3. Here, as one would expect, the RF spectrum corresponding to the rectangular window of Fig. 2(a) [see Fig. 3(a)] shows significant spectral structure (sidelobes) arising from the steep rising/falling edges of the waveform. The location of the sidelobes agrees well with that predicted for a perfectly rectangular window of approximately 2.9 ns duration. After the first sidelobe (occurring at roughly 500 MHz with an amplitude of approximately 13 dB relative to the main lobe), the sidelobes decay more rapidly than expected for a rectangular window; this is due to the fact that the actual window is smoother than the perfect rectangular window. Even so, the sidelobes decay rather slowly, reaching a level of 30 dB at a frequency of 1.65 GHz and a level of 40 dB at approximately 4.67 GHz. For the Gaussian window of Fig. 2(b), one would expect the main lobe of the RF spectrum to be appreciably wider than that of the rectangular window due to the decreased window duration in the time-domain. Also, the RF power spectrum is expected to show significantly less sidelobe structure as the abrupt rising/

4250

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006



Fig. 3. Normalized RF power spectra corresponding to the window functions shown in Fig. 2. (a) Power spectrum for the rectangular window function of Fig. 2(a); the dashed line shows the calculated power spectrum for a 2.9-ns duration rectangular window. Here, the measured power spectrum shows the significant sidelobe structure predicted for a rectangular window. The sidelobe levels for the rectangular window fall below 30 dB of the main lobe at approximately 1.65 GHz and decrease to 40 dB at 4.67 GHz. (b) Power spectrum for the Gaussian window of Fig. 2(b) (dashed line corresponds to the power spectrum of a perfect Gaussian window of the same 960 ps FWHM duration). The sidelobe levels of the Gaussian window decay much more rapidly than those for the rectangular window, reaching a level of 40 dB below the main lobe by 3.57 GHz.



0

0







0

falling edges evident in Fig. 2(a) have been almost entirely suppressed in Fig. 2(b) (ideally, only the main lobe should exist as a Gaussian in the time-domain transforms to a Gaussian in the frequency domain). The measured RF spectrum for the Gaussian window, shown in Fig. 3(b), clearly shows both of these effects. The main lobe in the measured RF power spectrum reaches a level of 30 dB at a frequency of 1.03 GHz; this value agrees well with that predicted for a perfect Gaussian window of comparable duration [dashed curve, power spectrum of the Gaussian fit in Fig. 2(b)]. The sidelobes for this window decay far more rapidly than those for the rectangular window—the sidelobes fall below 40 dB at a frequency of 3.57 GHz and remain below this level. The deviation of the measured power spectrum from the ideal Gaussian shape shown by the dashed curve in Fig. 3(b) is due to the fact that the steep rising/falling edges of the underlying rectangular time-domain window [see Fig. 2(a)] have been mostly, though not entirely, suppressed [see Fig. 2(b)]. The important point here is that not only does the window contribute a low-frequency structure that is confined near dc (the main and first few sidelobes for the rectangular window and the main lobe for the Gaussian window) as shown here, but also that the sidelobe levels away from dc overlap with the desired target RF spectrum; this leads to spectral broadening (leakage) arising in (5). As a note, our from the convolution system employs a 50-MHz laser source; the broadband RF spectral envelopes of our waveforms are, therefore, superposed with a 50-MHz frequency comb in the RF spectral measurements. For clarity, this effect has been removed in subsequent spectral measurements by plotting only the frequency bin maxima. In

Fig. 4. Broadband 228-ps RF impulse designed to have an extremely flat RF spectrum exhibiting a 4-GHz bandwidth at a center frequency of 5 GHz ( 80.4% BW). (a) Impulse synthesized with the rectangular window. (b) Impulse after apodization with the Gaussian window of Fig. 2(b). The RF spectrum for the waveform in (a) will show significant spectral broadening and distortion as compared to that of (b) due to the steep rising/falling edges of the rectangular window (see Fig. 5).



addition, all spectra presented here were acquired with a resolution bandwidth of 100 KHz. Since the width of an individual comb element is significantly less than this bandwidth, the measured PSD essentially corresponds to the energy of an individual comb element. As a result, our power spectra are displayed in units of dBm instead of dBm/Hz. A. Impulsive UWB Waveforms and the Frequency-Domain Effects of Time-Domain Apodization To illustrate how the choice of time-domain apodization window affects spectral broadening, we analyze the effects of the two windows above on a broadband impulse. The desired waveform is an impulse tailored to have a super-Gaussian spectral shape with a 4-GHz bandwidth (full width at power level) at a center frequency of approximately 5 GHz. This target spectrum is designed to exhibit a fractional bandwidth dB full-width/center frequency) of approxi( mately 80.4%. A super-Gaussian spectral shape was chosen to achieve an extremely flat RF power spectrum subject to the 3-ns time aperture of our apparatus. The target spectrum for this waveform—which corresponds to (1) in our design method—is given by (frequency is expressed in gigahertz)

(6) Fig. 4(a) illustrates the impulse achieved from our apparatus with no additional time-domain apodization (rectangular window) and Fig. 4(b) shows this impulse after apodization with the Gaussian window of Fig. 2(b). The ideal impulse (without the dc pedestal) has a calculated rms full-width duration of 216 ps—the value of 228 ps shown in Fig. 4(b) was obtained by filtering the fast Fourier transform (FFT) of the time-domain data to remove the dc pedestal. Fig. 5 compares the measured RF power spectra (normalized to the power spectral density at

MCKINNEY et al.: SHAPING THE POWER SPECTRUM OF UWB RF SIGNALS

Fig. 5. Measured RF power spectra (normalized) for the impulses in Fig. 4 illustrating the effect of the window function on the RF power spectrum. (a) Power spectrum for the impulse synthesized with a rectangular window and the target RF spectrum (dashed line). Significant spectral broadening and distortion is observed due to the sidelobe structure of the rectangular window. (b) Power spectrum for the Gaussian-apodized impulse and the predicted power spectrum resulting from the convolution of the target spectrum with that of the Gaussian fit in Fig. 2(b) (dashed line). Apodization of the time-domain impulse significantly decreases spectral broadening and distortion. Over the range of 3.5–6.5 GHz, the spectral amplitude fluctuations have been reduced by 4.7 dB as compared with the spectrum in (a).



5 GHz of 57 dBm) for these waveforms. In Fig. 5(a), the power spectrum of the nonapodized impulse is highly structured due to the approximately rectangular temporal window. The desired target spectrum described previously falls in the range of 3–7 GHz, where there is clearly a less structured portion of the spectrum; for illustration, the calculated target spectrum is shown by the dashed line. From dc to approximately 1 GHz, the baseband structure of the rectangular window dominates as 13-dB relation between the main lobe and evidenced by the first sidelobe occurring at roughly 500 MHz. In the frequency range from 1 to 3 GHz, spectral broadening due to the sidelobe structure of the window leads to significant spectral content with power levels either equal to (or only modestly below) that in the 3–7-GHz range. Moreover, within the bandwidth of the target spectrum, the spectral amplitude varies over 3.35 dB in the range of 3.5–6.5 GHz—which is a significant departure from the target RF spectrum. After a sharp decrease of roughly 10 dB near 7 GHz, the PSD gradually decays, falling below 20 dB of the 5-GHz power level at approximately 12.5 GHz. The power spectrum for the Gaussian-apodized impulse [see Fig. 5(b)] shows marked improvement with regard to both spectral broadening and spectral flatness. Again, below approximately 1 GHz, the spectral structure is dominated by the baseband spectral structure of the Gaussian apodization window. The spectral broadening in the range from 1–2.2 GHz is again due to the sidelobe structure of the apodization window; however, given that this sidelobe structure decays far more rapidly than the rectangular window does [see Fig. 2], this additional spectral content is, at its highest level, roughly 12 dB below ( 1.4 GHz) the power level of the target spectrum and is suppressed to a level of 20 dB at 2.2 GHz. In the region of the target spectrum, the measured power

4251

spectral density is extremely flat—in the range of 3.5–6.5 GHz, the spectral amplitude variations have been reduced to approximately 1 dB—which is a reduction of 4.7 dB in total amplitude fluctuation compared with the spectrum for the nonapodized impulse. The measured spectrum, though slightly broader than the target spectrum [dashed line in Fig. 5(a)] shows excellent agreement with the power spectrum predicted using the ideal time-domain impulse (IFFT of the target spectrum) and the fit to the Gaussian window shown in Fig. 2(b), shown here by the dashed line. Here, the measured spectrum has a 10-dB width of 4.3 GHz, which yields a fractional bandwidth %BW 86% (only 7% larger than the %BW of the target spectrum). The measured spectrum rolls off smoothly to 8 GHz, and, above this frequency, undesirable spectral content remains below 20 dB of the target spectral amplitude and is largely suppressed below 30 dB of this power level. Here, we utilize a simple time-domain apodization window to suppress unwanted frequency content in our waveforms. Alternatively, more complex apodization windows could be designed by utilizing iterative optimization techniques—such as those employed in the design of optical fiber Bragg grating filters [13]—to further suppress frequency content outside of the desired target spectrum. By utilizing proper apodization in the time domain, we are able to synthesize extremely broadband signals that exhibit excellent spectral amplitude uniformity. An intriguing example of this capability is the broadband impulse shown in Fig. 5(a). This impulse is designed to exhibit a super-Gaussian spectral envelope which spans the entire 3.1–10.6-GHz frequency band. In the time domain, the measured impulse shows an rms duration of 183 ps. The measured RF power spectrum (Fig. 5(b), normalized to the 62-dBm PSD at 6.7 GHz) shows a 10-dB bandwidth of 7.7 GHz at a center frequency of approximately 6.7 GHz, which yields a %BW of 115%. Over the central frequency band of 4–9 GHz, spectral amplitude fluctuations are limited to approximately 1.4 dB. Our goal in these experiments was to synthesize RF waveforms that achieve a high degree of spectral flatness. To that end, our examples emphasize a super-Gaussian shape for our target spectra. This technique may be applied to other spectral shapes as well. As another example, the time-domain waveform shown in Fig. 7(a) is tailored to have a 4-GHz bandwidth target spectrum centered at 8 GHz that has a steep increase ( 9 dB) in PSD. As with the impulse examples above, the time-domain waveform to achieve this spectrum does not have a closed-form expression; the appropriate optical filter function must be calculated from the target frequency-domain data. The measured power spectrum shown in Fig. 7(b) exhibits a 7.6-dB increase over an approximately 540-MHz frequency range near 8 GHz and shows good agreement with the target spectrum. IV. ACHIEVING INCREASED RF ENERGY AND PSD BY UTILIZING CHIRPED WAVEFORMS Given the operation of our system, i.e., mapping of the optical intensity to a time-domain electrical waveform, and assuming that the system is set for a fixed time aperture, the quantity that fundamentally determines the attainable RF energy and PSD in the output electrical waveform is the per-pulse energy from the

4252

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

optical source. To see this, again consider the voltage waveform shown in Fig. 2(a). As described previously, this waveform results when the optical filter function in our apparatus is set to unity amplitude transmission for all wavelengths; this waveform then shows the shape of the optical power spectrum in our system. More importantly, this waveform represents the peak voltage that may be achieved at any instant in the 2.9-ns time aperture of our apparatus. Thus, for a particular target RF power spectrum, the time-domain electrical signal that most efficiently utilizes the available optical energy will result in the largest RF PSD and waveform energy. Here, we show that the RF PSD and waveform energy may be increased on the order of 8 dB—for a given RF spectral shape and fixed input optical energy—by utilizing smoothly chirped waveforms instead of UWB impulses. This concept is similar to the use of chirped waveforms to avoid peak power limitations in optical or microwave amplifiers (e.g., optical chirped pulse amplification [14] or chirp radar applications [15]). For systems where the RF spectral content is of more importance than a minimal temporal duration, this provides a simple technique for increasing the RF energy without adding additional electronic amplification. To achieve linearly chirped waveforms in our system, we may directly specify a chirped sinusoid in the time domain and apply this waveform as the optical filter function in our apparatus [16]. An alternative method is to define a target RF spectrum as described above and to specify the RF spectral phase to be a quadratic function of frequency. In (1) then, the phase function becomes



Fig. 6. Ultrabroadband 183 ps impulse designed to exhibit an extremely flat super-Gaussian power spectrum which spans the frequency band of 3–10 GHz (115 % BW). (a) Measured time-domain waveform. (b) Measured RF power spectrum (normalized) and that predicted using the ideal Gaussian window and target RF impulse (dashed line).



time aperture, therefore, fundamentally sets the maximum frequency-dependent delay that may be achieved without reducing the bandwidth of the desired waveform. For a linearly chirped , the maximum chirp rate that may be signal with bandwidth utilized without adverse effects on the signal bandwidth is then found by solving (9) for the chirp rate and evaluating the result for a maximum delay spread equal to the time aperture and signal bandwidth of . The resulting expression is

(7) The appropriate optical filter function to achieve the chirped waveform is then determined as previously described—by sampling the IFFT of the practical RF amplitude spectrum [see (4)]. To achieve appreciable pulse broadening and the concomitant increase in waveform energy in our system for a waveform with , the chirp rate must satisfy the condition bandwidth [15], [17], [18]

(8) apart Practically, this condition means that two frequencies may be resolved in the time-domain waveform (i.e., that it is fairly heavily chirped). There is also an upper bound on the chirp rate that may be achieved which is dictated by the time aperture of our apparatus. To see this, consider the frequency-depen1 dent delay that arises from the spectral phase variation

(9) , if the chirp rate is chosen For a signal with bandwidth such that the delay spread across the signal bandwidth is greater , frequencies falling outthan the time aperture side the time aperture are filtered from the waveform. The 1This

assumes exp(j!t) time dependence.

(10) Subject to the moderate chirp constraint in (8) and the time may aperture limitation expressed in (10), the chirp rate be chosen as desired. Though we focus on linearly chirped waveforms here (quadratic spectral phase variations), nonlinear chirps are accessible in our apparatus as well—subject to the limitations on the frequency-dependent delay set by the system time aperture. To illustrate the increases in energy and PSD that may be achieved using chirped waveforms, we focus on the broadband super-Gaussian spectra associated with the impulses in Figs. 4 and 6. To observe the effect of linearly chirping these waveforms, we again define our target RF spectra to exhibit the same spectral magnitude and apply a quadratic spectral phase , with defined in of the form of (7), setting (10) as

(11) corresponds to the center frequency. In addition, we where utilize the rectangular time-domain window for our chirped waveforms; though this window will introduce spectral amplitude fluctuations (spectral broadening as discussed in Section III-A), apodization in the time domain decreases the system time aperture and reduces the maximum chirp rate given

MCKINNEY et al.: SHAPING THE POWER SPECTRUM OF UWB RF SIGNALS

Fig. 7. RF waveform tailored to exhibit an RF power spectrum centered at 8 GHz that exhibits a sharp increase in PSD. (a) Measured time-domain voltage waveform. (b) Measured power spectrum showing a 7.6-dB increase in power spectral density at 8 GHz.



in (10). Therefore, in this technique, the benefits of increased waveform energy and PSD are achieved at the expense of PSD uniformity. The amplitude variations across the rectangular window (optical power spectrum) [see Fig. 2(a)] will introduce additional spectral distortions on chirped waveforms given the time-dependent frequency variation of these waveforms (whereas this effect is quite small for impulses that utilize only a fraction of the window)—these distortions may be removed through equalization of the optical power spectrum, although this is not performed in the current study. When a quadratic spectral phase variation ns rad is applied to the 4 GHz bandwidth super-Gaussian spectrum corresponding to the impulse in Fig. 4(b), the chirped waveform of Fig. 8(a) results. Here, ps and the chirped waveform is clearly broader spends a longer time near the peak voltage level of 80 mV than the impulses of Fig. 4. In Fig. 8(b), the bold curve shows the measured power spectrum of the chirped waveform in Fig. 8(a); the spectrum has been normalized to the PSD of the impulse Fig. 5(b) at 5 GHz 57 dBm). Clearly, the PSD of the chirped waveform has ( been increased relative to that of the impulse (shown here by the light curve). Assuming that the chirp-rate is chosen such that no spectral narrowing occurs, the bandwidth of these two waveforms remains the same. Therefore, the energy increase achieved by utilizing a linearly chirped waveform is linearly proportional to the waveform duration. Additionally, any peak voltage variations between the waveforms will contribute quadratically to the waveform energy. Therefore, as a predictor of the increase in energy (in decibels) for the chirped waveform, we use the following relation:

(12) where , , , and are the full-width rms durations and peak voltages amplitudes of the oscillatory portions of the

4253

Fig. 8. Given the peak-voltage limitation of our apparatus, the use of chirped waveforms provides a simple way to increase the waveform energy and RF PSD. (a) Chirped time-domain waveform resulting from the target spectrum corresponding to the impulse of Fig. 4(a) with a quadratic RF spectral phase. (b) Measured power spectrum (bold curve) for the waveform in (a). For comparison, the spectrum for the apodized impulse of Fig. 4(b) is shown by the light curve. Here, the chirped waveform exhibits an average increase in PSD of approximately 6.2 dB over the 3–7-GHz frequency range. Note that the spectra here have been normalized to the PSD of the apodized impulse [see Fig. 4(b)] 57 dBm. at 5 GHz of

0

chirped and impulsive waveforms, respectively. Based on this relation, the predicted energy increase for the chirped waveform ps, mV) relative to that of the in Fig. 8(a) ( ps, mV) is approximpulse in Fig. 4(b) ( imately 6.4 dB. This value agrees quite well with the 6.3-dB increase in waveform energy (calculated by integrating the magnitude squared of the FFT of the time-domain data over the 3–7-GHz frequency band). If we make the (idealized) assumption that this energy is distributed uniformly over the signal bandwidth, the increase in signal energy also corresponds to the increase in the PSD for the chirped waveform. The measured average increase in PSD of 6.2 dB over the 3–7-GHz band again agrees quite well with the measured increase in energy, as well as that predicted from (12). As described earlier, the use of a (nonuniform) rectangular window will decrease the uniformity of the PSD for the chirped waveform. Here, the measured PSD variation is approximately 3.1 dB over the 3.5–6.5-GHz range. As another example, when we apply a quadratic spectral ns rad to the target spectrum correphase sponding to the impulse of Fig. 6(a) the up-chirped waveform of Fig. 9(a) results. The measured power spectrum for this waveform is shown by the bold curve in Fig. 9(b) (normalized to the PSD of the impulse in Fig. 6—shown by the light curve—at a frequency of 6.7 GHz). Here, the rms time duration of the ps and waveform has increased to approximately mV. The prethe peak oscillatory voltage value is dicted energy increase [see (12)] over the impulse of Fig. 6(a) ps and mV) is dB. The ( measured energy increase over the 3–11-GHz band is approximately 7.7 dB, which agrees well with the predicted value. The 1.3-dB discrepancy between the predicted and actual energy increase is attributed to spectral broadening and distortion due

4254

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

REFERENCES

Fig. 9. (a) Linearly chirped time-domain waveform achieved by applying a quadratic spectral phase to the target spectrum of the impulse shown in Fig. 6(a). (b) Measured power spectrum for the chirped waveform (bold) and that of the impulse in Fig. 6(a) (light) normalized to the PSD of the impulse at 6.7 GHz. Here, the chirped waveform exhibits an average increase of approximately 8 dB over the 3–11-GHz frequency range.

to the rectangular waveform window—(12) does not account for this effect. The average PSD increase across the 3–11-GHz 8 dB, which again agrees well with the measured band is energy increase. Over the range of 4–9 GHz, the spectral amplitude variation is approximately 2.8 dB. As these examples illustrate, control of the RF spectral phase in addition to the spectral amplitude enables broadband time-domain waveforms to be tailored to maximize the RF energy and PSD. Here, we have demonstrated that our apparatus enables energy increases on the order of 8 dB for waveforms that exhibit up to 115% fractional bandwidths. Larger energy increases could be achieved by increasing the time–bandwidth product of our apparatus by lengthening the time aperture —this would enable larger chirp rates to be utilized for a fixed RF bandwidth. For a fixed bandwidth of 11 GHz, a factor-of-two increase in time aperture is a reasonable estimate for our current apparatus. V. CONCLUSION We have demonstrated the capability of our photonics-based electromagnetic pulse shaper to tailor the power spectral density of UWB RF signals. We demonstrate that proper time-domain apodization enables us to control out-of-band frequency content and to achieve extremely flat PSDs for signals exhibiting up to 115% fractional bandwidth. In addition, we demonstrate that the use of chirped waveforms allows us to circumvent the peak voltage limitation of our apparatus to achieve increased waveform energy and PSD. We expect that our technique could prove quite useful as a signal conditioning element or signal prototyping apparatus for a variety of UWB systems. ACKNOWLEDGMENT This work was performed at Purdue University, West Lafayette, IN.

[1] M. Z. Win and R. A. Scholtz, “Ultra-wide bandwidth time-hopping spread-spectrum impulse radio for wireless multiple-access communications,” IEEE Trans. Commun., vol. 48, no. 4, pp. 679–691, Apr. 2000. [2] M. Farhang and J. A. Salehi, “Spread-time/time-hopping uwb cdma communication,” in Proc. IEEE Int. Symp. Commun. Inf. Technol. (ISCIT 2004), Oct. 2004, pp. 1047–1050. [3] J. S. Lee, C. Nguyen, and T. Scullion, “A novel, compact, low-cost, impulse ground-penetrating radar for nondestructive evaluation of pavements,” IEEE Trans. Instrum. Meas., vol. 53, no. 6, pp. 1502–1509, Dec. 2004. [4] J. Han and C. Nguyen, “Ultra-wideband electronically tunable pulse generators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 112–114, Mar. 2004. [5] First Report and Order eT Docket 98-153 (Revision of Part 15 of the Commission’s Rules Regarding Ultra-Wideband Transmission Systems), Fed. Commun. Commission, adopted Feb. 14, 2002, released Apr. 22, 2002. [6] I. S. Lin, J. D. McKinney, and A. M. Weiner, “Photonic synthesis of broadband microwave arbitrary waveforms applicable to ultra-wideband communication,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 4, pp. 226–228, Apr. 2005. [7] J. Chou, Y. Han, and B. Jalali, “Adaptive RF-photonic arbitrary waveform generator,” IEEE Photon. Technol. Lett., vol. 15, no. 4, pp. 581–583, Apr. 2003. [8] A. M. Weiner, “Femtosecond pulse shaping using spatial light modulators,” Rev. Sci. Instrum., vol. 71, no. 5, pp. 1929–1960, May 2000. [9] S. Osawa, N. Wada, K. Kitayama, and W. Chujo, “Arbitrarily-shaped optical pulse train synthesis using weight/phase-programmable 32-tapped delay line waveguide filter,” Electron. Lett., vol. 37, pp. 1356–1357, 2001. [10] T. Yilmaz, C. M. DePriest, T. Turpin, J. H. Abeles, and P. J. Delfyett, “Toward a photonic arbitrary waveform generator using a modelocked external cavity semiconductor laser,” IEEE Photon. Technol. Lett., vol. 14, no. 11, pp. 1608–1610, Nov. 2002. [11] Z. Jiang, D. E. Leaird, and A. M. Weiner, “Line-byline pulse shaping control for optical arbitrary waveform generation,” Opt. Express, vol. 13, pp. 10431–10439, 2005. [12] F. J. Harris, “On the use of windows for harmonic analysis with the discrete fourier transform,” Proc. IEEE, vol. 66, no. 1, pp. 51–83, Jan. 1978. [13] G. Tremblayand, J.-N. Gillet, Y. Sheng, M. Bernier, and G. Paul-Hus, “Optimizing fiber bragg gratings using a genetic algorithm with fabrication-constraint encoding,” J. Lightwave Technol., vol. 23, no. 12, pp. 4382–4386, Dec. 2005. [14] P. Maine, D. Strickland, P. Bado, M. Pessot, and G. Mourou, “Generation of ultrahigh peak power pulses by chirped pulse amplification,” IEEE J. Quantum Electron., vol. 24, no. 2, pp. 398–403, Feb. 1988. [15] J. R. Klauder, A. C. Price, S. Darlington, and W. J. Albersheim, “The theory and design of chirp radars,” Bell Syst. Tech. J., vol. 39, no. 4, pp. 745–808, Jul. 1960. [16] J. D. McKinney, I. S. Lin, and A. M. Weiner, “Tailoring of the power spectral density of ultrawideband RF and microwave signals,” in Proc. IEEE/LEOS Summer Topical Meetings, San Diego, CA, Jul. 25–27, 2005. [17] A. Papoulis, “Pulse compression, fiber communications, and diffraction: a unified approach,” J. Opt. Soc. Amer. A, vol. 11, no. 1, pp. 3–13, Jan. 1994. [18] F. G. Sun, Z. Jiang, and X.-C. Zhang, “Analysis of terahertz pulse measurement with a chirped probe beam,” Appl. Phys. Lett., vol. 73, no. 16, pp. 2233–2235, Oct. 1998. Jason D. McKinncy (M’03) received the Ph.D. degree in electrical engineering from Purdue University, West Lafayette, IN, in 2003. From July 2001 to May 2003, he was a Graduate Assistance in Areas of National Need (GAANN) Fellow; as such, he was active in both teaching and research at Purdue. His doctoral work included the first demonstration of ultrafast optical pulse-shaping techniques for synthesis of arbitrarily shaped millimeter waveforms exhibiting arbitrary phase and frequency modulation at center frequencies up to 50 GHz. After completing his doctoral work, he was a Visiting Assistant Professor (2003–2005) and a Research Scientist (2005–2006) with the School of Electrical and Computer Engineering, Purdue University. He is currently a Research

MCKINNEY et al.: SHAPING THE POWER SPECTRUM OF UWB RF SIGNALS

Scientist with SFA Inc., U.S. Naval Research Laboratory, Washington, DC. His research interests include low-noise, high-power analog optical links, ultrafast optical pulse processing, and applications of photonics in ultrabroadband microwave systems. He has published over nine journal papers, one book chapter, and. authored or coauthored over 22 conference papers. Dr. McKinney is a member of the Optical Soceity Society America. He was the recipient of a variety of awards for his research, most notably, the Chorafas Prize for Doctoral Research in 2003 (awarded to one Purdue doctoral student per year) and as a finalist for the Optical Society of America/New Focus Student Award (2002). He was also the recipient of numerous awards in recognition of his teaching and is an Associate Fellow of the Purdue University Teaching Academy.

Ingrid S. Lin (S’02) received the B.S. degree (with highest honors) in communication engineering from National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., in 2002, and is currently working toward the Ph.D. degree at Purdue University, West Lafayette, IN. She received a Graduate Fellowship (supported by General Electric) from Purdue University, where she is currently a Research Assistant with the School of Electrical and Computer Engineering. Her current research interest is in ultrafast optics focusing on optical pulse shaping, arbitrary waveform generation, and RF photonics with applications in Ultra-wide bandwidth communication. She has authored one journal paper and has authored or coauthored five conference papers. Ms. Lin is a member of the IEEE Lasers and Electro-Optics Society. She was listed in the National Science Foundation Graduate Fellowship Honorable Mentions in 2003. She was also a recipient of the Graduate Assistance in Areas of National Need (GAANN) Fellowship (2003–2006).

4255

Andrew M. Weiner (F’95) received the Sc.D. degree in electrical engineering from the Massachusetts Institute of Technology (MIT), Cambridge, in 1984. From 1979 through 1984, he was a Fannie and John Hertz Foundation Graduate Fellow with MIT. Upon graduation, he joined Bellcore, first as a Member of Technical Staff and later as a Manager of Ultrafast Optics and Optical Signal Processing Research. He joined Purdue University, West Lafayette, IN, in 1992 and is currently the Scifres Distinguished Professor of Electrical and Computer Engineering. From 1997 to 2003, he served as the Electrical and Computer Engineering Director of Graduate Admissions. His research focuses on ultrafast optical signal processing and high-speed optical communications. He is especially well known for pioneering the field of femtosecond pulse shaping, which enables the generation of nearly arbitrary ultrafast optical waveforms according to user specification. He has authored five book chapters and over 160 journal papers and coedited one book. He has authored or coauthored over 300 conference papers, including approximately 80 conference invited talks, and has presented over 70 additional invited seminars at university, industry, and government organizations. He holds eight U.S. patents. Prof. Weiner is a Fellow of the Optical Society of America. He was the recipient of numerous awards for his research, including the Hertz Foundation Doctoral Thesis Prize (1984), the Adolph Lomb Medal of the Optical Society of America (1990), awarded for pioneering contributions to the field of optics made before the age of thirty, the Curtis McGraw Research Award of the American Society of Engineering Education (1997), the International Commission on Optics Prize (1997), the IEEE LEOS William Streifer Scientific Achievement Award (1999), the Alexander von Humboldt Foundation Research Award for Senior U.S. Scientists (2000), and the inaugural Research Excellence Award from the Schools of Engineering at Purdue (2003).

4256

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

An Analysis of Perfect-Magnetic-Coupling Ultra-Low-Loss Micromachined SMIS RF Transformers for RFIC Applications Hsiao-Bin Liang, Yo-Sheng Lin, Senior Member, IEEE, Chi-Chen Chen, Po-Feng Yeh, Yan-Ru Tzeng, Tao Wang, and Shey-Shi Lu, Senior Member, IEEE

Abstract—Selective removal of the silicon underneath a set of single-turn multilayer interlaced stacked (SMIS) radio-frequency (RF) transformers with nearly perfect magnetic-coupling factor ( IM 1) and high resistive-coupling factor ( Re ) is demonstrated. This process is based on the inductively coupled-plasma (ICP) deep trench technology. Improvement of 20.6 and 15.7 dB in isolation ( 21 ) were achieved at 5.2 and 8 GHz, respectively, for a dummy open device after the backside ICP etching. -factor increases of 102% (from 4.96 to 10.03) and 23.2% (from 2.24 to 2.76), max increases of 11.8% (from 0.76 to 0.85) and 4.5% (from 0.88 to 0.92), and NFmin decreases of 0.49 dB (from 1.22 to 0.73 dB) and 0.19 dB (from 0.55 to 0.36 dB) were achieved at 5.2 and 8 GHz, respectively, for an SMIS transformer with an overall dimension of 170 240 m2 after the backside ICP etching. The max of 0.85 and 0.92 are both state-of-the-art results among all reported on-chip transformers. Furthermore, the reasons why the SMIS transformer exhibits better performances than the traditional bifilar and the traditional stacked transformer are explained. These results show that the micromachined SMIS transformers are very promising for RF integrated circuit applications. Index Terms—Inductively coupled plasma (ICP), magnetic coupling, quality factor ( -factor), radio-frequency integrated circuit (RFIC), single-turn multilayer interlaced stacked (SMIS), transformer, ultra-low-loss.

I. INTRODUCTION

R

ECENTLY, mixed-signal/radio-frequency (RF) CMOS and BiCMOS processes have become more and more popular for RF integrated circuits (ICs) operated in the 5-GHz band [1], [2] and even in the higher 24–100-GHz bands [3]–[6]. In the design of ultra-low-voltage and high-performance voltage-controlled oscillators (VCOs) [7], [8] and low-noise

Manuscript received March 16, 2006; revised May 20, 2006. This work was supported by the National Science Council of the R.O.C. under Contract NSC95-2212-E-260-001, Contract NSC94-2212-E-260-002, Contract NSC93-2212-E-260-003, Contract NSC92-2212-E-002-091, Contract NSC92-2212-E-260-001, Contract 1EC17A05-S10017, and Contract NSC93-2752-E-002-002-PAE. H.-B. Liang, Y.-S. Lin, C.-C. Chen, P.-F. Yeh, and Y.-R. Tzeng are with the Department of Electrical Engineering, National Chi Nan University, 545 Puli, Taiwan, R.O.C.. T. Wang and S.-S. Lu are with the Graduate Institute of Electronics Engineering and Department of Electrical Engineering, National Taiwan University, 106 Taipei, Taiwan, R.O.C. (e-mail: [email protected]). Color versions of Figs. 3 and 4 and Tables II–IV are available online at http:// ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.885901

[or noise figure amplifiers (LNAs) [9], the power gain (NF)] performance of the transformers used is crucial for the phase noise performance of the VCOs and the NF performance (or NF) performance of monoof the LNAs. However, the lithic RF transformers fabricated on normal silicon substrates 300 m is usually not satisfactory mainly due to the silicon , which substrate loss and the magnetic-coupling factor is not sufficiently high. Various methods have been proposed to reduce the silicon substrate loss of RF passive devices, such as the adoption of high-resistivity silicon substrate [10], front-side and backside micromachining [11]–[16], making silicon substrate porous [17]–[19], proton implantation [20], substrate transfer [21], and silicon substrate thinning [22]. However, most of the proposed methods are very difficult, if not impossible, to be integrated into the standard CMOS technology due to their inherent nonstandard CMOS processing steps. For example, all of the classical micromachining techniques in [11]–[16] include at least a wet-etching process step, which is not compatible with the standard CMOS technology. For large-diameter (4–12-in) wafers used in the industry, it is very difficult (or nearly impossible) to uniformly thin down the silicon substrate to about 20 m (just like that in [22] to reduce the silicon substrate loss) without breaking it. In addition, the patterned ground shields (PGSs) method, while being compatible with CMOS technology, has the drawbacks of limited improvement . of -factor and reduction of self-resonant frequency Fortunately, these problems can be improved to a large extent by our proposed backside inductively coupled-plasma (ICP) deep trench technology, which has been successfully applied to improve the performances of RF inductors [23] and their RFICs [24], [25]. Compared with the traditional backside wet bulk micromachining in [13], the dry ICP etching has the advantages of forming vertical sidewalls and being fully CMOS-process-compatible since it is a standard processing technique in modern CMOS technology. In addition, recently, it has been demonstrated that high can be obtained by using an exactly stacked (i.e., no offset between the primary coil and the secondary coil) single-turn transformer structure with a very small vertical distance (VD) of 0.04 m. The very small VD is achieved by using the top (CTM) and the bottom metal layer (M5) of the metal–insulator–metal (MIM) capacitor, respectively, as the primary and secondary coils of the transformer [26]. However, this structure suffers from low -factor performance of the 0.15 m . primary coil mainly due to its thin CTM layer

0018-9480/$20.00 © 2006 IEEE

LIANG et al.: ANALYSIS OF PERFECT-MAGNETIC-COUPLING ULTRA-LOW-LOSS MICROMACHINED SMIS RF TRANSFORMERS FOR RFIC APPLICATIONS

In addition, large parasitic capacitance exists between the primary and secondary coils, which degrades the -factor performance of both the primary and secondary coils. Therefore, in this study, the single-turn multiple-layer interlaced stacked (SMIS) transformer structure which can overcome the abovementioned drawbacks and achieve a nearly perfect , and a high resistive-coumagnetic-coupling factor pling factor is adopted [27]–[30]. and high are obtained because the equivalent VD of the SMIS structure is roughly zero. Besides that, the CMOS-compatible backside ICP deep trench technology, which selectively completely removes the conductive silicon substrate underneath the transformers, is used to reduce the silicon substrate loss (or to improve the -factor performances of both the primary and secondary coils). In our previous work [31], only two SMIS transformers for 5-GHz-band applications are briefly introduced. In this study, we give a detailed investigation of a complete set of SMIS transformers with operation frequency up to 40 GHz. In addition, some simulation and modeling data are added and discussed. In Section II, the backside ICP deep trench technology is introduced. In Section III, a detailed description of the transformer structures studied in this paper (including the SMIS transformer, a traditional stacked transformer, and a traditional bifilar transformer) is presented. In Section IV, a complete small-signal model of a transformer on silicon is proposed. Some analytical expressions are derived to explain the measurement results of the transformers. In Section V, we demonstrate the measurement results and provide some discussions. Satisfactory results are obtained for SMIS transformers for 5-GHz-band wireless local access network (WLAN) and -band (26–40 GHz) RFIC applications. A comparison of the SMIS transformer with the traditional stacked transformer and the traditional bifilar transformer is also given. Section VI presents the conclusions.

4257

Fig. 1. Process steps of the backside ICP deep trench etching technology suitable for a small-area chip such as the one is in this study.

II. DEEP TRENCH TECHNOLOGY The processing steps of our backside ICP deep trench technology are shown in Fig. 1 and are described as follows. First, 5 mm for the ease of chip handling, the front side of the die 5 mm with transformers was mounted to a glass substrate with S1813 adhesive. This process step can be omitted when applied in mass production because the wafer diameter is large. Then, the silicon substrate was thinned down to about 100 m by mechanical lapping. Second, the wax was softened by heating, so that the glass substrate in the front-side of the die could be removed. Then, the die was cleaned with acetone followed by mounting the front-side of the 100- m-thick die to a glass substrate with S1813 adhesive. The reason why the die was removed from the glass to do the cleaning process and then remounted for further processing is explained as follows. If the chip had not been removed from the glass substrate in advance, in the cleaning process with acetone, part of the adhesive on the front-side of the die would have dissolved and polluted the backside of the die. Third, photoresist SU8 was spun on the backside of the sample. After standard photolithography processing on the backside of the die, the ICP dry etching was used to remove the silicon underneath the transformers. The main gases

Fig. 2. Process steps of the backside ICP deep trench etching technology suitable for large-diameter (4–12 in) wafers in the industry.

used during the ICP etching process were alternate SF (for etching) and C F (for passivation) with a 17-s cycle. Since the ICP etching rate was approximately 2 m min, the total etching time was approximately 50 min. Finally, the adhesive and photoresist which covered the front-side and the backside of the die, respectively, were removed for test purpose. For the present small 5 mm 5 mm chip, it is found that the yield is 100% in the laboratory. Note that the first two process steps, i.e., thinning the silicon substrate from 300 to 100 m, can be omitted to simplify the process [25] and to avoid breaking the large-diameter wafers in the industry. The price to be paid is the increase of the total etching time to about 150 min because the silicon substrate to be etched is 300- m thick. In addition, for large-diameter wafers used in the industry, etching uniformity becomes

4258

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 3. (a) Top-view die photograph, (b) 3-D schematic diagram, and (c) backside die photograph of a six-layer SMIS transformer with metal width of 10 m and overall dimension of 170 m 240 m. The layout parameters of the transformer are also shown in (a).

2

a serious issue. A feasible solution is adding an etching stopping layer with high etching selectivity (e.g., SiO N ) below the transformers in the front-end of the CMOS process [i.e., on the top of shallow trench isolation (STI)]. In addition, mounting the silicon wafer on a carrier (glass) substrate (for ease of handling the ICP etching) induces some factors for nonuniformity, such as planarization, temperature control, and air pockets between glass and silicon. Fortunately, for large-diameter wafers adopted in the industry, no carrier substrate is needed, so the abovementioned factors for nonuniformity do not exist. Fig. 2 shows the process steps of the backside ICP deep trench etching technology suitable for large-diameter wafers in the industry. Because ICP is a standard process technique in modern CMOS technology, the process steps in Fig. 2 are compatible with the standard RFIC process. III. TRANSFORMER STRUCTURE The SMIS transformers under study were fabricated with an 0.18- m mixed-signal/RF CMOS technology on a p-type silicon substrate (resistivity: 10 cm) with thickness of 300 m. The main features of the back-end processes are as follows. There are six metal layers M1–M6 from the bottom to the top. The thickness of M6 is 0.99 m and that of M1–M5 is 0.53 m. The oxide thickness between M6 and M5, between other adjacent metal layers, and between M1 and the silicon substrate is 1, 0.85, and 0.75 m, respectively. A set of two-layer, four-layer, and six-layer SMIS transformers with various metal widths (1.5, 3, 9.5, and 10 m) and various inner dimensions (50 50 m , 100 100 m , 150 150 m , 141 211 m , and 150 220 m ) were fabricated and studied. The key layout parameters and extracted parameters (will be discussed in Sections IV and V) of some representative transformers are summarized later. Fig. 3(a) and (b) shows the top-view die photograph and the three-dimensional (3-D) schematic diagram of a six-layer SMIS transformer with a metal width of 10 m, coil spacing (between the primary and secondary coils) of 5 m, and inner 220 m (or overall dimension of dimension of 150 m 170 m 240 m). Port-1 and Port-2 stand for the input and output ports of the network analyzer, respectively. Some of the transformers have gone through the CMOS-compatible backside ICP dry etching so that the silicon substrate effect on the performances of the transformers could be studied.

Specifically, the silicon substrate below the rectangular area surrounded by the dash line as indicated in Fig. 3(a) was fully dry etched away. After the removal of the backside silicon, the thickness of the transformer structure was about 9.14 m. Because the transformers-on-air are connected to silicon substrate with a thickness of 100–300 m, their mechanical stability and structure reliability should be no problem. Fig. 3(c) shows the backside die photograph of the six-layer SMIS transformer, where the exposed front-side on-chip transformer is visible to the naked eye and the sidewalls of the “drilled” or micromachined holes are virtually vertical. For comparison, a traditional vertically stacked transformer was also fabricated by the same 0.18- m CMOS technology. Its top-view photograph and layout parameters are shown in Fig. 4(a). Fig. 4(b) shows the 3-D schematic diagram of the stacked transformer. To minimize the parasitic capacitance between the primary and the secondary coil, the primary turns are offset with respect to the secondary turns [32]. In addition, a traditional bifilar transformer was also fabricated with a 0.35- m SiGe BiCMOS technology on a p-type silicon substrate with cm. Its top-view thickness of 318 m and resistivity of 10 photograph and layout parameters are shown in Fig. 4(c). Fig. 4(d) shows the backside die photograph of the bifilar transformer after the backside ICP etching. The main features of the back-end processes of the BiCMOS technology are as follows. There are three metal layers. We name the metal layers M1–M3 from the bottom to the top. The thickness of M3 is 3.05 m and that of M1 and M2 is 0.665 m. The oxide thickness is 1 m between adjacent metal layers and 1.1 m between M1 and the silicon substrate. The reason why this technology was adopted is because of its thick top metal of 3.05 m, which is beneficial for improving the performance of the bifilar transformer. We will demonstrate later that the performance of a SMIS transformer with thin top metal of 0.99 m is even better than that of the bifilar transformer with a thick top metal of 3.05 m. IV. SMALL-SIGNAL MODEL AND SOME USEFUL EXPRESSIONS Fig. 5(a) shows our proposed complete small-signal equivalent circuit model of the transformers. The inductor model in [33], which models the silicon substrate loss by transformer loops, was adopted to model both the primary and secondary coil inductors of the transformers. The transformer loops, inand , inductances and cluding resistances

LIANG et al.: ANALYSIS OF PERFECT-MAGNETIC-COUPLING ULTRA-LOW-LOSS MICROMACHINED SMIS RF TRANSFORMERS FOR RFIC APPLICATIONS

4259

Fig. 4. (a) Top-view die photograph and layout parameters and (b) 3-D schematic diagram of a stacked transformer with a turn ratio of 1:2. (c) Top-view die photograph and layout parameters and (d) backside die photograph of a bifilar transformer with a turn ratio of 3:3.

, and mutual inductances and , take into account the effects of frequency-dependent loss in the silicon substrate, such as substrate skin effect and eddy current loss. The and can be viewed as partly repmutual inductances resenting the inductive coupling between the spiral metal wires and and the semi-conducting silicon substrate. In addition, represent the self-inductance and resistive loss on the metal and model the skin effects of the metal coils at coils. and model the parasitic capacitance high frequencies. consisting of the overlap capacitance (between the primary and secondary coils) and the fringing capacitance (between metal wires) of the primary and secondary coils, respectively. The oxide capacitance between the metal wires and the substrate is and .( , ) and ( , ) modeled by model the loss of the silicon substrate under the primary and secondary coil inductors, respectively. Table I shows the extracted parameters of the SMIS transformer T9 both before and after the backside ICP dry etching. Because the substrate resistivity before the ICP etching (10 cm) is not low, it is enough to include one transformer loop in the primary and secondary parts of the model to take into account the effects of frequency-dependent loss, such as substrate skin effect and eddy current loss. In addi, , tion, only the metal-line-related parameters ( , , ) were considered for the primary and secondary coil inand ductors for the transformer after the backside ICP etching because the corresponding substrate impedance is very high (i.e., substrate loss is negligible). In this study, in addition to the extraction of the small-signal parameters (i.e., modeling) from the measured -parameters, full-wave electromagnetic (EM) simulation tools such as Sonnet Software’s SONNET and “Mo-

mentum” of Agilent’s advanced design system (ADS) were used to simulate the characteristics of the SMIS transformers. Hence, the geometries of the transformer corresponding to a specific frequency-range can be derived. Fig. 6(a)–(d) shows the mea, , , sured, modeled, and simulated versus frequency characteristics of the SMIS transand former T9 before the backside ICP etching. As can be seen, the modeled and simulated results conform to the measured ones very well. Actually, of all the SMIS transformers studied in the study, the measured, modeled, and simulated results are all very close. This demonstrates the validity of the measured data and the created small-signal models of the SMIS transformers. A more detailed discussion will be given in Section V. Fig. 5(b) is a simplified small-signal equivalent circuit model and series inof the transformers. The series resistance of the primary coil inductor can be expressed ductance as follows:

(1)

(2) The expressions of and are similar to those of and , respectively. Note that the series resistance

4260

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

Fig. 5. (a) Complete, (b) simplified, and (c) further simplified small-signal equivalent circuit model of a transformer on a silicon substrate. TABLE I EXTRACTED SMALL-SIGNAL PARAMETERS OF THE SMIS TRANSFORMER T9 BEFORE AND AFTER THE BACKSIDE ICP ETCHING

(4) in which

(5) (6) (7)

is larger than the series resistance at low frequencies , while the series inductance is smaller than the series inductance at low frequencies if the frequency-dependent loss effects are taken into account. Fig. 5(c) shows a further simplified small-signal equivalent circuit model of the transformers. The expressions of effective and effective series inductance series resistance of the primary coil are as follows:

and are similar to those of The expressions of and , respectively. Based on the small-signal model shown in Fig. 5(c), it is convenient to use the -paramto characterize the electrical properties eters of the transformers. The expressions of are as follows: , , and . In terms of the -parameters, the is given by [21] maximum available power gain (8) in which

(3)

(9)

LIANG et al.: ANALYSIS OF PERFECT-MAGNETIC-COUPLING ULTRA-LOW-LOSS MICROMACHINED SMIS RF TRANSFORMERS FOR RFIC APPLICATIONS

4261

Fig. 6. Measured, modeled, and simulated: (a) Re(Z ), (b) Re(Z ), (c) Im(Z ), and (d) Im(Z ) versus frequency characteristics of the SMIS transformer T9 before the backside ICP etching.

where

[see (5)] and

represent the equivalent single-port (i.e., one port is short with the other coil open) -factor of the primary and secondary coil inductors, respectively, of the transformer. In addition, expressions of and the resistive-coupling the magnetic-coupling factor are as follows: factor

(10) (11) Once the noise figure

of a transformer is obtained, its minimum can be expressed as follows [34]:

(12) where

,

, and represent maximum available power

gain, minimum power loss, and stability factor, respectively. and (i.e., increasing and Clearly, increasing ) leads to a larger and , i.e., a smaller , and, therefore, a higher and a lower (or ). This , , explains why backside ICP etching can improve the , and of a transformer effectively. V. RESULTS AND DISCUSSIONS A. Dimension Effects on SMIS Transformers The frequency-dependent -parameter measurements were performed from 0.1 to 40 GHz by an Agilent’s 8510C vector network analyzer. -parameters of a dummy open structure both before and after the backside ICP etching were measured for pad deembedding. In addition, -parameters of a set of dummy through structures (with transmission-line width of 5, 10, and 20 m) both before and after the backside ICP etching were measured for the purpose of extracting the trans(characteristic impedance) and mission-line parameters (propagation constant). After deembedding the probe-pad parasitics of the dummy through structures, per-unit-length and (corresponding to transmission-line parameters widths of 5, 10, and 20 m) can be evaluated [35], [36]. Then, transformers with various device sizes and interconnect lengths can be individually deembedded with the application of the interconnect scalability. In the following, we discuss the dimension effects on the SMIS transformers. Table II shows a detailed comparison of the extracted parameters between two-layer, four-layer, and six-

4262

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

TABLE II DETAILED COMPARISON OF THE EXTRACTED PARAMETERS BETWEEN TWO-LAYER, FOUR-LAYER, AND SIX-LAYER SMIS TRANSFORMERS (ON NORMAL SILICON SUBSTRATE) WITH VARIOUS INNER DIMENSIONS (50 50 m , 100 100 m , AND 150 150 m )

2

layer SMIS transformers (on normal silicon substrate) with var50 m , 100 100 m , and ious inner dimensions (50 150 150 m ). From the results of transformers T1–T5, we can see that decreasing the inner dimension or the layer numof the primary and secondary coils of the bers will increase transformer. In addition, in the frequency range of 36–40 GHz, of 0.884–0.913, high of 0.975–0.983, and high high of 0.852–0.799 (or low of 0.695–0.977 dB) were achieved for transformer T4. To the best of the authors’ knowledge, this is the best result of transformers on silicon in the -band (26–40 GHz) ever reported. For higher frequency applications, scaled-down SMIS transformers should be adopted. However, the performances of the scaled-down SMIS transformers are restricted by the limitations set by the fabrication process. For example, reducing the dimension of a transformer will increase the etching depth-to-width ratio (if the area to be etched is roughly equal to the overall dimension of the transformer), which leads to incomplete etching. A feasible solution is to fix the layout area enclosed by the test pads of each device in the testkey [see the dash line in Fig. 3(a)], such as 350 m 300 m. Then, optimize the backside ICP process which focuses on this specific 350 m 300 m large-size exposed area. The price to be paid is the waste of the layout area if the size of the device is small. Fortunately, this problem does not exist when the process is applied to RFICs. This is because we can include several smallsize passive devices within an exposed area for ICP etching [25]. Besides, for a specific RF-CMOS process, the vertical distance between the metal layers is fixed, i.e., it cannot be scaled. This makes it harder for the scaled-down SMIS transformers to and at the same time in the very high freachieve high

2

2

quencies of interest. A feasible solution is to adopt the M6, CTM (which is between M6 and M5), and M5 as the metal layers of the SMIS transformers because the vertical distance between them is smaller [26]. In the frequency range within 100 GHz, it is found that, by carefully finely tuning the inner dimension, metal width, metal layers and by proceeding with the full-wave simulation with commercial EM tools, such as Sonnet Software’s Sonnet, high-performance SMIS transformers can still be achieved. Table III presents a summary of the Sonnet’s simulated results of a set of SMIS transformers for 70–100-GHz was achieved for RFIC applications. Very good all of the transformers at the targeted frequencies. This demonstrates that the SMIS transformer is also very promising for 70–100-GHz RFIC applications. B. Substrate Effects on SMIS Transformers versus freFig. 7(a) shows the measured isolation quency characteristics of a dummy open device before and after improvethe backside ICP etching. As shown in Fig. 7(a), ments of 20.6 dB (from 40.4 to 61 dB) and 15.7 dB (from 40 to 55.7 dB) were achieved at 5.2 and 8 GHz, respectively, mainly due to the reduction of the parasitic capacitance through the substrate achieved by the substrate etching. Fig. 7(b) shows and both before and after the backside the measured ICP etching of the SMIS transformer T9. -factor increases of 102% (from 4.96 to 10.03) and 23.2% (from 2.24 to 2.76) were achieved at 5.2 and 8 GHz, respectively, for the transformer after the backside ICP etching. and versus frequency Fig. 7(c) shows the measured characteristics of the SMIS transformer T9 both before and after for frethe backside ICP etching. Clearly, perfect

LIANG et al.: ANALYSIS OF PERFECT-MAGNETIC-COUPLING ULTRA-LOW-LOSS MICROMACHINED SMIS RF TRANSFORMERS FOR RFIC APPLICATIONS

4263

TABLE III SUMMARY OF THE SIMULATED RESULTS OF A SET OF SMIS TRANSFORMERS FOR 70–100-GHz RFIC APPLICATIONS

S

Q

Fig. 7. (a) Measured isolation ( ) versus frequency characteristics of a dummy open device before and after the backside ICP etching. The measured: (b) and of the primary coil, (c) and , and (d) and NF versus frequency characteristics of the SMIS transformer T9 both before and after the backside ICP etching.

L

k

k

G

quencies from 0.1 to 10 GHz was achieved both before and after the backside ICP etching mainly because the equivalent VD of this transformer was roughly zero [26]. In addition, high was obtained for frequencies greater than 6.7 GHz both before and after the backside ICP etching. The results of and are attributed to the proposed transformer high structure which exhibits nearly perfect coupling characteristics . at high frequencies, that is and versus Fig. 7(d) shows the measured frequency characteristics of the SMIS transformer T9 both before and after the backside ICP etching. For the transformer of 0.76 and before the backside ICP etching, good of 1.22 and 0.55 dB were achieved at 5.2 and 0.88 and and the high 8 GHz, respectively, mainly due to the perfect . increases of 11.8% (from 0.76 to 0.85) and 4.5%

decreases of 0.49 dB (from (from 0.88 to 0.92) and 1.22 to 0.73 dB) and 0.19 dB (from 0.55 to 0.36 dB) were achieved at 5.2 and 8 GHz, respectively, for the transformer after the backside ICP etching. This means the backside ICP and peretching is effective in improving the formances of transformers due to lower substrate-related loss , , , and ). (i.e., larger Table IV shows a detailed comparison of the extracted parameters of the SMIS transformer T9, the traditional vertically stacked transformer [see Fig. 4(a) and (b)], the traditional bifilar transformer [see Fig. 4(c) and (d)] in this study, and the bifilar of 0.845 (5.2 GHz) transformers in [19] and [21]. The and 0.92 (8 GHz) of our SMIS transformer T9 are better than those (0.76 and 0.77) of the bifilar transformer on porous silicon with overall dimensions of 280 m 280 m [19] and

4264

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

TABLE IV DETAILED COMPARISON OF THE EXTRACTED PARAMETERS BETWEEN THE SMIS TRANSFORMER T9, THE TRADITIONAL STACKED TRANSFORMER, THE TRADITIONAL BIFILAR TRANSFORMER IN THIS PAPER AND THE BIFILAR TRANSFORMERS IN [19] AND [21]

Fig. 8. Measured: (a) Re(Z ), (b) Re(Z ), (c) Im(Z ), and (d) Im(Z ) versus frequency characteristics of the SMIS transformer T9 both before and after the backside ICP etching.

better than those (0.60 and 0.66) of the bifilar transformer on a quartz substrate with overall dimensions of 300 m 300 m [21]. From the results shown in Tables I–IV, we can conclude

that, from the viewpoint of , , and , the SMIS transformer structure performs better than the traditional stacked structure and the traditional bifilar structure do mainly

LIANG et al.: ANALYSIS OF PERFECT-MAGNETIC-COUPLING ULTRA-LOW-LOSS MICROMACHINED SMIS RF TRANSFORMERS FOR RFIC APPLICATIONS

4265

Fig. 9. Measured: (a) Re(Z ), (b) Re(Z ), (c) Im(Z ), and (d) Im(Z ) versus frequency characteristics of a six-layer SMIS transformer with metal width of 9.5 m and overall dimensions of 160 m 230 m before the backside ICP etching at various temperatures ( 40 C, 25 C, 25 C, and 175 C).

2

due to the nearly perfect and high in the frequency band of interest. That is exactly why the SMIS transand perforformer can achieve state-of-the-art mances even though its metal thicknesses (top metal: 0.99 m; others: 0.53 m) are thinner than those (top metal: 3.02 m; underpass metal: 1.52 m) in [19]. The reason why the performance of the micomachined devices in [11]–[16] is not included in Table IV for comparison is explained as follows. The work in [11]–[13] and [15], [16] is related to micromachined inductors, which are different from the micromachined transformers studied in this paper. In addition, while part of [14] is related to micromachined transformers, no information such as the per, , and are available. Therefore, it formances of is hard to fairly compare the performances of the transformers in this work with those in [14]. In addition, it is found that, for both the SMIS transformer and the traditional stacked transformer after the backside ICP remains nearly unchanged at all frequencies while etching, decreases within a medium frequency range. These phenomena are explained as follows. Fig. 8(a) and (b) shows the measured real part of and real part of , respectively, of the SMIS transformer T9 both before and after the backside ICP etching at room temperature. As can be seen from Fig. 8(b), (i.e., ) at 0.1 GHz is about 0.15 , the measured which is much smaller than that (14.75 ) of the measured (i.e., ) due to very weak resistive coupling at low frequencies. Moreover, at a medium frequency range and roughly from 3 to 6 GHz, the measured after the backside ICP etching is close to those measured at

0

0

0.1 GHz due to weak silicon substrate-related loss. However, and before the backboth of the measured side ICP etching obviously surpass those measured after the backside ICP etching due to the enhanced silicon substrate loss and the enhanced resistive coupling that stems from the silicon substrate, respectively. This explains why, in Fig. 7(c), after the backside ICP etching is lower than the measured that before the backside ICP etching in the frequency range of 3–6 GHz. In addition, for frequencies greater than 6 GHz, the SMIS transformer T9 exhibits nearly perfect resistive coupling, , whether before or after the backside i.e., ICP etching. This explains why, in Fig. 7(c), for frequencies before and after the greater than 6 GHz, the measured backside ICP etching are almost the same. Fig. 8(c) and (d) and the shows the measured imaginary part of , respectively, of the SMIS imaginary part of transformer T9 both before and after the backside ICP etching (i.e., ) at room temperature. The measured at 0.1 GHz is about 3.33 nH, which is nearly equal to that (i.e., ) at 0.1 GHz (3.31 nH) of the measured due to nearly perfect magnetic coupling of the six-layer SMIS transformer structure. Moreover, in all of the frequencies in interest, the SMIS transformer exhibits nearly perfect magnetic , whether before or after coupling, i.e., the backside ICP etching. This explains why, in Fig. 7(c), the before and after the backside ICP etching is measured about 1 in all of the frequencies in interest. and , Fig. 9(a) and (b) shows the measured respectively, of a six-layer SMIS transformer before the backside ICP etching at various temperatures (i.e., 40 C, 25 C,

4266

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 12, DECEMBER 2006

25 C, and 175 C). Metal width and overall dimension of this transformer are 9.5 m and 160 m 230 m, respec(i.e., ) tively. As can be seen, the measured increases with the increase of temperature mainly due to the positive temperature coefficient of the series metal resistance . The measured temperature dependence of at ) is linear. The corresponding low frequencies (i.e., temperature coefficient is 3.96 10 at 0.1 GHz, which 10 reported in the is very close to the result 3.9 literature [37]. Fig. 9(c) and (d) shows the measured and , respectively, of the six-layer SMIS transformer before the backside ICP etching at various temperatures (i.e., 40 C, 25 C, 25 C, and 175 C). As can be seen, the and (i.e., temperature dependences of both and ) are very weak. Therefore, the phenomenon that decreases with increasing temperatures while increases with increasing temperatures (which is not shown here) can be explained by the positive temperature and (i.e., and ), coefficient of that is, an increase of temperature will increase and , leading to a smaller and , i.e., a larger and, and a higher . therefore, a lower VI. CONCLUSION First, selective removal of the silicon underneath transformers based on the proposed backside ICP deep trench technology is demonstrated. The results show that the CMOS-compatible backside ICP postprocess is effective to improve the -factors and NF performances of transformers on silicon. Apparently, the proposed ICP technology is also capable of improving the isolation between RF/analog and digital circuits and thus paves a way for systems-on-chip. Second, a detailed analysis of the SMIS transformer structure both before and after the backside ICP etching is presented. Compared with the traditional bifilar and the traditional stacked transformer structures, the SMIS transformer structure exhibits better performance. These results show that the micromachined SMIS transformer structure is very promising for high-performance 5-GHz-band and even higher 24–100-GHz-band RFIC applications. ACKNOWLEDGMENT The authors are grateful for the supports of the Chip Implementation Center (CIC) for the transformer fabrication and National Nano Device Laboratories (NDL) for high-frequency measurements. REFERENCES [1] H. W. Chiu, S. S. Lu, and Y. S. Lin, “A 2.17 dB NF, 5 GHz band monolithic CMOS LNA with 10 mW DC power consumption on a thin (20 m) substrate,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 813–824, Mar. 2005. [2] J. R. Long, “A low-voltage 5.1–5.8-GHz image-reject down-converter RF IC,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1320–1328, Sep. 2000. [3] C. H. Doan, S. Emami, and A. M. Niknejad, “Millimeter-wave CMOS design,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 144–155, Jan. 2005. [4] H. Shigematsu, T. Hirose, F. Brewer, and M. Rodwell, “Millimeterwave CMOS circuit design,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 472–477, Feb. 2005.

[5] T. O. Dickson, M. A. LaCroix, S. Boret, D. Gloria, R. Beekens, and S. P. Voinigescu, “30–100 GHz inductors and transformers for millimeter-wave (Bi)CMOS integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 123–134, Jan. 2005. [6] K. W. Yu, Y. L. Lu, D. C. Chang, V. Liang, and M. F. Chang, “K -band low-noise amplifiers using 0.18 m CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 106–108, Mar. 2004. [7] K. Kwok and H. C. Luong, “Ultra-low-voltage high-performance CMOS VCOs using transformer feedback,” IEEE J. Solid-State Circuits, vol. 40, no. 3, pp. 652–660, Mar. 2005. [8] A. W. L. Ng and H. C. Luong, “A 1-V 17 GHz 5 mW quadrature CMOS VCO based on transformer coupling,” in Proc. IEEE Int. Solid-State Circuits Conf., San Francisco, CA, pp. 198–199. [9] D. J. Cassan and J. R. Long, “A 1-V transformer-feedback low-noise amplifier for 5-GHz wireless LAN in 0.18 m CMOS,” IEEE J. SolidState Circuits, vol. 38, no. 3, pp. 427–435, Mar. 2003. [10] J. N. Burghartz, D. C. Edelstein, K. A. Jenkins, and Y. H. Kwark, “Spiral inductors and transmission lines in silicon technology using copper-damascene interconnects and low-loss substrates,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 10, pp. 1961–1968, Oct. 1997. [11] J. Y.-C Chang, A. A. Abidi, and M. Gaitan, “Large suspended inductors on silicon and their use in a 2 m CMOS RF amplifier,” IEEE Electron Device Lett., vol. 14, no. 5, pp. 246–248, May 1993. [12] H. Lakdawala, X. Zhu, H. Luo, S. Santhanam, L. R. Carley, and G. K. Fedder, “Micromachined high-Q inductors in a 0.18 m copper interconnect low-k dielectric CMOS process,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 394–403, Mar. 2002. [13] M. Ozgur, M. E. Zaghloul, and M. Gaitan, “Optimization of backside micromachined CMOS inductors for RF applications,” in Proc. IEEE Int. Symp. Circuits Syst., Geneva, Switzerland, May 2000, pp. V-185–V-188. [14] R. P. Ribas, J. Lescot, J.-L. Leclercq, J. M. Karam, and F. Ndagijimana, “Micromachined microwave planar spiral inductors and transformers,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 8, pp. 1326–1335, Aug. 2000. [15] P. G. M. Baltus, A. G. Wagemans, R. Dekker, A. Hoogstraate, H. Maas, A. Tombeur, and J. van Sinderen, “A 3.5 mW 2.5 GHz diversity receiver and a 1.2 mW 3.6 GHz VCO in silicon-on-anything,” IEEE J. Solid-State Circuits, vol. 33, no. 12, pp. 2074–2079, Dec. 1998. [16] J. W. Lin, C. C. Chen, and Y. T. Cheng, “A robust high-Q micromachined RF inductor for RFIC applications,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1489–1496, Jul. 2005. [17] Y. H. Xie, M. R. Frei, A. J. Becker, C. A. King, D. Kossives, L. T. Gomez, and S. K. Theiss, “An approach for fabricating high-performance inductors on low-resistivity substrates,” IEEE J. Solid-State Circuits, vol. 33, no. 9, pp. 1433–1438, Sep. 1998. [18] H. S. Kim, D. Zheng, A. J. Becker, and Y. H. Xie, “Spiral inductors on Si p/p+ substrates with resonant frequency of 20 GHz,” IEEE Electron Device Lett., vol. 22, no. 6, pp. 275–277, Jun. 2001. [19] K. Chong and Y. H. Xie, “High-performance on-chip transformers,” IEEE Electron Device Lett., vol. 26, no. 8, pp. 557–559, Aug. 2005. [20] C. Y. Lee, T. S. Chen, C. H. Kao, J. D. S. Deng, C. C. Yen, Y. K. Lee, J. C. Kuo, J. F. Chang, G. W. Huang, K. M. Chen, and T. S. Duh, “A simple systematic procedure of Si-based spiral inductor design,” in IEEE Proc. RFIC Conf., Jun. 2004, pp. 619–622. [21] K. T. Ng, B. Rejaei, and J. N. Burghartz, “Substrate effects in monolithic RF transformers on silicon,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 377–383, Jan. 2002. [22] Y. S. Lin, H. B. Liang, T. Wang, and S. S. Lu, “Temperature dependence of noise figure of monolithic RF transformers on a thin (20 m) silicon substrate,” IEEE Electron Device Lett., vol. 26, no. 3, pp. 208–211, Mar. 2005. [23] T. Wang, Y. S. Lin, and S. S. Lu, “An ultra-low-loss and broadband micomachined RF inductor for RFIC input-matching applications,” IEEE Trans. Electron Devices, vol. 53, no. 3, pp. 568–570, Mar. 2006. [24] T. Wang, H. C. Chen, H. W. Chiu, Y. S. Lin, G. W. Huang, and S. S. Lu, “Micromachined CMOS LNA and VCO By CMOS compatible ICP deep trench technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 580–588, Feb. 2006. [25] T. Wang, C. H. Chen, Y. S. Lin, and S. S. Lu, “A micro-machined 2–10 GHz CMOS distributed amplifier by CMOS compatible ICP deep trench technology,” IEEE Electron Device Lett., vol. 27, no. 4, pp. 291–293, Apr. 2006. [26] H. M. Hsu, “Implementation of high-coupling and broadband transformer in RFCMOS technology,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1410–1414, Jul. 2005.

LIANG et al.: ANALYSIS OF PERFECT-MAGNETIC-COUPLING ULTRA-LOW-LOSS MICROMACHINED SMIS RF TRANSFORMERS FOR RFIC APPLICATIONS

[27] Y. S. Lin, “Implementation of perfect-magnetic-coupling ultra-lowloss transformer in RFCMOS technology,” IEEE Electron Device Lett., vol. 26, no. 11, pp. 832–835, Nov. 2005. [28] S. Kodali and D. J. Allstot, “A symmetrical miniature 3-D inductor,” in Proc. IEEE Int. Symp. Circuits Syst., 2003, vol. 1, pp. 89–92. [29] W. Z. Chen and K. C. Hsu, “Miniaturized 3-dimensional transformer design,” in Proc. IEEE Custom Integr. Circuits Conf., 2005, pp. 285–288. [30] W. Z. Chen and W. H. Chen, “Symmetrical Stacked Inductor,” U.S. Patent 6 870 457. [31] Y. S. Lin, H. B. Liang, T. Wang, and S. S. Lu, “An analysis of perfect-magnetic-coupling ultra-low-loss micromachined SMIS RF transformers for RFIC applications,” in Proc. IEEE Radio Wireless Symp., San Diego, CA, pp. 55–58. [32] A. Zolfaghari, A. Chan, and B. Razavi, “Stacked inductors and transformers in CMOS technology,” IEEE J. Solid-State Circuits, vol. 36, no. 4, pp. 620–628, Apr. 2001. [33] A. C. Watson, D. Melendy, P. Francis, K. Hwang, and A. Weisshaar, “A comprehensive compact-modeling methodology for spiral inductors in silicon-based RFICs,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 849–857, Mar. 2004. [34] B. Razavi, RF Microelectronics. Englewood Cliffs, NJ: Prentice-Hall, 1998, pp. 39–48. [35] C. B. Sia, B. H. Ong, K. S. Yeo, J. G. Ma, and M. A. Do, “Accurate and scalable RF interconnect model for silicon-based RFIC applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 3035–3044, Sep. 2006. [36] A. M. Mangan, S. P. Voinigescu, M. T. Yang, and M. Tazlauanu, “Deembedding transmission line measurements for accurate modeling of IC designs,” IEEE Trans. Electron Devices, vol. 53, no. 2, pp. 235–241, Feb. 2006. [37] S. A. Campbell, The Science and Engineering of Microelectronic Fabrication, 2nd ed. Oxford, U.K.: Oxford Univ. Press, 2001, p. 585. Hsiao-Bin Liang was born in Taipei, Taiwan, R.O.C., on August 7, 1979. He received the B.S. degree in electronic engineering from Chung-Yuan Christian University, Chung Li, Taiwan, R.O.C., in 2001, the M.S. degree in electrical engineering from National Chi Nan University, Puli, Taiwan, R.O.C., in 2003, and is is currently working toward the Ph.D. degree at National Chi Nan University. His thesis was related to the analysis of the kink phenomena of MOSFETs and the design of dual-band SiGe low-noise amplifiers. His current research is focused on the design of RF inductors/transformers and related RF integrated circuit topics for wireless communication.

Yo-Sheng Lin (M’02–SM’06) was born in Puli, Taiwan, R.O.C., on October 10, 1969. He received the Ph.D. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1997. His Ph.D. degree concerned the fabrication and study of GaInP–InGaAs–GaAs-doped channel field-effect transistors and their applications on monolithic microwave integrated circuits (MMICs). He joined the Taiwan Semiconductor Manufacturing Company (TSMC) in 1997 as a Principle Engineer for 0.35/0.32-m DRAM and 0.25-m embedded DRAM technology developments in the Integration Department of Fab-IV. Beginning in 2000, he was responsible for 0.18/0.15/0.13-m CMOS low-power device technology development with the Department of Device Technology and Modeling, Research and Development, and was promoted to Technical Manager in 2001. In August 2001, he joined the Department of Electrical Engineering, National Chi Nan University, Puli, Taiwan, R.O.C., where he is currently a Professor. From June to September, 2004, he was a Visiting Researcher with the High-Speed Electronics Research Department, Bell Laboratories, Lucent Technologies, Murray Hill, NJ. His current research interests are in the areas of characterization and modeling of RF active and passive devices (especially 30–100-GHz interconnections, inductors, and transformers for millimeter-wave (Bi)CMOS integrated circuits), and radio-frequency ICs/MMICs.

4267

Chi-Chen Chen was born in Tainan, Taiwan, R.O.C., on February 10, 1975. He received the M.S. degree in electrical engineering from National Chi Nan University, Puli, Taiwan, R.O.C., in 2003, and is currently working toward the Ph.D degree at National Chi Nan University. His thesis concerned radio-frequency (RF) monolithic low-noise amplifiers and mixers. From 2003 to 2004, he was an Analog IC Design Engineer with the Anachip Corporation, Taipei, Taiwan, R.O.C. His current research is the design of RF transformers and RF front-ends for cellular applications.

Po-Feng Yeh was born in Chang-Hua, Taiwan, R.O.C., on January 18, 1981. He received the M.S. degree in electrical engineering from National Chi Nan University, Puli, Taiwan, R.O.C., in 2006. His thesis concerned the design and implementation of 5-GHz-band voltage-controlled oscillators and high-performance varactors.

Yan-Ru Tzeng was born in Tainan, Taiwan, R.O.C., on September 11, 1981. He received the B.S. degree in electronic engineering from I-Shou University, Kaohsiung, Taiwan, R.O.C., in 2004, the M.S. degree in electrical engineering from National Chi Nan University, Puli, Taiwan, R.O.C., in 2006, and is currently working toward the Ph.D. degree in electrical engineering from National Cheng-Kung University, Tainan, Taiwan, R.O.C. His research interests focus on RFIC design with an emphasis on optimization of passive elements, oscillators, and frequency synthesizers.

Tao Wang was born in Taipei, Taiwan, R.O.C., in 1980. He received the B.S. degree in electronics engineering from Chang Gung University, Taoyuan, Taiwan, R.O.C., in 2002, the M.S. degree in electronics engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2004, and is currently working toward the Ph.D. degree in electronics engineering at the National Taiwan University. His research interests are in the areas of radio-frequency integrated circuits and MEMS.

Shey-Shi Lu (S’89–M’91–SM’99) was born in Taipei, Taiwan, R.O.C., on October 12, 1962. He received the B.S. degree from the National Taiwan University, Taipei, Taiwan, R.O.C., in 1985, the M.S. degree from Cornell University, Ithaca, NY, in 1988, and the Ph.D. degree from the University of Minnesota at Minneapolis-St. Paul in 1991, all in electrical engineering. His thesis concerned the planar doped barrier hot-electron transistor, while his dissertation concerned the uniaxial stress effect on the AlGaAs–GaAs quantum-well/barrier structures. In August 1991, he joined the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. His current research interests are in the areas of RFIC/monolithic microwave ICs, and micromachined RF components.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2006.888158

EDITORIAL BOARD Editors: D. WILLIAMS AND A. MORTAZAWI Associate Editors: A. CANGELLARIS, A. CIDRONALI, M. DO, K. ITOH, J. LIN, D. LINTON, S. MARSH, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, V. RIZZOLI, R. SNYDER, R. WU, T. WYSOCKI, A. YAKOVLEV REVIEWERS A. Abbaspour-Tamijani D. Abbott M. Abdulla M. Abe M. Abedin M. Abouzahra M. Abramowicz R. Achar E. Ackerman D. Adam E. Adle M. Adlerstein M. Afsar K. Agarwal K. Agawa K. Ahmed D. Ahn H.-R. Ahn M. Aikawa M. Akaike Y. Akaiwa E. Akmansoy S. Aksoy A. Akyurtlu F. Alessandri C. Algani F. Ali M. Ali W. Ali-Ahmad F. Alimenti C. Alippi B. Alpert A. Alphones S. Al-Sarawi A. Altintas A. Alvarez-Melcom S. Amari C. Anastasiou U. Andersson Y. Ando P. Andreani K.-S. Ang I. Angelov S. Anlage O. Anwar I. Aoki R. Aparicio V. Aparin F. Arndt U. Arz M. Asai P. Asbeck H. Ashok H. Ashoka A. Atalar A. Atia N. Audeh S. Auster P. Auxemery I. Awai A. Aydiner K. Aygun R. Azadegan A. Babakhani I. Bahl D. Baillargeat S. Bajpai W. Bakalski J. Baker-Jarvis B. Bakkaloglu K. Balmain Q. Balzano S. Banba J. Bandler R. Bansal F. Bardati I. Bardi S. Barker D. Barlage J. Barr J. Bartolic D. Bates G. Baudoin Q. Balzano B. Beker G. Belenky D. Belot C. Bell P. Bell J. Benedikt J. Bernhard G. Bertin H. Bertoni E. Bertran W. Beyene A. Beyer M. Bialkowski E. Biebl P. Bienstman S. Bila M. Bilakowski A.-L. Billabert F. Bilotti H. Bilzer O. Biro R. Bisiso B. Bisla D. Blackham M. Blank P. Blondy D. Boccoli F. Bohn B. Boeck L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria O. Boric-Lubecke A. Borji J. Bornemann W. Bosch R. Bosisio S. Boumaiza M. Bozzi E. Bracken R. Bradley V. Bratman T. Brazil G. Brehm K. Breuer B. Bridges J. Brinkoff S. Broschat S. Brozovich D. Budimir D. Buechler M. Buff C. Buntschuh J. Bunton J. Burghartz P. Burghignoli Y. Bykov A. Caballero B. Cabon J. Calame

M. Calcatera C. Caloz C. Camacho-Penalosa E. Camargo R. Cameron S. Cammer C. Campbell M. Campovecchio F. Canavero J. Cao J. Capmany F. Capolino G. Carchon R. Carter N. Carvalho F. Casas J. Catala R. Caverly J. Cavers Z. Cendes B. Cetiner R. Chair H. Chaloupka A. Chambarel B. Chambers C.-H. Chan Y.-J. Chan C.-Y. Chang F. Chang G. Chang H.-C. Chang H.-R. Chang K. Chang E. Channabasappa H. Chapell W. Chappell M. Chatras S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen H.-H. Chen J. Chen R. Chen W.-K. Chen Y.-J. Chen K.-K. Cheng Y.-C. Cheng W.-C. Chew C.-Y. Chi Y.-C. Chiang C.-F. Chiasserini I.-T. Chiang J. C. Chiao I. Chiba D. Chigrin A. Chin C.-C. Chiu Y. Cho C. Choi J. Choi M.-J. Choi C.-K. Chou Y.-H. Chou D. Choudhury K. Choumei Y. Chow C. Christodoulou C. Christopoulos H.-R. Chuang Y. Chung B. Chye R. Cicchetti C. Cismaru D. Citrin P. Civalleri A. Ciubotaru T. Clark R. Clarke J. Cloete E. Cohen F. Colomb B. Colpitts M. Condon D. Consonni J. Corral A. Constanzo I. Corbella E. Costamagna A. Coustou J. Craninckx J. Crescenzi S. Cripps D. Cros T. Crowe M. Cryan J. Culver C. Curry W. Curtice M. da Cunha W.-L. Dai T. Dahm G. Dambrine B. Danly F. Danneville N. Das M. Davidovich A. Davis C. Davis L. Davis H. Dayal F. De Flaviis H. De Los Santos A. De Lustrac P. De Maagt J. de Mingo R. De Roo L. de Vreede D. De Zutter B. Deal A. Dearn P. Debicki J. Deen A. Deleniv M. DeLisio S. Demir A. Deutsch V. Devabhaktuni Y. Deval A. Diet L. Ding A. Djermoun T. Djordjevic J. Dobrowolski D. Dolfi W. Dou M. Douglas P. Draxler A. Dreher F. Drewniak J. Drewniak D. Dubuc S. Dudorov L. Dunleavy V. Dunn A. Duzdar

S. Dvorak L. Dworsky M. Dydyk M. Edwards R. Ehlers H. Eisele G. Eisenstein G. Eleftheriades M. Elliott T. Ellis A. Elsherbeni R. Emrick N. Engheta A. Enokihara Y. Eo H. Eom C. Ernst M. Esashi L. Escotte I. Eshrah V. Esposti M. Essaaidi K. Esselle H. Estaban J. Esteban C. Fager J. Fan D.-G. Fang M. Farina W. Fathelbab A. Fathy J. Favennec A. Fazal E. Fear M. Feldman A. Fernandez A. Ferrero T. Fickenscher J. Fiedziuszko D. Filipovic A. Fliflet B. Floyd P. Focardi N. Fong K. Foster P. Foster B. Frank C. Free J. Freire M. Freire R. Freund F. Frezza I. Frigyes C. Froehly J. Fu R. Fujimoto T. Fujioka O. Fujiwara H. Fukuyama V. Fusco D. Gabbay N. Gagnon J. Gallego B. Galwas O. Gandhi B.-Q. Gao J. Gao J. Garcia R. Garver A. Gasiewski B. Geelen B. Geller V. Gelnovatch W. Geppert F. Gerecht J. Gering M. Gerken S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini J. Gilb A. Glisson M. Goano E. Godshalk M. Goldfarb P. Goldsmith M. Golio N. Gomez X. Gong R. Gonzalo S. Gopalsami A. Gopinath R. Gordon A. Gorur K. Goverdhanam W. Grabherr L. Gragnani J. Grahn G. Grau A. Grebennikov T. Gregorzyk I. Gresham A. Griol D. R. Grischowsky C. Grossman E. Grossman T. Grzegorczyk A. Gupta K. Gupta M. Gupta R. Gutmann W. Gwarek J. Hacker M. Hafizi S. Hadjiloucas S. Hagness D. Haigh P. Hale D. Ham K. Hamaguchi S. Hamedi-Hagh J. Hand K. Hashimoto Q. Han T. Hancock A. Hanke V. Hanna Z. Hao S. Hara L. Harle A. Harish P. Harrison H. Hartnagel J. Haslett G. Hau R. Haupt S. Hay H. Hayashi J. Hayashi L. Hayden J. Heaton

P. Hedekvist W. Heinrich G. Heiter M. Helier R. Henderson F. Henkel J. Herren P. Herczfeld F. Herzel J. Hessler A. Hiatala C. Hicks M. Hieda A. Higgins M. Hikita W. Hioe Y. Hirachi T. Hiraota A. Hirata T. Hiratsuka Y.-C. Ho W. Hoefer K. Hoffmann R. Hoffmann J. Hong J.-S. Hong K. Horiguchi Y. Horii J. Horng J. Horton K. Hosoya R. Howald H. Howe H.-M. Hsu H.-T. Hsu J.-P. Hsu C.-W. Hsue C.-C. Huang C. Huang F. Huang H. Huang H.-C. Huang J. Huang T.-W. Huang P. Huggard H.-T. Hui D. Humphreys A. Hung C.-M. Hung H. Hung J.-J. Hung I. Hunter H.-Y. Hwang T. Idehara S. Iezekiel J.-Y. Ihm Y. Iida H. Iizuka P. Ikalainen Y. Ikeda P. Ikonen K. Ikossi M. Ilic J. Inatani K. Iniewski H. Inokawa A. Inoue M. Ishida A. Ishimaru T. Ishizaki S. Islam Y. Ismail Y. Isota M. Ito T. Itoh Y. Itoh T. Ivanov C. Iversen D. Iverson M. Iwamoto Y. Iyama H. Izumi D. Jachowski C. Jackson D. Jackson R. Jackson M. Jacob S. Jacobsen D. Jaeger B. Jagannathan N. Jain R. Jakoby G. James V. Jandhyala M. Janezic H. Jantunen B. Jarry P. Jarry A. Jastrzbeski E. Jeckein W. Jemison Y. Jeon J. Jeong Y.-H. Jeong G. Jerinic A. Jerng T. Jerse D. Jiao J.-M. Jin J. Joe L. Johansson T. Johnson A. Joseph K. Joshin J. Joubert P. Juodawlkis P. Kabos S.-T. Kahng T. Kaho D. Kajfez T. Kamel Y. Kamimura H. Kamitsuna K. Kamogawa S. Kanamaluru H. Kanaya M. Kanda P. Kangaslahtii V. Kaper M. Kärkkäinen A. Karpov U. Karthaus A. Karwowski T. Kashiwa R. Kaul K. Kawakami A. Kawalec T. Kawanishi S. Kawasaki H. Kayano M. Kazimierczuk R. Keam L. Kempel P. Kenington K. Kenneth S. Kenny

Digital Object Identifier 10.1109/TMTT.2006.888691

A. Kerr A. Khalil A. Khanifar J. Kiang Y.-W. Kiang P.-S. Kildal O. Kilic B. Kim H. Kim I. Kim J.-P. Kim M. Kim W. Kim B. Kimm K. Kimura S. Kimura A. Kirilenko V. Kisel S. Kishimoto A. Kishk T. Kitamura K. Kitayama T. Kitazawa W. Klaus E. Klumprink R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kogami B. Kolner S. Komaki M. Komaru J. Komiak A. Komijani G. Kompa A. Konczykowska Y. Konishi A. Koonen B. Kopp K. Kornegay M. Koshiba T. Kosmanis J. Kot Y. Kotsuka S. Koul V. Kourkoulos A. B. Kozyrev A. Krenitskiy N. Kriplani K. Krishnamurthy V. Krishnamurthy A. Kroenig C. Kromer C. Krowne V. Krozer W. Kruppa R. Kshetrimayum H. Ku H. Kubo E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar M. Kunert J. Kuno M. Kunst C.-N. Kuo J.-T. Kuo H. Kurebayashi T. Kuri F. Kuroki S. Kusunoki D. Kuylenstierna M. Kuzuhara I. Kwon Y.-W. Kwon R. Lai Y.-L. Lai P. Lampariello M. Lanagan M. Lancaster P. Lane U. Langmann Z. Lao G. Lapin L. Larson J. Laskar A. Lauer G. Lazzi Y. Le Coz Y. Le Guennec S. Le Maguer B. Lee C. Lee J.-F. Lee J.-W. Lee K. Lee R. Lee S.-G. Lee T. Lee Y.-C. Leong R. Leoni K.-W. Leung P. Leuchtmann G. Leuzzi A. Leven A. Levi R. Levy A. Lewandowski M. Lewis K. Li L.-W. Li X. Li Y. Li Y.-M. Li M. Liberti L. Ligthart S. Lim E. Limiti C. Lin J. Lin Y.-D. Lin Y.-S. Lin L. Lind S. Lindenmeier F. Ling A. Lipparini D. Lippens V. Litvinov C.-P. Liu Q.-H. Liu S.-I. Liu W. Liu O. Llopis D. Lo A. Loayssa R. Loison J. Long K. Lorincz U. Lott J.-H. Loui H.-C. Lu L.-H. Lu S. Lu

W.-T. Lu V. Lubecke G. Lucca S. Lucyszyn R. Luebbers L. Lunardi J. Luy S. Lyshevski J.-G. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella P. Macchiarella J. Machac S. Maci J. Maciel M. Madihian B. Madhavan V. Madrangeas M. Magana S. Mahmoud S. Mahon I. Maio A. Majedi M. Majewski M. Makimoto J. Malherbe D. Malocha T. Manabe G. Manganaro T. Maniwa C. Mann H. Manohara R. Mansour D. Manstretta J. Mao S.-G. Mao S. Marchetti R. Marques J. Martens J. Marti F. Martin E. Martinez K. Maruhashi D. Masotti A. Massa S. Masuda A. Materka B. Matinpour M. Matsuo A. Matsushima A. Matsuzawa S. Matsuzawa G. Matthaei D. Matthews J.-P. Mattia J. Maurer J. Mayock J. Mazierska S. Mazumder G. Mazzarella K. McCarthy T. McKay J. McKinney R. McMillan D. McQuiddy P. Meany F. Medina S. Melle F. Mena C. Meng H.-K. Meng W. Menzel F. Mesa A. Metzger P. Meyer C. Mias K. Michalski G. Michel E. Michielssen A. Mickelson R. Miles D. Miller R. Minasian B. Minnis D. Mirshekar J. Mitchell O. Mitomi R. Mittra M. Miyakawa R. Miyamoto M. Miyazaki K. Mizuno S. Mizushina M. Mohamed S. Mohammadi A. Mohammadian M. Mongiardo J. Morente M. Morgan K. Mori A. Morini N. Morita E. Moros A. Morris J. Morsey H. Mosallaei M. Mrozowski J.-E. Mueller M. Muraguchi K. Murata H. Muthali T. Nagatsuma P. Nagel K. Naishadham T. Nakagawa M. Nakajima N. Nakajima J. Nakayama M. Nakayama M. Nakhla J. Nallatamby S. Nam S. Narahashi A. Natarajan J. Nath B. Nauwelaers J. Navarro I. Nefedovlgor H.-C. Neitzert B. Nelson S. Nelson A. Neri H. Newman D. Ngo E. Ngoya C. Nguyen K. Niclas E. Niehenke P. Nikitin A. Niknejad N. Nikolova T. Nirmalathas K. Nishikawa T. Nishikawa

K. Nishimura T. Nishino K. Nishizawa G. Niu W. Ng S. Nogi K. Noguchi T. Nojima A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido T. Nurgaliev D. Oates J. Obregon J. O’Callahan M. O’Droma M. Odyneic I. Ogawa M. Ogusu K. Oh M. Ohawa T. Ohira I. Ohta M. Ohtsuka S. Oikawa K. Okada Y. Okano H. Okazaki V. Okhmatovski A. Oki M. Okoniewski A. Oliner J. Olsson F. Olyslager A. Omar M. Omiya K. Onodera B.-L. Ooi I. Oppermann R. Orta S. Ortiz J. Ou T. Owada M. Ozkar J. Page de la Pega W. Palmer G.-W. Pan A. Paolella C. Papanicolopoulos J. Papapolymerou B.-K. Park C.-S. Park W. Park A. Parker D. Parker T. Parker J. Pearce B. Pejcinovic S.-T. Peng R. Pengelly R. Penty J. Pereda B. Perlman L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson A. Petosa A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierce B. Piernas J. Pierro P. Pieters M. Piket-May L. Pileggi Z.-Y. Ping M. Pirola A. Platzker C. Plett C. Pobanz R. Pogorzelski R. Pokharel R. Pollard G. Ponchak M. Popovic J. Portilla M. Pospieszalski V. Postoyalko A. Pothier S. Prasad D. Prather D. Prescott A. Priou D. Purdy Y. Qian T. Quach C. Quendo R. Quere F. Raab V. Radisic K. Radhakrishnan T. Rahkonen C. Railton A. Raisanen K. Rajab O. Ramahi J. Randa R. Ranson T. Rappaport J. Rathmell C. Rauscher J. Rautio B. Rawat J. Rayas-Sanchez R. Reano G. Rebeiz J. Rebollar B. Redman-White M. Reddy R. Reid H.-M. Rein J. Reinert R. Remis K. Remley C. Rey L. Reynolds A. Rezazadeh E. Rezek A. Riddle B. Riddle J.-S. Rieh E. Rius I. Robertson R. Robertson A. Rodriguez R. Rogers H. Rogier U. Rohde N. Rolland R. Romanofsky

A. Rong Y. Rong D. Root L. Roselli A. Rosen U. Rosenberg L. Roy M. Royer J. Roychowdury T. Rozzi B. Rubin M. Rudolph P. Russer D. Rutledge T. Ruttan A. Rydberg T. Rylander D. Rytting C. Saavedra A. Safavi-Naeini A. Safwat M. Sagawa B. Sahu A. Saitou I. Sakagami K. Sakaguchi K. Sakakibara K. Sakamoto K. Sakoda M. Salazar-Palma C. Samori L. Samoska A. Sanada Y. Sanada M. Sanagi P. Sandhiva U. Sangawa A. Sangster K. Sano K. Sarabandi T. Sarkar C. Sarris H. Sato M. Sato S. Sato H. Sawada H. Sawaya A. Sawicki A. Sayed I. Scherbatko J. Schellenberg G. Schettini F. Schettino B. Schiek M. Schindler E. Schlecht E. Schmidhammer D. Schmitt J. Schneider J. Schoukens A. Schuchinsky R. Schuhmann J. Schultz J. Schutt-Aine A. Seeds Y. Segawa T. Seki S. Selberherr G. Semouchkin E. Semouchkina Y.-K. Seng R. Settaluri J. Sevic O. Sevimli Y. Segawa Z. Shao M. Shapiro A. Sharma S. Sharma T. Shen Z.-X. Shen Y. Shestopalov H. Shigesawa Y.-C. Shih H. Shimasaki S. Shinjo N. Shino N. Shinohara T. Shimozuma W. Shiroma K. Shogen N. Shuley M. Shur D. Sievenpiper A. Sihvola C. Silva M. Silveira M. Silveirinha M. Silveirinhao K. Silvonen G. Simin R. Simons B. Sinha F. Sinnesbichler J. Sinsky J. Sitch H.-J. Siweris R. Sloan A. Smith D. Smith G. Smith P. Smith R. Snyder H. Sobol A. Sochava M. Solano K. Solbach M. Solomon M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers M. Soyuer R. Sparks P. Staecker D. Staiculescu S. Stapleton J. Staudinger P. Stauffer P. Steenson K. Stephan M. Steyaert S. Stitzer A. Stoehr B. Strassner M. Stubbs M. Stuchly A. Suarez G. Subramanyam R. Sudbury N. Suematsu M. Sugiyama D. Sullivan L. Sundstrom

Y. Suzuki J. Svacina D. Swanson D. Sweeney R. Syms B. Szendrenyi W. Tabbara M. Tabib-Azar A. Taflove M. Taghivand N. Taguchi Y. Tahara G. Tait Y. Tajima T. Takagi K. Takahashi S. Takayama Y. Takayama S. Takeda I. Takenaka M. Taki K. Takizawa S. Talisa N. Talwalkar B.-T. Tan C.-Y. Tan J. Tan C.-W. Tang W.-C. Tang S. Tanaka T. Tanaka Y. Tanaka M. Tani E. Taniguchi H. Tanimoto R. Tascone J. Taub J. Tauritz R. Tayrani D. Teeter F. Teixeira R. Temkin M. Tentzeris K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson D. Thompson M. Tiebout L. Tiemeijer H. Toda M.-R. Tofighi M. Togashi T. Tokumitsu R. Tomasiunas A. Tombak K. Tomiyasu I. Toyoda S. Tretyakov R. Trew A. Trifiletti C. Trueman A. Truitt C.-M. Tsai E. Tsai L. Tsang H.-Q. Tserng T. Tsiboukis J. Tsui M. Tsuji T. Tsujiguchi T. Tsukahara K. Tsukamoto K. Tsunoda H. Tsurumi S. Tu R. Tucker M. Tur C.-K. Tzuang H. Uchida S. Uebayashi T. Ueda S. Ueno J. Uher F. Uhlmann T. Ulrich T. Umeda Y. Umeda F. Urbani T. Uwano P. Vainikainen P. Valanju F. Van de Water P. van den Berg D. Van der Weide G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie J. Vankka F. Van Straten K. Varian G. Vasilecu A. Vegas-Garcia L. Vegni A. Verma R. Vernon J. Verspecht B. Vidal L. Vietzorreck A. Viitanen A. Vilches C. Vittoria S. Vitusevich D. Viveiros V. Volman K. Wada K. Wakino D. Walker R. Walker M. Wallis C. Walsh C. Wan S. Wane B.-Z. Wang C. Wang D. Wang E. Wang H. Wang J. Wang K.-C. Wang S. Wang T.-H. Wang W. Wang X. Wang K. Warnick P. Warr S. Wartenberg O. Watanabe S. Watanabe R. Waugh D. Webb K. Webb R. Webster S. Wedge C.-J. Wei

J. Weirt R. Weigel G. Weihs R. Weikle C. Weil D. Weile A. Weily S. Weinreb J. Weiss C. Weitzel T. Weller C.-P. Wen M.-H. Weng R.-M. Weng S. Wentworth J. Whelehan L. Whicker J. Whitaker N. Whitbread D. White I. White S. Whiteley A. Whittneben B. Widrow G. Wilkins J. Williams T. Williams A. Williamson B. Willen B. Wilson J. Wiltse T. Winslow J. Winters A. Wittneben M. Wnuk M.-F. Wong S. Wong W. Woo J. Wood R. C. Wood G. Woods D. Woolard B.-L. Wu C. Wu H. Wu K. Wu K.-L. Wu Q. Wu Y.-S. Wu J. Wuerfl M. Wurzer J. Wustenberg G. Xiao C. Xie H. Xin Y.-Z. Xiong J. Xu Y. Xu Q. Xue T. Yakabe K. Yamamo S. Yamamoto S. Yamashita K. Yamauchi F. Yang H.-Y. Yang K. Yang Y. Yang Y.-J. Yang Z. Yang S. Yanagawa F. Yanovsky H. Yao J. Yao J. Yap B. Yarman K. Yashiro H. Yasser K. Yasumoto S. Ye J. Yeo S.-P. Yeo A. Yilmaz W.-Y. Yin S. Yngvesson N. Yoneda T. Yoneyama C.-K. Yong J.-G. Yook J.-B. Yoon R. York I. Yoshida S. Yoshikado L. Young M. Yousefi J.-W. Yu M. Yu P.-K. Yu W. Yu S.-W. Yun P. Yue A. Zaghoul A. Zaghloul A. Zajic K. Zaki P. Zampardi J. Zapata L. Zappelli J. Zehentner L. Zhang Q.-J. Zhang R. Zhang S. Zhang W. Zhang Y. P. Zhang A. Zhao L. Zhao Y. Zhao F. Zhenghe W. Zhou A. Zhu L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin D. Zimmermann R. Ziolkowski H. Zirath J. Zmuidzinas A. Zozaya