IEEE MTT-V054-I06B (2006-06B) [54, 6b ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 01643587......Page 1
020 - 01643588......Page 2
030 - 01643589......Page 3
040 - 01643590......Page 5
050 - [email protected] 6
060 - [email protected] 14
070 - [email protected] 22
080 - [email protected] 31
090 - [email protected] 42
100 - [email protected] 53
110 - [email protected] 58
120 - [email protected] 66
130 - [email protected] 74
140 - [email protected] 84
150 - [email protected] 97
160 - [email protected] 103
170 - [email protected] 113
180 - [email protected] 122
190 - [email protected] 129
200 - [email protected] 137
210 - [email protected] 145
220 - [email protected] 151
230 - [email protected] 161
240 - [email protected] 171
250 - [email protected] 179
260 - [email protected] 183
270 - [email protected] 193
280 - [email protected] 200
290 - [email protected] 206
300 - [email protected] 212
310 - [email protected] 218
320 - [email protected] 228
330 - [email protected] 238
340 - [email protected] 245
350 - [email protected] 251
360 - [email protected] 259
370 - [email protected] 268
380 - [email protected] 278
390 - [email protected] 286
400 - [email protected] 294
410 - [email protected] 302
420 - [email protected] 310
430 - [email protected] 318
440 - [email protected] 325
450 - 01643631......Page 337
460 - 01643632......Page 338
470 - 01643633......Page 339
480 - 01643634......Page 340

Citation preview

JUNE 2006

VOLUME 54

NUMBER 6

IETMAB

(ISSN 0018–9480)

PART II OF TWO PARTS SPECIAL ISSUE ON 35TH EUROPEAN MICROWAVE CONFERENCE

Paris, France, was the site of the 35th European Microwave Conference held 3–7 October 2005

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $16.00 per year for electronic media only or $32.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE K. VARIAN, President S. M. EL-GHAZALY J. HAUSNER K. ITOH M. HARRIS D. HARVEY

J. S. KENNEY, President Elect L. KATEHI N. KOLIAS J. S. KENNEY T. LEE

W. H. CANTRELL, Secretary J. LIN V. J. NAIR J. MODELSKI B. PERLMAN A. MORTAZAWI

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

D. RUTLEDGE W. SHIROMA

N. KOLIAS, Treasurer R. WEIGEL R. SNYDER K. WU K. VARIAN R. YORK

Distinguished Lecturers

K. TOMIYASU L. YOUNG

W. HOEFER T. ITOH B. KIM J. LASKAR

G. BOECK L. E. DAVIS W. GWAREK W. HEINRICH

V. LUBECKE J. C. RAUTIO D. ROOT D. RYTTING

Past Presidents M. SHUR P. SIEGEL A. SUAREZ R. J. TREW

K. C. GUPTA (2005) R. J. TREW (2004) F. SCHINDLER (2003)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: A. D. BROWN Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: C. SEABURY Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: K. ALVAI Central & South Italy: R. TIBERIO Central No. Carolina: T. IVANOV Chicago: Z. LUBIN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. D. BALUSEK Dayton: A. TERZOULI, JR. Denver: K. BOIS Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: S. O’CONNOR

Foothill: C. ANTONIAK France: O. PICON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: S. E. WHEATLEY Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: P. WAHID Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poloand: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/ J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorad: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: J. J. SOWERS Seattle: K. POULSON Seoul Coucil: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH

Editor-In-Chief MICHAEL STEER North Carolina State Univ. Raleigh, NC 27695-7911 USA Phone: +1 919 515 5191 Fax: +1 919 513 1979 email: [email protected]

Singapore: O. B. LEONG South Africa: P. W. VAN DER WALT South Australia: H. HANSEN South Brazil: L. C. KRETLEY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A.. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI Ukraine West: M. I. ANDRIYCHUK UK/RI: I. ISAYEV Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: E. I. VELIEV Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKAMATOVSKI Yugoslavia: B. MILOVANOVIC

Associate Editors

ANDREAS CANGELLARIS RUEY-BEEI WU ZOYA POPOVIC Univ. of Illinois, Urbana Champaign Univ. of Colorado, Boulder National Taiwan Univ. USA USA Taiwan, R.O.C. email:[email protected] email: [email protected] email: [email protected] AMIR MORTAZAWI DYLAN F. WILLIAMS ALESSANDRO CIDRONALI Univ. of Michigan, Ann Arbor NIST Univ. of Florence USA USA Italy email: [email protected] email: [email protected] email: [email protected] YOSHIO NIKAWA KENJI ITOH STEVEN MARSH Mitsubishi Electronics Midas Consulting Kokushikan Univ. Japan U.K. Japan email: [email protected] email: [email protected] email: [email protected] DAVID LINTON TADEUSZ WYSOCKI JOSÉ PEDRO Queen’s Univ. Belfast Univ. of Wollongong Univ. of Aveiro Northern Ireland Australia Portugal email: [email protected] email: [email protected] email: jcp.mtted.av.it.pt M. GOLIO, Editor, IEEE Microwave Magazine G. PONCHAK, Editor, IEEE Microwave and Wireless Component Letters

MANH ANH DO Nanyang Technological Univ. Singapore email: [email protected] VITTORIO RIZZOLI Univ. of Bologna Italy email: [email protected] SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers SAIFUR RAHMAN, Vice President, Publication Services and Products MICHAEL R. LIGHTNER, President and CEO LEAH H. JAMIESON, President-Elect PEDRO RAY, Vice President, Regional Activities J. ROBERTO DE MARCA, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association JOSEPH V. LILLIE, Treasurer CELIA L. DESMOND, Vice President, Technical Activities W. CLEON ANDERSON, Past President RALPH W. WYNDRUM, JR., President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $77.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2006 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188. Cover photo: Photograph by Shmuel Auster, IEEE AP/MTT Chapter Chairman, Israel Section.

Digital Object Identifier 10.1109/TMTT.2006.878204

JUNE 2006

VOLUME 54

NUMBER 6

IETMAB

(ISSN 0018-9480)

PART II OF TWO PARTS

SPECIAL ISSUE ON 35TH EUROPEAN MICROWAVE CONFERENCE Guest Editorial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. Quéré and J. L. Cazaux

2567

PAPERS

A Novel Technique for Complex Permittivity Measurement Based on a Planar Four-Port Device . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Ocera, M. Dionigi, E. Fratticcioli, and R. Sorrentino A Novel Wideband MMIC Voltage Controlled Attenuator With a Bandpass Filter Topology . . . . . . . . . . . S. M. Daoud and P. N. Shastry A Novel Electronically Tunable Active Duplexer for Wireless Transceiver Applications . . . . . . . . . . . . . . . B. Sundaram and P. N. Shastry High Gain Active Microstrip Antenna for 60-GHz WLAN/WPAN Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Kärnfelt, P. Hallbjörner, H. Zirath, and A. Alping Neural-Network-Based Parasitic Modeling and Extraction Verification for RF/Millimeter-Wave Integrated Circuit Design . . . . . . . . . . . . . . . . . . . . . . . . . . . P. Sen, W. H. Woods, S. Sarkar, R. J. Pratap, B. M. Dufrene, R. Mukhopadhyay, C.-H. Lee, E. F. Mina, and J. Laskar Modeling of 3-D Periodic Multiphase Composites by Homogenization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . O. Ouchetto, S. Zouhdi, A. Bossavit, G. Griso, and B. Miara LTCC 3-D Resonators Applied to the Design of Very Compact Filters for -Band Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Rigaudeau, P. Ferrand, D. Baillargeat, S. Bila, S. Verdeyme, M. Lahti, and T. Jaakola Miniaturized Microstrip and CPW Filters Using Coupled Metamaterial Resonators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. García-García, J. Bonache, I. Gil, F. Martín, M. del Castillo Velázquez-Ahumada, and J. Martel Efficient Numerical Methods for Simulation of High-Frequency Active Devices . . . . . . . . . . . . . . . . . . . . . . . M. Movahhedi and A. Abdipour Distributed MEMS Tunable Matching Network Using Minimal-Contact RF-MEMS Varactors . . . . . . . . . . . . . . Q. Shen and N. S. Barker A Corrected Microwave Multisine Waveform Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . N. Borges Carvalho, J. C. Pedro, and J. P. Martins Tunable Metamaterial Transmission Lines Based on Varactor-Loaded Split-Ring Resonators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . I. Gil, J. Bonache, J. García-García, and F. Martín Behavior of a Traveling-Wave Amplifier Versus Temperature in SOI Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Si Moussa, C. Pavageau, P. Simon, F. Danneville, J. Russat, N. Fel, J.-P. Raskin, and D. Vanhoenacker-Janvier

Q

2568 2576 2584 2593 2604 2615 2620 2628 2636 2646 2659 2665 2675

(Contents Continued on Page 2566)

(Contents Continued from Page 2565) Lumped-Element Load-Network Design for Class-E Power Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. Negra and W. Bächtold Direct-Conversion Quadrature Modulator MMIC Design With a New 90 Phase Shifter Including Package and PCB Effects for W-CDMA Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J.-M. Wu, F.-Y. Han, T.-S. Horng, and J. Lin Multiwafer Vertical Interconnects for Three-Dimensional Integrated Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. R. Lahiji, K. J. Herrick, Y. Lee, A. Margomenos, S. Mohammadi, and L. P. B. Katehi Power Amplifier Characterization: An Active Load–Pull System Based on Six-Port Reflectometer Using Complex Modulated Carrier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Bensmida, E. Bergeault, G. I. Abib, and B. Huyart A C -Band High-Efficiency Second-Harmonic-Tuned Hybrid Power Amplifier in GaN Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P. Colantonio, F. Giannini, R. Giofrè, E. Limiti, A. Serino, M. Peroni, P. Romanini, and C. Proietti Broadband High-Efficiency Linearly and Circularly Polarized Active Integrated Antennas . . . . . . . . . . . . Y. Qin, S. Gao, and A. Sambell Miniaturized Antenna Arrays Using Decoupling Networks With Realistic Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Weber, C. Volmer, K. Blau, R. Stephan, and M. A. Hein Novel Source of the Chaotic Microwave Radiation Based on the Gyro-Backward-Wave Oscillator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. M. Rozental, N. S. Ginzburg, M. Y. Glyavin, and A. S. Sergeev Carbon Nanotube Composites for Broadband Microwave Absorbing Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Saib, L. Bednarz, R. Daussin, C. Bailly, X. Lou, J.-M. Thomassin, C. Pagnoulle, C. Detrembleur, R. Jérôme, and I. Huynen Gaussian Pulse Expansion of Modulated Signals in a Double-Negative Slab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. Monti and L. Tarricone Single-Wire Transmission Lines at Terahertz Frequencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Akalin, A. Treizebré, and B. Bocquet A Novel Approach for a High-Precision Multitarget-Level Measurement System Based on Time-Domain Reflectometry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Gerding, T. Musch, and B. Schiek A Novel UWB Rugby-Ball Antenna for Near-Range Microwave Radar System . . . . . . . . . . . . A. Ruengwaree, A. Ghose, and G. Kompa A Time-Domain Modeling for EM Wave Propagation in Bi-Isotropic Media Based on the TLM Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. C. L. Cabeceira, A. Grande, I. Barba, and J. Represa Compact Fixed and Tune-All Bandpass Filters Based on Coupled Slow-Wave Resonators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E. Pistono, M. Robert, L. Duvillaret, J.-M. Duchamp, A. Vilcot, and P. Ferrari Wideband Planar Monopole Antennas With Dual Band-Notched Characteristics . . . . . . . W.-S. Lee, D.-Z. Kim, K.-J. Kim, and J.-W. Yu Unconditionally Stable Crank–Nicolson Nearly PML Algorithm for Truncating Linear Lorentz Dispersive FDTD Domains . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . O. Ramadan A Block-Based Predistortion for High Power-Amplifier Linearization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . N. Safari, J. P. Tanem, and T. Røste Eigencurrent Analysis of Resonant Behavior in Finite Antenna Arrays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. J. Bekers, S. J. L. van Eijndhoven, A. A. F. van de Ven, P.-P. Borsboom, and A. G. Tijhuis Planar Antennas in LTCC Technology With Transceiver Integration Capability for Ultra-Wideband Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. Brzezina, L. Roy, and L. MacEachern Novel U-Slot and V-Slot DGSs for Bandstop Filter With Improved Q Factor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D.-J. Woo, T.-K. Lee, J.-W. Lee, C.-S. Pyo, and W.-K. Choi A 45-dB Variable-Gain Low-Noise MMIC Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. A. Masud, H. Zirath, and M. Kelly Reconfigurable Circularly Polarized Antenna for Short-Range Communication Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. Aïssat, L. Cirio, M. Grzeskowiak, J.-M. Laheurte, and O. Picon 3-D Low-Loss Coplanar Waveguide Transmission Lines in Multilayer MMICs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V. T. Vo, L. Krishnamurthy, Q. Sun, and A. A. Rezazadeh Substrate Integrated Image Guide (SIIG)—A Planar Dielectric Waveguide Technology for Millimeter-Wave Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Patrovsky and K. Wu Design and Measurement Data for a Microwave Dual-CP Antenna Using a New Traveling-Wave Feed Concept . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. M. Lum, T. Tick, C. Free, and H. Jantunen High-Purity 60-GHz-Band Single-Chip 28 Multipliers in pHEMT and mHEMT Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Kärnfelt, R. Kozhuharov, H. Zirath, and I. Angelov

2684

Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2899

2691 2699 2707 2713 2723 2733 2741 2745 2755 2762 2768 2774 2780 2790 2800 2807 2813 2821 2830 2840 2848 2856 2864 2872 2880 2887

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2567

Guest Editorial HIS TRANSACTIONS’ Special Issue is dedicated to the state-of-the-art papers in microwave theory and techniques that have their origins in papers presented at the 35th European Microwave Conference (EuMC), Paris, France, October 3–7, 2005. It is this TRANSACTIONS second Special Issue dedicated to the EuMC. Founded in 1969, the EuMC is the most important microwave event in Europe. It was first run on a two-year basis, in London, U.K., 1969, Stockholm, Sweden, 1971, and Brussels, Belgium, 1973, respectively. The event then became annual, starting in Montreux, France, 1974, and was associated with an exhibition of microwave equipment. From the very beginning, conference members from all over Europe, including Central and Eastern Europe, as well as some from the U.S. helped the local committee in establishing a high-standard international conference. Since 1974, a Management Committee composed of approximately 15 members each representing a European country or group of countries, a Technical Program Committee, and a large paper review board has run the conference. The Members of the Management Committee are selected by a variety of external bodies such as national societies, European IEEE Microwave Theory and Techniques (MTT) Chapters, or national URSI committees. Since 1997, the Management Committee has also included a member designated by the IEEE Region 8 Section. A significant change was introduced in 1997. To operate with more stability, the Management Committee created a Steering Committee of six members. The Management Committee elects five of the members. To ensure a stronger link between EuMC and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the sixth Steering Committee member is designated by the IEEE MTT-S. A further development came with the decision of the Management Committee to insert the EuMC into a European Microwave Week (EuMW) together with partner conferences [European Gallium Arsenide and Other Compound Semiconductors Application Symposium (GAAS), Wireless Technologies, and since 2004, also European Radar Conference (EuRAD)]. The EuMW is the European forum for dissemination of results of research as achieved, for instance, in

T

Digital Object Identifier 10.1109/TMTT.2006.875445

the Networks of Excellence. To place its activities on a secure legal footing, the Management Committee decided in 1997 to create the European Microwave Association (EuMA). The 35th EuMC received a submission of 711 papers. From these, 452 were accepted for presentation at the conference. Contributions came from 49 countries, the highest contingents in the order of incidence coming from Korea, France, Germany, the U.S., Japan, the U.K., Spain, and Italy. This TRANSACTIONS’ Special Issue began by inviting the authors of the papers accepted to EuMC’05 to contribute significantly expanded papers for potential inclusion in this Special Issue. The requirement was that 60% of the submitted paper should be new and different from the digest papers presented at the EuMC’05. For this TRANSACTIONS’ Special Issue, we received 116 papers, which corresponds to a response rate to the Calls for Papers of 16%. From the submitted expanded papers, 40 have been accepted for publication. We hope that the technical content of the papers published in this TRANSACTIONS’ Special Issue will be of great interest and use to you. We would like to thank all the authors for their cooperation of making this TRANSACTIONS’ Special Issue possible. We especially thank all the reviewers. Many reviewers were asked to provide expert advice on one or more papers, and they had a difficult challenge in responding to the tight deadlines. We thank this TRANSACTIONS’ Editor-in-Chief, Michael Steer, for support and advice in preparing this Special Issue, and Zoya Popovic´, Sanjay Raman, Alexander Yakovlev, Amir Mortazawi, José Pedro, Ruey-Beei Wu, Alessandro Cidronali, Steven Marsh, Manh Anh Do, Vittorio Rizzoli, Andreas Cangellaris, Kenji Itoh, Yoshio Nikawa, and Dylan Williams for editing the manuscript form of these papers. RAYMOND QUÉRÉ, Guest Editor XLIM Unité Mixte de Recherche, Centre National de la Recherche Scientifique University of Limoges Brive, 19100 France JEAN LOUIS CAZAUX, Guest Editor Alcatel Alenia Space Toulouse, 31037 France

0018-9480/$20.00 © 2006 IEEE

2568

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

A Novel Technique for Complex Permittivity Measurement Based on a Planar Four-Port Device Alessandro Ocera, Marco Dionigi, Elisa Fratticcioli, and Roberto Sorrentino, Fellow, IEEE

Abstract—A novel technique for the measurement of the complex permittivity of materials is presented that overcomes many limitations of the conventional measurement methods. The RF signal transmitted through a transmission line loaded with the material under test is combined with a reference signal using a quadrature hybrid in such a way that the complex permittivity can be measured by simply detecting the two output amplitudes. This technique requires a simple calibration procedure, provides good accuracy, and avoids expensive vector measurements, thus combining the advantages of transmission methods, in terms of good accuracy, with those of resonant methods, in terms of scalar measurements. Two microstrip implementations have been realized for measurements at 2.35 and 10 GHz, showing very good accuracy to be achieved in both frequency ranges. The measured permittivities have been compared with those obtained with a resonant and transmission method and with data from literature, and tan . resulting in a very good agreement for both Index Terms—Dielectric properties, microwave measurement, permittivity measurement.

I. INTRODUCTION EASUREMENTS of complex permittivity of dielectric materials are required for a variety of applications in industry, medicine, and for research purposes [1], [2]. A very important physical parameter to be monitored in many industrial processes is the moisture content of materials. Since water has a very high dielectric constant [3], [4], it deeply affects the measurable permittivity of the moist material. Once measured, the complex permittivity can be related to the moisture content using many models available in the literature [5]. A further application concerns the detection of impurities such as metallic or plastic particles within streams of rope-like materials. As the impurities have a different permittivity from the bulk material, microwaves can be employed for the detection [6]. Microwave sensors provide an attractive solution for the above-mentioned applications, as they offer the possibility to obtain nondestructive and real-time measurements of the permittivity of bulk materials due to the good penetration depth [7]. Usually the choice of the measurement method is imposed by the operative constraints and by the shape and consistency of the material under test (MUT). The most popular methods are the transmission, reflection, and resonant methods. A measurement using the transmission or

M

Manuscript received October 1, 2005; revised January 13, 2006. The authors are with the Dipartimento di Ingegneria Elettronica e Dell’Informazione, Università Degli Studi di Perugia, Perugia 06125, Italy (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872914

the reflection method consists of loading a section of a transmission line with a MUT and measuring the two-port complex scattering parameters, then relating the measured scattering parameters to the permittivity of the material [8], [9]. In both cases, the major problem is caused by the multiple reflections occurring within the object and in the space between the source and the object itself. Furthermore, they employ vector measurements and, thus, usually need complex instrumentation. In resonant methods, the MUT is introduced into a resonator; consequently, the electromagnetic (EM) properties of the sample are evaluated from the change of the resonant response of the resonator [10], [11]. Resonant sensors require only scalar measurement, but necessitate complicated calibration procedures [12], [13] and, in the case of open resonators, they suffer from radiation losses. Depending on the chosen method, different RF structures have been proposed in the literature such as truncated coaxial probes [14], [15], antennas [16], [17], or resonators coupled with the MUT [18], [19]. To overcome the limitations of the previous configurations, a new technique for complex permittivity measurements based on a four-port device has been presented in [20]. This technique requires a simple calibration procedure, provides a good accuracy, and avoids expensive vector measurements, thus combines the advantages of transmission methods, in terms of good accuracy, with those of resonant methods, in terms of scalar measurements. Different technologies can be adopted (e.g. microstrip, coplanar waveguide (CPW), rectangular and coaxial waveguide, etc.) after proper configurations have been identified for the realization of the four-port device. In this paper, microstrip technology has been chosen for the design of the four-port circuit, as discussed in Section II. Two microstrip implementations have been realized for measurements at 2.35 and 10 GHz, showing very good accuracy achieved in both frequency ranges, as discussed in Section IV. II. FOUR-PORT CIRCUIT CONFIGURATION As sketched in Fig. 1, the measuring device consists of the cascade of two 3-dB directional couplers connected through two U-shaped microstrip line sections having the same length. The MUT, having a complex relative permittivity , is placed on one of the two U-shaped lines covering a line length equal to . Port 1 is the input port, whereas ports 3 and 4 are the two output ports. Port 2 is isolated from port 1 because of the properties of the directional coupler. The RF signal transmitted through the transmission line loaded with the MUT is combined with the reference signal using a second quadrature hybrid in

0018-9480/$20.00 © 2006 IEEE

OCERA et al.: NOVEL TECHNIQUE FOR COMPLEX PERMITTIVITY MEASUREMENT BASED ON PLANAR FOUR-PORT DEVICE

2569

Fig. 1. Circuit schematic. Fig. 3. Measured S -parameter of the unloaded device.

(a) Fig. 4. Measured S -parameter of the device loaded with PVDC.

(b) Fig. 2. (a) Simulated S -parameters of the 2.35-GHz branch-line coupler. (b) Simulated S -parameters of the 10 GHz branch-line coupler.

such a way that the complex permittivity can be measured by simply detecting the two output amplitudes, thus avoiding any phase measurements. A simplified analysis shows that the squared modules of the two output signals are given by

(1) (2)

Fig. 5. Measured S -parameter of the device loaded with PP.

where , , and are the phase shift, attenuation, and transmission coefficient, respectively, caused by the MUT with respect to the unloaded transmission line. Equations (1) and (2) are referred to as the ideal case of a lossless coupler with constant 3-dB coupling over the whole bandwidth. For this reason, the two branch-line couplers shown in Fig. 1 have been designed to obtain a 3-dB coupling at the design frequency even if it results in a narrower bandwidth of the device [21]. The couplers employed for both the microstrip implementations (2.35 and 10 GHz) have been designed with CST

2570

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 6. Electric field amplitude distribution: cross section of the device loaded with the MUT.

TABLE I PEMITTIVITY MEASUREMENT @ 2.35 GHZ: EXPERIMENTS

Fig. 8. Electric field amplitude distribution: device in the unloaded case.

Fig. 7. 10-GHz prototype realized on TLY5A substrate.

Microwave Studio and the simulated behaviors are shown in Fig. 2(a) and (b). It is to be noted that (1) and (2) do not take into account the following losses. 1) Losses due to the finite conductivity of the conductors. 2) Losses due to the non zero conductivity of the substrate. 3) Mismatch losses due to the nonideal behavior of both the couplers and the bending sections. A simple way to include the contributions of all previous losses into the measurement procedure consists of measuring the amplitude of the output signal in the unloaded

Fig. 9. Measured S -parameters of the unloaded device.

case (which, in the ideal case, should be equal to one) and correcting the expressions of the two squared modules of the two output signals as follows:

(3)

OCERA et al.: NOVEL TECHNIQUE FOR COMPLEX PERMITTIVITY MEASUREMENT BASED ON PLANAR FOUR-PORT DEVICE

2571

Fig. 10. Electric field amplitude distribution: cross section of the device loaded with the MUT.

(4) By inversion of the above formulas, as will be shown in Section III, the complex permittivity of the MUT can be computed by measuring the amplitudes of the output signals and once has been measured.

from (5). The values of and can finally be obtained from the computed values of and by inverting the following equations for multilayer microstrip lines proposed by Bahl and Stuchly [22] in the case of a MUT infinite in thickness :

III. MEASUREMENT PROCEDURE By inverting (3) and (4), one obtains (5) (10) (6)

(11)

where is an integer. , , and can also be expressed in terms of the parameters of the two microstrip lines, making explicit the contributions of both the MUT and substrate

(7)

where is the dielectric filling factor [23] of the microstrip structure and is the dielectric constant of the substrate. It should be noted that has to be considered zero in (9)–(11), as substrate losses have already been included in the first correction referred to (3) and (4). In conclusion, and can be computed using (5)–(11) after the scalar power transmitted to the two output ports has been measured.

(8)

IV. MICROSTRIP IMPLEMENTATIONS

(9) and are the effective permittivities of where the unloaded and MUT-loaded microstrip lines, respectively; and are the light velocity and wavelength in free space. For simplicity, in (8), the transmission coefficient has been evaluated under the approximation of small reflections from the loaded microstrip line and assuming a low-loss MUT. The procedure, however, can easily be extended to the general case at the price of a more complicated algebra. Once has been evaluated from (6), and can be computed from (7) and (8); consequently, can be derived

The measurement procedure described in Section III can be applied to any measurement frequency, the only required further step being the dimensioning of the 3-dB coupler and bending sections at the chosen frequency. In order to experimentally validate the above approach, two microstrip implementations have been realized on a Taconic TLY5A substrate for measurements at 2.35 and 10 GHz. The circuits have been analyzed in the unloaded, as well as in the loaded cases using the full-wave simulator CST Microwave Studio for different values of the complex permittivity of the MUT. The width and geometry of the U-shaped sections of the circuit have been designed in order to obtain a good sensitivity to the dielectric properties of the MUT (i.e., possibility of detection a 0.1 variation of permittivity value) with a minimum

2572

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 11. Measured responses of the device loaded with Teflon.

Fig. 14. Measured responses of the device loaded with polystyrene.

TABLE II PEMITTIVITY MEASUREMENT @ 10 GHZ: EXPERIMENTS

Fig. 12. Measured responses of the device loaded with PVC.

Fig. 15. Measured resonator frequency response for four different material samples in a 2.4-GHz resonant cavity.

Fig. 13. Measured responses of the device loaded with polypropylene.

deviation from the ideal case in terms of return loss and isolation of the uncoupled port. In [20], the 2.35-GHz prototype has been shown to provide good results for permittivity measurements of four different materials, namely, polyvinyl chloride (PVC), Teflon, and highdensity polyethylene (PEHD). In this paper, two new dielectric samples, namely polypropylene (PP), polyvinylidene chloride (PVDC) have been measured. Each sample has a thickness greater than 10 mm and overlaps the microstrip line over a length cm.

Figs. 3–5 show the measured results relative to the unloaded case and to the device loaded with PP and PVDC. By comparison with Fig. 3 (unloaded case), a notable increase in with a corresponding decrease of due to the phase shift and attenuation introduced by the MUT can be observed. Since (10) and (11) are valid under the condition , each sample has been considered as infinitely extended; indeed, the EM simulation confirms that the electric field is completely confined within the MUT, as illustrated in Fig. 6. Table I shows the dielectric permittivities of the abovementioned samples obtained with the procedure illustrated in Section III. The second circuit has been realized for measurements at 10 GHz; the photograph is shown in Fig. 7. The layout corresponds to the configuration sketched in Fig. 1, except for the four bending sections introduced to outdistance the ports from each other in order to reduce undesired couplings.

OCERA et al.: NOVEL TECHNIQUE FOR COMPLEX PERMITTIVITY MEASUREMENT BASED ON PLANAR FOUR-PORT DEVICE

2573

TABLE III COMPARISON OF PERMITTIVITY MEASUREMENTS

Fig. 8 shows the electric field distribution in the unloaded case. As can be observed, the input power is completely transmitted to one port, the introduction of the bending sections avoiding potential undesired couplings. Fig. 9 shows the measured -parameters relative to the unloaded case, exhibiting an isolation better than 35 dB and a return loss better than 20 dB at the central frequency GHz. Four samples of different materials have been measured with the 10-GHz prototype: PVC, PP, PVDC, and Teflon. Each sample has a thickness greater than 10 mm and overlaps the microstrip line over a length cm. As in the previous case, the samples have been considered as infinitely extended since the electric field is completely confined within the MUT, as shown by the EM simulation in Fig. 10. Figs. 11–14 show the measured -parameters relative to the device loaded with the MUT. By comparison with Fig. 9, we observe a notable increase in with a corresponding decrease of due to the phase shift and attenuation introduced by the MUT. Table II shows the dielectric permittivities of the various samples obtained with the procedure illustrated in Section III. V. COMPARISON AND DISCUSSION The results obtained by the new technique have been verified by comparison with alternative methods, as well as with data from the literature. In particular, the resonant method has been applied to check the 2.4-GHz data, while the transmission method has been applied at 10 GHz. With the resonant method, a 2.4-GHz resonant cavity has been used as the sensor. The method consists of measuring the of the cavity loaded with the MUT, then evaluating the resonant frequency and 3-dB bandwidth of the resonant curve, and finally extracting the unknown permittivity [24]. Fig. 15 shows the measured amplitudes of the scattering parameter of the resonant cavity loaded with the various MUTs. The dielectric permittivities of the measured samples are quoted in Table III (heading: “OTHER MEAS.”) and are seen to be in good agreement with those of Table I.

Fig. 16. Measured responses of the WR90 rectangular waveguide filled with PVDC.

Some samples, shaped to fit a standard WR90 rectangular waveguide, have also been measured with a filled-waveguide transmission method, consisting of measuring the scattering parameters of a transmission line loaded with the MUT and extracting the unknown permittivity from the magnitude and phase variations of the transmission coefficient [25]. As an example, Fig. 16 shows the transmission coefficient of the waveguide loaded with PVDC. The values obtained (at 10 GHz) are in good agreement with those quoted in Table II for both and , as can be seen in Table III (heading: “OTHER MEAS.”). Table III summarizes the results of all the measurements presented along with data from the literature [26], [27]. The data provided in Table III confirm the accuracy of the method proposed. It should be remembered that the complex permittivity of a sample can be affected by many physical parameters such as the temperature, density, humidity, etc. so that a certain degree of dispersion in the measured data is to be expected. VI. CONCLUSIONS A novel technique for the measurement of complex permittivity of materials that overcomes main limitations of the conventional measurement methods has been presented. The RF signal transmitted through a transmission line loaded with the

2574

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

MUT is combined with a reference signal using a quadrature hybrid in such a way that the complex permittivity can be measured by simply detecting the two output amplitudes. Two microstrip implementations have been realized for measurements at 2.35 and 10 GHz. Very good accuracy have been demonstrated in both frequency ranges, also by comparison with the resonant method and the transmission method, as well as with data from the literature. The proposed approach is, therefore, an excellent alternative to more conventional methods since it avoids their main disadvantages and results in a fast, inexpensive, and easy measurement procedure. REFERENCES [1] Sensors Update, vol. 7, no. 1, Jan. 2000. [2] E. Nyfors and P. Vainikainen, Industrial Microwave Sensors. Norwood, MA: Artech House, 1989. [3] U. Kaatze, “Microwave dielectric properties of water,” in Microwave Aquametry, A. Kraszewski, Ed. Piscataway, NJ: IEEE Press, 1996. [4] S. O. Nelson, “Dielectric properties of agricultural products—Measurements and applications,” IEEE Trans. Elect. Insulation, vol. 26, no. 5, pp. 845–869, Oct. 1991. [5] A. H. Sihvola, Electromagnetic Mixing Formulas and Applications, ser. Electromagn. Wave. London, U.K.: IEE Press, 1999. [6] S. K. Jones, D. G. Blair, and J. Buckingham, “Effect of paramagnetic impurities on frequency of sapphire-loaded superconducting cavity resonators,” Electron. Lett., vol. 24, no. 17, pp. 346–347, Mar. 1998. [7] E. Nyfors, “Industrial microwave sensors—A review,” Subsurface Sensing Technol. Applicat., vol. 1, no. 1, pp. 23–43, 2000. [8] J. R. Mosig, J.-C. E. Besson, M. Gex-Fabry, and F. E. Gardiol, “Reflection of open-ended coaxial line and application to nondestructive measurement of materials,” IEEE Trans. Instrum. Meas., vol. IM-30, no. 1, pp. 46–51, Feb. 1981. [9] J. Baker-Jarvis, M. D. Janezic, J. H. Grovenor, Jr., and R. G. Geyer, “Transmission/reflection and short-circuit line methods for measuring permittivity and permeability,” NIST, Boulder, CO, Technol. Note 1355 (revised), Dec. 1993. [10] V. R. K. Murthy and R. Raman, “A method for evaluation of microwave dielectric and magnetic parameters using rectangular cavity perturbation technique,” Solid State Commun., vol. 70, no. 8, pp. 847–850, 1989. [11] A. W. Kraszewski and S. O. Nelson, “Observations on resonant cavity perturbation by dielectric objects,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 1, pp. 151–155, Jan. 1992. [12] G. Bianchi, M. Dionigi, D. Fioretto, and R. Sorrentino, “A microwave system for moisture monitoring in wet powders for industrial applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, Jun. 13–19, 1999, pp. 1603–1606. [13] E. Fratticcioli, M. Dionigi, and R. Sorrentino, “An accurate and low cost complex permittivity measurement system using a planar resonant sensor,” in Proc. Eur. Microw. Week, Milan, Italy, Sep. 23–27, 2002, vol. 2, pp. 821–824. [14] M. A. Stuchly and S. S. Stuchly, “Coaxial line reflection methods for measuring dielectric properties of biological substances at radio and microwave frequencies—A review,” IEEE Trans. Instrum. Meas., vol. 29, no. 3, pp. 176–183, Sep. 1980. [15] S. Wang, M. Niu, and D. Xu, “A frequency-varying method for simultaneous measurement of complex permittivity and permeability with an open-ended coaxial probe,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2145–2147, Dec. 1998. [16] S. Trabelsi and S. O. Nelson, “Free-space measurement of dielectric properties of moist granular materials at microwave frequencies,” in Proc. IEEE Instrum. Meas. Tech. Conf., Vail, CO, May 20–22, 2003, pp. 518–523. [17] M. A. El Sabbagh et al., “Use of microstrip patch antennas in grain permittivity measurement,” in Proc. Instrum. Meas. Tech. Conf., Vail, CO, May 20–22, 2003, pp. 640–644. [18] E. Fratticcioli, M. Dionigi, and R. Sorrentino, “A planar resonant sensor for complex permittivity characterization of materials,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2–7, 2002, pp. 647–650.

[19] M. D. Janezic and J. Baker-Jarvis, “Full-wave analysis of a split-cylinder resonator for nondestructive permittivity measurements,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2014–2020, Oct. 1999. [20] A. Ocera, M. Dionigi, E. Fratticcioli, and R. Sorrentino, “A novel technique for complex permittivity measurement based on a planar four port device,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 373–376. [21] R. Mongia, I. Bahl, and P. Bhartia, RF and Microwave Coupled-Line Circuits. Norwood, MA: Artech House, 1989. [22] I. J. Bahl and S. S. Stuchly, “Analysis of a microstrip covered with a lossy dielectric,” IEEE Trans. Microw. Theory Tech., vol. 2 MTT-28, no. 2, pp. 104–109, Feb. 1980. [23] C. Wan and A. Hoorfar, “Improved design equations for multilayer microstrip lines,” IEEE Microw. Guided Lett., vol. 10, no. 6, pp. 123–124, Jun. 2000. [24] R. F. Harrington, Time–Harmonic Electromagnetic Fields. New York: McGraw-Hill, 1987. [25] C. C. Motta, A. D. Fonseca, G. H. Gomes, and H. S. Maciel, “Complex permittivity measurement in dielectric waveguide filled with argon plasma,” in Microw. Optoelectron. Conf., Aug. 6–10, 2001, vol. 1, pp. 273–276. [26] D. R. Lide, Handbook of Chemistry and Physics. Boca Raton, FL: CRC, 1999, tab. 13–12. [27] B. Riddle, J. Baker-Jarvis, and J. Krupka, “Complex permittivity measurements of common plastics over variable temperature,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 727–733, Mar. 2003.

Alessandro Ocera was born in Patti (ME), Italy, in 1980. He received the Electronic Engineering degree from the Università Degli Studi di Perugia, Italy, in 2004, and is currently working toward the Ph.D. degree at the Università Degli Studi di Perugia. His current research interests include the design of RF MEMS devices for reconfigurable systems, microwave sensors for permittivity measurements, and RF passive components. Mr. Ocera is an associate student member of EuMA.

Marco Dionigi received the Ph.D. degree in electronic engineering from the Università Degli Studi di Perugia, Perugia, Italy, in 1996. He is currently a Research Assistant with the Università Degli Studi di Perugia. His current research interests are in the field of microwave and millimeterwave waveguide component modeling and optimization.

Elisa Fratticcioli was born in Perugia, Italy, on September 14, 1974. She received the Laurea degree (cum laude) in electronic engineering and Ph.D. degree in information engineering from the Università Degli Studi di Perugia, Perugia, Italy, in 2001 and 2005, respectively. Her doctoral thesis concerned the characterization and development of microwave sensors and devices for the characterization and processing of materials. She is currently a Post-Doctoral Contract Researcher with the Università Degli Studi di Perugia. Her research interests are mainly in the area of microwave sensors for characterization of dielectric properties of materials, moisture measurements, and noninvasive measurement techniques.

OCERA et al.: NOVEL TECHNIQUE FOR COMPLEX PERMITTIVITY MEASUREMENT BASED ON PLANAR FOUR-PORT DEVICE

Roberto Sorrentino (M’77–SM’84–F’90) received the Doctor degree in electronic engineering from the University of Rome “La Sapienza,” Rome, Italy, in 1971. In 1974, he became an Assistant Professor of microwaves with the University of Rome “La Sapienza.” From 1977 to 1982, he was an Adjunct Professor with the University of Catania, the University of Ancona, and the University of Rome “La Sapienza,” where he was then an Associate Professor from 1982 to 1986. In 1983 and 1986, he was a Research Fellow with The University of Texas at Austin. From 1986 to 1990, he was a Professor with the University of Rome “Tor Vergata.” Since November 1990, he has been a Professor with the Università Degli Studi di Perugia, Perugia, Italy, where he was the Chairman of the Electronic Department, Director of the Computer Center (1990–1995), and Dean of the Faculty of Engineering (1995–2001). His research activities have been concerned with

2575

various technical subjects such as the EM wave propagation in anisotropic media and the interaction of EM fields with biological tissues, but mainly with numerical methods and computer-aided design (CAD) techniques for passive microwave structures and the analysis and design of microwave and millimeter-wave circuits. He has authored or coauthored over 100 technical papers in international journals and 200 refereed conference papers. Dr. Sorrentino has served the International Union of Radio Science as vice chair (1993–1996) and then chair (1996–1999) of Commission D (Electronics and Photonics). He is the President of the European Microwave Association since its constitution in 1998. He is a member of the High Technical Council, Italian Ministry of Communications. Since 1998, he has served on the Administrative Committee (AdCom) of the IEEE MTT-S. From January 1995 to April 1998, he was the Editor-in-Chief of the IEEE MICROWAVE AND GUIDED WAVE LETTERS. In 2000, he was one of the recipients of the IEEE Third Millennium Medal. In 2004, he was the recipient of the Distinguished Educator Award presented by the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

2576

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

A Novel Wideband MMIC Voltage Controlled Attenuator With a Bandpass Filter Topology Scarlet M. Daoud, Member, IEEE, and Prasad N. Shastry, Senior Member, IEEE

Abstract—The theory, analysis, and systematic design guidelines for a novel wideband monolithic bandpass -network voltage controlled attenuator (VCA) are presented. A 24–32-GHz VCA was designed and manufactured using 0.15- m GaAs pseudomorphic high electron-mobility transistor (pHEMT) technology. This is the first reported VCA to use a bandpass filter topology to achieve the required operating frequency band and eliminate the effects of parasitic capacitances of the pHEMTs. The bandpass filter absorbs the parasitic capacitances and thereby eliminates their detrimental effects. The measured attenuation dynamic range is 12 dB 0.5 dB with minimum insertion loss of 2–3 dB. The input power handling capability is up to 0 dBm. The VCA is well matched and may be placed in a 50- system.



Index Terms—Attenuator, bandpass filter, monolithic attenuator, voltage controlled attenuator (VCA).

I. INTRODUCTION HE RESEARCH presented here was undertaken as a part of the efforts to develop wideband millimeter-wave components for a local multipoint distribution system (LMDS). This paper is an expansion of the work reported in [1] by including additional details taken from [2]. Attenuators are found in a variety of applications in communication systems. The main applications are feedback networks, transceivers, and temperature-compensation networks. Some specific applications include automatic level (or gain) control systems, switches, broadband microwave leveling loops, and amplitude stabilization in oscillators [3]–[11]. The specific voltage controlled attenuator (VCA) design presented in this paper is targeted for an automatic level control system used in LMDS. The common resistive network topologies utilized in attenuators are the -network, -network, and bridge-T network [3]. Traditionally, variable attenuators are realized using p-i-n diodes and a pair of couplers in a balanced configuration. Recently, over the past two decades, MESFETs and high electron-mobility transistors (HEMTs) are being used increasingly in the design of variable attenuators. These devices, both p-i-n diodes and field-effect transistors (FETs), are used as variable resistors whose resistance is controlled by the applied dc stimulus (current or voltage) [3], [4].

T

Manuscript received October 25, 2005. This work was supported by Fujitsu Compound Semiconductor Inc. (now Eudyna) under a grant. S. M. Daoud is with US Monolithics, Chandler, AZ 85225 USA (e-mail: [email protected]). P. N. Shastry is with the Department of Electrical and Computer Engineering, Bradley University, Peoria, IL 61625 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872920

p-i-n diodes need a considerable amount of applied dc current to achieve low resistances, thus consuming high dc power. This is the main disadvantage in using p-i-n diodes for a variable attenuator even though they are insensitive to frequency changes [3], [4]. In an FET, very little dc-bias current is needed to achieve low resistances; therefore, dc power consumption is low. This is the main advantage of using an FET in a variable attenuator. Hence, the research in [2] uses FETs in the variable attenuator design even though the parasitic elements (mainly capacitances) have a significant effect on the minimum and maximum attenuation at high frequencies [3]–[5], [8], [12], [13]. Most reported variable attenuators using FETs address the issues of eliminating parasitic capacitances, increasing attenuation dynamic range, and improving linearity [6], [8], [13]–[16]. Others tackle the issue of increasing power handling capability [5], [17]. Yet some address the issue of phase flatness with respect to attenuation settings [18]. The methods reported to eliminate the parasitic capacitances and improve linearity and dynamic range vary. Reference [6] uses feedback to control input and output return losses as attenuation is changed and achieves 12-dB dynamic range from 2 to 8 GHz. Reference [8] uses a parallel combination of FETs in both the series and shunt arms of the -network attenuator achieving 12-dB dynamic range over 1–6 GHz. In [13], the author uses a -network in which the shunt arm FET is distributed into four smaller cells (FETs) interconnected by highimpedance transmission lines, and a 50- resistor is placed in parallel with each series FET. The result of this is a dynamic range of 30 dB from dc to 50 GHz. In [14], the author utilizes a bridged-T network in which the series and shunt FETs are each a parallel combination of several FETs with a resistive gate voltage divider. The result is improved linearity with a dynamic range of 12 dB from dc to 8 GHz. Reference [15] shows a -attenuator in which the series arm consists of two cascaded FETs achieving 40-dB dynamic range for code division multiple access (CDMA) applications (900 MHz). Reference [16] employs a gate pinchoff tracker for both the series and shunt FETs in the -attenuator resulting in 20-dB linear dynamic range at 900 MHz. In the following sections, a new method of eliminating parasitic capacitances and achieving linear attenuation with good dynamic range will be presented. The method involves implementation of a bandpass filter design approach for the variable -attenuator. In this design approach, the parasitic capacitances are absorbed by the bandpass filter structure. Thus, the new design approach not only serves the formerly stated purpose, but also achieves the desired wide operating frequency range.

0018-9480/$20.00 © 2006 IEEE

DAOUD AND SHASTRY: NOVEL WIDEBAND MMIC VCA WITH BANDPASS FILTER TOPOLOGY

Fig. 1.

2577

T -type attenuator circuit.

Fig. 3. Resistor values versus attenuation for T and  -networks.

Fig. 2.

 -type attenuator circuit.

The theory, analysis, and systematic design guidelines for the wideband VCA, including the measured results of a 24–32 GHz VCA are presented in the following sections. II. DESIGN OF THE VCA The VCA uses FETs to achieve variable attenuation in a -network topology. The VCA is implemented with a 0.15- m pseudomorphic high electron-mobility transistor (pHEMT) process on a 75- m-thick wafer. A. Attenuator Circuit Topologies Fig. 4. Equivalent circuit of an FET for an attenuator.

There are several circuit topologies for attenuators. The main types are the -type and -type, as shown in Figs. 1 and 2, respectively. They are comprised of three resistors. Variable attenuation is achieved by varying all three resistors, and , in a fashion shown in the graph of Fig. 3. These curves are obtained by using image impedance and circuit analysis theory to generate the appropriate equations for each topology [2]. This graph depicts that minimum attenuation can be determined by the minimum achievable value of . It also shows that -type attenuators have less attenuation than -type attenuators. To obtain linear attenuation with respect to control voltage, both and should have a prescribed relationship between their values and the control voltage (or current) of the attenuator for any topology [3]–[5], [12], [14]. B. FET Attenuator Design In FETs, the drain-to-source resistance is proportional to the applied gate voltage when the drain-to-source voltage and

current are zero. Also, when the FET is unbiased, the transconductance is zero, thereby simplifying the FET model into a combination of resistors and capacitors, represented as a parallel RC circuit with an effective resistance and an effective capacitance . The equivalent circuit is shown in Fig. 4. The FET attenuator relies primarily on the variation of by varying the applied gate voltage; however, parasitic capacitances and resistances do exist. These parasitic capacitances have a significant influence on the VCA performance at high frequencies [3]–[5], [8], [12], [13]. Not only does the value of (hence, the effective resistance) depend on the gate potential, but also it depends on the gatewidth of the FET. Increasing the gatewidth, or increasing the number of fingers, decreases , but the parasitic capacitances increase in value. These larger capacitances limit the dynamic range of attenuation, especially at higher frequencies, where the FET

2578

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

is predominantly capacitive; whereas at lower frequencies, the FET is predominantly resistive [3]–[6], [8], [11]–[13]. In addition to gate potential and gatewidth, the effective resistance and capacitance are dependent on frequency as well [2]. With all of this said, careful analysis must be carried out to select the optimum topology and FET sizes in order to obtain the characteristic of linear attenuation versus voltage. This is done by analyzing the output admittance of the FET(s). The analysis of the output admittance of several FET sizes involves varying the gate voltage (up to pinchoff voltage) of each FET over the frequency range of interest. The output admittance is defined as , where is the output resistance, is the output capacitance, and is frequency. In effect, the FET is reduced to a simple parallel RC combination where and , as shown in Fig. 4. The FET sizes analyzed were 50, 100, 200, 300, 400, 500, 600, and 700 m. The results showed that as gatewidth increases, decreases and increases for the same gate voltage and frequency, as increases, increases and increases for the same gatewidth and frequency, and as frequency increases, decreases and increases for the same gatewidth and gate voltage [2]. After obtaining the relationships between , , and attenuation for both the and -networks, and after obtaining the and data for the different FET sizes, both sets of data were analyzed to determine possible combinations of FETs that will give the attenuation dynamic range of interest, which is 10 dB in this design. Three topologies were studied (one -type and two -type) from which one of the -type FET attenuators was selected. This selected -type FET attenuator was the only VCA that could potentially give the desired attenuation dynamic range. However, simulations pointed out that the attenuation dynamic range was limited at the higher frequencies of the band of interest. Replacing the FETs with each of their corresponding value showed that 10 dB of attenuation dynamic range is possible. Therefore, the limitation of the attenuation dynamic range is attributed to the effect of the parasitic capacitances of the FETs. The FET selection also involves careful analysis of the optimum voltage control settings that would give maximum linear dynamic range of attenuation with minimal insertion loss at the low setting [2]. The VCA uses two voltage controls: in the case of the -network, one for both of the series arm FETs and one for the shunt arm FET ; and in the case of the -network, one for the series arm FET and one for both of the shunt arm FETs . After extensive analysis, the -network topology was selected with a 300- m FET in the series arm and a 200- m FET in each of the shunt arms, as shown in Fig. 5. The analysis included varying combinations of gatewidths, adjusting the resistance of each FET by adding a corresponding series or shunt resistor (this turned out to be unnecessary), and varying gate voltages to obtain the expected performance. Each gate voltage of the -network FET attenuator was varied from 0 V to pinchoff voltage 0.6 V, resulting in 169 pairs of control voltage settings. Only 11 pairs of control voltage settings were

Fig. 5.

 -network FET attenuator.

Fig. 6.

T - and  -section bandpass filters.

selected for optimum performance. The manner in which the pairs varied in order to obtain linear attenuation is: varied from approximately 0 V to approximately pinchoff, and varied from approximately pinchoff to approximately 0 V [2]. Usually the FET size and the breakdown voltage of the process limit the power handling capability of an attenuator. The resistance of the FET will become nonlinear if the RF voltage swing becomes too large or exceeds the knee voltage of the I–V curve; thus, the attenuation will start to change with the input power level. If the RF voltage swings into the breakdown region, attenuation will increase [12], [13]. C. Bandpass Filter Topology for the Attenuator In order to absorb the parasitic capacitances and, hence, eliminate their effects, a filter topology is superimposed onto the -network FET attenuator. A bandpass filter topology was chosen since the frequency range of interest was 24–42 GHz. The filter design followed the constant- image parameter method, where the inductors in the filter together with of the FETs absorb the effect of the capacitors [13], [19]. In [19], it is shown that a bandpass filter can be formed by using - and -sections, as shown in Fig. 6, where . In order to implement the FET attenuator shown in Fig. 5 in a bandpass filter network, it is evident from Fig. 6 that one can use either two cascaded -sections or one -section. The -section

DAOUD AND SHASTRY: NOVEL WIDEBAND MMIC VCA WITH BANDPASS FILTER TOPOLOGY

Fig. 7.

2579

 -attenuator bandpass topology.

has fewer components than the two cascaded -sections; hence, it was chosen for the design to minimize overall size. Therefore, using of the 300- m FET in the series arm and using of the 200- m FET in the shunt arms, the bandpass -attenuator can be implemented as shown in Fig. 7, where and are external elements. The bandpass filter structure (Fig. 7) absorbs the parasitic capacitances of the FETs . The design equations for an ideal bandpass filter obtained from [20] are (1) (2) (3) (4) (5) (6) Here, is the center frequency of the band, and are the lower and upper cutoff frequencies, respectively, is the image impedance at , and , , , and are shown in Fig. 7. From (1)–(6), it can be inferred that: 1) for a certain , (the product) is fixed by (6) and 2) for a given and (i.e., of the FET), (the difference) is fixed by (4). These two conditions are very important in classical bandpass filter design. The ideal -section bandpass filter (Fig. 6) was simulated using ideal lumped elements to observe the behavior of the filter before adding the FETs, where the value of was that of the calculated using (2) and the value of was that of the respective FET. The simulation of the -section filter gave expected

Fig. 8.

m-derived half-section filter.

results in a passband wider than 24–42 GHz. The insertion loss of the ideal filter was 0 dB, the phase of varied linearly with frequency, and the input and output return losses were better than 20 dB. In the design of constant- filters using the image parameter technique, it is required to terminate the two ports in the image impedance of the filter [19], [20]. Depending on the filter topology, an appropriate termination circuit is used [21]. The termination circuit was taken from [20]. It is an -derived halfsection filter, the schematic diagram and design equations of which are shown in Fig. 8. In this design, the image impedance termination circuit was found to be unnecessary since the ports were well matched to 50 without the terminating networks in the frequency band of interest. D. Bandpass -Attenuator Design and Implementation Ideal resistors were added to the ideal -section bandpass filter with the values corresponding to the of the respective FETs. The resistors were added in parallel to the corresponding capacitors, and their values were chosen at the low end of the frequency band (24 GHz) and a midpoint of voltage control, where . This circuit should emulate the behavior of the bandpass -attenuator with FETs. However, compared to the results of the -attenuator with FETs only, the passband shifted to the right, moving the center frequency of the band up, hence, shifting attenuation (insertion loss) and return loss performance to the right as well, rendering poor performance in the band of interest.

2580

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 11. Layout of the bandpass VCA chip.

Fig. 12. Fabricated bandpass VCA chip. Fig. 9. Results of alternative ideal lumped element  -section bandpass filter with resistors. (a) Attenuation (insertion loss): m4–24 GHz, m5–33 GHz, and m6–42 GHz. (b) Return loss: m1–24 GHz, m2–33 GHz, and m3–42 GHz.

Fig. 10. Optimal bandpass VCA circuit.

The foregoing effect is due to the difference between the calculated value of and the actual value of of the corresponding FET. Therefore, in order to compensate for this shift in the frequency band, the design constraints for (1)–(6) were slightly modified in the final design of the VCA. Both and were held constant as a design constraint instead of just keeping fixed. Hence, of the 200- m FET and of the 300- m FET at the appropriate gate voltages.

TABLE I VOLTAGE CONTROL SETTINGS VERSUS ATTENUATION

Using (5) with , and were calculated. Using (1) and (3), the product and the difference of the lower and upper cutoff frequencies were then calculated. This approach helped center the passband more effectively than following the standard design constraints. Simulating (using Agilent Technologies’ ADS simulation tools) this alternative design of the ideal -section bandpass filter using lumped capacitors and inductors resulted in shifting the passband to the left. Thus, adding the ideal resistors with values corresponding to the of the respective FETs to this alternative ideal -section bandpass filter resulted in shifting the passband to the right, thereby centering the passband at the frequency of interest. The simulation results of the lossy filter designed by using an alternative approach are shown in

DAOUD AND SHASTRY: NOVEL WIDEBAND MMIC VCA WITH BANDPASS FILTER TOPOLOGY

2581

Fig. 13. Measured S -parameter data at the 11 voltage control settings (in ascending order, as shown in Table I). (a) Attenuation (insertion loss). (b) Attenuation phase. (c) Return losses.

Fig. 9(a) and (b). The ideal resistors and capacitors were then replaced with the corresponding FETs, and simulations showed similar results for the bandpass -attenuator with FETs. The optimal VCA network is shown in Fig. 10 after adding dc blocking capacitors at the RF input and output ports. The resulting circuit was then implemented in microstrip form using a 0.15- m pHEMT process on a 75- m-thick wafer. The ideal dc blocking capacitors were replaced by metal–insulator–metal (MIM) capacitors. The inductors were replaced by meandered high-impedance microstrip transmission lines. Two RF pads were added for the input and output ports, and three dc pads were added for the control voltages. Some optimizations (using ADS) were performed to accommodate for the effect that the RF and dc pads had on performance. The 11 pairs

Fig. 14. Measured parameters versus control voltage setting (Table I). (a) Attenuation S . (b) Phase of S . (c) Return losses S and S .

of control voltages were also adjusted to give better linearity performance of attenuation versus control voltage settings. The final layout of the attenuator is shown in Fig. 11. III. MEASURED RESULTS The VCA was fabricated at Fujitsu Quantum Devices, and tested at Fujitsu Compound Semiconductor Inc. (now

2582

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

flatness deteriorates. The return losses are greater than 10 dB for the first eight attenuation settings from 24 to 32 GHz, as seen in Fig. 14(c), and up to 38 GHz, as seen in Fig. 13(c). The minimum insertion loss is 2–3 dB. Power measurements were obtained from 24 to 32 GHz at 1-GHz steps at each of the 11 pairs of control voltage settings where was varied from 15 to 15 dBm. Plots of versus over frequency and versus frequency over at the fifth control voltage setting are shown in Fig. 15(a) and (b), respectively. The input power handling capability deduced from the data is approximately 0 dBm. IV. CONCLUSIONS The theory, analysis, and design of a novel wideband monolithic bandpass -network VCA has been presented. The 24–32-GHz VCA presented here has a linear attenuation dynamic range of approximately 12 dB 0.5 dB with a minimum insertion loss of 2–3 dB. The input power handling capability is approximately 0 dBm. The VCA is well matched and can be placed in a 50- system. ACKNOWLEDGMENT The authors wish to acknowledge the assistance and support of the technicians and engineers of Fujitsu Compound Semiconductor Inc. (now Eudyna), San Jose, CA. The authors would also like to thank B. Sundaram, Department of Electrical and Computer Engineering, Bradley University, Peoria, IL, for his help in formatting this paper according to the IEEE guidelines. REFERENCES

Fig. 15. (a) Measured P versus P over frequency at the fifth control versus frequency over P at the fifth voltage setting. (b) Measured P control voltage setting.

Eudyna), San Jose, CA. The size of the VCA is approximately 800 m 500 m. A photograph of the fabricated VCA is shown in Fig. 12. The measurements of the VCA consisted of -parameters and power measurements over the frequency range of 24–42 GHz at each of the 11 pairs of control voltage settings (bias points) of and . Table I shows the control voltage settings versus absolute measured attenuation. The selection of the control voltage sets is explained in Section II-B. The -parameter data are shown in Fig. 13. From the -parameter data, plots of attenuation, phase, and return losses versus control voltage settings were obtained. Fig. 14 shows these plots. The data in Fig. 14(a) shows that the attenuator is fairly linear with a dynamic range of approximately 12 dB 0.5 dB from 24 to 32 GHz, considering linearity from voltage setting 5 to voltage setting 10. In Fig. 14(b), the phase flatness with respect to voltage control at 24 GHz varies up to 5 for the first ten voltage settings and at 28 GHz for the first eight voltage settings; at frequencies 32 GHz and above, phase

[1] S. M. Daoud and P. N. Shastry, “A novel wideband MMIC voltage controlled attenuator with a bandpass filter topology,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 629–632. [2] S. M. Halabi, “Active bias, power detector, and voltage controlled attenuator MMICs,” M.S. thesis, Dept. Elect. Comput. Eng., Bradley Univ., Peoria, IL, 2004. [3] J. M. Golio, “Applications and limitations,” in Microwave MESFET’s & HEMTs. Boston, MA: Artech House, 1991, ch. 5, sec. 5.1.5, pp. 291–294. [4] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: IEEE Press, 2001, pp. 400–404. [5] Y. Tajima, T. Tsukii, R. Mozzi, E. Tong, L. Hanes, and B. Wrona, “GaAs monolithic wideband (2–18 GHz) variable attenuators,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1982, pp. 479–481. [6] G. S. Barta, K. E. Jones, G. C. Herrick, and E. W. Strid, “A 2 to 8 GHz leveling loop using a GaAs MMIC active splitter and attenuator,” in IEEE Microw. Millimeter-Wave Monolithic Circuits Symp. Dig., Jun. 1986, pp. 75–78. [7] S. Dindo, R. Meierer, and R. North, “Computer-aided design of MMIC variable attenuators,” Microw. J., vol. 40, no. 11, pp. 134–140, Nov. 1997. [8] G. Lizama, T. Andrade, and R. Benton, “1–6 GHz GaAs MMIC linear attenuator with integral drivers,” in IEEE Microw. Millimeter-Wave Monolithic Circuits Symp. Dig., Jun. 1987, pp. 105–107. [9] P. S. Bachert, “FET attenuator, 0–1 GHz,” Appl. Microw. Wireless, pp. 57–64, Spring 1996. [10] L. M. Devlin, B. J. Buck, J. C. Clifton, A. W. Dearn, and A. P. Long, “A 2.4 GHz single chip transceiver,” in IEEE Microw. Millimeter-Wave Monolithic Circuits Symp. Dig., Jun. 1993, pp. 23–26. [11] K. K. Clarke and D. T. Hess, “Sinusoidal oscillators,” in Communication Circuits: Analysis and Design, 2nd ed. Reading, MA: Addison-Wesley, 1978, ch. 6, sec. 6.2, pp. 212–213. [12] J. P. Mondal, A. G. Milnes, and J. G. Oakes, “Theoretical analysis for microwave T - and  -type attenuator circuits using MESFETs,” Int. J. Electron., vol. 58, no. 2, pp. 231–247, 1985.

DAOUD AND SHASTRY: NOVEL WIDEBAND MMIC VCA WITH BANDPASS FILTER TOPOLOGY

[13] H. Kondoh, “DC–50 GHz MMIC variable attenuator with a 30 dB dynamic range,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1988, pp. 499–502. [14] B. Maoz, “A novel, linear voltage variable MMIC attenuator,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 11, pp. 1675–1683, Nov. 1990. [15] M. Inamori, K. Motoyoshi, T. Kitazawa, K. Tara, and M. Hagio, “A new GaAs variable gain amplifier MMIC with a wide-dynamic-range and low-voltage-operation linear attenuation circuit,” in IEEE RF IC Symp., Jun. 1999, pp. 39–42. [16] L. Boglione and R. Pavio, “Temperature and process insensitive circuit design of a voltage variable attenuator IC for cellular band applications,” IEEE Microw. Guided Wave Lett., vol. 10, no. 7, pp. 279–281, Jul. 2000. [17] M. Shifrin, P. Katzin, and Y. Ayasli, “High power control components using a new monolithic FET structure,” in IEEE Microw. MillimeterWave Monolithic Circuits Symp. Dig., Jun. 1989, pp. 51–56. [18] L. Sjogren, D. Ingram, M. Biedenbender, R. Lai, B. Allen, and K. Hubbard, “A low phase-error 44-GHz HEMT attenuator,” IEEE Microw. Guided Wave Lett., vol. 8, no. 5, p. 195, May 1998, 195. [19] After O. J. Zobel, “Wave (Zobel) filters, Part I.” Unpublished lecture notes from Dr. Prasad N. Shastry. [20] S. N. Prasad and J. B. Beyer, “Band-pass distributed amplifiers,” Microw. Opt. Technol. Lett., vol. 2, no. 10, pp. 349–354, Oct. 1989. [21] “Filters, image-parameter design,” in Reference Data for Radio Engineers, 6th ed. Indianapolis, IN: Howard W. Sams & Co., 1982, ch. 7, pp. 7–7. Scarlet M. Daoud (S’99–M’03) (formerly Scarlet M. Halabi) was born in Peoria, IL. She received the B.S. and M.S. degrees in electrical engineering from Bradley University, Peoria, IL, in 1997 and 2004, respectively. In January 1998, she joined Rockwell Collins, initially as a Software Engineer and then as a Hardware Engineer. In August 1999, she returned to school during which time she was with LR Nelson Inc. as a Project Engineer for 6–9 months. In 2001, she was with Fujitsu Compound Semiconductor Inc. (now Eudyna), on a summer internship supporting her Master’s thesis. In July 2002, she joined US Monolithics, Chandler, AZ, where she is currently a MMIC Design Engineer. Her interests focus on MMIC design of power amplifiers, low-noise amplifiers, and various MMIC applications using GaAs technology.

2583

Prasad N. Shastry (S’79–M’80–SM’88) (formerly S. N. Prasad) received the Bachelor’s degree in electronics engineering from Bangalore University, Bangalore, India, in 1972, the Master’s degree in microwave and radar engineering from the Indian Institute of Technology, Kharagpur, India, in 1974, and the Ph.D. degree in electrical engineering from the Indian Institute of Technology, Bombay, India, in 1980. In 1982, he was awarded a Post-Doctoral Fellowship in electrical engineering at the University of Wisconsin–Madison. He is currently a Professor with the microwave and wireless engineering program of the Department of Electrical and Computer Engineering, Bradley University, Peoria, IL. Since joining Bradley University in 1991, he has taken a leading role in the development of the curriculum, laboratories, and an active research program in the area of microwave and wireless engineering. He was responsible for establishing the National Science Foundation (NSF)-supported Advanced Microwave Engineering Laboratory for research in the area of MMICs. For the past 24 years, he has been actively engaged in collaborative research and consulting with several leading companies including Honeywell, Northrop Grumman, Fujitsu Compound Semiconductor, and TLC Precision Wafer Technology. From 1982 to 1991, he was with the University of Wisconsin–Madison, as a Post-Doctoral Research Associate and adjunct faculty member with the Department of Electrical and Computer Engineering. He has made pioneering research contributions to the area of wideband distributed amplifiers in projects sponsored by the Office of Naval Research, the Defense Advanced Research Project Agency, and the Honeywell Corporation. During his doctoral research, he invented the widely used linearly tapered slotline antenna (LTSA) and presented a paper on this invention in 1979 at the 9th European Microwave Conference, Brighton, U.K. From 1980 to 1982, he was a Senior Scientist with the Electronics and Radar Development Establishment, Bangalore, India. From 1974 to 1975, he was a Senior Scientist with the Defense Research and Development Laboratory, Hyderabad, India. In the summer of 1973, he was also a Graduate Intern with the Defense Electronics Research Laboratory, Hyderabad, India. He has authored or coauthored numerous publications, which include archival journal papers, refereed conference papers, book chapters, and research project reports. His current areas of research include MMICs, wideband distributed architecture circuits, and reconfigurable circuits and antennas for wireless systems Dr. Shastry has served on the Editorial Boards of several IEEE publications. He served as the program chairman of the IEEE Illinois Valley Section, Peoria, IL (1991 and 1993). He is a member of Sigma XI (The Scientific Research Society).

2584

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

A Novel Electronically Tunable Active Duplexer for Wireless Transceiver Applications Balamurugan Sundaram, Member, IEEE, and Prasad N. Shastry, Senior Member, IEEE

Abstract—In this paper, a novel electronically tunable active duplexer suitable for reconfigurable wireless transceiver applications is presented. The theory, design, and measured results of a varactor tunable active duplexer are summarized. The prototype active duplexer presented in this paper provides an electronically adjustable isolation of 20–50 dB between isolated ports and a gain of approximately 5 dB between coupled ports in the tunable range from 1.62 to 1.82 GHz in both transmit and receive modes of operations. The measured noise figure of the duplexer varied from 1.77 to 2.44 dB in the tuning range. The measured output powers at the 1-dB gain compression point and third-order intercept point, varied from 8.5 to 9.5 dBm and 20 to 29.5 dBm, respectively, in the tuning range. The design guidelines, measured -parameters, and results of yield analysis of the duplexer are presented. The proposed duplexer can be implemented as a monolithic microwave integrated circuit.

Fig. 1. Signal paths in a bi-directional DA [2].

Index Terms—Active duplexer, duplexer, reconfigurable duplexer, tunable active duplexer.

I. INTRODUCTION HERE IS a growing interest in tunable or reconfigurable microwave circuits for applications in wireless systems. A duplexer is a critical component in the functioning of a wireless transceiver. It allows simultaneous transmission and reception of signals from a single antenna. The duplexers currently used in transceivers are passive filters and, hence, have insertion loss. Furthermore, they are not tunable. The new tunable active duplexer proposed in this paper offers nearly constant gain between coupled ports in both transmit and receive modes of operations while providing high isolation between isolated ports over the tuning range. This duplexer also has low noise figure in the receive mode of operation. The design of the tunable active duplexer presented in this paper is based on the bi-directional distributed-amplifier (DA) architecture [1]. The DA is inherently bi-directional because of the symmetry in its architecture. The signal paths in a bi-directional DA are shown in Fig. 1. and represent the gains in the two directions. A DA can be designed in such a way as to produce low crosstalk between isolated ports, which are represented by and [2]–[4]. This is achieved by inserting phase-shifting networks between the DA gain cells (DA cells) [3] to cancel the signals at the isolated ports at a given frequency, as shown in Fig. 2. The active duplexers (based on DA architecture) reported in [2] and [3] are nontunable. The isolation level and frequency

T

Manuscript received October 18, 2005. B. Sundaram is with Validus Technologies, Peoria, IL 61602 USA (e-mail: [email protected]). P. N. Shastry is with the Department of Electrical and Computer Engineering, Bradley University, Peoria, IL 61625 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872922

Fig. 2. T/R module schematic. DA: DA; TPSN: tunable phase-shifting network; 1–4: ports; T and R: filters designed for transmit and receive bands.

can be slightly adjusted by replacing the fixed capacitors in the phase-shifting networks [2], [3] with mechanically adjustable capacitors, as reported in [4]. The incorporation of varactors instead in the phase-shifting networks enables one to electronically tune, with ease and precision, the frequency at which isolation is desired, over a band in both transmit and receive modes of operations [5]. Unlike the mechanically adjustable capacitor configuration [4], the varactor-based tunable configuration allows precise tuning to obtain higher isolation at a desired frequency. Further, this configuration provides reliable and repeatable performance. In the following sections, the theory, systematic design guidelines, the measured results (e.g., isolation, gain, return losses, noise figure, and dynamic range) over the tuning range, and results of yield analysis of the proposed electronically tunable active duplexer are presented. II. TRANSMIT/RECEIVE (T/R) MODULE AND ANTENNA CONFIGURATIONS The functionality of an active duplexer as a T/R module with antenna connection options is shown in Fig. 2. The active duplexer proposed here enables full-duplex operation by permitting simultaneous transmission and reception of signals at the same or different frequencies.

0018-9480/$20.00 © 2006 IEEE

SUNDARAM AND SHASTRY: NOVEL ELECTRONICALLY TUNABLE ACTIVE DUPLEXER FOR WIRELESS TRANSCEIVER APPLICATIONS

2585

Each DA cell consists of a GaAs field-effect transistor (FET) and gate and drain line inductors [1]. The tunable phaseshifting networks between the DA cells are designed such that the waves arriving at port 3 through the DA cells are out of phase with each other and hence cancel. Therefore, port 3 is isolated from port 1. This allows one to connect a transmitter at port 1 and a receiver at port 3. Since a DA is a symmetrical device, cancellation of the signals arriving at port 2 will also occur when a wave enters port 4. Bandpass filters R and T (which are designed to pass receive and transmit frequencies, respectively) may be included to further enhance the isolation between the isolated ports. Fig. 3. Photograph of active duplexer with mechanically tunable capacitors [4].

A. Antenna Connected to Ports 2 and 4 If an antenna is connected to ports 2 and 4, in the transmit mode, the signal is amplified from port 1 to port 2. During the receive mode, the signal is amplified from port 4 to port 3. The received signal is prevented from entering the transmitting antenna because of isolation between ports 2 and 4. This configuration requires isolation between ports 1 and 3 and ports 2 and 4. B. Antenna at Port 4 If an antenna is connected to port 4 with port 2 terminated, in the transmit mode, the signal is attenuated along the gate line of the DA [1]. The attenuation can be overcome by amplifying the signal at port 1. However, during the receive mode, the signal is amplified from port 4 to port 3. C. Antenna at Port 2 If an antenna is connected to port 2 with port 4 terminated, in the transmit mode, the signal is amplified from port 1 to port 2. During the receive mode, the signal is attenuated along the drain line [1]. Furthermore, the noise figure of the duplexer increases [3]. Therefore, this configuration is not a viable option.

improvement in isolation level or gain. Moreover, they were found to be unsuitable for repeated operation (by tuning) of the active duplexer over a desired set of frequencies in the tuning range. All of the foregoing active duplexers [2]–[4] were designed for configuration B (see Section II), where gain is present only in the receive mode and isolation is required only between one pair of isolated ports. The varactor-based active duplexer presented in this paper can be used in either configuration A or B, and it provides high levels of isolation compared with the active duplexers reported in [2]–[4]. Moreover, it provides repeatable, electronically tunable, isolations over a desired band of frequencies. IV. THEORY AND DESIGN OF THE ACTIVE DUPLEXER The expression for the forward power gain of a DA is given by [1]

III. ACTIVE DUPLEXER WITH MECHANICALLY TUNABLE CAPACITORS The active duplexer reported in [2] was designed for isolation over a wide band. The Chebyshev scaling of transconductances of transistors in a DA was shown to provide the specified isolation over a large bandwidth. The duplexer provided a gain of 5 dB, but the average transmitter–receiver (Tx–Rx) isolation was less than 15 dB in the frequency range between 3–5 GHz. The active duplexer reported in [3] was designed with fixed values of capacitances on the gate and drain lines of a DA. The Tx–Rx isolation achieved was approximately 17 dB, and the gain was approximately 2.6 dB at 2.4 GHz. Fig. 3 shows the picture of the active duplexer reported in [4]. This active duplexer provided a gain of 9 dB and a Tx–Rx isolation of about 28 dB at 1.97 GHz. The mechanically tunable capacitors on the gate and drain lines of the DA in Fig. 3 were intended for minute adjustments of the isolation frequency and level of isolation. Many versions of this duplexer were fabricated [6] using mechanically tunable capacitors for improved performance. However, none of them showed any

(1) where transconductance of the transistor; characteristic resistance of the drain line; characteristic resistance of the gate line; number of transistors; attenuation per section of the gate line; attenuation per section of the drain line; frequency; cutoff frequency of gate and drain lines; gate RC circuit cutoff frequency; , can either be This forward power gain of the DA or in decibels, as shown in Fig. 1. An expression for the reverse gain or isolation (which can be either or in decibels, as shown in Fig. 1) in a DA is useful in the design of an active duplexer.

2586

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 4. Plots of simulated and analytical forward gain of the active duplexer.

The expression for the reverse current at port 3 in a DA can be shown to be [6]

(2) where input signal voltage; phase shift per section of the drain or gate line

Fig. 5. Plots of simulated and analytical isolation (reverse gain) of the active duplexer.

compare well with the simulated forward gain and isolation, respectively. In the isolation plots shown in Fig. 5, high isolation is obtained at more than one frequency. This shows the possibility of designing reconfigurable active duplexers which will perform in more than one band of frequency. The required phase shift between the DA cells for obtaining the isolation between ports 1 and 3 in an active duplexer is determined by the number ( ) of transistors used. The phase shift required is given by

(5) or an integer multiple of , except for 180 and 360 . For example, if four transistors are used for designing an active duplexer, then the required phase shift between transistors should be either one of the following values:

and

where is the effective input resistance between the gate and source terminals of the FET and is the gate-to-channel capacitance of the FET. The reverse gain in a DA can be written as [6]

or An active duplexer with more than two transistors will have higher gain. A. Design Guidelines

(3) By substituting for from (2) in (3), one can derive the following expression for reverse gain or isolation [6]:

The design guidelines for a tunable active duplexer will be presented by means of an example. In the prototype tunable active duplexer presented in this paper, two GaAs MESFETs (California Eastern Laboratories’ NE34018; 400 m 0.6 m) were used. A unipolar biasing scheme was chosen. The transistors were biased at V and total mA. Measured -parameters were used in the design and simulations. Phase shift between gain cells is given by [3]

(4) The plots in Fig. 4 show the simulated (using Agilent Technologies’ ADS) and analytical [using(1)] forward gains of an active duplexer designed using four transistors. This active duplexer was designed for isolation at 2.4 GHz. The simulated and analytical [using (4)] isolation (reverse gain) plots are shown in Fig. 5. The analytically predicted forward gain and isolation

(6) is the number of -sections between transistors, where is the frequency at which isolation is desired, and is the cutoff frequency of the gate and drain lines. The gate and drain

SUNDARAM AND SHASTRY: NOVEL ELECTRONICALLY TUNABLE ACTIVE DUPLEXER FOR WIRELESS TRANSCEIVER APPLICATIONS

2587

Fig. 6. Schematic circuit diagram of the tunable active duplexer. D1, D2, and D3: varactors; V1, V2, and V3: varactor voltages; V : dc bias to the transistors.

lines are designed to have the same cutoff frequency and image impedance [1]. The -derived image impedance terminating networks on the gate and drain lines were designed as per the guidelines in [7]. For a given number of transistors, can be calculated from (5). Then, from (6), one can determine the required value of for a given , chosen value of , and calculated value of . The gate and drain lines of the DA were designed according to the guidelines in [1] and [3]. In order to achieve a 90 phase shift between the transistors, two low-pass -section filter networks were inserted between transistors on the gate and drain lines. Each -section offered a phase shift of 45 . The selected was 1.99 GHz. was chosen to be 50 . Then, the gate and drain line inductor ( ) and capacitor ( ) values were calculated using the following equations [3]:

(7) (8) where is the effective capacitance between the transistor gate or drain terminal and ground on the gate and drain lines of the DA, respectively. V. ACTIVE DUPLEXER CIRCUIT IMPLEMENTATION The copper clad RT-Duroid 6002 (Rogers Corporation, Rogers, CT) circuit board with and thickness of 20 mils was selected for the implementation of the active duplexer. The series inductors and fixed shunt capacitors were implemented using short lengths of high and low impedance microstriplines, respectively. The fixed series capacitors, shunt inductors, and choke inductors were implemented as surface mount components. Three SMV 1405 varactors from Skyworks Inc. with tunable capacitance range from 0.63 to 2.67 pF were used. A SPICE model for the varactor was used in the duplexer

Fig. 7. Photograph of the tunable active duplexer.

simulations. The duplexer circuit was simulated and optimized using ADS. The schematic circuit diagram of the tunable active duplexer is shown in Fig. 6. The duplexer was tested for stability using the standard criteria for stability of amplifiers [6]. The duplexer was found to be unconditionally stable in the range 1–3 GHz and beyond. A photograph of the actual tunable active duplexer is shown in Fig. 7. VI. MEASURED RESULTS A. Isolation and Gain In the antenna configuration B (see Section II), the active duplexer can either be operated with isolation between ports 1 and 3 or between ports 4 and 2 (see Fig. 1), depending on the pair of ports selected to connect the transmitter and receiver to the duplexer. When the active duplexer is operated in configuration B, the measured isolation plots at various varactor voltage combinations (see Table I) where the isolation is achieved between ports 1 and 3 are as shown in Fig. 8. The isolations obtained were more than 50 dB in the frequency range 1.67–1.87 GHz.

2588

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE I ISOLATIONS AND GAINS OF TUNABLE ACTIVE DUPLEXER AT VARIOUS COMBINATIONS OF VARACTOR VOLTAGES IN CONFIGURATION B WITH ISOLATION BETWEEN PORTS 1 AND 3

Fig. 10. Plots of measured tunable isolation (S ) at various varactor voltage combinations (see Table II) in configuration B. TABLE II ISOLATIONS AND GAINS OF TUNABLE ACTIVE DUPLEXER AT VARIOUS COMBINATIONS OF VARACTOR VOLTAGES IN CONFIGURATION B WITH ISOLATION BETWEEN PORTS 2 AND 4

Fig. 8. Plots of measured tunable isolation (S ) at various varactor voltage combinations (see Table I) in configuration B.

Fig. 9. Plots of measured gain in the receive mode (S ) at various varactor voltage combinations (see Table I) in configuration B. Fig. 11. Plots of measured gain in the receive mode of (S ) at various varactor voltage combinations (see Table II) in configuration B.

The measured gains in the receive mode at various varactor voltage combinations (see Table I) are shown in Fig. 9. Fig. 10 shows the measured isolation plots at various varactor voltage combinations (see Table II) where the isolation is achieved between ports 2 and 4. The isolations were more

than 50 dB in the frequency range 1.58–1.82 GHz. The measured gains in the receive mode at various varactor voltage combinations (see Table II) are shown in Fig. 11.

SUNDARAM AND SHASTRY: NOVEL ELECTRONICALLY TUNABLE ACTIVE DUPLEXER FOR WIRELESS TRANSCEIVER APPLICATIONS

2589

TABLE III ISOLATIONS AND GAINS OF TUNABLE ACTIVE DUPLEXER AT VARIOUS COMBINATIONS OF VARACTOR VOLTAGES IN CONFIGURATION A

Fig. 12. Plots of measured tunable isolation (S ) at various varactor voltage combinations (see Table III) in configuration A.

Fig. 14. Plots of measured gain in the transmit mode (S ) at various varactor voltage combinations (see Table III) in configuration A.

Fig. 13. Plots of measured tunable isolation (S ) at various varactor voltage combinations (see Table III) in configuration A.

A gain varying from 4.5 to 6.2 dB over the tuning range was present during the receive mode in both the foregoing cases in configuration B. Table I shows the values of isolation between ports 1 and 3 and gain in the receive mode between ports 4 and 3 at various varactor voltage combinations in configuration B. Table II shows the values of isolation between ports 2 and 4 and gain in the receive mode between ports 1 and 2 at various varactor voltage combinations in configuration B. Considering the antenna configuration (see Section II) where isolation is required between both pairs of isolated ports, Figs. 12 and 13 depict the measured isolation plots ( and ) for various varactor voltage combinations (see Table III). A frequency range from 1.62 to 1.82 GHz was considered since the measured isolations between the isolated ports on both sides of the duplexer were greater than 20 dB in this frequency range. The isolations were between 20–52 dB in the tuning range. The measured gains in the transmit mode and in the receive mode are shown in Figs. 14 and 15, respectively. The duplexer has a gain varying from 3.9 to 5.3 dB from 1.62 to 1.82 GHz. The measured phases of transmission coefficients in the transmit mode and in the receive mode are

Fig. 15. Plots of measured gain in the receive mode (S ) at various varactor voltage combinations (see Table III) in configuration A.

shown in Figs. 16 and 17, respectively. The phases of transmission coefficients are linear in the frequency range 1–2 GHz. The measured return losses at the four ports (i.e., , , , and ) are shown in Figs. 18–21, respectively. The return losses at all four ports were greater than 9 dB. Table III shows the values of isolation and gain in the transmit and receive modes of operations in configuration A. The active duplexer in configuration B has a higher tunable frequency range and significantly higher average value of isolation compared to configuration A. B. Dynamic Range Table IV shows the measured output powers at the 1-dB gain compression point and the third-order intercept point

2590

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 16. Plots of measured phase of transmission coefficients in the transmit mode (S ) at various varactor voltage combinations (see Table III) in configuration A.

Fig. 17. Plots of measured phase of transmission coefficients in the receive mode (S ) at various varactor voltage combinations (see Table III) in configuration A.

Fig. 18. Plots of measured S at various combinations of varactor voltages (see Table III) in configuration A.

(IP3) at various frequencies. The active duplexer was operated in configuration A by applying the appropriate varactor voltages during these measurements. These readings were consistent with the transistor specifications at the bias point where the active duplexer was designed and operated.

Fig. 19. Plots of measured S at various combinations of varactor voltages (see Table III) in configuration A.

Fig. 20. Plots of measured S at various combinations of varactor voltages (see Table III) in configuration A.

Fig. 21. Plots of measured S at various combinations of varactor voltages (see Table III) in configuration A.

TABLE IV MEASURED DYNAMIC RANGE OF THE ACTIVE DUPLEXER IN CONFIGURATION A

C. Noise Figure The measured noise figures at various combinations of varactor voltages are presented in Table V. These noise figures were measured both in configurations A and B. The varactor voltages were applied in such a way that the active duplexer operated in either configuration A or B. The measured noise figures compared well with the simulated noise figures presented in [5].

VII. CHOICE OF CONFIGURATIONS AND ITS IMPLICATIONS The dynamic range, the power handling capability, and the noise figure of the active duplexer will depend upon the chosen transistor. In either configuration A or B, tradeoffs between the dynamic range, power handling capability, and noise figure will

SUNDARAM AND SHASTRY: NOVEL ELECTRONICALLY TUNABLE ACTIVE DUPLEXER FOR WIRELESS TRANSCEIVER APPLICATIONS

2591

TABLE V MEASURED NOISE FIGURES OF TUNABLE ACTIVE DUPLEXER AT VARIOUS COMBINATIONS OF VARACTOR VOLTAGES FOR CONFIGURATIONS A AND B

Fig. 23. Plots of measured and simulated gains between both pairs of coupled ports at one set of varactor voltages; 3: 1.79 GHz and 3.67 dB; 5: 2.0 GHz and 9.1 dB.

m

Fig. 22. Plots of measured and simulated isolations between both pairs of isolated ports at one set of varactor voltages; 1: 2.00 GHz and 35.71 dB; 2: 1.79 GHz and 35.35 dB.

0

m

0

m

m

Fig. 24. Plots of simulated isolations between both pairs of isolated ports using Monte Carlo analysis at one set of varactor voltages.

always be present. Moreover, in configuration A, the receiver filter R connected to port 4 of the duplexer shown in Fig. 2 is not required if improved noise performance is essential and additional isolation is not necessary. Therefore, the primary advantages of the duplexer discussed in this paper, namely, gain in both transmit and receive modes and, at the same time, isolation between both pairs of isolated ports along with antenna configurations, can be utilized appropriately to achieve the desired duplexer specifications. VIII. YIELD ANALYSIS Yield analysis and Monte Carlo simulations were performed to understand the sensitivity of the performance characteristics of the tunable active duplexer to variations in the various parameters in the design. Fig. 22 shows the measured as well as simulated isolation plots between both pairs of isolated ports of the active duplexer for one set of varactor voltages. Fig. 23 shows the measured as well as simulated gain plots between both pairs of coupled ports of the active duplexer for one set of varactor voltages. In Fig. 22, a shift in the frequency of isolation and, in Fig. 23, a drop in the measured gain relative to simulated results can be observed. In the yield and Monte Carlo analysis using ADS, variations in the parameters of the fabricated active duplexer were included. The variations included were tolerances of lumped capacitors, inductors, resistors, circuit fabrication processes,

Fig. 25. Plots of simulated gains between both pairs of coupled ports using Monte Carlo analysis at one set of varactor voltages.

dielectric constant of the substrate, lengths of solid vias, parasitics in the nonlinear model of the transistor and varactor, and thickness of the conductor, among others. Monte Carlo analysis simulates the performance characteristics of the circuit for a specified number of iterations. In each of these iterations, it randomly chooses one value of the tolerance within the specified range for all of the variables specified. The result is a set of plots for various iterations. Fig. 24 shows the simulation results of Monte Carlo analysis for isolation between both pairs of isolated ports at 2.0 GHz. Fig. 25 shows the simulation results of Monte Carlo analysis for gain between both pairs of coupled ports at 2.0 GHz. The

2592

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

range of possible outputs for different tolerance combinations of various parameters can be observed. The simulations revealed that the response of the circuit was most sensitive to the length of solid vias. Copper wires soldered to ground through holes drilled on the substrate were used as vias. These vias were modeled in ADS as wires. However, the length of the wire used for a via could not be precisely maintained throughout the circuit. It was observed that one of the randomly simulated plots in the set of plots generated by Monte Carlo analysis nearly matched the measured gain and isolation plots. Monte Carlo simulations help in centering the design by reducing the number of repetitive trials needed to manufacture a circuit that meets the specifications. IX. CONCLUSIONS A novel electronically tunable active duplexer for wireless transceiver applications has been proposed. The theory, design, measured results, and results of yield analysis of the tunable active duplexer have been presented. When used in configuration A, the prototype active duplexer gave a gain varying from 3.9 to 5.3 dB and isolation ranging from 20 to 52 dB in the tuning range (200 MHz) in both transmit and receive modes of operations. When used in configuration B, the prototype active duplexer exhibited a gain varying from 4.5 to 6.2 dB in the receive mode and an isolation of above 50 dB at all frequencies in the tuning range (240 MHz). The return losses at all four ports were greater than 9 dB in both configurations. The angles of transmission coefficients in the transmit and receive modes in both configurations varied linearly with frequency in the tuning ranges. The measured noise figure in the receive mode varied from 1.77 to 2.44 dB in the tuning range. The measured output powers and varied between 8.5–9.5 and 20–29.5 dBm, respectively, in the tuning range. The proposed duplexer topology is suitable for monolithic implementation. ACKNOWLEDGMENT The authors would like to thank D. Miller, Department of Mechanical Engineering, Bradley University, Peoria, IL, for his help in fabricating the duplexer circuit. REFERENCES [1] J. B. Beyer, S. N. Prasad, J. E. Nordman, R. C. Becker, and G. Hohenwarter, “MESFET distributed amplifier design guidelines,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 3, pp. 268–275, Mar. 1984. [2] S. N. Prasad and Z. M. Li, “Optimal design of low crosstalk, wideband, bidirectional distributed amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 1996, pp. 847–850. [3] S. N. Prasad, J.-A. Jalil, and T. Tu, “A 2.4 GHz T/R module for wireless transceiver applications,” in Proc. IEEE MTT-S Eur. Wireless Conf., Amsterdam, Oct. 1998, pp. 237–242, focus session on U.S. wireless technology. [4] S. Naik, “PCS CDMA receiver front-end,” Dept. Elect. Comput. Eng., Bradley Univ., Peoria, IL, 1999, Master’s Res. Project Rep. [5] S. Balamurugan and P Shastry, “A novel electronically tunable active duplexer for wireless transceiver applications,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1767–1770. [6] B. Sundaram, Reconfigurable active duplexer for wireless systems Dept. Elect. Comput. Eng., Bradley Univ., Peoria, IL, 2005, Master’s Research Project Report. [7] S. N. Prasad, “Microwave impedance matching techniques,” in Handbook of Microwave Technology. San Diego, CA: Academic, 1995, ch. 17, pp. 617–669.

Balamurugan Sundaram (S’04–M’06) was born in Dindigul, India, in 1978. He received the B.S. degree in electrical and electronics engineering from Kongu Engineering College, Bharathiar University, Coimbatore, India, in 2000, and is currently working toward the M.S. degree in electrical engineering at Bradley University, Peoria, IL. His master’s capstone project “Reconfigurable active duplexer,” involved the design, simulation, fabrication, and testing of a duplexer circuit based on the distributed-amplifier technique. In February 2006, he joined Validus Technologies, Peoria, IL, where he is currently an RF Design Engineer. He was a Research Assistant on the “Phased Array Antenna Characterization for RFID Applications ” project supported by CATERPILLAR Inc., and the objective was real-time tracking of the RFID tag positions using phased-array antennas. He was an RF Laboratory Assistant whose duties involved guiding the students in the use of vector network analyzer, ESG signal generator, and spectrum analyzer and preparing manuals for RF design software such as Agilent ADS and Agilent VEE Pro. He has given several technical seminars within the Department of Electrical and Computer Engineering, Bradley University. His research specializes in the area of microwave and wireless engineering. He has undergone the required training and has gained experience in performing RF measurements using a semiautomatic wafer probe station and performance network analyzer. He has undergone the required course of education in human values and obtained the Diploma in Sri Sathya Sai education. Mr. Sundaram was the recipient of the Best Student Award Gold Medal in 2000. His paper, based on his master’s capstone project, was selected for the 35th European Microwave Conference, Paris, France, in October 2005.

Prasad N. Shastry (S’79–M’80–SM’88) (formerly S. N. Prasad) received the Bachelor’s degree in electronics engineering from Bangalore University, Bangalore, India, in 1972, the Master’s degree in microwave and radar engineering from the Indian Institute of Technology, Kharagpur, India, in 1974, and the Ph.D. degree in electrical engineering from the Indian Institute of Technology, Bombay, India, in 1980. In 1982, he was awarded a Post-Doctoral Fellowship in electrical engineering at the University of Wisconsin–Madison. He is currently a Professor with the microwave and wireless engineering program of the Department of Electrical and Computer Engineering, Bradley University, Peoria, IL. Since joining Bradley University in 1991, he has taken a leading role in the development of the curriculum, laboratories, and an active research program in the area of microwave and wireless engineering. He was responsible for establishing the National Science Foundation (NSF)-supported Advanced Microwave Engineering Laboratory for research in the area of MMICs. For the past 24 years, he has been actively engaged in collaborative research and consulting with several leading companies including Honeywell, Northrop Grumman, Fujitsu Compound Semiconductor, and TLC Precision Wafer Technology. From 1982 to 1991, he was with the University of Wisconsin–Madison, as a Post-Doctoral Research Associate and adjunct faculty member with the Department of Electrical and Computer Engineering. He has made pioneering research contributions to the area of wideband distributed amplifiers in projects sponsored by the Office of Naval Research, the Defense Advanced Research Project Agency, and the Honeywell Corporation. During his doctoral research, he invented the widely used linearly tapered slotline antenna (LTSA) and presented a paper on this invention in 1979 at the 9th European Microwave Conference, Brighton, U.K. From 1980 to 1982, he was a Senior Scientist with the Electronics and Radar Development Establishment, Bangalore, India. From 1974 to 1975, he was a Senior Scientist with the Defense Research and Development Laboratory, Hyderabad, India. In the summer of 1973, he was also a Graduate Intern with the Defense Electronics Research Laboratory, Hyderabad, India. He has authored or coauthored numerous publications, which include archival journal papers, refereed conference papers, book chapters, and research project reports. His current areas of research include MMICs, wideband distributed architecture circuits, and reconfigurable circuits and antennas for wireless systems Dr. Shastry has served on the Editorial Boards of several IEEE publications. He served as the program chairman of the IEEE Illinois Valley Section, Peoria, IL (1991 and 1993). He is a member of Sigma XI (The Scientific Research Society).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2593

High Gain Active Microstrip Antenna for 60-GHz WLAN/WPAN Applications Camilla Kärnfelt, Member, IEEE, Paul Hallbjörner, Herbert Zirath, Member, IEEE, and Arne Alping, Member, IEEE

Abstract—A 60-GHz active microstrip antenna design comprising a three-stage pseudomorphic high electron mobility transistor amplifier integrated with a high gain antenna on an alumina substrate is presented. The amplifier has 18-dB gain and is ribbon bonded to the substrate on which the antenna is defined. The antenna is a microstrip array antenna with a simple etched pattern for producibility at high frequencies. Two antenna layouts are designed, for different coverage areas: a single array having 13.4-dBi directivity and a double array with 14.6-dBi directivity. Antenna losses are approximately 1–2 dB, giving antenna gains of about 12 and 13 dBi, respectively. Mechanical simplicity is achieved with this design, and unnecessary transitions are avoided. Measurements are performed on amplifier and antenna separately, as well as on the integrated design. Amplifier chips with and without benzocyclobutene passivation are fabricated and measured for comparison. Index Terms—Active antenna, GaAs, microstrip antenna array, millimeter wave, monolithic-microwave integrated-circuit (MMIC) amplifiers, pseudomorphic high electron-mobility transistor (pHEMT), 60 GHz, wireless local-area network (WLAN), wireless personal area network (WPAN), -band.

I. INTRODUCTION KEY word for future communication networks is “triple play,”i.e.,providing asimultaneousserviceforvoice,video, and data. This requires access networks with very high capacity. For wireless access, high data rate means broad frequency bands, and sufficiently broad bands can easily be obtained at higher frequency, such as millimeter-wave frequencies. The millimeter-wave band has several advantages: large spectral capacity, small antennas, and compact and light equipment. In the 60-GHz band, where the oxygen absorption has its maximum (10–15 dB/km), there is also the additional benefit of reduced cochannel interference. Therefore, this millimeter-wave band enables dense ( 1 km) wireless communication due to shorter frequency reuse distance, as well as

A

Manuscript received October 11, 2005; revised February 19, 2006. This work was supported by Ericsson, by the Swedish Agency for Innovation Systems, and by the Chalmers University of Technology carried out within the competence center Chalmers Center for High-Speed Technology as part of the 60 GHz Broadband Wireless Communication Systems Project. C. Kärnfelt is with the Department of Microtechnology and Nanoscience, Microwave Electronics Laboratory, Chalmers University of Technology, SE-412 96 Göteborg, Sweden (e-mail: [email protected]). P. Hallbjörner and A. Alping are with the Microwave and High Speed Electronics Research Center, Ericsson AB, SE-431 84 Mölndal, Sweden (e-mail: [email protected]). H. Zirath is with the Department of Microtechnology and Nanoscience, Microwave Electronics Laboratory, Chalmers University of Technology, SE-412 96 Göteborg, Sweden, and also with the Microwave and High Speed Electronics Research Center, Ericsson AB, SE-431 84 Mölndal, Sweden. Digital Object Identifier 10.1109/TMTT.2006.872923

access to a worldwide allocated nonregulatory frequency band [1]–[3]. Today several companies provide 60-GHz data links for gigabit-ethernet (1.25 Gb/s) bridges between local area networks (LANs). These point-to-point links use high gain antennas, and line-of-sight connection on the order of 1 km is possible. It is expected that the use of 60-GHz technologies will extend to highcapacity fixed wireless access (FWA) networks. FWA is usually provided by a point-to-multipoint architecture, where the coverage cell is split in sectors and the users share the capacity by time-division multiple access methods. FWA is handled by standardization bodies, such as IEEE 802.16 and ETSI Broadband Radio Access Networks. Intervehicle and roadside communication in intelligent transport system applications, as well as the quasi-cellular mobile broadband system (MBS) [4], are other areas where 60-GHz technologies may be implemented in the future. 60-GHz wireless local area network (WLAN) and wireless personal area network (WPAN) have the potential to be high-volume markets, which would require mass production of small, low cost, and highly integrated transceiver products. However, to provide interoperability with the legacy WLAN at 2.5 or 5 GHz, it is necessary to develop a hybrid dual-band system. This would extend existing broadband WLAN systems providing high-speed hot spot access points as well as a fallback option for the 60-GHz WLAN during temporarily worsened channel conditions. The European IST project BroadWay [5] is addressing these issues for scenarios including hot spots in vendor areas and cyber cafés, high-density residential dwellings and flats, and corporate environments. In Japan, there has been an extensive effort to implement 60-GHz technologies for the wireless home, e.g., the wireless video Home-Link system [6], which includes applications such as Wireless IEEE 1394 (FireWire). Within the IEEE 802.15 standard body, 60 GHz is a frequency considered for WPAN. This would allow very high data rate ( 2 Gb/s) applications such as high-speed Internet access, streaming content download (video on demand, HDTV, home theater, etc.), real-time streaming, and wireless data bus for cable replacement. Due to severe attenuation by indoor obstacles at 60 GHz, both WLAN and WPAN are inherently restricted to very short distances (typically a room). Since WLAN/WPAN systems should support nomadic or slow mobile users, high-directivity antennas can be used only if they have some steering capability. Due to the high free-space loss at millimeter-wave frequencies, the link margin of a 60-GHz radio system is dependent on high antenna gain. The type of antenna needed depends on the application. In high-speed point-to-point links, an antenna gain of more than 35 dBi may be required. However, this corresponds

0018-9480/$20.00 © 2006 IEEE

2594

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 1. Schematic of the amplifier.

to beamwidths of only a few degrees, and for WLAN/WPAN applications an omnidirectional antenna pattern is usually desired. During the last few years, a number of publications describing monolithic microwave integrated circuit (MMIC) chip sets suitable for broadband 60-GHz applications have been published [6]–[13]. However, for almost all applications, it is important to reduce the chip cost, and a high integration level is therefore necessary. A single MMIC chip should contain as much as possible of the millimeter-wave front-end circuits. Most of the published results are based on GaAs technology, although SiGe [13] or even CMOS [14] has been considered. Silicon-based technologies are potentially beneficial from a cost perspective, but only for large-scale production. High integration will also relax the cost issue for compound semiconductor technologies. Recently completed 60-GHz transmitter (TX) and receiver (RX) chips using a commercial foundry process based on a 0.15- m gate length GaAs pseudomorphic high electron-mobility transistor (pHEMT) technology have been published [15]. The substrate that carries the MMIC chips is fabricated using either the more conventional material alumina Al O or a multilayer technology such as low-temperature cofired ceramic (LTCC) [16], high-temperature cofired ceramic (HTCC) [12], or even multichip module deposited technology (MCM-D) [17]. Chip interconnects are provided by microstrip lines or coplanar waveguides (CPWs). The interconnect loss is heavily dependent on the substrate material used. Ceramics are characterized by their low radio-frequency (RF) loss and good thermal properties. One of the disadvantages of ceramics is the high cost. The heavy weight and density of ceramic material also means it cannot be formed into large sheet sizes like organic, plastic materials. Lower cost organic materials, such as epoxy-glass laminate (FR-4) and polytetraflouroethylene (PTFE), have higher RF loss and worse thermal properties and are not frequently used at 60 GHz. The MMIC chips are connected to transmission lines using either conventional wire bonding or flip-chip bonding. Flip-chip bonding technologies have several advantages: compact size, low cost in large volumes, and excellent electrical performance (smaller parasitics compared to wire bonding). The small size (a few square millimeters) of an antenna at these frequencies opens up the possibility of incorporating the antennas into the package. Using advanced multifunctional multichip module structures, it is possible to integrate planar antennas with transmit and receive functions (MMIC chip sets) in a 60-GHz module.

At millimeter-wave frequencies, tiny dimensions are required and therefore precise manufacturing processes are essential. Integration of active and passive functions on the same substrate simplifies a design, helps to improve production yield, improves performance, and reduces cost. Interconnect transitions are avoided as far as possible and transmission line lengths are reduced. Etched microstrip antennas are the natural choice for an integrated design, and to achieve a high gain, a large array is required. Microstrip antennas usually include fine details in the pattern, such as matching structures and impedance transformers. A large array, with a large number of fine details in the pattern, is likely to cause problems with the production yield. This paper presents a 60-GHz active antenna design [18] comprising an MMIC amplifier directly connected to a high gain microstrip antenna on the same substrate. The amplifier is a ribbon bonded GaAs chip mounted in a cavity, and the antenna is a single layer series-fed patch array with a design that avoids fine details in the etched pattern. A number of variants are fabricated, both with and without MMIC chip passivation, and with different antenna layouts. II. DESIGN A. Design of the MMIC Amplifier A pHEMT 45–65-GHz wideband amplifier MMIC is used in the active antenna design. The amplifier consists of three feedback stabilized amplifier stages, designed to give a flat frequency response within 45–65 GHz, and good input and output match with the goal of a reflection coefficient below 10 dB. Fig. 1 shows the schematic, and Table I gives the component values. A photograph of the amplifier is shown in Fig. 2. The die size is 3 mm 2 mm, with an area for the active circuit of approximately 2 mm 1 mm. A pad frame of octagonal pads with a pitch of 150 m is laid out to facilitate flip-chip mounting. Each amplifier stage has a gate-drain parallel feedback stabilization network consisting of an RC network with and fF. Each transistor has two gate fingers with a unit width of 60 m. For the stabilization of the dc-bias network, a drain series resistance of 10 is inserted between the first and the second decoupling capacitor. The input match is accomplished by a double open stub for enhanced bandwidth. Gate bias is supplied via an low-pass network, with and pF. The output matching network consists of a

KÄRNFELT et al.: HIGH GAIN ACTIVE MICROSTRIP ANTENNA

2595

TABLE I COMPONENT VALUES FOR THE AMPLIFIER; SEE FIG. 1

Fig. 3. Simulated small signal performance. (a) Input reflection S reflection S . (b) Transmission S .

and output

Fig. 2. Photograph of the wideband amplifier.

double stub network with a shorted stub close to the transistor followed by a high impedance line and an open stub. The interstage network consists of a high- line, an open stub, and a high- line, equivalent to an low-pass network. The drain bias is supplied through a shorted stub with an approximate length of 4 at 60 GHz. This line is RF-shorted by the above mentioned low-pass network, where the resistor is needed for electrical stability outside the band. The interstage network is optimized for a flat gain response. The simulated small signal gain is 17 dB 1 dB, between 55 and 68 GHz, for V and V, see Fig. 3. Input/output reflection coefficients of less than 10 dB are obtained at 60 GHz. Simulations using Agilent ADS show a noise figure of 4.9 dB. The simulated output power versus input power and frequency is shown in Fig. 4. In Fig. 4(a), the output power versus input power at 60 GHz is plotted. From this simulation, a 1-dB compression of 9 dBm and a saturated output power of 12.5 dBm is estimated. In Fig. 4(b), the output power versus frequency is plotted with input power as the parameter, ranging from 15 to 0 dBm in 5-dB steps. In this work, the standard WIN PP15–20 0.15 m power pHEMT process is used. This process is a two metal layer process with nitride passivation to form capacitors. Transmission lines are formed using the two metal layers, for maximum current carrying ability. After applying the second layer, no additional passivation is applied on the top metal; thus the

Fig. 4. Simulated large signal performance of the amplifier. (a) Output power versus input power at 60 GHz. (b) Output power versus frequency with input power from 15 to 0 dBm in 5-dB steps.

0

transmission lines, the top capacitor plate, and the air bridges are not protected.

2596

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 5. Simulated two-tone intermodulation of the amplifier at a drain voltage of 3 V and a gate voltage of 0.4 V.

0

The third-order output intercept point (OIP3) is approximately 20 dBm according to simulations, shown in Fig. 5. A chip with no top passivation is more sensitive to handling and, in addition, for operation in a nonhermetic package a passivated chip is preferred. Benzocyclobutene (BCB) is a passivation material that exhibits valuable properties for high-frequency applications such as low relative dielectric constant (2.7) and low loss tangent (0.0008). It also has a low water uptake compared to other passivation materials, and from a foundry point of view it is advantageous because of its low curing temperature and simple manufacturing process. The effect of BCB on pHEMT devices has been investigated recently [19]–[22]. However, no investigation on a full MMIC design has yet been presented. In the scope of this paper, one wafer was processed according to the standard scheme and one wafer was processed with a 5.5- m-thick BCB layer applied on top. The BCB layer was opened only at the pads.

Fig. 6. Etched patterns for the two designed antenna arrays. (left) Single array and (right) double array for equal beamwidths in both planes.

Fig. 7. Parameters that define the etched pattern of the single array antenna.

B. Antenna Microstrip patch antennas [23]–[26] are used in the design. They are mechanically simple and produced with high accuracy by etching from the alumina substrate top metal layer. The substrate bottom layer metal acts as ground plane. The alumina substrate is 127- m thick, with a relative permittivity . Array antennas are used to achieve a high gain; series feed networks are chosen for their simplicity and to avoid line intersections and impedance transformers. A consequence of the single layer design is that the substrate thickness becomes a critical parameter and must be chosen to fit both the 50- lines and the patches. The radiation efficiency of a single patch depends on the ground plane distance as well as substrate permittivity and patch dimensions, and should be optimized to match the number of patches in the array. There are no structures for impedance matching at the feed point of the individual patches. The etched pattern consists only of the rectangular patches and 50- transmission lines. Two types of arrays are designed (see Fig. 6): one single array antenna with eight patches and one double array antenna with two five-patch arrays connected in parallel. Agilent ADS/Momentum is used in the design process to simulate the antenna performance. Each series fed array is resonant and designed to have uniform excitation over the array. The

Fig. 8. Parameters that define the etched pattern of the double array antenna.

single array antenna has a simpler pattern and gives a beam that is wide in one plane and narrow in the other. It takes up a board area of 1 mm 12 mm and gives beamwidths of 20 and 82 , respectively. This type of radiation pattern is suitable for WLAN systems where a sector is to be covered. The double array antenna is designed to have equal beamwidths in both planes. It occupies a board area of 5 mm 10 mm and has a beamwidth of 33 in both planes. In addition to the dimensions of the array, about 3 mm in each direction from the pattern should be kept free from circuits and transmission lines to avoid disturbance from unwanted coupling. The power splitter where the two arrays of the double array antenna are connected is designed without impedance transformers, i.e., with 50 at all three interfaces. Impedance match is instead achieved by choosing array input impedance and line length between intersection and array so that each array presents

KÄRNFELT et al.: HIGH GAIN ACTIVE MICROSTRIP ANTENNA

2597

TABLE II DIMENSIONS OF THE SINGLE ARRAY ANTENNA, WITH PARAMETERS DEFINED ACCORDING TO FIG. 7

Fig. 11. Equivalent circuit for an entire single or double array.

TABLE IV PARAMETER VALUES FOR THE EQUIVALENT CIRCUITS OF THE SINGLE AND DOUBLE ARRAY ANTENNAS, WITH THE MODEL IN FIG. 11. VALUES ARE OPTIMAL FOR 59–61 GHz TABLE III DIMENSIONS OF THE DOUBLE ARRAY ANTENNA, WITH PARAMETERS DEFINED ACCORDING TO FIG. 8

Fig. 12. Dimensions of CPW probe pads, in micrometers. Gray areas are metal. Black circles mark metallized vias for ground connection.

Fig. 9. Simulated three-dimensional radiation pattern of the single array antenna. Scale is in decibels.

Fig. 10. Simulated three-dimensional radiation pattern of the double array antenna. Scale is in decibels.

100- load impedance at the intersection. Simulated directivities are 13.4 dBi for the single array antenna and 14.6 dBi for

the double array antenna. Simulated losses are about 1 dB for each antenna type. Since simulations often show lower losses than in measurements, it is estimated that the losses are in the range 1–2 dB. Dimensions of the etched pattern of the single and double array antennas are given in Figs. 7 and 8 together with Tables II and III. Tolerance analyses in full-wave simulations show that the pattern edges should be produced preferably with an error of less than 2 m and at least less than 5 m for good electrical performance. When etching metal patterns, unwanted radii can occur at inner corners. Sharp inner corners are used in the designs, and these should be produced so that any radius is less than 5 m. Both antennas have linear polarization and sidelobe levels of less than 11 dB relative to beam peak. The simulated radiation pattern of the single array antenna is shown in Fig. 9 and of the double array antenna in Fig. 10, in both cases at center frequency, i.e., 60 GHz. Equivalent circuits for the antennas are devised in order to be able to include a realistic load in simulations on the amplifier. An equivalent circuit for an entire array (single or double) is shown in Fig. 11. For each of the single and double array antennas, parameter values are found that give a best fit to simperformance within 59–61 GHz. These values are ulated listed in Table IV. The test probes to be used in the measurements are coplanar with a ground–signal–ground (GSG) probe tip; thus a transition

2598

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 16. Integrated design with single array antenna.

Fig. 13. Results from simulations on the microstrip-to-CPW transition used for test probe connection. S is better than 19 dB (triangles), and S is better than 0.18 dB (circles).

0

0

Fig. 17. Integrated design with double array antenna.

Fig. 14. Cross section of amplifier chip and substrate cavity.

Fig. 18. Dimensions, in micrometers, of the bond wire compensation network.

Fig. 15. Amplifier-only circuit.

is necessary for adequate connection. Transitions are placed at the end of the antenna feed lines, on the carrier board. The CPW pads are used for probe connection. These pads have dimensions according to Fig. 12. The microstrip-to-CPW transition is simulated (using Agilent ADS/Momentum) to ensure that no unnecessary losses or reflections are inserted at this point. The simulations (Fig. 13) show below 19 dB and a forward an input reflection coefficient better than 0.18 dB from 30 to transmission coefficient 70 GHz. C. Integrated Design A number of test circuits are placed on a common alumina substrate. The substrate is 127- m thick and is metallized with approximately 5- m gold on each side. Its dimensions are 50 50 mm. The circuits are of the following types: amplifier only, antenna only (passive single/double array antenna), and integrated amplifier and antenna (active single/double array antenna). Amplifiers are placed in a cavity formed by laser

Fig. 19. Bond wire connection between carrier board on the left (with bond wire compensation network) and amplifier chip on the right.

cutting a hole in the substrate and soldering a second alumina plate to it, according to the cross section in Fig. 14. Standard chemical etching is used for the antenna and circuit patterns. The supplier guarantees a 5- m standard line width tolerance, which is sufficient for this design. Figs. 15–17 show photos of some of the designs. The amplifier-only circuits have CPW probe connection on both input and output. A 50- m-wide ribbon is used to connect the chip to the substrate transmission lines. Antenna-only circuits have a CPW probe connection on the antenna port. In the integrated designs, amplifiers of each type are directly connected to antennas of each type, in all combinations. All integrated designs have the amplifier connected to the antenna in a transmit configuration.

KÄRNFELT et al.: HIGH GAIN ACTIVE MICROSTRIP ANTENNA

2599

Fig. 20. MMIC connected to antenna: (a) without and (b) with compensation network. Smooth line is S and circles are S .

0 0

Fig. 22. (top) Measured output IP3 of the amplifier MMIC. V = 0:3 V, V = 3:0 V. OIP3= 14 dBm. (bottom) Measured output IP3. V = 0:4 V and V = 3:0 V. OIP3= 13:5 dBm.

All connections between amplifier and carrier board include a compensation network to compensate for the series inductance introduced by the bond wire. The network is an impedance transformer and a double stub, with dimensions according to Fig. 18. A closeup photograph of the bonding from the bond wire compensation network on the carrier board to the pad on the amplifier chip is shown in Fig. 19. The effect of the bond wire compensation network on electrical performance is shown in Fig. 20. As can be seen, the match is optimized at 60 GHz, leading to a reduction in insertion loss from 0.5 to 0.1 dB at this frequency. III. MEASUREMENTS A vector network analyzer (Agilent PNA) is used for the measurements. Measurements are first performed on the amplifier and antenna separately, after which measurements are performed on the integrated design. A. Amplifier Fig. 21. (top) Measured gain (S ) of the amplifier. (middle) Measured input reflection (S ) of the amplifier. (bottom) Measured output reflection (S ) of the amplifier.

-parameters of the amplifier are measured; see Fig. 21. Measured gain is 18.5 dB and the 3-dB bandwidth is 47–64 GHz.

2600

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 23. Mean value of measured scattering parameters: (a) S , (b) S , (c) S , and (d) S

Compared to simulations, the measured gain is approximately 1 dB higher, but the upper cutoff frequency is 5 GHz lower. The input reflection coefficient is below 10 dB within 38–64 GHz, with the exception of a hump between 54–59 GHz with a peak of 8 dB. The output reflection coefficient is below 8 dB within 50–65 GHz. There are no signs of electrical instability. Output IP3 is measured using an Anritsu 69087B and an HP 83650B with millimeter-wave module 83557A to generate the two signals at frequencies 59.95 and 60.05 GHz, respectively. An Anritsu power divider K240 is used to combine the two signals. The power delivered from each source at the point after the combiner is calibrated using an HP V8486A power sensor together with an Agilent E4419B power meter. The output power from the amplifier is measured using a spectrum analyzer from Agilent 8565EC, with preselector module 11974 V. The input power of each input frequency is swept from 20 to 2 dBm and the output power of the first-order (59.95 and 60.05 GHz) and third-order products (59.85 and 60.15 GHz) are measured using the spectrum analyzer. The output power level is corrected for the losses in probe and cables. The resulting plots at bias point V V and V V are shown in Fig. 22. To evaluate the effect of BCB passivation, 20 amplifiers with and 20 amplifiers without BCB are measured. Fig. 23 shows the mean values from these measurements. The influence of the BCB on the amplifier is noticed as somewhat deteriorated reflection coefficients ( and ) at the higher frequencies. On the forward transmission, a gain drop of about 1 dB is measured up to 62 GHz. Above 62 GHz, the gain drop increases with frequency. B. Antenna Measurements are performed on antenna only (i.e., without amplifier). Reflection coefficient and radiation level in the

for amplifiers without BCB (circles) and with BCB (solid line).

Fig. 24. Sketch showing the setup for the antenna measurements. A GSG probe connects to the antenna port. A horn antenna senses the radiation in the broadside direction.

broadside direction are measured using the setup depicted in Fig. 24. The results for the single array antenna are shown in Fig. 25, and for the double array antenna in Fig. 26. The antenna bandwidth is about 0.8 GHz, measured at an of 10 dB. Total losses of the antenna and the measurement setup (including path loss and horn antenna gain) are 22 dB. Some sensitivity to the exact position of the horn antenna is detected during measurement, a result of disturbance from objects in the surroundings. However, the disturbances are not severe, and it is estimated that is measured with an accuracy of about 1 dB with this setup.

KÄRNFELT et al.: HIGH GAIN ACTIVE MICROSTRIP ANTENNA

Fig. 25. Measured port reflection coefficient and broadside radiation level of the single array antenna. Solid line is radiation measured at 100-mm distance with a high gain horn antenna. Broken line is measured port S .

2601

Fig. 28. Measured transmission of the integrated design with the double array antenna. Dashed line is with amplifier without BCB layer. Dotted line is with amplifier with BCB layer. Solid line is antenna only; see Fig. 26.

passivation. The transmission of antenna only is also plotted for comparison. Fig. 28 shows the same for the integrated design with the double array antenna. The amplifier increases the level by approximately 18 dB, which is in agreement with the measurements on amplifier only. Chip passivation reduces the level by about 1 dB on average. IV. CONCLUSIONS

Fig. 26. Measured port reflection coefficient and broadside radiation level of the double array antenna. Solid line is radiation measured at 100-mm distance with a high gain horn antenna. Broken line is measured port S .

It is demonstrated that an 18-dB amplifier can be integrated with a high gain microstrip array antenna on the same substrate, using a simple design. Stable performance is combined with good producibility by use of an alumina substrate with thin-film metallization, a ribbon bonded amplifier chip, and a single layer series feed patch array antenna without fine details. Protecting the amplifiers with BCB results in a 1-dB gain reduction. V. FUTURE WORK

Fig. 27. Measured transmission of the integrated design with the single array antenna. Dashed line is with amplifier without BCB layer. Dotted line is with amplifier with BCB layer. Solid line is antenna only; see Fig. 25.

The stability of the measured results and their agreement with simulated performance indicate that even larger arrays can be realized with this concept at 60 GHz. Alternatively, they indicate that similar antennas can be realized at higher frequencies.

The successful integration of an amplifier with an antenna encourages us to go one step further and integrate a WLAN-system comprising TX and RX MMICs developed recently [15]. By including a 7.5-GHz voltage-controlled oscillator in the system, the interface is reduced to merely two intermediate-frequency connectors, one for the TX and one for the RX part. Other than that, we also need dc connectors to supply bias voltage to the MMICs, which is fairly simple to achieve. The IF bandwidth of such a system is more than 1.5 GHz, which will allow multigigabit/second transmission. Due to the topology of the chipset, 802.11 WLAN signals can also be converted from 2.5 to 60 GHz for secure WLAN systems. The power dissipation of a pHEMT-based front-end chipset is approximately 0.8 W each. By using mHEMT-technology, the power dissipation can be cut to one-third.

C. Integrated Design REFERENCES Measurements similar to the measurements on antenna only are performed on the integrated design, i.e., with a setup according to Fig. 24. The only difference is that the amplifier is also included in the signal chain. Fig. 27 shows the level of of the integrated design with the single array antenna, for amplifiers with and without BCB

[1] A. J. Richardson and P. A. Watson, “Use of the 55–65 GHz oxygen absorption band fort short-range broadband radio networks with minimal regulatory control,” Proc. Inst. Elect. Eng., vol. 137, pt. I, pp. 233–241, Aug. 1990. [2] P. Smulders, “Exploiting the 60 GHz band for local wireless multimedia access: Prospects and future directions,” IEEE Commun. Mag., pp. 140–147, Jan. 2002.

2602

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[3] C. Fager, S. Gunnarsson, A. Alping, and U. Engström, “Systems and applications for broadband wireless communication in the 60 GHz band,” in GigaHertz 2005 Conf., Uppsala, Sweden, Nov. 8–9, 2005, pp. 28–31. [4] F. J. Velez, M. Dinis, and J. Fernandes, “Mobile broadband systems: Research and visions,” IEEE Veh. Technol. Soc. News, vol. 52, no. 2, pp. 4–12, May 2005. [5] M. de Courville, S. Zeisberg, M. Muck, and J. SchönthierSchonthier, “BROADWAY—The way to broadband access at 60 GHz,” in IST Mobile Wireless Telecommun. Summit, Thessaloniki, Greece, Jun. 2002 [Online]. Available: http:/www.ist-broadway.org, as of Sep. 1, 2005 [6] K. Hamaguchi, Y. Shoji, H. Ogawa, H. Sato, K. Tokuda, Y. Hirachi, T. Iwasaki, A. Akeyama, K. Ueki, and T. Kizawa, “A wireless video home-link using 60 GHz band: Concept and performance of the developed system,” in Proc. 30th Eur. Microw. Conf., Paris, France, Oct. 2–6, 2000, vol. 1, pp. 293–296. [7] M. Siddiqui, M. Quijije, A. Lawrence, B. Pitman, R. Katz, P. Tran, A. Chau, D. Davison, S. Din, R. Lai, and D. Streit, “GaAs components for 60 GHz wireless communication applications,” in GaAs Mantech Conf. Tech. Dig., San Diego, CA, Apr. 11, 2002, pp. 243–246. [8] K. Fujii, M. Adamski, P. Bianco, D. Gunyan, J. Hall, R. Kishimura, C. Lesko, M. Schefer, S. Hessel, H. Morkner, and A. Niedzwiecki, “A 60 GHz MMIC chipset for 1-Gbit/s wireless links,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2–7, 2002, vol. 3, pp. 1725–1728. [9] O. Vaudescal, B. Lefebvre, V. Lehoué, and P. Quentin, “A highly integrated MMIC chipset for 60 GHz broadband wireless applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2–7, 2002, vol. 3, pp. 1729–1732. [10] Y. Mimino, K. Nakamura, Y. Hasegawa, Y. Aoki, S. Kuroda, and T. Tokumitsu, “A 60 GHz millimeter-wave MMIC chipset for broadband wireless access system front-end,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2–7, 2002, vol. 3, pp. 1721–1724. [11] K. Ohata, K. Maruhashi, M. Ito, S. Kishimoto, K. Ikuina, T. Hashiguchi, K. Ikeda, and N. Takahashi, “1.25 Gb/s wireless gigabit ethernet link at 60 GHz-band,” in Proc. IEEE Radio Freq. Integr. Circuits Symp, Jun. 8–10, 2003, pp. 509–512. [12] H. Zirath, T. Masuda, R. Kozhuharov, and M. Ferndahl, “Development of 60 GHz front-end circuits for a high-data-rate communication system,” IEEE J. Solid-State Circuits, vol. 39, no. 10, pp. 1640–1649, Oct. 2004. [13] B. A. Floyd, S. K. Reynolds, U. R. Pfeiffer, T. Zwick, T. Beukema, and B. Gaucher, “SiGe bipolar transceiver circuits operating at 60 GHz,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 156–167, Jan. 2005. [14] C. H. Doan, S. Emami, D. A. Sobel, A. M. Niknejad, and R. W. Brodersen, “Design considerations for 60 GHz CMOS radios,” IEEE Commun. Mag., pp. 132–140, Dec. 2004. [15] S. E. Gunnarsson, C. Kärnfelt, H. Zirath, R. Kozhuharov, D. Kuylenstierna, A. Alping, and C. Fager, “Highly integrated 60 GHz transmitter and receiver MMICs in a GaAs pHEMT technology,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2174–2186, Nov. 2005. [16] J. Mizoe, S. Amano, T. Kuwabara, T. Kaneko, K. Wada, A. Kato, K. Sato, and M. Fujise, “Minature 60 GHz transmitter/receiver modules on AlN multi-layer high temperature co-fired ceramic,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, Jun. 13–19, 1999, vol. 2, pp. 475–478. [17] J. Grzyb, I. Ruiz, D. Cottet, and G. Tröster, “An investigation of the material and process parameters for thin-film MCM-D and MCM-L technologies up to 100 GHz,” in Proc. 53rd ECTC, New Orleans, LA, May 27–30, 2003, pp. 478–486. [18] C. Kärnfelt, P. Hallbjörner, H. Zirath, P. Ligander, K. Boustedt, and A. Alping, “High gain active microstrip antenna for 60 GHz WLAN,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 4–6, 2005, pp. 581–584. [19] H.-C. Chiu, S.-C. Yang, and Y.-J. Chan, “Low-k BCB passivated Al0.5Ga0.5As/In0.15Ga0.85As enhancement-mode pHEMTS,” in 23rd Annu. Gallium Arsenide Integr. Circuit Tech. Symp. Dig., Oct. 21–24, 2001, pp. 269–272. [20] W.-S. Sul, H.-J. Han, B.-O. Lim, B.-H. Lee, S.-D. Lee, M.-R. Kim, S.-D. Kim, and J.-K. Rhee, “Analysis on the low-k benzo-cyclo-butene passivation of pseudomorphic high electron mobility transistors,” in Optoelectron. Microelectron. Mater. Devices Conf., Dec. 11–13, 2002, pp. 349–352. [21] D. H. Kim, M. H. Yoon, T. H. Kim, and K. Yang, “Reliability of BCB passivated InAlAs/InGaAs HEMT’s under thermal stress,” in Int. Compound Semiconduct. Symp., 2003, pp. 231–232.

[22] H.-C. Chiu, M.-J. Hwu, S.-C. Yang, and Y.-J. Chan, “Enhanced power Ga As performance of enhancement-mode Al Ga As=In pHEMT’s using a low-k BCB passivation,” IEEE Electron Device Lett., vol. 23, no. 5, pp. 243–245, May 2002. [23] A. G. Derneryd, “Linearly polarized microstrip antenna,” IEEE Trans. Antennas Propag., vol. AP-24, no. 11, pp. 846–851, Nov. 1976. [24] H.-Y. D. Yang, C. H. Chen, J. A. Castaneda, and W. C. Wong, “Design of microstrip line-fed patch arrays including mutual coupling,” Int. J. Microw. Millimeter-Wave Comput.-Aided Eng., vol. 4, no. 1, pp. 31–42, 1994. [25] J. Freese, R. Jakoby, H.-L. Blocher, and J. Wenger, “Synthesis of microstrip series-fed patch arrays for 77 GHz-sensor applications,” in Proc. Asia–Pacific Microw. Conf., 2000, pp. 29–33. [26] J. Freese, H.-L. Blocher, J. Wenger, and R. Jakoby, “Microstrip patch arrays for a millimeter-wave near range radar sensor,” in Proc. German Radar Symp., Berlin, Germany, Oct. 11–12, 2000, pp. 149–153.

Camilla Kärnfelt (M’05)was born in Dragsmark, Sweden, in 1965. She received the M.Sc. degree in engineering physics from Chalmers University of Technology, Göteborg, Sweden, in 2001. She was with Ericsson Microwave Systems as a Preproduction Engineer specializing in microwave hybrid manufacturing from 1987 to 2001. In September 2001, she joined the startup company Optillion as a Research Engineer. Since October 2002, she has been with the Microwave Electronics Laboratory, Chalmers University of Technology, as a Research Engineer. Her research interests lie in millimeter-wave (millimeter-wave) monolithic microwave integrated circuit (MMIC) design and packaging, especially flip-chip assembly of millimeter-wave MMICs.

Paul Hallbjörner was born in Uppsala, Sweden, in 1966. He received the B.Sc., M.Sc., and Ph.D. degrees in electrical engineering from the Chalmers University of Technology, Göteborg, Sweden. His technical focus is on microwave technology and electronics design. He has been working in the telecommunications industry since 1989, mainly with research and development of antennas. During this period, he has been with Ericsson, Saab, Allgon, and the SP Swedish National Testing and Research Institute. He is currently a Research Engineer with the Microwave and High Speed Electronics Research Center, Ericsson AB, Mölndal, Sweden. He has authored over 30 scientific publications on antennas, antenna measurement techniques, reverberation chamber techniques, and microwave design and analysis. He holds several patents in microwave technology and wireless communications. His research is focused mainly on low-cost design and producibility of microwave and millimeter-wave hardware.

Herbert Zirath (M’86) was born in Göteborg, Sweden, on March 20, 1955. He received the M.Sc. and Ph.D. degrees from Chalmers University, Göteborg, in 1980 and 1986, respectively. He is currently a Professor of high speed electronics with the Department of Microtechnology and Nanoscience, Chalmers University of Technology. He became the Head of the Microwave Electronics Laboratory during 2001, which currently has 70 employees. At present, he is leading a group of approximately 30 researchers in the area of high-frequency semiconductor devices and circuits. His main research interests include InP-HEMT devices and circuits, SiC and GaN-based transistors for high-power applications, device modeling including noise and large-signal models for FET and bipolar devices, and foundry-related MMICs for millimeter-wave applications based on both III-V and silicon devices. He is also with Ericsson AB, Mölndal, Sweden, as a Microwave Circuit Expert. He has authored and coauthored more than 220 papers in international journals and conference proceedings and one book, and he holds four patents.

KÄRNFELT et al.: HIGH GAIN ACTIVE MICROSTRIP ANTENNA

Arne Alping (M’05) was born in Finspong, Sweden, in 1953. He received the M.S.E.E. and Ph.D. degrees from the Chalmers University of Technology, Göteborg, Sweden, in 1979 and 1984, respectively. From 1980 to 1985, he was with the Department of Optoelectronics, Chalmers University of Technology, where his research included gigabit-rate fiber-optic communication systems, and different aspects of the laser diode as a multifunctional device. In 1985, he joined the research staff at the University of California at Santa Barbara, and spent one year conducting research on cleaved-coupled-cavity lasers and high-efficiency waveguide optical phase modulators. He returned to the Chalmers University of Technology in 1986, where his research was concerned with noise and

2603

coherence properties of laser diodes, external cavity lasers, and high-speed waveguide photodiodes. Since 1988, he has been with Ericsson, Mölndal, Sweden, where he is involved with broadband interconnects. For several years he was engaged in work on fiber-optic data links for aerospace and radar applications. Since 1997, he has been with the Microwave and High Speed Electronics Research Center, Ericsson Research, where he is involved in high-speed fiber-optic digital links, optical interconnects, and RF/microwave photonics for wireless communication systems. He has authored or coauthored over 50 publications in international journals and conference proceedings in the areas of photonics, millimeter-wave electronics, and packaging and interconnects. His research also includes high-speed interconnect technologies for printed circuit board and backplanes, as well as for wireless (60 GHz) systems.

2604

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Neural-Network-Based Parasitic Modeling and Extraction Verification for RF/Millimeter-Wave Integrated Circuit Design Padmanava Sen, Student Member, IEEE, Wayne H. Woods, Member, IEEE, Saikat Sarkar, Student Member, IEEE, Rana J. Pratap, Brian M. Dufrene, Member, IEEE, Rajarshi Mukhopadhyay, Student Member, IEEE, Chang-Ho Lee, Member, IEEE, Essam F. Mina, and Joy Laskar, Fellow, IEEE

Abstract—This paper reports an interconnect modeling approach for RF and millimeter-wave integrated circuits (ICs) using neural network models and a novel parasitic extraction verification procedure using automatically generated test structures. The effects of the parasitics in RF/millimeter-wave ICs are investigated with special focus on the parasitic inductances, since they are not evaluated by most of the commercially available extraction tools. State-of-the-art silicon-based multilayer RF process parameters are utilized to extract the resistive, the capacitive, and the inductive components of the layout interconnects. Neural network models are developed using electromagnetic (EM) simulation results of a set of passive interconnect structures. In addition, an automated layout generation methodology is used for the verification of the parasitic extraction methodologies. The proposed verification approach is demonstrated using automatically generated passive test structures and ring oscillators. The effects of parasitics are also investigated in voltage-controlled oscillators (VCOs) and amplifiers for millimeter-wave applications, and the neural models are verified using 30-GHz VCO measurement results. Hence, we present a complete modeling report of layout interconnect parasitics in RF/millimeter-wave integrated circuits as well as a novel verification procedure to validate non-EM analytical or neural models. Index Terms—Neural network, parasitic extraction, parasitic inductances, tool verification.

I. INTRODUCTION HE RAPID advancements in semiconductor technology have enabled the design of high-performance highfrequency circuits/systems to meet the increasing demands of the present wireless communication industry. However, with the increase of the frequency of operation of analog–mixed-signal

T

Manuscript received October 7, 2005; revised December 26, 2005. This work was supported by the Georgia Institute of Technology through a cooperative effort between Georgia Electronic Design Center and IBM, Essex Junction, VT. P. Sen, S. Sarkar, R. Mukhopadhyay, and J. Laskar are with the Georgia Electronic Design Center, School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30308 USA (e-mail: [email protected]. edu; [email protected]; [email protected]; [email protected]). W. H. Woods, B. M. Dufrene, and E. F. Mina are with IBM Microelectronics, Essex Junction, VT 05452 USA (e-mail: [email protected]; dufrene@us. ibm.com; [email protected]). R. J. Pratap was with the Georgia Institute of Technology, Atlanta, GA 30308 USA. He is now with the Intel Corporation, Chandler, AZ 85226 USA (e-mail: [email protected]). C.-H. Lee is with the Samsung RFIC Design Center, Georgia Institute of Technology, Atlanta, GA 30308 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872926

(AMS) as well as integrated RF/millimeter-wave circuits, the layout-interconnect parasitics need immediate attention. Accurate extraction and modeling of on-chip parasitics have become a very critical as well as important step in the whole design flow of on-chip realization of RF systems [1], [2]. There are several approaches to extract the parasitic RLC network and to include that in the circuit design. For the available electromagnetic (EM) and non-EM solvers, the tradeoff parameters are the accuracy and the extraction time. EM tools (e.g., Momentum, HFSS, and IE3D) are accurate, but the extraction time is lengthy, and it is difficult to include the extracted network in a circuit-level simulation environment. However, non-EM solvers (e.g., Calibre XRC, Assura RCX, and Star RCXT) are popular for their fast execution time and easy application in the circuit-level simulations. Even now, there are very few analytical-model-based parasitic inductance extraction tools, and they are much slower than their counterparts are. The unwanted inductances play a very important role in the accuracy of designs for frequencies higher than 10 GHz.They also need to be considered for the design centering of the millimeter-wave building blocks, e.g. oscillators and amplifiers. Neural-networkbased methodologies have already been demonstrated to model the passives in ceramic and organic processes [3], [4]. In this paper, the neural approach is applied to estimate the wire/interconnect parasitics for the RF integrated circuits in state-ofthe-art Si-based IC processes. Modeling and verification are both essential for a reliable circuit design approach. The accuracy of tools based on non-EM solving methodology demands a verification routine that has to be fast, automated, and systematic. In this paper, the novel fast verification approach is presented for commercially available parasitic extraction (PEX) tools. Section II of this paper explains the effects of interconnect parasitics on RF/millimeter-wave circuits. The effects of inductive and capacitive components are demonstrated using examples of 30-GHz voltage-controlled oscillators (VCOs) and 60-GHz power amplifier simulations. Section III describes the set of interconnect structures used in this study. These structures expose the majority of layout scenarios. EM extractions and neural-network-based modeling strategies are adopted to predict the capacitances as well as the inductances for different test structures with varying dimensions in a defined layout environment. The developed models can estimate the capacitive and the inductive effects accurately (1%–3% prediction

0018-9480/$20.00 © 2006 IEEE

SEN et al.: NEURAL-NETWORK-BASED PARASITIC MODELING AND EXTRACTION VERIFICATION FOR RF/MILLIMETER-WAVE IC DESIGN

2605

error depending on the complexity of structures as well as the training data size). Section IV describes a novel approach to verify non-EM parasitic extraction methodologies. Different extraction tools are compared using an automated layout generation method to create the set of passive structures as well as the ring oscillator circuits. The proposed approach, which is used for automated layout generation, is illustrated using ring oscillators. Also, 30-GHz VCO measurement results verify the modeling procedure of layout interconnects developed in this study. II. EFFECTS OF PARASITICS ON RF/MILLIMETER-WAVE CIRCUITS

Fig. 1. Schematic of the cross-coupled VCO.

In this section, the effects of parasitics in RF/millimeter-wave circuits are explained, and the common methods of analyses are described. A. Interconnect Resistances The interconnect resistances can significantly change circuit behavior by changing the matching conditions as well as reducing the gain, but they also provide stability to the circuits. In state-of-the-art technologies, low-sheet-resistance aluminum or copper lines are used to decrease the interconnect resistances, but the reduced metal sizes in today’s technologies negate the metal resistance reduction. Convenient methodology for a metal line “resistance per length” extraction is given by the following equation:

[11]. When the tool is proficient, a divide-and-conquer algorithm [12] can be applied to extract the net capacitances. It is for this reason that non-EM tools are generally based on analytical models; the tools are fast but limited by complexity of the test structures [8]. In this study, neural network models are used as a faster and more accurate alternative to the analytical models. C. Inductances at RF/Microwave Frequencies

is the sheet resistance of the metal line and is where the effective width of the line. is a function of the layout drawn width and corresponding electrical and physical bias dimensions. The electrical bias is a technology parameter, and the decreasing effect of the electrical bias is more significant for lines with smaller widths. In most of the resistance extraction methodologies, cheesing and fringing effects are considered. The skin effects on the metallization thickness [5] can be estimated with the use of EM tools or a model developed from EM analyses. The substrate resistance for lossy silicon substrate is another important parasitic component [6].

For RF/microwave applications, inductance has become an important consideration in the design and analysis of on-chip interconnects/parasitics [13]. In some topologies, parasitic inductances are also used to tune the circuit [14]. For example, a 50-pH inductance has a reactance value of 18 at 60 GHz, which needs to be addressed during circuit simulations. Complex mesh analyses [15] combined with different matrix formulations are now used to extract three-dimensional (3-D) inductances. Quasi-static simulations for inductance extractions are fast [16], and they can obtain acceptable results in RF frequencies, but a foolproof numerical method-based EM simulation [17] can give accurate results at multigigahertz frequencies. In millimeter-wave applications, line inductances have become very important for their high and self-resonating frequency (SRF) values. This demands an accurate modeling of on-chip interconnect inductances. In this study, EM-simulation-based neural network models are developed, and self-inductances and mutual inductances are studied, varying the metal layers for different layout structures.

B. Interconnect Capacitances

D. Parasitic Extraction Examples for Millimeter-Wave Circuits

Wire capacitances reduce the circuit operating frequency and affect the design centering and optimization [7]. There are many numerical methods available for interconnect capacitance extraction [8], e.g., the boundary element method and the random walk method. The boundary-element-method-based extraction is accurate but it is not suitable for large circuit extraction with unusually large grid requirement. The random-walk method [9] is used in commercially available capacitance extraction tools, e.g., QuickCap [10]. Field solvers based on the random-walk method may be efficient for large circuits; however, they take a very long execution time for the chip-level AMS/RF circuit extraction. This is why analytical models are used for very fast extraction times, sacrificing the accuracy for complex structures

The effects of interconnect parasitics on a millimeter-wave circuit are explained using a 30-GHz VCO. The schematic of the cross-coupled VCO designed on a SiGe-BICMOS technology is shown in Fig. 1. The significant parasitics are identified as emitter inductances and collector capacitances from the interconnects and , respectively. The effects of and on the center frequency are plotted in Fig. 2. The effects of the layout parasitics are prominent in oscillators, but it cannot be neglected in other blocks of communication systems, e.g., amplifiers and mixers at millimeter-wave frequencies. The effects on the performances of a single-stage 60-GHz SiGe amplifier are considered in Fig. 3 with different parasitic extraction routines in different nodes. The effects of

(1)

2606

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

(a)

(b)

Fig. 2. Effects of: (a) parasitic capacitances and (b) parasitic inductances on the 30-GHz VCO. Fig. 4. MLP neural network structure.

Fig. 3. Effects of parasitics on a power amplifier with different extraction routines: (a) gain (S ) and (b) output matching (S ).

capacitances are found to be most significant for the matching conditions, and the gain is significantly reduced for the layout parasitics. III. NEURAL NETWORK MODEL DEVELOPMENT

Fig. 5. Cross sections of the test structures for estimating capacitances.

Here, we describe the application of neural networks to the modeling of parasitics for different interconnect structures. Neural networks have emerged as an attractive technique for modeling complex and nonlinear relationships [18]–[20]. Neural networks have the capability to learn arbitrary nonlinear mapping between noisy sets of input (layout parameters) and output parameters (parasitic components).

modeling aspect, as the accuracy of the model is dependent on the small input dataset. The range of input data depends on the complexity of test structures and different metal layers used. The model is trained until the prediction error is less than 3%–5% for randomly selected input parameters. The modeling error for the dataset used in training is below 0.1%.

A. Neural Network Structure and Training

B. Modeling Capacitive Effects

The type of neural network used for modeling in this paper is the multilayer perceptron (MLP) network consisting of three or more layers, as shown in Fig. 4. The structure of the MLP is well established, and this neural model has excellent generalization capability [21]. The network is typically trained using the error back-propagation (BP) algorithm with a sigmoidal activation function [20]. The learning rate determines the speed of convergence by regulating the size of the weight change [4]. The model-accuracy parameters as training and prediction errors [4] are evaluated in terms of the rms error (RMSE). In this study, an input dataset of 20–40 points are used to train the neural networks in a wide range of width, length, and spacing, wherever applied. A Latin Hypercube Sampling [22] algorithm is used to choose the input dimensions for a better

The interconnect capacitances in a complex layout environment can be determined by superposition of to-ground and coupling capacitances for simpler test structures, defined in a multilayer process. One such set of test structure is shown in Fig. 5, assuming that the capacitance in only one layer. The layouts as well as combinations of them in different metal layers can be used to estimate the required interconnect capacitances. A silicon-based seven-metal-layer RF process is defined in the EM solver for extraction and modeling purposes. The process has five thin metal layers (i.e., 1M1, 1M2, 1M3, 1M4, and 1M5). The two thicker metal layers are defined as 2M1 and 2M2 above the thin metal layers. The 2M1 metal layer is above the 1M5 layer. From Fig. 5, the bottom grounded metal layer is assumed to be 1M1 in structures (a), (c), (f), (g), (i), and (k). The signal metal layer chosen for analysis is either 1M2 (i.e., the

SEN et al.: NEURAL-NETWORK-BASED PARASITIC MODELING AND EXTRACTION VERIFICATION FOR RF/MILLIMETER-WAVE IC DESIGN

2607

TABLE I RANGES OF DIMENSIONS FOR DIFFERENT METAL LAYERS

TABLE II NEURAL NETWORK PARAMETERS FOR CAPACITANCE MODELING

metal layer just above 1M1) or 2M2 (i.e., the top metal layer). The layouts shown in Fig. 5 can account for fringing effects, different coupling effects, as well as substrate effects. Neural-network-based models are developed to model the capacitances for the selected test structures. A simple parallelplate capacitance approximation is no longer valid for the case, when the metal thickness is comparable to the vertical distance from ground. Also, it is difficult to estimate nonscalable interconnect capacitances using analytical models, which is why the neural network models are preferred to analytical models. The equivalent capacitances are extracted from the simulated Y parameters [23]. To model capacitances in different layers, electrical design rules are satisfied. The ranges and number of samples are decided from the current carrying capacity and the reliability issues in layouts for the millimeter-wave transceiver circuits. The ranges of input parameters for different cases are summarized in Table I. The width, length, and spacing are of their usual meaning for the interconnects as shown in Fig. 5. Two separate models are developed for different width ( )/length ( ) aspect ratio ranges. Case I includes ratios from 0.25 to 4, whereas case II includes the case when ratios vary between 0.03–0.5. Also, the number of training data required depends on the range of model as well as the complexity of EM effects involved. For example, case I requires 20 training inputs to obtain a prediction error of less than 3%, whereas the same accuracy is achieved for case II using 30 training data points. Table II shows the properties of neural network models as well as the prediction errors for these two cases. Another model is developed to predict for the combined case where the aspect ratio ranges from 0.03 to 4. Fig. 6 shows the capacitances extracted from these models. For the structure 5(a) and (b), a comparison is shown in Fig. 7 for different metal layers 1M2 and 2M2 with and without the 1M1 layer being grounded. Fig. 8 shows a comparison of the modeled equivalent one-port capacitances for the structures shown in Fig. 5(b), (d), and (e), respectively, with the variations of length and width in the metal layer 1M2. For the floating or grounded layer above 1M2, 1M3 is chosen. For cases as

Fig. 6. Modeled capacitances for different aspect ratio cases using the structure shown in Fig. 5(a) for 1M2 lines over 1M1 ground. (a) Separate cases. (b) Combined case.

shown in Fig. 5(g)–(l), neural network models are developed using three input parameters. The to-ground self-capacitances , coupling capacitances with varying width, and spacing for the fixed-length (30- m) 2M2 layer lines are shown in Fig. 9 for the structure shown in Fig. 5(g). The neural-network-based models are compared with an EM-solver and an analytic model-based commercially available layout extractor for randomly selected dimensions in different test layouts. The comparison results are summarized in Table III. It shows that these models can predict the capacitances more accurately than analytical models. As the neural network models are based on EM solver (e.g., IE3D and HFSS) simulations, it is expected to give closer results. The percent difference of the analytic solver is more in the case of 2M2 over 1M1 compared with 1M2 over 1M1 test cases. This is because the analytic solver, in general, is more accurate for closer ground planes (better parallel plate approximation) but deviates from the EM-solver for other cases. Also, the neural network models, which are developed from only 30 simulation results, were found to be accurate for a large variation of input dimensions in the modeled range. To compare the computation time,

2608

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 9. (a) Self-capacitances and (b) coupling capacitances for the structures in Fig. 5(g) with 30-m length in the 2M2 layer with the 1M1 layer grounded.

TABLE III COMPARISON OF CAPACITANCES USING DIFFERENT MODELS

Fig. 7. Modeled capacitances for lines on 1M2 and 2M2 layers with and without 1M1 ground planes. (a) Capacitances with ground plane. (b) Capacitances without ground plane.

Fig. 10. Test structures for inductance extractions.

Fig. 8. Comparison of modeled capacitances with the variation of: (a) length with 10-m width and (b) width with a 30-m length.

the neural network simulations of 30 test structures can be done in less than a minute on a 1-GB RAM Windows machine in contrast to 1–2 h of computation in 3-D tools like HFSS. C. Modeling Inductances The same process parameters and modeling methods are used to estimate the interconnect inductances. In most of the cases, 2M2 (i.e., a 4- m-thick layer) is chosen for the inductance simulations, as thick metal lines are more inductive than thinner metal

lines. Different structures are considered as shown in Fig. 10. The variations of inductances for the structures given in Fig. 10(a) and (b) for the 2M2 layer with and without 1M1 being grounded are shown in Fig. 11. The inductances without the 1M1 ground plane are always larger than those with a ground plane. The values of inductances change significantly with the changes of metal layer and the layer thickness. Fig. 12 demonstrates the differences between the inductance values for different metal layers without 1M1 grounding with the same dimensions. The strength of such a neural-network-based model lies in the fact that it can find the dimensions for required values of inductances [20]. The length-versus-width variation for extracting the same values of inductances (i.e., 2% tolerances) for the case shown in Fig. 10(a) is shown in Fig. 13. The mutual inductances between close interconnects are also modeled using neural networks. The inductances are extracted from EM simulations using the methodology described in [24]. The modeled mutual inductance variation with length and spacing for 4- m-wide lines are shown in Fig. 14.

SEN et al.: NEURAL-NETWORK-BASED PARASITIC MODELING AND EXTRACTION VERIFICATION FOR RF/MILLIMETER-WAVE IC DESIGN

Fig. 11. Self-inductance variation for 2M2 lines with and without the 1M1 ground plane.

2609

Fig. 14. Mutual inductance variation for 2M2 lines with and without the 1M1 ground plane. TABLE IV COMPARISON OF INDUCTANCES USING DIFFERENT MODELS

Fig. 12. Inductance variation with 1M1 ground in different layers for: (a) length = 30 m and (b) width = 7 m.

Fig. 15. Variation of resistances with dimensions for 2M2 lines. Fig. 13. Self-inductance contours for 2M2 lines on the 1M1 ground plane.

D. Modeling the Resistances Once trained, the neural network models give a very good match with EM results for all width and length combinations in the modeled range. The inductances for “2M2 over 1M1” lines are extracted and compared to HFSS (full 3-D solver), a two-and-one-half-dimensional (2.5-D) EM tool, a semianalytic model ( provided by design kit), and an empirical model [25] in Table IV. The neural network results are quite comparable to the 3-D simulations in HFSS whereas the deviation with empirical models increases with changing aspect ratios.

The interconnect/wire resistances can be modeled using simpler models with corrections for fringing, skin, and cheesing effects. However, to develop a systematic automated parasitic extraction tool, resistances need to be modeled using neural networks. The modeled resistance variation of a 1M2 line is shown in Fig. 15. The quality factor can be extracted using models developed for the resistances and the inductances for the same structures. The variation of the quality factor is shown in Fig. 16 with 2M2 lines over 1M1 ground planes.

2610

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 16. Quality factor variation for 2M2 lines.

Fig. 18. Cross section of the multilayer passive structures.

Fig. 19. Example of the meander line structures (top metal with 1M1 ground).

IV. VERIFICATION OF PARASITIC EXTRACTION TOOLS Fig. 17. Effects of interconnect dimensions on the 30-GHz VCO: (a) with the dimensions of I and (b) with the dimensions of I .

The first step for studying the effects of parasitics on devices, circuits, and systems is to standardize the parasitic extraction tools. In this study, a verification methodology has been developed using commercially available parasitic extraction tools, and the approach is proposed for neural network-based models.

E. Selection of Layout Interconnects in RF/Millimeter-Wave Circuits

A. Verification Using Passive Structures

The selection of metal layers for interconnects in RF/millimeter-wave circuit layouts demands a sensitivity analysis of designs for the node capacitances/inductances. In general, top metal layers are used for high current carrying capacity, less to-ground one-port capacitances, and when the inductances of lines do not affect the results significantly. On the other hand, thin metal layers close to the ground plane may have less inductive effects, but the parasitic capacitances and resistances may prove to be critical for the circuit design. The resistances and inductances are significant for the via structures in the layout. The electrical characteristics of the via cells need to be estimated using EM solvers, and parallel via blocks can reduce their effects on circuit performances. Fig. 17 shows the shifts in center frequencies with the dimensions of lines and on the VCO shown in Fig. 1. Interconnect block is assumed to be on the 1M2 layer, and the interconnect block is assumed to be on the 2M2 metal layers. The center frequencies shift up to 3 GHz for interconnect block , and, for inductive block , the maximum shift in the given range is 1.16 GHz (for a 4- m width and 40- m length line). The effects will add up in the presence of the interconnects, as both of them reduce the center frequency.

A set of multilayer passive structures can be used to verify the tools. Cross sections of one such set is shown in Fig. 18. As a set of simple passive structures is used to account for modeling the parasitics, complex structures need to be used to verify the modeling concept. For the same silicon-based RF process, these passive structures are laid out in a meander line topology (shown in Fig. 19), and one set of capacitance comparison resulting from EM and non-EM tools are summarized in Table V for the structures described in Table VI. The poly-silicon (poly) layers are included as ground planes to estimate gate parasitics, which are very important in the estimation of the layout parasitics in CMOS processes. The test cases T1–T10 in Table V are meander-line structures in different metal layers with repetitive layouts. It is evident that the extractions of the non-EM simulation tools A–E give different values for the same structures and the coherency with EM tools depends on the complexity and topology of the test structures. For example, the structure T6 is designed to include the edge coupling effects, which are considered in tools like C, D, and E. But tool A overestimates the edge coupling whereas tool B cannot estimate the same effect. That proves the insufficiency

SEN et al.: NEURAL-NETWORK-BASED PARASITIC MODELING AND EXTRACTION VERIFICATION FOR RF/MILLIMETER-WAVE IC DESIGN

2611

TABLE V COMPARISON OF CAPACITANCES FOR ONE SET OF STRUCTURES

TABLE VI DESCRIPTION OF THE SAME SET OF STRUCTURES

of the analytical models developed from test structures. In addition, the analytical models cannot estimate all of the complex effects that are included in EM solvers or a neural-network-based methodology. B. Automated Generation of Layouts As both the modeling of passive structures and the verification of PEX tools involve generation of many test-structure layouts, it will be time-consuming if an automated layoutgeneration methodology is not developed. Hence, a layoutgeneration methodology is built using MATLAB codes/Perl scripts. The block diagram represents the methodology as shown in Fig. 20. This method is useful for the generation of input passive structures for neural network modeling as well as repetitive test structures (shown in Fig. 18) used to verify the parasitic extraction tools. The methodology is very fast, and a set of 20 structures can be generated within 1 h depending on the complexity of the layout. In MATLAB, codes are written with the use of Perl scripts, which in turn can be executed to produce layouts in

Fig. 20. Automated layout-generation methodology.

GDSII stream formats. All codes for layout generation consist of two basic blocks. The first is the input section, where the inputs for the layout generation, such as the technology specifications, the design inputs, and the interconnect topologies are included. The ground rules for layouts are also incorporated into the technology-specified file. Thus, choosing a different technology file, the layer information and ground rules can be modified automatically. Test structure topology includes definition of the signal layers and the ground planes as well as the dimensions that are back calculated from required delay. The second is the execution section, where functions are executed according to the rectangular coordinates defining the blocks in different metal layers. A set of structures is generated including different functions representing several topologies in the same code and defining the required dimensions. Feeding the input dimensions from the LHS algorithm to the layout generator code can also generate the test structure layouts, which are required for generating the neural network model. The application of the automated generation technique is illustrated using ring oscillators as an example of functional circuits. Ring oscillators have been already used for technology benchmarking [26]. In this paper, it is used as an example of active circuit, though the parasitic structures used as delay cells in between inverter stages can only verify the resistances and capacitance extraction methodology. The main program that generates the ring oscillator structures calls upon different functions to create the inverter cells, the delay cells for the given number of inverters in the oscillator, the delay required, and the space given [27]. The connections to the rails are made symmetrically, and the pins are placed using proper metal layers. Any advanced parasitic extraction tool can be used for the parasitic extraction of the ring oscillators if the layout-versus-schematic (LVS) extraction and device recognitions are performed correctly. The extraction procedure is made as automated as possible to reduce the complexity in the MATLAB code. The parasitic extraction tools can generate the net-list in HSpice and/or Spectre format. Spectre is used in most of the examples shown. As the final step of the automated testing, the simulator outputs are plotted for different decks/tools. The outputs can be plotted in the same

2612

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 21. Simulated output for one ring oscillator for three different parasitic extraction test decks (i.e., nominal, best case, and worst case).

graph and can be visually compared with each other as well as with the “gold standard” output. The output waveforms for three different statistical variations (i.e., best case, nominal, and worst case) are shown in Fig. 21 in the case of a ring oscillator using a CMOS 90-nm process. This gives another dimension to the verification methodology by visually comparing the extraction results. The verification procedure is quick using an automated approach and does not use any graphical interface. For example, the basic flow of layout generation, extraction, and output plotting takes less than 10 min for a conventional ring oscillator in a 1-GB RAM 900-MHz dual processor. C. Application to AMS/RF/Millimeter-Wave Circuit Design The automated layout-generation procedure has been used to study the effects of fillers and the different dielectric definitions for the wire parasitics. It can also be used for comparing functional circuits [27] with varying different process parameters, e.g., layer options and several dielectric stack representations. The fast layout-generation capability enables fast test-site development and modeling of active as well as passive structures. As an example of the millimeter-wave integrated circuits, which is a cross-coupled VCO (see Fig. 1), is laid out in a state-of-the-art SiGe-BiCMOS process. The significant parasitics are extracted and modeled using the developed neural network models. The design is also optimized using sensitivity analysis from neural network models for the circuits. The cross-coupled core layout with the parasitic matrix is shown in Fig. 22(a). Measurements show excellent match with the final optimized results. The VCO simulated without parasitic extraction shows a simulated frequency range of 37–40.5 GHz, where as the simulation with neural models of parasitics gives 30–32.5 GHz. The measurement results show a center frequency of 30.1 GHz with a tuning range of 2.3 GHz. The maximum power measured at the buffer output was around 11.7 dBm at 29.54 GHz. The die photograph of the fabricated IC is shown in Fig. 22(b). Hence, the cross-coupled VCOs have the capability to verify the inductance and capacitance extraction for very high frequen-

Fig. 22. (a) Layout of the cross-coupled core with the parasitic elements. (b) Die photograph of the VCO.

cies, as ring oscillators can only account for the resistive and capacitances for AMS designs. The tuning inductance can be varied using the automated layout-generation procedure, and corresponding measurement frequencies will verify the inductance extraction procedure. The design optimization, layout generation, and the parasitic extraction methodology being in the same (MATLAB) environment demonstrate a novel systemic design optimization procedure for millimeter-wave frequencies, including parasitic effects. V. CONCLUSION This paper reports a parasitic extraction methodology for RF and millimeter-wave circuits using neural network models and a novel verification procedure using automatically generated test structures. The effects of the parasitics in RF/millimeter-wave circuits have been investigated with specific focus on the parasitic inductances, which are not evaluated in most of the commercially available extraction tools. The importance of parasitic inductance extraction is explained using an example of VCOs. State-of-the-art silicon-based multilayer RF process parameters are utilized to extract the resistive, capacitive, and inductive parasitics of the layout interconnects. Neural network models are developed using EM simulation results of a given set of passive interconnect structures. In addition, an automated layout generation methodology is developed using MATLAB codes/Perl scripts and used for verification of the parasitic extraction methodologies. The proposed verification approach is demonstrated using automatically generated passive test structures and ring oscillators. Also, the measurement results of the 30-GHz VCO verify the modeling procedure of layout interconnects developed in this study. In the verification methodologies, EM tool extraction results are taken as the “gold standard.” This paper addresses the need for an automated layout-generation technique and an accurate extraction procedure using neural network models to avoid the long EM simulation run time. The neural network modeling technique and the automated verification procedure can significantly improve the extraction–verification time and the accuracy for

SEN et al.: NEURAL-NETWORK-BASED PARASITIC MODELING AND EXTRACTION VERIFICATION FOR RF/MILLIMETER-WAVE IC DESIGN

RF/microwave as well as AMS/digital applications. Hence, we present a complete modeling report of parasitic components in RF/millimeter-wave layouts, as well as a novel verification procedure to validate non-EM analytical or neural-network-based models. ACKNOWLEDGMENT The authors would like to acknowledge R. Singh, C. E. Zemke, H. Ding, W. Piper, S. Pinel, and J. D. Cressler for their help with this work. The authors would also like to thank all members of the IBM SiGe team for the cooperative effort between IBM Corporation, Essex Junction, VT, and the Georgia Institute of technology, Atlanta. The authors would also like to thank Motorola Corporation and Jazz Semiconductor for providing design kits and fabrication support. REFERENCES [1] R. Singh, Y. V. Tretiakov, J. B. Johnson, S. L. Sweeney, R. L. Barry, M. Kumar, M. Erturk, J. Katzenstein, C. E. Dickey, and D. L. Harame, “Parasitic modeling and noise mitigation in advanced RF/mixed-signal silicon germanium processes,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 700–717, Mar. 2003. [2] W. H. Kao, C.-Y. Lo, M. Basel, and R. Singh, “Parasitic extraction: Current state of the art and future trends,” Proc. IEEE, vol. 89, no. 5, pp. 729–739, May 2001. [3] R. J. Pratap, S. Sarkar, S. Pinel, J. Laskar, and G. S. May, “Modeling and optimization of multilayer RF passives using coupled neural networks and genetic algorithms,” in Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 1557–1560. [4] R. J. Pratap, D. Staiculescu, S. Pinel, J. Laskar, and G. S. May, “Modeling and sensitivity analysis of circuit parameters for flip-chip interconnects using neural networks,” IEEE Trans. Adv. Packag., vol. 28, no. 1, pp. 71–78, Feb. 2005. [5] H. Wei and Z. Wang, “A weighted average formula for efficient inductance and resistance extraction,” in Proc. 5th Int. Conf. ASIC, Oct. 2003, vol. 2, pp. 996–999. [6] A. J. Van Genderen, N. P. Van der Meijs, and T. Smedes, “Fast computation of substrate resistance in large circuits,” in Proc. Eur. Design Test Conf., Mar. 1996, pp. 560–565. [7] N. P. Van der Meijs and T. Smedes, “Accurate interconnect modeling: Toward multi-million transistor chips as microwave circuits,” in IEEE/ACM Int. Conf. Comput.-Aided Des. Dig. Tech. Papers, Nov. 1996, pp. 244–251. [8] A. Husain, “Models for interconnect capacitance extraction,” in Proc. Int. Symp. Quality Electron. Design, Mar. 2001, pp. 167–172. [9] Y. L. Le Coz, H. J. Greub, and R. B. Iverson, Performance of Random Walk Capacitance Extractors for IC Interconnects: A Numerical Study Mar. 1995, Sematch Rep.. [10] [Online]. Available: http://www.magma-de.com [11] K. Nabors, S. Kim, and J. White, “Fast capacitance extraction of general three-dimensional structures,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 7, pp. 1495–1506, Jul. 1992. [12] W. Shi and F. Yu, “A divide-and-conquer algorithm for 3-D capacitance extraction,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 23, no. 8, pp. 1157–1163, Aug. 2004. [13] A. Deutsch, P. W. Coteus, G. V. Kopcsay, H. H. Smith, C. W. Surovic, B. L. Krauter, D. C. Edelstein, and P. L. Restle, “On-chip wiring design challenges for gigahertz operation,” Proc. IEEE, vol. 89, no. 4, pp. 529–555, Apr. 2001. [14] B. A. Floyd, S. K. Reynolds, U. R. Pfeiffer, T. Zwick, T. Beukema, and B. Gaucher, “SiGe bipolar transceiver circuits operating at 60 GHz,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 156–167, Jan. 2005. [15] M. Kuman, M. J. Tsuk, and J. K. White, “FastHenry: A multipole-accelerated 3-D inductance extraction algorithm,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 9, pp. 1750–1758, Sep. 1994. [16] A. Bhaduri, V. Vijay, A. Agarwal, and R. Vemuri, “Parasitic-aware synthesis if RF LNA circuits considering quasistatic extraction of inductors and interconnects,” in Proc. 47th IEEE Int. Midwest Symp. Circuits Syst., Jul. 2004, vol. 1, pp. I-477–I-480.

2613

[17] H. Wei and Z. Wang, “A weighted average formula for efficient inductance and resistance extraction,” in Proc. 5th Int. Conf. ASIC, Oct. 2003, vol. 2, pp. 996–999. [18] K. K. Hornik, M. Stinchcombe, and H. White, “Multilayer feed-forward networks are universal approximators,” Neural Networks, vol. 2, no. 5, pp. 359–366, 1989. [19] A. H. Zaabab, Q. J. Zhang, and M. S. Nakhla, “A neural network modeling approach to circuit optimization and statistical design,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1349–1358, Jun. 1995. [20] Q. J. Zhang and K. C. Gupta, Neural Networks for RF and Microwave Design. Norwood, MA: Artech House, 2000. [21] R. Lipmann, “An introduction to computing with neural nets,” IEEE Acoust., Speech, Signal Process. Mag., vol. 4, no. 2, pp. 4–22, Apr. 1987. [22] W. L. Loh, “On Latin hypercube sampling,” Ann. Statist., vol. 24, pp. 2058–2080, 1996. [23] D. M. Pozar, Microwave Engineering. New York: Wiley, 1998. [24] D. E. Bockelman and W. R. Eisenstadt, “Combined differential and common mode scattering parameters: Theory and simulation,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 1, pp. 102–105, Jan. 1999. [25] Y.-S. Sohn, J.-C. Lee, and S.-I. Cho, “Empirical equations on electrical parameters of coupled microstrip lines for crosstalk estimation in printed circuit board,” IEEE Trans. Adv. Packag., vol. 24, no. 4, pp. 521–527, Nov. 2001. [26] L. S. Dutta and T. Hillmann-Ruge, “Application of ring oscillators to characterize transmission lines in VLSI circuits,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 18, no. 4, pp. 651–657, Nov. 1995. [27] P. Sen, W. H. Woods, E. Mina, and J. Laskar, “Parasitic extraction tool verification of an automatically generated set of ring oscillators,” in Proc. Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 577–580.

Padmanava Sen (S’04) received the B.Tech. degree in electronics and electrical communication engineering from the Indian Institute of Technology, Kharagpur, India, in 2003, the M.S. degree from the Georgia Institute of Technology (Georgia Tech), Atlanta, in 2005, and is currently working toward the Ph.D. degree at Georgia Tech. He was with IBM Corporation, Burlington, VT, for seven months in 2004. He is a member of the Microwave Applications Group, Georgia Electronic Design Center, Georgia Tech. He has authored or coauthored over ten IEEE journal and conference papers. His research interests include analysis and development of millimeter-wave silicon-based transmitters as well as the estimation and optimization of the layout parasitics in millimeterwave circuits.

Wayne H. Woods (M’03) received the M.Eng. and Ph.D. degrees in electrical engineering from Cornell University, Ithaca, NY, in 1998 and 2003, respectively. He is currently with IBM Microelectronics, Essex Junction, VT, where he is involved with the modeling of high-frequency on-chip passive devices and parasitic extraction methodologies for high-density digital designs.

Saikat Sarkar (S’04) was born in Asansol, India. He received the B.Tech. degree in electronics and electrical communication engineering from the Indian Institute of Technology, Kharagpur, India, in 2003, the M.S. degree from the Georgia Institute of Technology (Georgia Tech), Atlanta, in 2005, and is currently working toward the Ph.D. degree at Georgia Tech. He was with Intel Corporation, Hillsboro, OR, as a summer intern in 2004. He is currently a member of the Microwave Applications Group, Georgia Electronic Design Center, Georgia Tech. He has authored or coauthored over 15 journal and conference papers. His research interests include analysis and development of millimeter-wave front-end silicon-based integrated circuits for high-data-rate wireless applications and passive components development for millimeter-wave front-end system-on-package modules.

2614

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Rana J. Pratap received the B.Tech. degree in electrical engineering from the Institute of Technology, Varanasi, India, in 2001, and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2003 and 2005, respectively. His doctoral dissertation was titled “Design and optimization of microwave circuits and systems using artificial intelligence techniques.” Currently, he is a Test Engineer with the Intel Corporation, Chandler, AZ, where he is involved with developing new test methodologies for microprocessors. He is specifically involved in test board design and signal integrity. During the summer of 2004, he was an intern with the Integrated Signaling Technology Group, Broadcom Corporation. His research interests include modeling and optimization of multilayer RF packaging structures using neural networks and genetic algorithms. He is also involved in yield modeling and design centering of microwave circuits and devices using artificial intelligence techniques.

Brian M. Dufrene (M’99) received the B.S. and M.S. degrees in electrical engineering from Mississippi State University, Mississippi State, in 2000 and 2002, respectively. His graduate research included silicon-on-insulator (SOI) device modeling, flicker noise characterization, and mixed-signal circuit design. In 2004, he joined IBM Microelectronics, Essex Junction, VT, where he has been active in developing SOI compact models.

Rajarshi Mukhopadhyay (S’02) received the B.Tech. degree (with honors) in electronics and electrical communication engineering from the Indian Institute of Technology, Kharagpur, India, in 2002, the M.S. degree in electrical and computer engineering from the Georgia Institute of Technology (Georgia Tech), Atlanta, in 2004, and is currently working toward the Ph.D. degree at Georgia Tech. He is with the Microwave Applications Group, Georgia Electronic Design Center, Georgia Tech. His research interests include wideband, low-power RFIC and MMIC transceiver design, high-frequency and broadband signal generation for multistandard radios, clock synchronization, and deskewing techniques. He has authored and coauthored over 20 technical journal papers and conference publications and has three patents pending. Mr. Mukhopadhyay was a 2004 recipient of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Third Best Student Paper Award.

Chang-Ho Lee (M’01) received the B.S. and M.S. degrees in electrical engineering from Korea University, Seoul, Korea, in 1989 and 1991, respectively, and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology (Georgia Tech), Atlanta, in 1999 and 2001, respectively. He was a Research Engineer with Dacom Corporation, Korea, from 1994 to 1996. In 2000, he joined RF Solutions Inc., Norcross, GA, where he was a Staff Engineer. In 2003, he became a member of the Research Faculty of the Samsung RFIC Design Center, Georgia Tech. His research interest includes satellite/wireless communication system design and design/characterization of the transceiver RFICs in GaAs devices and Si-based CMOS/SiGe HBT processes, as well as LTCC/MLO-based multilayer multichip

modules development for wireless communication applications. His current research is related to the low power reconfigurable front-ends design for cognitive radio applications.

Essam F. Mina received the B.S. degree in electrical engineering from Ain Shams University, Cairo, Egypt, in 1964, and the M.S. degree in solid-state science from the American University, Cairo, in 1977. Prior to joining IBM in 1981, he was the Director for the Center of Broadcast Transmission, the Radio and TV Federation of Egypt. He is currently the RF and Signal Integrity Manager at IBM Microelectronics. His responsibilities included the development of radio and TV broadcast transmission systems, antenna design, microwave system design, and the management of the transmission center operations and personnel. In 1981, he joined the IBM Technology and Systems Division, Poughkeepsie, NY, where he was involved with interconnect high-frequency modeling, signal integrity, and noise analysis. In 1994, he joined IBM Microelectronics, Essex Junction, VT, where he is involved with IBM BiCMOS, SiGe, and RFCMOS technology development, RF passive modeling, RF circuit and system designs for cellular handsets, wireless local area networks, and direct broadcast satellite applications.

Joy Laskar (S’84–M’85–SM’02–F’05) received the B.S. degree (highest honors) in computer engineering with math/physics minors from Clemson University, Clemson, SC, in 1985, and the M.S. and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana-Champaign, in 1989 and 1991, respectively. Prior to joining the Georgia Institute of Technology, Atlanta, in 1995, he held faculty positions with the University of Illinois at Urbana-Champaign and the University of Hawaii. At the Georgia Institute of Technology, he holds the Joseph M. Pettit Professorship of Electronics and is currently the Chair for the Electronic Design and Applications Technical Interest Group and the Director of the Georgia Electronic Design Center. With the Georgia Institute of Technology, he heads a research group of 25 members with a focus on integration of high-frequency electronics with optoelectronics and integration of mixed technologies for next-generation wireless and optoelectronic systems. He has authored or coauthored over 200 papers and several book chapters (including three textbooks in development). He has more than 20 patents pending. His research has focused on high-frequency integrated-circuit (IC) design and their integration. His research has produced numerous patents and transfer of technology to industry. Most recently, his research has resulted in the formation of two companies. In 1998, he cofounded the advanced wireless local area network (WLAN) IC company RF Solutions, which is now part of Anadigics. In 2001, he cofounded the next-generation interconnect company Quellan Inc., Atlanta, GA, which develops collaborative signal-processing solutions for enterprise applications, video, storage, and wireless markets. Dr. Laskar has presented numerous invited talks. For the 2004–2006 term, he has been appointed an IEEE Distinguished Microwave Lecturer for his Recent Advances in High Performance Communication Modules and Circuits seminar. He was a recipient of the 1995 Army Research Office’s Young Investigator Award, 1996 recipient of the National Science Foundation (NSF) CAREER Award, 1997 NSF Packaging Research Center Faculty of the Year, 1998 NSF Packaging Research Center Educator of the Year, 1999 corecipient of the IEEE Rappaport Award (Best IEEE Electron Devices Society journal paper), the faculty advisor for the 2000 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Best Student Paper Award, 2001 Georgia Institute of Technology Faculty Graduate Student Mentor of the Year, a 2002 IBM Faculty Award, 2003 Clemson University College of Engineering Outstanding Young Alumni Award, and 2003 Outstanding Young Engineer of the IEEE MTT-S.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2615

Modeling of 3-D Periodic Multiphase Composites by Homogenization Ouail Ouchetto, Saïd Zouhdi, Senior Member, IEEE, Alain Bossavit, Georges Griso, and Bernadette Miara

Abstract—An efficient technique is proposed for analyzing two- and three-dimensional lossy periodic composite materials, combining an asymptotic multiscale method with the unfolding method. The computed effective conductivities for square cylinders and cubes suspended in a host isotropic medium are compared to the Maxwell–Garnett mixing formula predictions. The electromagnetic field in a finite lattice of round cylinders is compared with the exact electromagnetic field calculated directly in the heterogeneous lattice. Index Terms—Composite materials, effective permittivity, finiteelement method (FEM), metamaterials, periodic arrays.

Fig. 1. (a) Periodic composite material. (b) Same material when the period tends to zero.

I. INTRODUCTION N RECENT years, there has been an increasing interest in artificial structured materials. Many practical applications for this materials have been suggested in diverse fields, which include: 1) frequency-selective surfaces; 2) filters; 3) integrated optical microwaveguides; 4) thin films; 5) memory devices; and 6) novel antennas [1]–[5]. Artificial materials consist of a large number of metallic or dielectric particles placed in a homogenous host medium or background. One is able to engineer or design a material that has a desirable permittivity, permeability, or other electromagnetic characteristics by adjusting the shape, size, material composition, and density of inclusions. Many theories are proposed for predicting the effective electromagnetic proprieties, i.e., conductivity, permittivity, and permeability, of structured electromagnetic materials, when the period of the microstructure is small compared to the wavelength. Some are based on analytical calculation such as Maxwell–Garnett, Bruggeman, Clausius–Mossotti mixing formulas, etc. [6]–[8]. Others are based on computation techniques such as the method of moments (MM), finite-difference time-domain method (FDTD), or finite-element method (FEM), in order to solve several types of equations (e.g., partial differential equation, boundary integral equations, etc.) [9]–[12].

I

Manuscript received October 3, 2005. O. Ouchetto, S. Zouhdi, and A. Bossavit are with the Laboratoire de Génie Electrique de Paris, École Supérieure d’Électricité, 91192 Gif-Sur-Yvette Cedex, France (e-mail: [email protected]; [email protected]; [email protected]). G. Griso is with the Laboratoire Jacques-Louis Lions, 75252 Paris, France (e-mail: [email protected]). B. Miara is with the School of Engineers, École Supérieure d’Ingénieurs en Électronique et Électrotechnique, 93162 Noisy-le-Grand, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872928

The main purpose of this paper is to present a novel method to evaluate the effective constitutive parameters of periodic composite materials along with an accurate approximate value of the electromagnetic field within the microstructure. The proposed methodology is based on the asymptotic multiscale method associated with the periodic unfolding method. The finite-element technique is used to evaluate the expressions of the constitutive parameters, the electric field within the microstructure, and its associated correctors. In this study, we consider the case of general lossy composite materials. This paper is organized in the following way. In Section II, we investigate the limit of the solution of Maxwell equations as the periodicity of the microstructure approaches zero. This enables us to obtain the expressions of effective constitutive parameters and asymptotic expressions of electromagnetic field within the periodic microstructure. In Section III, we present the numerical validation by comparing the calculated effective permittivity and conductivity with the result of classical mixing formulas (Maxwell–Garnett). In Section IV, the approximate quasi-static electric field developed in this paper, in the case of a finite periodic lattice, is compared to the electric field calculated by the classical FEM in the initial heterogeneous microstructure. II. ANALYSIS OF ARTIFICIAL MATERIAL As shown in Fig. 1, the artificial material is modeled as a triply periodic array of identical inclusion elements suspended in a homogeneous and isotropic background with the permittivity and permeability denoted as and . The material is periodic, i.e., it is a collection of identical cubes with side length ( -cell). In the artificial material, the electromagnetic field verifies the Maxwell equations. The constitutive parameters, i.e., permittivity, permeability, and conductivity , the electromagnetic fields , and the excitation sources depend on the period of the lattice.

0018-9480/$20.00 © 2006 IEEE

2616

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

The Maxwell equation is given by

(1)

In the asymptotic expansion of the electromagnetic field given in (2), we keep only the first two terms that are the macroscopic field or average field over the -cell and the gradient of an unknown corrector potential . The latter contains the information on the behavior of the fields at the microscopic scale. This potential is a function of the previously calculated sub-correctors. The expressions of and for the electric field are given by

For the purpose of homogenization, the formal asymptotic expansion is operated on the electromagnetic field as follows:

(9)

(2) is the microwhere is the macroscopic variable and scopic variable. The first term is the average electromagnetic field over the material. By injecting (2) in (1), and letting tend to zero, we obtain the following expressions for the effective permittivity , effective permeability , and effective conductivity (for more mathematical details, see [13]–[17]):

(3) (4)

(10) The domain , where we solve (6), is bounded and decomposed into tetrahedral elements, the unknown term is expanded on the nodal elements as follows: (11) is the nodal element, and repwhere is the th node, resents the degree of freedom, which is the interpolation of the corrector on the associated node. By inserting (11) in (6), we can write the weak formulation (6) in a matrix form as a sparse linear system (12)

(5) where and are, respectively, the th column of the effective permittivity and permeability dyadics ( ), are the Cartesian basic vectors, is volume of the unit cell, and and are, respectively, the permittivity, permeability, and conductivity of the unit cell. The sub-correctors , , and are periodic functions, which are the respective solutions of the following weak formulations for all periodic test functions :

(6)

(7)

(8)

To simply express the periodic boundary conditions on the sidewalls of the unit cell, the tetrahedral elements of the domain are created in such a way that the meshes on opposite surfaces of are identical. The same reasoning is applied to resolve (7) and (8). III. RESULTS AND DISCUSSION A. Effective Conductivity Numerical results concerning the effective conductivity of a periodic composite material as a function of the conductivities and the volume fraction of the constituents are presented here. 1) Two-Dimensional (2-D) Lattices: In this case, we take an infinite lattice of dielectric square cylinders with conductivity suspended in a host medium with conductivity . As shown in Fig. 2, the ratio of to the host conductivity for this lattice as a function of the volume fraction for the conductivity contrast . The effective conductivity is obtained by solving (5) by the FEM. For each point of the curve, a new mesh was created. The current method (i.e., the FEM) and Maxwell–Garnett predictions for circular cylinders show a good agreement. 2) Three-Dimensional (3-D) Lattices: Shown in Fig. 3 is the ratio of to the host conductivity for a lattice of square cubes computed using the FEM-based technique, discussed earlier, for a conductivity contrast . Our results are compared to those produced by the Maxwell–Garnett formulas

OUCHETTO et al.: MODELING OF 3-D PERIODIC MULTIPHASE COMPOSITES BY HOMOGENIZATION

2617

Fig. 4. Finite lattice of 27 cells with round cylindrical inclusions.

Fig. 2. Computed 

= for 2-D lattices of conducting square cylinders.

Fig. 5. Amplitude of the y -component of the electric field as a function of position along the z -axis. Fig. 3. Computed 

= for 3-D lattices of conducting cubes.

for spherical inclusions. The two methods produce almost the same results. The main difficulty we have met during the computation of the effective permittivity and effective conductivity is, that for each volume fraction , we have created a new mesh and we had to again execute the finite elements’ code for each volume fraction. B. Electromagnetic Field Here, we propose to check the accuracy of our approach in evaluating the electromagnetic field within a finite periodic structure when the period of this microstructure is small compared to the wavelength. The unit cell of the structure is . The material is created by simply applying the “ -translation,” i.e., an integer combination of the three unit vectors. The total electromagnetic field is obtained by the sum of the average field and a corrector term. To compute the average field , we simply simulate a homogeneous structure with the dielectric constants , , and occupying the same volume as the initial periodic structure . For this simulation, we used

a homemade code based on the classical FEM with edge elements. The corrector term is obtained by solving (10) in the whole structure. The validation is achieved by comparing the total electric field with the exact field , as calculated by the classical FEM. We consider a finite lattice of 27 cells with circular cylindrical inclusions (Fig. 4). The relative permittivity of the host medium and inclusions are, respectively, and . The sizes of the inclusion, unit cell, and finite lattice are, respectively, , , and with cm, cm, cm, and cm. and are, respectively, the radius and height of the cylindrical inclusions. Let us define the effective wavelength in the lattice as

where is the wavelength in free space and and are the relative effective permittivity and permeability of the lattice. An electromagnetic plane wave polarized along the -axis is applied on the surface at the frequency GHz. The

2618

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 6. Phase of the y -component of the electric field as a function of position along the z -axis.

electric field has an amplitude equal to unity. The opposite surface is considered as a metallic sheet. We calculate the electric field inside the lattice along the -axis at and . The ratio of the effective wavelength to the period is . In Fig. 5, we plotted the amplitude of the -component of the average electric field , corrector field , and the fields and . We have an excellent agreement between the curves of the fields and . One can see that the difference between the exact electric field and the average field is important. The contribution of the corrector field is not negligible. In Fig. 6, we plotted the phase of the -component of the electric field calculated by the two methods. Again, the two methods produce almost similar results.

[3] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of and,” (in Russian) Sov. Phys.—Usp., vol. 10, no. 4, pp. 509–514, 1968, (Usp. Fiz. Nauk, vol. 92, pp. 517-526, 1967). [4] S. Zouhdi, A. Sihvola, and M. Arsalane, Eds., Advances in Electro-Magnetics of Complex Media and Metamaterials, ser. NATO Sci. II: Math., Phys., Chem.. Dordrecht, The Netherlands: Kluwer, 2003, vol. 89. [5] D. R. Smith and N. Kroll, “Negative refractive index in left-handed materials,” Phys. Rev. Lett., vol. 85, no. 14, pp. 2933–2936,, Oct. 2000. [6] J. C. M. Garnett, “Colors in metal glasses and metal films,” Trans. R. Soc., vol. CCIII, pp. 385–420, 1904. [7] D. A. G. Bruggeman, “Berechnung verschiedener physikalischer konstanten von heterogenen substanzen, i. dielektrizitätskonstanten und leitfähigkeiten der mischkörper aus isotropen substanzen,” Ann. Phys., vol. 24, no. 5, pp. 636–664, 1935. [8] A. Sihvola, Electromagnetic Mixing Formulae and Applications, ser. Electromagn. Waves. London, U.K.: IEE Press, 1999. [9] M. El Feddi, Z. Ren, A. Razek, and A. Bossavit, “Homogenization technique for Maxwell equations in periodic structures,” IEEE Trans. Magn., vol. 33, no. 2, pp. 1382–1385, Mar. 1997. [10] F. Wu and K. W. Whites, “Quasi-static effective permittivity of periodic composites containing complex shaped dielectric particles,” IEEE Trans. Antennas Propag., vol. 49, no. 8, pp. 1174–1182, Aug. 2001. [11] K. W. Whites, “Effects of periodic particle shape on the effective permittivity of composite materials with measurements for lattices of cubes,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1723–1729, Jul. 2002. [12] B. Sareni, L. Krahenbuhl, A. Beroual, and A. Nicolas, “A boundary integral equation method for the calculation of the effective permittivity of periodic composites,” IEEE Trans. Magn., vol. 33, no. 2, pp. 1580–1583, Mar. 1997. [13] O. Ouchetto, S. Zouhdi, A. Bossavit, G. Griso, and B. Miara, “Effective constitutive parameters of periodic composites,” in Eur. Microw. Conf., Paris, France, Oct. 2005, p. 145. [14] ——, “Homogenization of 3-D structured composites of complex shaped inclusions,” in Progress Electromagn. Res. Symp., Hangzhou, China, Aug. 2005, p. 112. [15] A. Bossavit, G. Griso, and B. Miara, “Modeling of periodic electromagnetic structures. Bianisotropic materials with memory effects,” J. Math. Pures Appl., vol. 84, pp. 819–850, 2005. [16] D. Cioranescu, A. Damlamian, and G. Griso, “Periodic unfolding and homogenization,” C. R. Acad. Sci. Paris, ser. I, vol. 335, pp. 99–104, 2002. [17] A. Bossavit, G. Griso, and B. Miara, “Modélization de structures électromagnétiques périodiques. Matériaux bianisotropiques avec mémoire,” C. R. Acad. Sci. Paris, ser. I, vol. 338, pp. 97–102, 2004.

IV. CONCLUSION We have presented a new approach for the homogenization of lossy periodic artificial media. The method is appropriate for all periodic media composed by two or more different constituents such as metamaterials, biological materials, and other heterogeneous periodic materials in which the scale of the period is much smaller than the wavelength of the electromagnetic wave. With this approach, one can treat general microstructures geometries contrary to the classical mixture formulas, where only nondense media with inclusions of simple geometries (i.e., elliptical) can be modeled. Furthermore, this approach provide the user with an accurate numerical approximation of the electromagnetic field inside periodic microstructures by adding a corrector field.

REFERENCES [1] G. A. Niklasson and C. G. Granqvist, “Optical properties and solar selectivity of coevaporated Co-Al O composite films,” J. Appl. Phys., vol. 55, no. 9, pp. 3382–3410, May 1984. [2] W. E. Kock, “Metal-lens antenna design,” Proc. IRE, vol. 34, no. 11, pp. 828–836, Nov. 1946.

Ouail Ouchetto was born in Beni Mellal, Morroco, in 1976. He received the Master degree in applied mathematics from the University Paris 6, Paris, France, in 2003, and is currently working toward the Ph.D. degree at the Laboratoire de Génie Electrique de Paris (LGEP), Paris, France. His research interests include numerical computation techniques, electromagnetic modeling of complex materials, and periodic structures.

Saïd Zouhdi (SM’05) was born in Nador, Morocco, on April 24, 1966. He received the Ph.D. degree in electronic engineering from the University Pierre et Marie Curie, Paris, France, in 1994, and the Habilitation degree in electrical engineering from the University Paris Sud, Orsay, France, in 2003. He is currently an Associate Professor with the University Pierre et Marie Curie, and a member of the Laboratoire de Génie Electrique de Paris, École Supérieure d’Électricité, Gif-Sur-Yvette, France. His research interests include artificial electromagnetic materials and metamaterials, electromagnetic homogenization, and periodic structures and materials.

OUCHETTO et al.: MODELING OF 3-D PERIODIC MULTIPHASE COMPOSITES BY HOMOGENIZATION

Alain Bossavit was born 1942. He receive the Engineering degree from Ecole Polytechnique, Palaiseau, France, in 1963, and the Ph.D. degree in numerical analysis from University Paris 6, Paris, France, in 1971. Since 1974, he has been active in computational electromagnetism circles, advocating differential geometric-based approaches of Maxwell’s theory and promoting the use of edge elements. He is currently with the Laboratoire de Génie Electrique de Paris, École Supérieure d’Électricité, Gif-Sur-Yvette, France.

2619

George Griso, photograph and biography not available at time of publication.

Bernadette Miara was born in 1947. She received the Master degree and Ph.D. degree in applied mathematics from University Paris 6, Paris, France. She is currently a Professor of mathematics with the School of Engineers École Supérieure d’Ingénieurs en Électronique et Électrotechnique (ESIEE), Noisy-le-Grand, France. Her interests include mathematical modeling and control of elastic structures and homogenization techniques for elastic and electromagnetic periodic materials.

2620

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

LTCC 3-D Resonators Applied to the Design of Very Compact Filters for Q-Band Applications Laetitia Rigaudeau, Paul Ferrand, Dominique Baillargeat, Member, IEEE, Stéphane Bila, Serge Verdeyme, Member, IEEE, Markku Lahti, and Tuomo Jaakola

Abstract—We propose a new low-temperature co-fired ceramic (LTCC) U-shaped resonator. The advantages of LTCC tech2 nology are employed to design this three-dimensional (3-D) resonator. The latter is modeled and optimized by 3-D electromagnetic simulations and is validated by experimental results. In order to validate the coupling between these resonators, a two-pole filter, functioning around 39 GHz, has then been designed and realized with success. Finally, two very compact three-pole bandpass filters have been conceived for a -band duplexer design. On the one hand, a filter has been designed around 38 GHz and presents a transmission zero in the upper band. On the other hand, an other filter functioning at 40.7 GHz is presented with a transmission zero in the lower band. Experimental results are in good agreement with theoretical ones. Index Terms—Duplexer, high integration, low-temperature co-fired ceramic (LTCC) technology, quasi-elliptic bandpass filters, three-dimensional (3-D) resonators.

I. INTRODUCTION N RECENT years, low-temperature co-fired ceramic (LTCC) technologies have widely attracted attention of microwave and millimeter-wave engineers for their superior advantages over other substrate technologies. Indeed, LTCC enables high-precision three-dimensional (3-D) structuring and vertical integration of the circuits. Thus, 3-D integration capabilities are a very good option for increasing component density in order to make size-reduction and low-cost design. Therefore, it is possible to realize, for example, highly integrated modules [1] and millimeter-wave filters that present great electrical performances [2]–[4]. Nevertheless, in the literature, we could find few papers that deal with filtering functions around 40 GHz. In this paper, our objective is to test the vertical integration capabilities of LTCC technology at -band applied to new filter designs. As it is well known for filtering applications, in a general way, waveguide structures present high unloaded factors, but with relatively large dimensions. On the contrary, planar structures present lower unloaded factors, but with smaller dimensions.

I

In our study, we propose 3-D structures that occupy smaller surfaces than planar structures, but with a similar unloaded factor. Therefore, these structures allow the design of highly integrated 3-D filters with satisfying electrical performances. Thus, in [5], we proposed a new LTCC U-shaped open-ended resonator design that was validated by experimental results. Associating open-ended resonators, a very compact three-pole bandpass filter was then designed, but not realized. Completing [5], in this paper we present a modified U-shaped resonator and new filter designs. As we will describe, an LTCC U-shaped short-circuit resonator has been designed and realized. In order to validate the magnetic coupling between two resonators, a two-pole filter is then presented and has been realized with success. Finally, the short-circuit resonators enable the improvement of electrical performances of two new three-pole bandpass filters compared to the one described in [5]. One of these new filters has been realized and measured. Experimental and theoretical results are in very good agreement. This paper is divided in three main parts. Section II is principally dedicated to the theoretical and experimental studies of the short-circuit resonator. Section III describes a two-pole filter functioning around 39 GHz with 10% bandwidth ( 3 dB) and insertion losses lower than 0.7 dB. Finally, Section IV presents theoretical designs and experimental investigations of the two new three-pole filters with 7% bandwidth ( 3 dB) dedicated to the conception of a -band duplexer. As we will see, the filters designed with short-circuit resonators present better electrical performances compared to the open-ended resonator filter described in [5]. These results permit to validate our design approach and the LTCC technology for -band filtering applications. This paper is the result of a collaboration between the Research Institute XLIM, Limoges, France, where both the resonators and filters were designed, and VTT Electronics, Oulu, Finland, which realized the circuits using its standard LTCC process. II.

-BAND RESONATOR DESIGNS

A. Open-Ended Resonator Manuscript received October 3, 2005; revised December 23, 2005. L. Rigaudeau, D. Baillargeat, S. Bila, and S. Verdeyme are with the Research Institute XLIM, Unité Mixte de Recherche Centre National de la Recherche Scientifique 6172, University of Limoges, 87060 Limoges, France (e-mail: laetitia. [email protected]; [email protected]; [email protected]; [email protected]). P. Ferrand was with the Research Institute XLIM, Unité Mixte de Recherche Centre National de la Recherche Scientifique 6172, University of Limoges, 87060 Limoges, France. He is now with the AA Group, AA-Microwave Components and System, 37800 Tours, France (e-mail: [email protected]). M. Lahti and T. Jaakola are with VTT Electronics, FI-90571 Oulu, Finland (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872931

1) Description: In [5], we proposed an LTCC U-shaped open-ended resonator design. The idea of a 3-D resonator was retained in order to profit from its integration easiness. In fact, the LTCC process allows the design of highly integrated 3-D modules with a great flexibility for the designers. This resonator is composed of a metallized stripline (the basis of the “U”) and of two metallized via-holes (the diameter of the via-holes are 150 m). It is embedded in a substrate, which is made of eight 99- m-thick layers of Ferro A6S .

0018-9480/$20.00 © 2006 IEEE

RIGAUDEAU et al.: LTCC 3-D RESONATORS APPLIED TO DESIGN OF VERY COMPACT FILTERS FOR

-BAND APPLICATIONS

2621

Q factor Q

W.

Fig. 1. Cross-sectional view of the LTCC open-ended resonator. Fig. 3. Unloaded

(EM) versus the resonator stripline width

Fig. 2. Schematic of the LTCC structure: the resonator shielded in the cavity [5].

The input/output (I/O) excitation system of the resonator is composed of coplanar waveguide (CPW) ports printed on the top of the substrate, as described in [5]. The half-structure of the resonator is described in Fig. 1. In order to shield the resonator from the environment and connect the top and bottom ground planes, a cavity is delimited in the LTCC substrate by a periodic lattice of metallized vias, as shown in Fig. 2. 2) Theoretical and Experimental Results: The resonator principle has been already validated in [5]. The design of this resonator is simulated and optimized around 45.5 GHz using homemade finite-element software. The resonator total length, composed of the stripline length added to the metallized vias lengths, is 1240 m ( m). We have performed electromagnetic (EM) simulations taking into account metallic and dielectric losses with S/m and . The theoretical unloaded factor is estimated around 250 at 45.56 GHz, essentially penalized by metallic losses. We can notice that the value is approximately the same as a classical planar technology for the same conditions of frequency and conductivity. Moreover, the resonator occupied surface is reduced by the use of LTCC technology compared to a classical planar technology. In this paper, we complete this previous study with parametric analysis. The sensitivity of the unloaded factor versus the resonator metallized stripline width has been investigated (Fig. 3). We can notice that the value rises when the stripline width increases. In this case, the value is not influenced by the vias diameter. As we explained, the circuits were realized by VTT on eight 99- m-thick layers of Ferro A6S. The conductor lines were screen-printed using a CN33-398 Ag conductor and CN33-343 Ag via fill paste. The layers were laminated at a pressure of 3000 lbf/in and a temperature of 70 C for 10 min. The peak temperature of the co-firing process was 850 C and the duration was approximately 16 h. Dimensions of the fabricated resonator are given in Fig. 4. Measurements have been done at the XLIM Laboratory with an HP 8510 C vector network analyzer and a

S

S

Fig. 4. -parameters of the measured open-ended resonator compared to -parameters given by simulation [5].

cascade probe station. A short-open-load-thru (SOLT) calibration was used from 35 to 50 GHz. Measurements results are presented in Fig. 4. The measured response is satisfying and well fitted with the simulated one. We notice that the resonator was realized to allow the unloaded quality factor measurement that justifies the 10-dB value for the transmission parameters. The experimental unloaded factor is estimated around 230. The latter value is in good agreement with the theoretical one (for ) and totally validates the electrical characteristics of the 3-D resonator. B. Short-Circuit Resonator 1) Description: Here, we propose a new 3-D resonator. It is composed of a metallized stripline and of two metallized via-holes, but in this case, each extremity of the resonator is connected to the top ground plane. Therefore, the magnetic field presents its maximum value at each extremity (around the vias). Consequently, the I/O excitation system employed in this case is composed of short-circuit CPW ports printed on the top of the substrate. The CPW is short circuited to provide a magnetic coupling with the magnetic field of the resonator located below. This resonator is also embedded in a substrate, which is made of eight 99- m-thick layers of Ferro A6S, illustrated in Fig. 5 (half structure). As previously, a periodic lattice of metallized via-holes is designed in the substrate for shielding the resonator. These viaholes connect the top and bottom ground planes. 2) EM Simulations: This short-cicuited resonator is optimized by EM simulations around 35 GHz. The total length of the short-circuit resonator (the stripline length added to the two via-

2622

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 8. External Q factor Q (EM calculations) versus the signal line length of the excitation system L.

Fig. 5. Cross-sectional view of the LTCC short-circuit resonator.

Fig. 9. Fabricated short-circuit resonator (top view).

Fig. 6. Calculated short-circuit resonator response.

Fig. 10. S -parameters of the measured short-circuit resonator compared to S -parameters given by simulation. Fig. 7. Unloaded Q factor Q (EM calculations) versus via diameter 8.

holes lengths) is 970 m. Fig. 6 presents simulated -parameters of the resonator, taking into account the estimated losses ( S/m). The short-circuit resonator length is shorter than the openended resonator length at the same resonant frequency. This phenomenon can be explained by the magnetic field that overflows on the ground plane on the top of the substrate, increasing the equivalent length of the resonator. Consequently, the short-circuit resonator surface is reduced compared to the open-ended one. The theoretical unloaded factor is estimated around 350 at 35 GHz, considering the resonator stripline width equal to 250 m and m. We notice that this value is slightly higher than the open-ended resonator one. Fig. 7 shows the evolution of the unloaded factor versus the via diameter . Contrary to the open-ended resonator value, the shortcircuit resonator value rises when increases. In fact, the

magnetic field distribution is maximum around the metallized vias and metallic losses are mainly distributed on the vias area. In this case, the value is not influenced by the variation of the stripline width . The external factor has been studied. It is linked to the dimensions of the I/O CPW excitation system of the resonator. A parametric study of this coefficient versus the length of the signal line has been carried out by the means of EM calculations. The result of this study is given in Fig. 8. The distance between the resonator and the excitation system is fixed. A photograph of the realized excitation is shown in Fig. 8. As we can see, the value can be easily tuned. 3) Realization and Measurements: A top view of the fabricated circuit can be seen in Fig. 9. A SOLT calibration was used from 30 to 50 GHz. Calculated and measured results are presented in Fig. 10. The resonator is realized to allow the unloaded quality factor measurement. Unloaded quality factor is calculated with the same formula as the open-ended resonator [5].

RIGAUDEAU et al.: LTCC 3-D RESONATORS APPLIED TO DESIGN OF VERY COMPACT FILTERS FOR

-BAND APPLICATIONS

2623

Fig. 13. Fabricated filter. Fig. 11. Schematic top view of the two short-circuit resonators with the coupling iris.

Fig. 14. S -parameters of the measured filter compared to the optimized filtering function given by simulation. Fig. 12. Evolution of the coupling coefficient k tween two iris-vias (d = 1050 m).

versus the distance (d ) be-

The measured response is satisfying. The experimental unloaded quality factor is estimated at 330 at 34.5 GHz, agreeing that the theoretical value is equal to 350 and validating the characteristics of the 3-D short-circuit resonator. III. TWO-POLE BANDPASS FILTER DESIGN AT 39 GHZ The two-pole filter is obtained by associating two short-circuit resonators previously described. Indeed, as we mentioned in [5], the desired external factor is obtained using open-ended resonators, when I/O excitations are set closer to the resonators and a direct coupling between the excitations appears. In order to avoid this problem and to validate the magnetic coupling between two short-circuit resonators, we decide to select the solution with short-circuit resonators for the following filters. A. Filter Synthesis Applying a classical Chebyshev synthesis, we define the coupling coefficient between the resonators and the external factor . The resonators are magnetically coupled and the coupling between short-circuit resonators is more important than between open-ended resonators for the same distance. In order to avoid large distances between resonators and, consequently, avoid large dimensions of the whole filter, the coupling between two resonators is tuned by means of two via-holes acting like an iris to separate the resonators (Fig. 11). The closer these via-holes are, the narrower the canal linking the two resonators is and the lower the coupling is. Thus, the compactness of the filter can be improved. The iris-vias connect the top and bottom ground planes. A parametric study of coupling versus distance between two iris-vias has been investigated. The distance between the two resonators is fixed and equal to 1050 m. The result of this study is given in Fig. 12.

B. Two-Pole Filter Design Working on previous parametric studies, the filter dimensions are deducted. The dimension of the coupling iris and the dimension of the signal line length of the excitation system (Section II-B.2) are selected to obtain and values provided by the synthesis. A short step of optimization lead us to final dimensions m and m, and the short-circuit resonator lengths are 970 m. Simulated -parameters of the filter are shown in Fig. 14. The calculated response shows a central frequency at 39.04 GHz with 10.7% bandwidth ( 3 dB) and insertion losses lower than 0.4 dB. C. Fabrication and Measurements The circuit is realized by VTT. The diameter of the via-holes are 150 m. Dimensions of the fabricated filter fit well with the awaited ones, as we can notice in Fig. 13. Measured results are presented in Fig. 14. They have been obtained for the first run and with neither tuning, nor deembedding. The measured response is satisfying and well fitted with the simulated one. The experimental filter exhibits the following performances, i.e., GHz, %, and very low insertion losses in the passband (0.7 dB). IV.

-BAND QUASI-ELLIPTIC BANDPASS FILTER DESIGNS

The final objective of this study is to realize a duplexer combining the filtering functions of receiver (Rx) and transmitter (Tx) channels. As the filtering functions Rx and Tx are closed, we have to obtain high rejection between the two channels. Consequently, applying an original synthesis method [6], [7], we first designed a three-pole filter presenting a transmission zero in the upper band and another one with a transmission zero in the lower band. Thus, the combination of the two transmission zeros will provide high rejection between Rx and Tx responses. A. 38-GHz Three-Pole Bandpass Filter Design 1) Filter Synthesis: In [5], we presented the design of a threepole bandpass filter associating open-ended resonators. The synthesis method and the filter topology were validated. However,

2624

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 15. Schematic representation of the different couplings between the three resonators.

the transmission level in the upper and lower bands does not correspond to the desired rejection. The latter is due to resonant modes within the cavity and is due to the direct coupling existing between the I/O ports. Consequently, in order to improve electrical performances, a new filter design using short-circuit resonators is proposed. On the one hand, we can suppress the direct coupling existing between the excitations and, on the other hand, the iris-vias that separate the resonators permit to shift the resonant modes frequency within the cavity. Filtering specifications are a central frequency equal to 38 GHz with 7.4% bandwidth ( 3 dB) and a transmission zero at 39.7 GHz. Applying a dedicated specific synthesis method, the following coupling matrix is determined to obtain a quasi-elliptic filter response:

Fig. 16. S -parameters of the simulated filter compared to the ideal filtering function given by the synthesis.

Fig. 17. Fabricated filter.

(1)

This new matrix differs from the three-pole filter matrix determined in [5]. In fact, in [5], it was not possible to obtain high coupling coefficient and the external factor required so we had to modify the objective matrix in order to be able to obtain correct -parameters of the filter by EM analysis. On the contrary, using the short-circuit resonators, it is possible to improve electrical performances and, consequently, compared to [5], we defined a new objective coupling matrix. All the matrix terms depend on the following structure dimensions: • coupling dimensions are fixed by the distance between resonators and by the distance between iris-vias. They are linked to the coupling coefficient (Fig. 12); • diagonal terms are fixed by resonator lengths, related to the resonant frequency of the th resonator; • and depend on the excitation dimensions ( ) and are related to the external factor (Fig. 8). Taking into account the objective coupling matrix and, more especially, the negative coupling between resonators 1 and 3, the filter topology is optimized as described in Fig. 15. The iris-vias are not represented. Indeed, the different resonators are magnetically coupled. As it is well known, the magnetic field has opposite signs at each extremity of a short-circuit resonator. Thus, we can obtain a positive coupling if the magnetic field signs are the same between two adjacent resonators and a negative coupling if the

Fig. 18. Measured S -parameters of the filter compared to the calculated one.

signs are opposed. The signs of the magnetic fields are represented by signs and in Fig. 15. We notice the positive couplings between resonators 1 and 2 and between resonators 2 and 3. We notice also the negative coupling between resonators 1 and 3. This negative coupling is necessary to obtain the transmission zero at 39.7 GHz. The three resonators are designed in the substrate with three layers of Ferro A6S, as described in Section II-B.1. The objective response taking into account the resonator unloaded factor equal to 330 is shown in Fig. 16. This response is calculated by circuit analysis. 2) EM Simulations: In order to define the initial dimensions of the structure that satisfy the electrical requirements, a segmentation method is applied using simplified structures corresponding to different segments of the filter. A direct EM optimization procedure has then been applied [6] to optimize the dimensions of the whole filter. The simulated -parameters of the whole filter are shown in Fig. 16, taking into account dielectric and metallic losses, and are in good agreement with filtering targets. 3) Realization and Measurements: The filter has been built and tested. A top view of the fabricated circuit can be seen in Fig. 17. The dimensions of the filter are also given in Fig. 17. Fig. 18 presents a comparison between results obtained by EM analysis and experimentation. They have been obtained for the first run and with neither tuning, nor deembedding.

RIGAUDEAU et al.: LTCC 3-D RESONATORS APPLIED TO DESIGN OF VERY COMPACT FILTERS FOR

-BAND APPLICATIONS

2625

Fig. 20. Schematic representation of the different couplings between the resonators. Fig. 19. Measured S -parameters of circuits realized on different substrates.

The experimental filter exhibits good performances. The central frequency is 38.2 GHz, the fractional bandwidth ( 3 dB) is 7.2%, and the insertion losses are 1.7 dB at 38 GHz. A good matching with a return loss lower than 10 dB is observed in the passband. As predicted by simulation, the transmission zero is placed at 39.5 GHz and the experimental response presents a great isolation from 30 to 50 GHz. We carried out several measures of the same circuits that were realized on different substrates. Measurement results are presented in Fig. 19. We can notice the similarity of the measured responses that demonstrate the LTCC technology repeatability and that this technology is suited for mass production. Over the last few years, in our laboratory we have been using a method for extracting the coupling matrix and the unloaded factor from experimental results [6]. The coupling matrix corresponding to the measured response shown in Fig. 18 has been extracted. Thus, we can determine the parameters responsible for the slight differences between measured -parameters and calculated ones. The following matrix corresponding to the measured response is extracted:

(2)

The experimental unloaded factor is estimated around 300 at 38 GHz, agreeing with the theoretical results shown in Section I. By comparing matrices (1) and (2), we note that and , which are linked to the external factor, are smaller than expected. This can explain the return loss that is higher than calculated and also justify that and extracted values differ from the calculated one. Indeed, it is well known that the resonant frequency of excited resonators depends on the I/O excitation systems. Nevertheless, the differences between theory and measurement do not affect the functioning principle of the quasi-elliptic bandpass filter and can be easily explained. The design methodology of such a filter is thus validated. B. 40.7-GHz Three-Pole Bandpass Filter Design 1) Filter Synthesis: Filtering targets are a central frequency GHz with 6.4% bandwidth ( 3 dB) and a transmis-

Fig. 21. Simulated filter response.

sion zero at 39.2 GHz. We obtain the following coupling matrix corresponding to the quasi-elliptic filter response:

(3) The three resonators are placed in the same configuration as the previous filter. In this case, we want to obtain a transmission zero in the lower band. Consequently, we have to introduce a short-circuit resonator (resonator 2). Resonators 1 and 3 are still resonators. By comparing matrices (1) and (3), we notice that the signs on the diagonal are opposed (the resonant frequency of each resonator is modified). This sign change is necessary to obtain the transmission zero in the lower band. Moreover, the negative coupling between resonators 1 and 3 is suppressed , taking into account the field distribution. Indeed, the magnetic field has the same sign at each extremity of a short-circuit resonator. Thus, we obtain in this case positive couplings between all the resonators (Fig. 20). Though resonator 2 is a resonator, it is designed in the substrate with five LTCC layers of Ferro A6S instead of three layers used for all the resonators. Therefore, we can profit from the LTCC advantages such as 3-D integration ease in order to minimize the resonator occupied surface relative to the resonator. 2) EM Simulations: As noted previously, a direct EM optimization procedure is applied to define the dimensions of the structure that satisfy the electrical requirements. The simulated -parameters of the filter are shown in Fig. 21. The losses are not considered in this simulation in order to reduce the CPU time.

2626

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

The dimensions of the global structure are approximately the same as the previous filter because of the 3-D potential of LTCC technology. Dimensions of the whole filter are 5 mm 5.9 mm. The calculated filter is satisfying with the transmission zero in the lower band. It presents reflection coefficient lower than 12 dB in the bandpass and will allow us to design a duplexer combining to the previous filters. As we noticed in Section IV-A.3, simulated and experimental results are in very good agreement concerning the three-pole filter at 38 GHz. Consequently, the latter filter (whose calculated response is shown in Fig. 21) is not more optimized and is not realized in this case. Indeed, this response is quite sufficient to apply the filter design in the final duplexer study. V. CONCLUSION In this paper, a very compact 3-D short-circuit resonator is presented. It presents several advantages against the open-ended resonator described in [5]. In fact, this new resonator surface is reduced compared to the open-ended one. The short-circuit resonator length is shorter than the open-ended length at the same resonant frequency and the theoretical unloaded factor is higher (30%) than the open-ended resonator. Furthermore, as we have stated, the short-circuit resonator option allows us to introduce iris-vias that permit to shift the resonant modes’ frequency within the cavity to optimize the frequency isolation. Finally, the short-circuit CPW ports employed do not generate direct coupling between excitations. The 3-D resonator is designed and realized on LTCC technology using Ferro A6S. This resonator is highly integrated (i.e., with a minimal footprint) compared to classical planar resonators and offers great performances at 40 GHz. Its experimental unloaded factor is around 330, which is nearly the same as classical planar resonators at the -band. Moreover, the topology of this 3-D resonator presents a great flexibility for the design of original multipole filters. A single resonator has been tested and a small size two-pole filter with great performances at 39 GHz has been designed. It was realized and validates the magnetic coupling existing between the short-circuit resonators. Two new three-pole quasi-elliptic bandpass filters have then been designed at 38 and 40.7 GHz to satisfy filtering functions that are consistent with the design of a duplexer. A small size filter with great performances at 38 GHz has been fabricated. This filter is designed in a minimal surface with lateral dimensions equal to 5.050 mm 5.8 mm. At last, it can be easily mounted on a substrate carrier as a component. Finally, we have demonstrated in this paper that LTCC technology presents good repeatability and, therefore, is perfectly adapted to mass production. LTCC technology could be a good alternative compared to other technologies for -band applications and, by using its 3-D potential, it allows to design original and compact structures with great electrical performances. ACKNOWLEDGMENT The authors wish to acknowledge VTT, Oulu, Finland, for fabricating the LTCC circuits and, more especially, M. Lahti, VTT Electronics, for his useful advice.

REFERENCES [1] S. Pinel, S. Chakraborty, M. Roellig, R. Kunze, S. Mandal, H. Liang, C.-H. Lee, R. Li, K. Lim, G. White, M. Tentzeris, and J. Laskar, “3D integrated LTCC module using BGA technology for compact C -band RF front-end module,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, vol. 3, pp. 1553–1556. [2] P. Ferrand, D. Baillargeat, S. Verdeyme, J. Puech, M. Lahti, and T. Jaakola, “LTCC reduced size bandpass filters based on capacitively loaded cavities for Q-band applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, 4 pages. [3] R. Valois, D. Baillargeat, S. Verdeyme, M. Lahti, and T. Jaakola, “High performances of shielded LTCC vertical transitions from DC up to 50 GHz,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2026–2032, Jun. 2005. [4] W. J. Chappell, M. P. Little, and L. P. B. Katehi, “High Q two dimensional defect resonators measured and simulated,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, vol. 3, pp. 1437–1440. [5] L. Rigaudeau, P. Ferrand, D. Baillargeat, S. Bila, S. Verdeyme, M. Lahti, and T. Jaakola, “LTCC 3-D resonator applied to the design of a very compact bandpass filter for Q-band applications,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 541–544. [6] S. Bila, D. Baillargeat, M. Aubourg, S. Verdeyme, P. Guillon, F. Seyfert, J. Grimm, L. Baratchart, C. Zanchi, and J. Sombrin, “Direct electromagnetic optimization of microwave filters,” IEEE Micro, vol. 2, no. 1, pp. 46–51, Mar. 2001. [7] B. Thon, D. Bariant, S. Bila, D. Baillargeat, M. Aubourg, S. Verdeyme, P. Guillon, F. Thevenon, M. Rochette, J. Puech, L. Lapierre, and J. Sombrin, “Coupled Padé approximation finite element method applied to microwave device design,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, May. 2002, pp. 1889–1892.

Laetitia Rigaudeau was born in Limoges, France, in April 1981. She received the Master’s degree in high-frequency and optical telecommunications from the University of Limoges, Limoges, France, and is currently working toward the Ph.D. degree at the Research Institute XLIM, University of Limoges. Her research interests are dedicated to millimeterwave filters based on LTCC technology.

Paul Ferrand received the Ph.D. degree in electrical engineering from the University of Limoges, Limoges, France, in 2005. He is currently a Research and Development Engineer with the AA Group, AA-Microwave Components and System (MCS), Tours, France, where he is involved with hyperfrequency passive components.

Dominique Baillargeat (M’04) was born in Le Blanc, France, in 1967. He received the Ph.D. degree from the Research Institute XLIM, University of Limoges, Limoges, France, in 1995. From 1995 to 2005, he was an Associate Professor with the Micro et Nantechnologies pour composants Optoélectroniques et Microondes (MINACOM) Department, Research Institute XLIM, University of Limoges, and is currently a Professor. His fields of research concern the development of methods of design for microwave devices. These methods include computer-aided design (CAD) techniques based on hybrid approach coupling electromagnetics, circuits and thermal analysis, synthesis and EM optimization techniques, etc. He is mainly dedicated to the packaging of millimeter-wave and opto-electronics modules and to the design of millimeter original filters based on new topologies, concepts (electromagnetic bandgap (EBG), etc.) and/or technologies (silicon, LTCC, etc.).

RIGAUDEAU et al.: LTCC 3-D RESONATORS APPLIED TO DESIGN OF VERY COMPACT FILTERS FOR

Stéphane Bila was born in Paris, France, in September 1973. He received the Ph.D. degree from the University of Limoges, Limoges, France, in 1999. He then held a post-doctoral position for one year with the French Space Agency (CNES), Toulouse, France. In 2000, he became a Researcher with the French National Center for Scientific Research (CNRS) and joined the Micro et Nantechnologies pour composants Optoélectroniques et Microondes (MINACOM) Department, Research Institute XLIM, University of Limoges. His research interests include numerical modeling and computer-aided techniques for the advanced synthesis and design of microwave components and circuits.

Serge Verdeyme (M’99) was born in Meilhards, France, in June 1963. He received the Doctorat degree from the University of Limoges, Limoges, France, in 1989. He is currently a Professor with the Research Institute XLIM, University of Limoges, and Head of the Micro et Nantechnologies pour composants Optoélectroniques et Microondes (MINACOM) Department. His main area of interest concerns the design and optimization of microwave devices.

-BAND APPLICATIONS

2627

Markku Lahti received the Master’s degree from the University of Oulu, Oulu, Finland, in 1993. His research concerned the development of printing plates for gravure offset printing. He was then a Research Scientist until he joined VTT Electronics, Oulu, Finland, in 2001. His current main interests are the manufacturing, interconnection and packaging issues related to multilayer ceramic boards.

Tuomo Jaakola received the Master’s degree in technical physics and Licenciate of Technology (LicTech) degree in electrical engineering from the University of Oulu, Oulu, Finland, in 1980, and 2002, respectively. He was then a Research Scientist with the Microelectronics Laboratory, University of Oulu, where his main interests concerned the field of electronic ceramic fabrication processes. In 1987, he joined VTT, Oulu, Finland, where he is currently a Senior Research Scientist. His current interests are in the field of advanced printed circuit boards, LTCC boards, bare chip interconnection methods, and reliability of electronic assemblies.

2628

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Miniaturized Microstrip and CPW Filters Using Coupled Metamaterial Resonators Joan García-García, Member, IEEE, Jordi Bonache, Student Member, IEEE, Ignacio Gil, Student Member, IEEE, Ferran Martín, Member, IEEE, María del Castillo Velázquez-Ahumada, and Jesús Martel

Abstract—In this paper, it is shown that the application of metamaterial resonators to the design of planar microwave filters provides a high level of miniaturization for such devices. Specifically, split-ring resonator, complementary split-ring resonator, and spiral resonator are used to synthesize microwave filters using the coupling coefficient approach. Some considerations in order to improve and control the coupling coefficients between resonators are exposed. The magnetic and electric character of the coupling coefficient is analyzed in detail, and a method to identify this coupling is proposed. Finally, several prototype device filters operative at -band are provided to illustrate the proposed techniques. Index Terms—Coupling coefficient, metamaterials, planar filters, quasi-elliptic filters.

I. INTRODUCTION N RECENT years, split-ring resonators (SRRs), originally proposed by Pendry et al. [1], have attracted great interest among electromagneticians and microwave engineers due to their potential applications to the synthesis of artificial materials (metamaterials) with negative effective permeability [2]. It has also been demonstrated that many other resonator topologies, derived from the basic SRR proposed by Pendry et al., are appropriate to achieve effective (continuous) media with negative permeability [3]. From duality arguments, it has been shown that negative permittivity media can also be generated by means of resonant elements, namely, complementary split-ring resonators (CSRRs) [4]. These particles are simply the negative image of SRRs, and roughly behave as their dual counterparts. Key to the application of SRRs, CSRRs, and other related particles to the synthesis of effective negative index media is their small electrical size, which can be made much smaller than signal wavelength at resonance. Hence, these resonators can be considered as quasi-lumped elements and are, therefore, also very interesting for the miniaturization of planar microwave devices such as filters and diplexers, or to improve their performance.

I

Manuscript received October 2, 2005; revised January 27,2006. This work was supported by the Ministerio de Educación y Ciencia under Contract TEC2004-04249-C02-01 and Contract TEC2004-04249-C02-02, and by the European Commission under the Network of Excellence METAMORPHOSE. J. García-García, J. Bonache, I. Gil, and F. Martín are with the Grup d’Enginyeria d’ones Milimètriques i Microones Aplicat, Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona, 08193 Bellaterra (Barcelona), Spain. M. del Castillo Velázquez-Ahumada is with the Departamento de Electrónica y Electromagnetismo, Universidad de Sevilla, 41012 Seville, Spain. J. Martel is with the Departamento de Física Aplicada II, Universidad de Sevilla, 41012 Seville, Spain. Digital Object Identifier 10.1109/TMTT.2006.872934

In previous research of some of the authors, it was shown that these metamaterial particles can improve the stopband of conventional distributed filters through harmonic passband suppression [5], [6]. To this end, a set of multiple tuned metamaterial particles was typically used in order to achieve an effective rejection over the whole spurious band, or to suppress multiple harmonic bands. A detailed knowledge of the electromagnetic behavior of the particles at resonance [7] was necessary in order to properly etch them within the active region of the devices. The method was successfully applied to the improvement of the out-of-band performance of parallel coupled-line bandpass filters (in both microstrip and coplanar-waveguide (CPW) technology) [5] and stepped-impedance low-pass filters [8]. Due to the small resonator dimensions, the area of the devices was roughly preserved and, in some cases, reduced (owing to the slow wave effect caused by the presence of resonators). It has been also demonstrated that SRRs and CSRRs can be applied to the design of compact narrow bandpass filters and diplexers [9], [10]. This has been achieved in microstrip and CPW technology by combining these metamaterial particles with other planar elements such as shunt stubs or series gaps. Although final dimensions of the structures can be made significantly small, the approach neglects interaction between adjacent resonators, and it is not based on a design methodology where electrical parameters can be inferred from device specifications. The main purpose of this paper is to apply the filter synthesis proposed by Hong and Lancaster [11], based on the coupling coefficient approach, to the design of compact microwave filters based on metamaterial resonators. The interaction between adjacent resonators can be numerically estimated using the coupling coefficient. Over the last decades, many authors have developed quasi-elliptic function response filters with a pair of attenuation poles at finite frequencies [12]–[16] using these coupling coefficients. For design purposes, the nature (electric or magnetic) of this coupling is important. In the cases described by Hong and Lancaster in [19], the coupling between resonant particles could be deduced from the geometrical symmetries of the structures. However, for more complex resonators [such as SRRs, CSRRs and spiral resonators (SRs)], it is not so easy to infer the resonance type [20], [21]. However, since these metamaterial resonators can produce a significant impact on miniaturization, it is of major interest to provide a method to identify the magnetic or electric nature of the inter-resonator’s coupling. This aspect will be considered in Section II. Section III is devoted to the description of how the coupling between resonators can be increased and controlled by introducing windows in the ground plane (in the case of SRRs for a microstrip configuration) or

0018-9480/$20.00 © 2006 IEEE

GARCÍA-GARCÍA et al.: MINIATURIZED MICROSTRIP AND CPW FILTERS USING COUPLED METAMATERIAL RESONATORS

metallic layers (in the case of CSRRs for CPW technology). In Section IV, it will be shown how by using sub-wavelength resonators, a high reduction in the effective area of the filters, as compared to the case of open-loop resonators, can be achieved [22]. To this end, we will take advantage of the methodology developed by Hong and Lancaster [19] and compact microstrip and coplanar bandpass filters will be designed. Finally, some examples and applications of the concepts and considerations introduced in Sections II–IV will be found in Section V, where several filters are implemented and measured results are discussed and compared with simulations. II. IDENTIFICATION OF MAGNETIC AND ELECTRIC COUPLING BETWEEN RESONATORS A very important step in the design of cross-coupled bandpass filters is the determination of the type of coupling between the resonators involved in the filter. The nature of the coupling is directly related to the sign of the corresponding coefficients of the coupling matrix that is obtained from filter specifications ([19, Ch. 8]). As is well known, the magnitude of the coupling coefficient can be extracted from the two resonance frequencies and of the pair of coupled resonators by means of the following:

(1) If the coupling corresponding to the electric field is much stronger than that of the magnetic field, the type of coupling becomes electric and ; in symmetric configurations, this means that when the symmetric plane behaves like an electric wall, the resonance frequency is lower than the resonance frequency of the single uncoupled resonator. On the contrary, if the coupling corresponding to the magnetic field is much stronger than that of the electric field, the type of coupling becomes magnetic and ; in symmetric configurations, this means that when the symmetric plane behaves like a magnetic wall, the resonance frequency is lower than the resonance frequency of the single uncoupled resonator. For instance, these two cases can be easily identified when using as filter constituents square openloop resonators coupled in the configuration shown in Fig. 1(a) (electric coupling) and Fig. 1(b) (magnetic coupling). When the resonators are arranged in different way [as in Fig. 1(c)] or we use more complex resonant particles [like those proposed in this here, see Fig. 1(d)], the coupling is mixed. Depending on the particular orientation or distance between resonators, the electric and magnetic couplings tend to add or to cancel each other, and the determination of the sign of the coupling coefficient is not an obvious task. In these cases, the usual way to determine the sign of the coupling coefficient is based on the comparison of the phases of the insertion loss when the resonators are excited in a symmetrical way [19]. Here, we present a simple method that uses the calculation of the reflection coefficient for even and odd excitation modes. This procedure can be checked by means of the current representations when both even and odd modes of resonance are excited. As an example, in Fig. 2(a) we show the magnitude of for a pair of SRs coupled in the orientation of Fig. 1(d).

2629

Fig. 1. Coupled resonators with: (a) electric coupling, (b) magnetic coupling, and (c) and (d) mixed coupling.

The two resonances can be visualized. The scattering parameters have been obtained from the electromagnetic simulator by adding two symmetric strip sources weakly coupled to the resonators. From the scattering parameters we have extracted the reflection coefficient when the even ( , the symmetric plane behaves like a magnetic wall) and the odd ( , the symmetric plane behaves like an electric wall) resonant modes are excited. The representation of the magnitudes of and leads to the identification of which resonance frequency corresponds to each excitation. For the geometry of Fig. 2(a), we can trivially deduce that the lower resonance frequency is associated to the odd excitation mode, thus concluding that the sign of the coupling coefficient is the same as that assigned to the electric coupling (i.e., negative). A similar conclusion can be inferred from Fig. 2(b) in which a qualitative representation of the surface currents on the strips is shown for each resonance frequency. The asymmetric disposition of the strip sources in Fig. 2(b) lead us to assume that the two resonances are excited; the direction of currents shows that the symmetry plane acts as an electric wall for the lower resonance frequency and as a magnetic wall for the upper one. If we appropriately increase the distance between the resonators, we can reach an opposite situation such as that shown in Fig. 3(a) and (b). In this case, it can be deduced that the lower frequency is associated to the even mode and, therefore, the sign of the coupling coefficient is the same as the one assigned to the magnetic coupling (i.e., positive). The orientation of the SRs in Figs. 2 and 3 is an example in which the electric and magnetic couplings tend to cancel. For small coupling distances, the electric coupling is dominant and the coupling coefficient is negative; but this electric coupling decays faster than the magnetic coupling when the spacing coupling increases so the latter is dominant for larger spacing and the coupling coefficient turns out to be positive. III. FURTHER CONTROL OF THE COUPLING COEFFICIENT The coupling coefficient is a numerical estimation of the energy exchange between resonators. This energy is produced by the electromagnetic fields present in the vicinity of the particles. In microstrip technology, these fields are strongly affected by the presence of the ground plane. In order to increase the electromagnetic energy interchange between resonators, the aperture of windows in the ground plane is proposed. The effect of windowing the ground plane is to enhance the coupling coefficient. Fig. 4 shows the return losses for two coupled SRs. If windows are absent, the coupling is very weak. However, the inclusion of two symmetric windows produces a considerable increment in the value of the coupling coefficient. Moreover, the size of the open

2630

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 2. (a) Typical magnitude of S (solid line), 0 (dotted line), and 0 (dashed line) of a pair of coupled SRs disposed, as shown when the electric coupling is dominant (short spacing coupling). (b) Qualitative current representations on the strips in the two resonance frequencies.

windows allows for certain control of the coupling coefficient. It can be observed in Fig. 4 that the inclusion of the open windows produces a shift in the central frequency of the resonators. This effect can be corrected in the design process by slightly modifying their geometry. The possibility to tailor (increase) the coupling coefficients is very interesting for filter design since bandwidth is dependent on the coupling coefficients and, hence, it is limited by their maximum achievable magnitude. The cross-coupling filter design method described by Hong and Lancaster [18] can be applied, in principle, to any short of resonators. Some recent studies use quarter-wavelength resonators to implement filters in CPW technology [23]. In this study, the use of CSRRs is also proposed as a novel method to implement coupled resonator filters in coplanar CPW configuration (without backside metal). As was anticipated in Section I, CSRRs are the dual counterparts of SRRs, this meaning that the roles of the electric and magnetic fields in these particles are exchanged [24]. Therefore, as long as in coupled SRs

Fig. 3. (a) Typical magnitude of S (solid line), 0 (dotted line), and 0 (dashed line) of a pair of coupled SRs disposed as shown when the magnetic coupling is dominant (wide spacing coupling). (b) Qualitative current representations on the strips in the two resonance frequencies.

Fig. 4. Return losses for two SR coupled particles. This simulations compare the effect of either including or not including open windows in the ground plane and the effect of the window size. Simulations have been obtained by using Agilent’s Momentum 2004 C. The area of window 2 is larger than area of window 1.

or SRRs windowing the ground plane enhances coupling, it is expected that the coupling coefficient between CSRRs can also be increased by etching metallic patches in the back substrate

GARCÍA-GARCÍA et al.: MINIATURIZED MICROSTRIP AND CPW FILTERS USING COUPLED METAMATERIAL RESONATORS

2631

Fig. 5. Return losses for two CSSR coupled particles. Simulations comparing the effect of including or not including a metallic layer (in gray) in the ground plane and the effect of the window dimensions. Area of window 2 is larger than area of window 1.

Fig. 7. Mapping of the different coupling coefficients as a function of the distance between 2-SR particles. Fig. 6. Six-resonator configuration chosen to illustrate the area reduction by using sub- resonators. M is the electromagnetic coupling coefficient bei 6; 1 j 6M = M tween the resonators i and j where 1 and M = M ; M = M .

 

 

side. This has been corroborated as Fig. 5 illustrates (two patch areas have been considered). IV. AREA REDUCTION IN QUASI-ELLIPTIC FILTERS Here it is demonstrated that, by means of metamaterial particles, it is possible to achieve substantial miniaturization in filters based on coupled resonators. To this end, quasi-elliptic filters, which exhibit a pair of transmission zeros, will be considered. Such filters offer better performance than Chebyshev filters and are particularly adequate to meet the typical stringent requirements of wireless communication systems. For the synthesis, the general advanced design method proposed by Hong and Lancaster [19] is used. The generic six coupled resonators scheme of the filter structure is shown in Fig. 6. The synthesis method requires the estimation of the coupling coefficients of the inter-coupled resonators and the external quality factor of the input and output resonators. In order to highlight the benefits of using metamaterial resonators, the same filter characteristics (5.5% bandwidth at 2.4 GHz) will be imposed to three filter implementations, one of them using SRRs, another using SRs, and another using open-loop resonators to compare. A full electromagnetic solver is required to determine the values of the coupling coefficients between adjacent resonators. In our case, Agilent’s commercial tool MOMENTUM 2004C has been used to calculate these coupling coefficients as a function of the distance between resonators. Fig. 7 shows the coupling coefficient obtained for the case of SR particles as a function of the distances between resonators. Similar diagrams are obtained for the single open-ring resonator and SRR particles. Fig. 8 shows a scheme with the elements of a normalized six-pole where and are the standard Chebyshev filter

Fig. 8. Scheme of a six-pole quasi-elliptic filter with two transmission zeros.

parameter and and can be found by iteratively solving (2) and (3) as follows [13]: (2) (3) and are interpreted as the updated or in each where iteration and are the normalized frequency locations of a pair of attenuation poles. This parameter controls the selectivity of the filter. In our case, the coefficients and are obtained from the tables provided by Hong and Lancaster in [19]. From these parameters, the coupling coefficient between the resonator numbers and and the external quality factor of the input and output resonators are obtained applying the following:

(4)

2632

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

The quality factors and the coupling coefficients ( and ) are the same for the three implementations showed in Fig. 9, but for each case, the mapping scheme indicates a different distance set to get the same coefficient parameters. In Fig. 9(a)–(c), the reduction in the active area of the layouts when SRRs or SRs are employed [22] can be appreciated. Specifically, a reduction by a factor of more than four has been obtained for the SR-based device, as compared to the open-loop resonator’s implementation. The resonant frequency of an SR is approximately half the resonant frequency of an SRR with an identical strip width and separation. This explains the smaller dimensions of the filter when SRs are used. For simplicity in the design processes of the different filters, losses have not been taken into account. Obviously losses may degrade the passband, and realizations of bandpass filters will be considered in Section V. The goal here has been just to demonstrate the effective area reduction that can be achieved by using metamaterial particles and this is obvious in view of Fig. 9. V. ILLUSTRATIVE EXAMPLES AND EXPERIMENTAL RESULTS Several prototype device examples representative of the synthesis method proposed by Hong and Lancaster applied to metamaterial resonators, and including the considerations relative to the control of the coupling coefficient between resonators, are presented here. The results of both electromagnetic simulations and measurements are presented. The slight differences between simulations and measured results can be essentially explained by the tolerances in the fabrication process and by the fact that the simulator (Agilent Momentum) does not properly take into account losses in the ground plane. A. Six-Resonator Scheme in Microstrip Topology Fig. 10 shows the layout together with the measurement and simulation of the final optimized design for a quasi- elliptic filter with fractional bandwidth of 10% at 2.4 GHz. The calculated coefficients parameters for this specifications are and the external quality factor is . Measured in-band return losses are better than 12 dB, while insertion losses are in the vicinity of 2.9 dB. Frequency selectivity and out-of band performance are good, with a rejection level better than 40 dB up to at least 6 GHz. The size of the device, which is as small as 1.27 cm , is also remarkable. However, the final response has been shifted as compared to the simulation. This is probably due to the fact that dimensions are very close to the limits, this structure has been fabricated by means of a photo/mask etching technique, and the effective distances between metal strips do not exactly correspond to the nominal values. B. Microstrip Filters Implemented by Windowing the Ground Plane In Fig. 11, there are plotted the measured and simulated results of a filter implemented using windows in the ground plane to increment the coupling between some of the resonators of the circuit. Two rectangular windows symmetrically positioned between the resonators, with the aim to increase and control the intensity of the coupling, have been implemented. This filter

Fig. 9. Filter designs with two attenuation poles based on: (a) open-loop resonators, (b) SRRs, and (c) and SRs. The simulated (excluding losses): (d) return and (e) insertion losses are also depicted. Filter specifications are: central frequency f = 2:4 GHz, fractional bandwidth FBW = 5:5%. Substrate characteristics correspond to the Rogers RO3010, i.e., " = 10:2; h = 1:27 mm.

has a fractional bandwidth of 9% at 2.9 GHz. The coupling coefficients are

GARCÍA-GARCÍA et al.: MINIATURIZED MICROSTRIP AND CPW FILTERS USING COUPLED METAMATERIAL RESONATORS

2633

Fig. 12. Quasi-elliptic filter with four SR particles and open windows in the ground plane. Implementation in Rogers substrate RO3010 (substrate thickness h = 0:635 mm, " = 10:2). The insets show the top and bottom views of the filter implementation.

Fig. 10. Experimental (solid line) and simulation (dashed line) insertion and return losses of a six-pole quasi-elliptic filter using SR resonators showed here. The substrate is the ARLON ARL1000-025-11, " = 10, substrate thickness h = 0:635 mm.

Fig. 13. Butterworth bandpass filter with four CSRR particles in CPW technology. Implementation in an Arlon substrate (h = 0:675 mm, " = 2:4).

Fig. 11. Quasi-elliptic filter with six SR particles and open windows in the ground plane and frequency response. Implementation in Rogers substrate RO3010 (substrate thickness h = 0:635 mm " = 10:2). The insets show the top and bottom views of the filter implementation.

and

and the external quality factor is . Due to the complexity of the filter (six particles), an optimization process of the final structure has been required to fit the specifications, and the transmission zeros have been obscured. Nevertheless, the frequency response is satisfactory and dimensions are again small (14.8 mm 7.37 mm). In Fig. 12, a quasi-elliptic filter implemented using four SR particles can be observed. The filter has a fractional bandwidth of 5.5% at 2.8 GHz. The coupling parameters are and and the input and output quality factor is . In this case, the number of resonators is lower than in the previous filter, and insertion loses are slightly better (around 3.8 dB). The dimensions of this filter are 7.98 mm 7.5 mm. The transmission zero frequencies are evident in both simulated and measured results. In both filters, open windows in the ground plane have been used to increment and control the coupling coefficient between adjacent resonators. Without these windows, the filter implementation is not possible due to the fact that, in this case, the best coefficient, which can be achieved by approaching the particles, is not enough to fit the filter specifications.

C. Butterworth Bandpass Filter Implementation With CSRR in CPW Technology The coupling method can be also applied to the synthesis of any kind of filters. In this case, a 10% bandpass Butterworth filter at 2.4 GHz has been designed and implemented. For these specifications, the coupling coefficients are and and the external quality factor is . Fig. 13 shows the simulated and the measured results. High-frequency selectivity has been achieved with in-band losses of 3 dB. In this case, the dimensions of the active area are 35 mm 25 mm. To our knowledge, other authors have used the cross-coupling coefficient to implement bandpass filters, but this is the first time that a complementary sub-wavelength resonator is used to synthesize standard filter responses by applying the coupling coefficient method. VI. CONCLUSION It has been shown that the use of sub-wavelength resonators in the coupling coefficient method provides significant reduction in the active area of planar microwave filters. A method to identify the magnetic and electric character of the coupling between these resonators has been proposed. The etching of windows in the ground plane (in the case of microstrip implementation) and metallic layers (in the case of CPW technology) to increase and control the coupling between resonators has also been proposed. This is the foremost contribution of this paper. Illustrative circuits that use these ideas have been designed, fabricated,

2634

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

and measured. The dimensions of the fabricated devices are small, while reasonable performance has been obtained. Measured in-band losses are not negligible, but they can be improved by using high-temperature superconductors (HTSs).

REFERENCES [1] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2084, Nov. 1999. [2] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, p. 4184, 2000. [3] R. Marqués, J. D. Baena, J. Martel, F. Medina, F. Falcone, M. Sorolla, and F. Martín, “Novel small resonant electromagnetic particles for metamaterial and filter design,” in Int. Electromagn. Adv. Applicat. Conf., Turin, Italy, Sep. 2003, no. 8–12, pp. 439–442. [4] F. Falcone, T. Lopetegi, J. D. Baena, R. Marqués, F. Martín, and M. Sorolla, “Effective negative-epsilon stopband microstrip lines based on complementary split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 14, pp. 280–282, Jun. 2004. [5] J. J. Garcia-Garcia, F. Martin, F. Falcone, J. Bonache, I. Gil, T. Lopetegi, M. A. G. Laso, M. Sorolla, and R. Marques, “Spurious passband suppression in microstrip coupled line bandpass filters by means of split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 416–418, Sep. 2004. [6] J. J. García-García, F. Martín, F. Falcone, J. Bonache, J. D. Baena, I. Gil, E. Amat, T. Lopetegi, M. A. G. Laso, J. A. Marcotegui, M. Sorolla, and R. Marqués, “Microwave filters with improved stopband based on sub-wavelength resonators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 1997–2006, Jun. 2005. [7] J. J. García-García, F. Martín, J. D. Baena, R. Marqués, and L. Jelinek, “On the resonances and polarizabilities of split rings resonators,” J. Appl. Phys., vol. 98, Aug. 2005, 033103. [8] J. J. García-García, J. Bonache, F. Falcone, J. D. Baena, F. Martín, I. Gil, T. Lopetegi, M. A. G. Laso, A. Marcotegui, R. Marqués, and M. Sorolla, “Stepped-impedance low pass filters with spurious passband suppression,” Electron. Lett., vol. 40, pp. 881–883, Jul. 2004. [9] J. Bonache, F. Martin, F. Falcone, J. Garcia, I. Gil, T. Lopetegi, M. A. G. Laso, R. Marques, F. Medina, and M. Sorolla, “Super compact split ring resonators CPW bandpass filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 6–11, 2004, vol. 3, pp. 1483–1486. [10] J. Bonache, I. Gil, J. García-García, and F. Martín, “Complementary split ring resonators for microstrip diplexer design,” Electron. Lett., vol. 41, pp. 810–811, Jul. 2005. [11] J. S. Hong and M. J. Lancaster, “Couplings of microstrip square open-loop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2099–2109, Dec. 1996. [12] R. M. Kurzok, “General four-resonator filters at microwave frequencies,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 7, pp. 295–296, Jul. 1966. [13] R. Levy, “Filters with single transmission zeros at real and imaginary frequencies,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 4, pp. 172–181, Apr. 1966. [14] C.-C. Yu and K. Chang, “Novel compact elliptic-function narrowband bandpass filters using microstrip open-loop resonators with coupled and crossing lines,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2468–2471, Dec. 1994. [15] J. S. Hong and M. J. Lancaster, “Aperture-coupled microstrip openloop resonators and their applications to the design of novel microstrip bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1848–1855, Sep. 1999. [16] M. Bernier, D. L. H. Tong, F. Baron, C. Nicolas, M. Chatras, and P. Blondy, “Design of an asymmetric response micro-machined -band bandpass filter,” in Proc. Int. Microw. Filters Workshop, Toulouse, France, Sep. 2004, pp. 57–58. [17] J. S. Hong and M. J. Lancaster, “Theory and experiment of novel microstrip slow-wave open-loop resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2358–2365, Dec. 1997.

Ka

[18] J. S. Hong and M. J. Lancaster, “Design of highly selective microstrip bandpass filters with a single pair of attenuation poles at finite frequencies,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1098–1107, Jul. 2000. [19] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY: Wiley, 2001. [20] S. H. Jang and J. C. Lee, “Design of novel cross-coupling elliptic function filters with the miniaturized edge-coupled split ring resonators,” Microw. Opt. Technol. Lett., vol. 45, pp. 495–499, Jun. 2005. [21] G. Zhang, F. Huang, and M. J. Lancaster, “Superconducting spiral filters with quasi-elliptic characteristic for radio astronomy,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 947–951, Mar. 2005. [22] J. J. García-García, J. Bonache, F. Falcone, I. Gil, F. Martín, M. C. Velázquez-Ahumada, and J. Martel, “Efficient area reduction in microstrip cross-coupled resonator filters by using split rings resonators and spiral resonators,” in 35th Eur. Microw. Conf. Dig., Paris, France, Oct. 2005, pp. 1235–1238. [23] J. Zhou, M. J. Lancaster, and F. Huang, “Coplanar quarter-wavelength quasi-elliptic filters without bond-wire bridges,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1150–1156, Apr. 2004. [24] F. Falcone, T. Lopetegi, M. A. G. Laso, J. D. Baena, J. Bonache, M. Beruete, R. Marqués, F. Martín, and M. Sorolla, “Babinet principle applied to the design of metasurfaces and metamaterials,” Phys. Rev. Lett., vol. 93, 2004, 197401.

Joan García-García (M’05) was born in Barcelona, Spain, in 1971. He received the Physics degree and Ph.D. degree in electrical engineering from the Universitat Autònoma de Barcelona, Bellaterra (Barcelona), Spain, in 1994 and 2001, respectively. He then became a Post-Doctoral Research Fellow with the Institute of Microwaves and Photonics, The University of Leeds, Leeds, U.K., under the INTERACT European Project. In 2002, he was a Post-Doctoral Research Fellow with the Universitat Autònoma de Barcelona, under the Ramon y Cajal Project of the Spanish Government. In November 2003, he become an Associate Professor of electronics with the Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona.

Jordi Bonache (S’05) was born in Cardona (Barcelona), Spain, in 1976. He received the Physics and Electronics Engineering degrees from the Universitat Autònoma de Barcelona, Bellaterra (Barcelona), Spain, in 1999 and 2001, respectively, and is currently working toward the Ph.D. degree at the Universitat Autònoma de Barcelona. In 2000, he joined the High Energy Physics Institute of Barcelona (IFAE), where he was involved in the design and implementation of the control and monitoring system of the MAGIC telescope. In 2001, he joined the Department d’Enginyeria Electrònica, Universitat Autònoma de Barcelona, where he is currently an Assistant Professor. His research interests include active and passive microwave devices and metamaterials.

Ignacio Gil (S’05) was born in Barcelona, Spain, in 1978. He received the Physics and Electronics Engineering degrees from the Universitat Autònoma de Barcelona, Bellaterra (Barcelona), Spain, in 2000 and 2003, respectively, and is currently working toward the Ph.D. degree at the Universitat Autònoma de Barcelona. He is also an Assistant Professor with the Universitat Autònoma de Barcelona. His research interests include active and passive microwave devices and metamaterials.

GARCÍA-GARCÍA et al.: MINIATURIZED MICROSTRIP AND CPW FILTERS USING COUPLED METAMATERIAL RESONATORS

Ferran Martín (M’05) was born in Barakaldo (Vizcaya), Spain, in 1965. He received the B.S. degree in physics and Ph.D. degree from the Universitat Autònoma de Barcelona (UAB), Bellaterra (Barcelona), Spain, in 1988 and 1992, respectively. In recent years, he has been involved in different research activities including modeling and simulation of electron devices for high-frequency applications, millimeter-wave and terahertz-generation systems, and the application of electromagnetic bandgaps to microwave and millimeter-wave circuits. He is also currently very active in the field of metamaterials and their application to the miniaturization and optimization of microwave circuits and antennas. He is the Head of the Microwave and Millimeter Wave Engineering Group, UAB, and a partner of the Network of Excellence of the European Union METAMORPHOSE. He is currently Guest Editor for two Special Issues on Metamaterials in two international journals. He has authored or coauthored over 190 technical conference, letter, and journal papers and is currently coauthoring the monograph on metamaterials entitled Metamaterials with Negative Parameters: Theory, Design and Microwave Applications (Wiley, to be published). He has filed several patents on metamaterials and has headed several development contracts. Dr. Martín has organized several international events related to metamaterials, including a Workshop at the 2005 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

2635

María del Castillo Velázquez-Ahumada was born in Lebrija, Sevilla, Spain, in 1976. She received the Licenciado degree in physics from the Universidad de Sevilla, Seville, Spain, in 2001, and is currently working toward the Ph.D. degree in electronics and electromagnetism at the Universidad de Sevilla. Her research focus is on printed passive microwave filters and couplers.

Jesús Martel was born in Seville, Spain, in 1966. He received the Licenciado and Doctor degrees in physics from the Universidad de Sevilla, Seville, Spain, in 1989 and 1996, respectively. Since 1992, he has been with the Department of Applied Physics II, Universidad de Sevilla, where, in 2000, he became an Associate Professor. His current research interest is focused on the numerical analysis of planar transmission lines, the modeling of planar microstrip discontinuities, the design of passive microwave circuits, microwave measurements, and artificial media.

2636

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Efficient Numerical Methods for Simulation of High-Frequency Active Devices Masoud Movahhedi, Student Member, IEEE, and Abdolali Abdipour, Member, IEEE

Abstract—We present two new numerical approaches for physical modeling of high-frequency semiconductor devices using filterbank transforms and the alternating-direction implicit finite-difference time-domain method. In the first proposed approach, a preconditioner based on the filter-bank and wavelet transforms is used to facilitate the iterative solution of Poisson’s equation and the other semiconductor equations discretized using implicit schemes. The second approach solves Maxwell’s equations which, in conjunction with the semiconductor equations, describe the complete behavior of high-frequency active devices, with larger time-step size. These approaches lead to the significant reduction of the fullwave simulation time. For the first time, we can reach over 95% reduction in the simulation time by using these two techniques while maintaining the same degree of accuracy achieved using the conventional approach. Index Terms—Alternating-direction implicit finite-difference time-domain (ADI-FDTD) method, filter-bank transforms, full-wave analysis, global modeling, high-frequency devices, preconditioning.

I. INTRODUCTION ODERN high-frequency electronics are based on technologies such as monolithic microwave integrated circuits (MMICs) with a large number of closely packed passive and active structures, several levels of transmission lines, and discontinuities operating at high speeds and frequencies and sometimes over very broad bandwidths. It is thus anticipated that the design of MMICs should involve robust design tools that would simulate all of the circuit elements simultaneously. The possibility of achieving this type of modeling is addressed by full-wave device analysis and global circuit modeling presented in [1]–[5]. The main issue in the global modeling all elements of the high-frequency circuits is the full-wave analysis of their active devices, which has been considered in this study. In the fullwave analysis, the equations that describe the transport physics in conjunction with Maxwell’s equations must be solved to predict the interactions between the carriers and the propagating wave inside the devices [1], [2]. We must note that some phenomena such as imperfection in the crystal structure of the semiconductor cannot be exactly considered in the equations. Imperfections that perturb the periodicity of the crystal [6] can contribute significantly to the overall device behavior and are still very difficult to model.

M

Manuscript received October 10, 2005; revised January 20, 2006. This work was supported in part by the Iran Telecommunication Research Center. The authors are with the Department of Electrical Engineering, AmirKabir University of Technology (Tehran Polytechnic), Tehran, Iran (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872937

The full-wave analysis involves a fair amount of advanced numerical techniques and different algorithms that results in a very expensive computational cost [5]. Therefore, there is an imperative need to present a new approach to reduce the simulation time, while maintaining the same degree of accuracy presented by the global modeling techniques. Due to the high complexity of the equations, usually the finite-difference time-domain (FDTD) technique is used to solve them. In this numerical technique, a possible approach for reducing the simulation time is to use multiresolution nonuniform grids that can be implemented using interpolating wavelets [7], [8]. Wavelets were applied to the drift-diffusion model [7]. A new approach has been developed for applying wavelets to the full hydrodynamic model [8]. The interpolating wavelets can adaptively refine the mesh in domains where the unknowns quantities vary rapidly. This also leads to considerable reduction in the number of unknowns and the simulation time. The best situation shows about a 75% reduction in CPU time [8]. In this paper, we propose to use two approaches for reducing the simulation time of the full-wave analysis. The first approach improves the simulation time and facilitates the steady-state dc solutions which are used as the initialization values in the fullwave analysis. The second one accelerates the transient simulation to obtain the time-domain ac solutions of the modeling. In the conventional approach for full-wave analysis using the FDTD method, all of the equations that include the time derivative (e.g., hydrodynamic and Maxwell’s equations) are represented by explicit FD schemes [1]. However, solving Poisson’s equation (as an elliptic equation) leads to a large system of linear equations, . Therefore, one of the key factors for simulation time reduction of active microwave devices is to decrease the solution time of the equation system, . Here, a new filter-bank-based preconditioning method [9] is used to facilitate the iterative solution of Poisson’s equation. Another proposed approach accelerates the time-domain ac solution. Recently, a new method, called the alternating-direction implicit FDTD (ADI-FDTD) method, to solve Maxwell’s curl equations has been introduced [10], [11]. This method is an attractive alternative to the standard FDTD due to its unconditional stability with moderate computational overhead. The unconditional stability means that the ADI-FDTD method is free of the Courant–Friedrich–Levy (CFL) stability restraint, allowing any choice of for a stable solution. The ADI-FDTD can be particularly useful for problems involving devices with fine geometric features that are much smaller than the wavelengths of interest. Here, the unconditionally stable FDTD method has been proposed for solving Maxwell’s equations, which together with the semiconductor equations perform the full-wave modeling. This allows using a larger

0018-9480/$20.00 © 2006 IEEE

MOVAHHEDI AND ABDIPOUR: EFFICIENT NUMERICAL METHODS FOR SIMULATION OF HIGH-FREQUENCY ACTIVE DEVICES

time-step size that leads significantly to CPU time reduction while maintaining the same degree of accuracy achieved using the conventional approach. This paper is organized as follows. Section II gives a brief review of the full-wave analysis. Section III presents the conventional numerical schemes which are used in the simulation and are based on the FDTD method. A filter-bank based preconditioner as one of the proposed approaches along with its application to a device are provided in Section IV. Section V describes the ADI-FDTD method as another approach and presents its results. Finally, conclusions are drawn in Section VI. II. FULL-WAVE ANALYSIS Usually in the submillimeter and upper millimeter wave range, the active device dimensions become comparable to the wavelength. Therefore, it cannot be treated as a point or a lumped element any more. The high-frequency aspects, including distributed effects, propagation delays, electron transmit time, parasitic elements, and discontinuity effects become important and have to be thoroughly investigated. The most accurate approaches for modeling of these high-frequency active devices are the full-wave analysis techniques. The full-wave time-domain simulation couples a three-dimensional (3-D) time-domain solution of Maxwell’s equations (EMS model) with the active device model (AD model) [1].

2637

is the equilibthe electric field, is the electron energy, rium thermal energy, is the electron momentum, and is the Boltzmann constant. The energy and momentum relaxation and , respectively. In steady-state dc times are given by analysis and in the source plane, the three conservation equations are solved in conjunction with the Poisson’s equation (4) where is the electrostatic potential, is the electron charge, is the dielectric constant, is the doping concentration, and is the carrier concentration at any given time. The electric current density distribution inside the active device at any time is given by (5) B. EMS Model The electromagnetic (EM) wave propagation can be completely characterized by solving Maxwell’s equations. These equations are first-order linear coupled differential equations relating the field vectors, current densities, and charge densities at any point in space at any time. Maxwell’s curl equations are given by (6)

A. AD Model The AD model used for simulation is a 3-D large-signal EM physical model. It is based on the moments of the Boltzmann’s transport equation that is obtained by integration on the momentum space. The integration results in a strongly coupled, highly nonlinear set of partial differential equations called the conservation equations [12]. These equations provide a time-dependent self-consistent solution for the carrier density, energy, and momentum, which are given as follows. • Current continuity (1) • Energy conservation

(2) • X-momentum conservation

(3) The similar equations are written and used for simulation for momentum in the other directions. In the above equations, is the electron concentration, is the electron velocity, is

(7) is the magnetic field, is the where is the electric field, electric flux density, and is the magnetic flux density. The fields in Maxwell’s equations are updated using the current density estimated by (5). C. Coupling the Two Models The coupling between the two models is established by properly transforming the physical parameters (e.g., fields and current densities) from one model to the other. In each time step, the Maxwell’s and semiconductor equations should be solved sequentially. First, the Maxwell’s equations are solved for the electric and magnetic field distributions using the current density obtained in the previous time step. Then, the obtained EM fields are used in the semiconductor equations to find the new current density. This process is repeated for each time interval [1]. The full-wave analysis procedure includes the following. 1) Steady-State DC Solution (Initialization): The steady-state dc solution for electric fields, current densities, and the other transport parameters are obtained from the semiconductor model by solving Poisson’s and hydrodynamic transport equations. These dc solutions serve as the corresponding initial values inside the AD for the coupled model. 2) Time-Domain AC Solution: After completing the initializations, the ac excitation is applied. The time-domain distribution of the EM fields is obtained using Maxwell’s equations. These EM fields are used by the semiconductor model to update the current density. More details about ac and dc solutions can be found in [1].

2638

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

III. IMPLEMENTATION USING THE FDTD METHOD Because the carrier transport processes are highly nonlinear, the full-wave analysis problem is solved in the time domain. Due to the complexity of the equations and simplicity of the FD schemes formulation [1], usually the FDTD technique is used to solve them. In numerical implementation of the full-wave analysis using FDTD, both the time and space domains must be discretized. A. Solution of the AD Model Equations (1)–(3) are coupled, highly nonlinear partial differential equations (PDEs). To decouple these equations in time, an FD-based scheme is used [13]. The mesh for the device simulator has the vector quantities positioned at the midpoint of the cell and the scalar quantities at the nodal points [1]. This mesh implements a spatial leapfrog technique for enhanced stability and reduced numerical dispersion. In the conventional methods used for full-wave analysis, all of the equations are represented by explicit FD schemes. Since the time-step is determined according to the EM wave stability condition (Maxwell’s equations), no gain is achieved by using other FD formulations (e.g., implicit or semi-implicit). Achieving a stable explicit method for the balance equations is challenging. Successful design of the global simulator depends on the development of a computationally efficient method for solving the systems. A simple FD scheme, forward-time central-space (FTCS), consists of a forward Euler scheme in time and central differencing in space [14]. The method is simple to implement and has relatively low computational requirements when compared with more complicated discretization techniques. However, it suffers from instability for this application. The numerical error is caused by the carrier concentration equation. The equation is hyperbolic and convection-dominated in nature. The FTCS method can be applied sufficiently for the energy and momentum equations (2) and (3). The stability of the model can be improved by employing the Upwind and Lax–Wendroff discretization methods for the current continuity equation [14]. The Upwind scheme has the benefit of having low computational requirements with slightly increased difficulty in implementation while increasing stability. The main drawback of using the Upwind scheme is its asymmetric nature, which causes numerical dispersion of unacceptable levels for characterization of high-frequency circuits. The Lax–Wendroff scheme provides for an increase in accuracy, . The Lax–Wendroff scheme provides for additional stability by introducing an equivalent diffusion term; compromising computational and memory efficiency for numerical stability and accuracy of the solution. It is clear, in numerical implementation using FDTD both time and space domains must be discretized. For accuracy and stability of the FDTD methods, the time- and space-step sizes must always be chosen to be less than the critical values. In the conservation equations system (AD model), the Debye length limits the spatial step to maintain acceptable numerical accuracy and is usually less than the one-tenth the Debye length [14]. The debye Length of the semiconductor can be calculated using the

Fig. 1. Time-step size of the AD model versus the E -field and cell size.

following equation:

(8) which is a function of the doping level and the other material characteristics [14]. The time-step size in the explicit methods for the semiconductor equations is a function of the average carrier velocity and the spatial step to comply with the following CFL condition for stability and minimizing numerical dispersion [14]:

(9) The time step is dependent upon the drift velocity and varies inversely to the applied electric field, as seen in Fig. 1. The figure presents a set of parametric curves showing relationship among the electric field and space- and time-steps. B. Solution of the EMS Model In all previous works [1], [7], [8], the time-domain solution of Maxwell’s equations in the full-wave analysis is obtained using the explicit Yee’s method [15]. The spatial increment step of the EMS model is limited by the maximum frequency of the excitation for a basic Yee FDTD model and is usually smaller than one-tenth of the wavelength. In conventional FDTD scheme for solving the EMS model (explicit method), the time-step size must be small enough so that it satisfies the following CFL stability condition:

(10) where model.

is the maximum-wave phase velocity within the

MOVAHHEDI AND ABDIPOUR: EFFICIENT NUMERICAL METHODS FOR SIMULATION OF HIGH-FREQUENCY ACTIVE DEVICES

IV. FILTER-BANK-BASED PRECONDITIONER Solving elliptic PDEs or using implicit methods for solving time-dependent PDEs results in a large system of linear equations, . The complexity of the problems is often too high for using a direct solver. Therefore, one has to rely on iterative methods [16]. Convergence of such methods is often dependent on the condition numbers of the operator matrices ; small condition numbers guarantee a fast convergence to the solution, whereas large condition numbers often imply that the convergence will be slow. For instance, solving Poisson’s equation on a large or nonuniform grid leads to a matrix with a large condition number. In this case, an effective preconditioning of matrix is usually required in order to keep the number of iterations small [16]. Using genetic-based algorithms described and used in [17] is another approach for solving the problem. In [17], it is shown that a genetic-based algorithm converges independent of the condition number of matrix obtained from Poisson’s equation. Although genetic algorithms are unconditionally stable algorithms, their convergence is very slow. Therefore, these algorithms cannot meet the urgent need of the full-wave analysis of high-frequency semiconductor devices with short simulation time. Here, we propose an efficient method that not only guarantees the solution accuracy, but also increases the speed of convergence. As mentioned before, the size of matrix , in the system of , is very large and has a large condition number. In this case, an iterative technique is usually employed, and an effective preconditioner of matrix is required in order to obtain fast convergence [16]. Generally, the better conditioned system leads to an accelerated convergence in the iterative solution [18]. Some well-documented preconditioning methods such as the incomplete lower-upper (ILU) factorization and polynomial preconditioning methods [19], [20] can be effective. However, they usually require well above operations. Recently, an interesting preconditioning method based on the filter-bank and wavelet transforms was proposed [21]. Its main advantage is its low computational cost, which is reduced to . In this section, we will use this new preconditioner to precondition matrix that resulted from Poisson’s equation which must be solved to obtain steady-state solution and in the source plane in transient and ac simulation. A. Standard Poisson’s Equation The two-dimensional (2-D) Poisson’s equation has the following form:

2639

, where the vectors and contain the variables and , respectively, which are lined up in a systematic order. B. Modified Poisson’s Equation In the conventional numerical methods used in the full-wave analysis, all of the semiconductor equations are represented by explicit FD schemes [1]. It is important to note that, in this study, an unconditionally stable method is used to solve Maxwell’s equations. Thus, we can consider implicit methods to solve AD model equations to reach to the larger time-steps. Here, we consider one of the existing implicit schemes for semiconductor equations. In this scheme, Poisson’s equation is considered as follows and called the modified Poisson’s equation [22]. During solving for the potential at time level , the carrier concentration at is not available. However, this can be approximated in the following ways [23]: (13) Expanding

using a Taylor series gives

(14) Ignoring second-order and higher order terms in time and substituting for from the current continuity (1) yields

when defining carrier mobility as coefficient as . Hence, (13) can be rewritten as follows:

(15) and diffusion

(16) The modified Poisson’s equation (16) is solved for using the full implicit method. The above modification of Poisson’s equation allows one to solve Poisson’s and carrier continuity equations sequentially. Numerical stability is maintained for as high as , where is the maximum allowable time-step in the explicit scheme obtained using (9), [23]. C. Construction of the Preconditioner

(12)

If we consider a PDE with special boundary conditions and assume that this problem is discretized with a FD method, a system of linear equations can be obtained as . We want to use the filter-bank transform to precondition the operator. The idea is that the parts of the transformed operator will be diagonally dominant, whereas the is not, but this part is very small and can be directly inverted [21]. The filter-bank transform of matrix is defined as

where the subscripts denote the th grid point on the – plane. Equation (12) can be written in a matrix form

(17)

(11) Here, we focus on the FD discretization of Poisson’s equation. The above equation can be discretized as the following:

2640

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE I CONDITION NUMBER OF THE PRECONDITIONED LAPLACIAN OPERATOR MATRIX CORRESPONDING TO THE MESFET STRUCTURE FOR DIFFERENT FILTER-BANK TRANSFORMS

Fig. 2. Structure of the matrix representation of a transformed operator.

TABLE II CONDITION NUMBER OF THE PRECONDITIONED LAPLACIAN OPERATOR MATRIX CORRESPONDING TO THE MESFET STRUCTURE FOR DIFFERENT WAVELET TRANSFORMS

Fig. 3. Structure of a MESFET transistor for full-wave analysis.

where matrix performs steps in the filter-bank transform (see [24] for notation and details). In general, the matrix representation of the transformed operator is shown in Fig. 2. Here, is the approximation of the transformed operator. From its inverse that can be computed very easily, we will construct the preconditioner. Our approximation of is chosen as in Fig. 2 [24] as follows:

(18) otherwise. Now, the filter bank preconditioning algorithm can be described by the following steps. Step 1) Take the filter-bank transform to get . Step 2) Create the approximation of the transformed operator by (18). Step 3) Use as preconditioner to solve , where . Step 4) Apply backward filter-bank transform to to obtain . According to the problem dimension, different filter-bank transforms can be used, i.e., for -dimensional problems, we can use the -dimensional filter-bank transforms that are explained in detail in [21]. In the following, we use the tensor product filter-bank transform, which is called the 2-D transform, for preconditioning. Also, we use Daubechies wavelets for wavelet transforms and and filter-banks for filter-bank transforms [24]. D. Application to a Device Fig. 3 shows the GaAs MESFET structure used to demonstrate the potential of the proposed preconditioner. This structure is discretized by a uniform mesh of . Dirichlet boundary conditions are used at the electrodes, while Neumann

boundary conditions are used at the other walls. The size of matrix , arising from the standard and modified Poisson’s equation, is (2048 2048). First, consider the standard Poisson’s equation. The condition number of matrix in this situation is 4051, and we apply the proposed filter-bank-based and waveletbased preconditioners to it to reduce this condition number. In Tables I and II, we present the variation of condition number of the preconditioned matrix according to the type of filter-bank and wavelet transforms and to the number of steps in the transform. Transform steps determine the size of nondiagonal part of matrix called . We have used the tensor product (2-D) transforms for preconditioning. Fig. 4 shows the convergence behavior of the proposed preconditioner for different filter-bank and wavelet 2-D transforms. Convergence behavior of the preconditioned system is similar to the variation of its condition number. As can be seen, the convergence rate increases as the filter-bank is of lower order and as the decomposition level decreases. However, for the Daubechies wavelet transforms, the convergence rate increases as the wavelet is of higher order and as the decomposition level increases. We found that preconditioning using the filter-bank transform converges faster than the other filter-bank and wavelet transforms. By increasing the number of steps in the transform, the size of the nondiagonal part of decreases. Therefore, the computational complexity of the preconditioning method, which is equal to , can be reduced by increasing the number of steps in the transform [24]. It is interesting that we can obtain both good conditioning and low computational cost by using filter-bank transform. To compare the performance of the used preconditioner (i.e., filter-bank-based preconditioner) with the well-known preconditioning methods, the convergence rate of the ILU preconditioner has been illustrated in Fig. 4. As is clearly seen, in almost all cases, for different filter-bank and wavelet transforms

MOVAHHEDI AND ABDIPOUR: EFFICIENT NUMERICAL METHODS FOR SIMULATION OF HIGH-FREQUENCY ACTIVE DEVICES

2641

TABLE III CONDITION NUMBER OF THE PRECONDITIONED MATRIX ARISING FROM MODIFIED POISSON’S EQUATION CORRESPONDING TO THE MESFET STRUCTURE FOR DIFFERENT FILTER-BANK TRANSFORMS

TABLE IV CONDITION NUMBER OF THE PRECONDITIONED MATRIX ARISING FROM MODIFIED POISSON’S EQUATION CORRESPONDING TO THE MESFET STRUCTURE FOR DIFFERENT WAVELET TRANSFORMS

Fig. 4. Convergence behavior of the ILU preconditioner and the proposed preconditioner system on the standard Poisson’s equation by different wavelet and filter-bank transforms.

and decomposition levels, the convergence rate is faster than the ILU(0) preconditioner. We now consider the modified Poisson’s equation. Solving (16) for leads to a system of linear equations, , where matrix is a function of and carrier density distribution . This situation is established in implicit discretization of carrier continuity and the other semiconductor equations. It is important to note that, when an explicit scheme is used to solve hydrodynamic model equations, the maximum allowable time step is about ps. However, when the equations are discretized with the above implicit scheme, the method will be stable for very large ; for instance, . In this study, the proposed method is applied to matrix when , i.e., and ps. The condition number of this matrix is 11 607, and we apply the proposed filter-bank-based preconditioner to . In Tables III and IV, we present the variation of condition number of the preconditioned matrix according to the type of filter-bank and wavelet transforms and to the decomposition levels. Fig. 5 shows the convergence behavior of the proposed preconditioner for different filter-bank and wavelet 2-D transforms. It is observed that the convergence behavior is similar to the standard Poisson’s equation. Although the performance of the used preconditioner is better when applied to the Laplacian operator matrix in standard Poisson’s equation, for the filter-bank transform with different decomposition levels, the convergence rate is faster than that for the ILU(0) preconditioner. As the results show, the convergence rate of the preconditioning scheme used, especially by filter-bank transform, is faster than the well-known ILU method. Moreover, the computational cost of the considered method is as low as , which is better than any other methods. Here, we only investigated performance of the preconditioner on Poisson’s equation (i.e., standard and modified equations). However, it seems that the pro-

Fig. 5. Convergence behavior of the ILU preconditioner and the proposed preconditioner system on the modified Poisson’s equation by different wavelet and filter-bank transforms.

posed method can work very well on the other matrices coming from the conservation equations that are discretized using implicit schemes. V. UNCONDITIONALLY STABLE FDTD METHOD In Section III, the conventional implementation of the fullwave analysis using the FDTD method was described. It was mentioned that, in the full-wave simulation, the AD model and the EMS model must be solved simultaneously. Therefore, in its implementation using the FD method, the cell and time-

2642

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

step sizes must be sufficiently small in order to satisfy the accuracy and stability conditions of both models. In almost all cases of the full-wave analysis, the conservation transport equations impose cell size. This is because of the smaller Debye length of the semiconductor compared with the signal wavelength. In addition, Maxwell’s equations solved by the conventional FDTD method will force the time-step size. This results from a larger phase velocity of the wave compared with the carrier drift velocity. To the best of our knowledge, in all previous works done to reduce the simulation time of the full-wave analysis and global modeling, these discretization parameters have been taken as fixed. In these works, the proposed techniques to decrease simulation time, such as using nonuniform mesh generated by wavelet, show only about 75% reduction in CPU run time [8]. In this paper, we significantly reduce the simulation time by increasing the time-step size of the FDTD technique. We propose to use the ADI-FDTD method, which allows any choice of for a stable scheme, to solve the EMS model in the full-wave analysis. Thus, only the CFL stability condition of the AD model limits the chosen time-step size. Consequent, the CPU run time will be reduced significantly. A. ADI-FDTD Method The standard FDTD scheme is a well-established technique for simulating EM systems. Two major limitations of the FDTD method are the simulation errors and execution time. The simulation errors are due to discretizing the space and time and are measured typically through the amount of numerical dispersion. Long execution times result from the requirement of a time-domain signal to ring out or reach steady state. The execution time of an FDTD simulation is inversely proportional to the size of the chosen time-step. A major limitation of existing FDTD schemes is the conditionally stable nature of the technique, since the CFL condition must be satisfied when this method is used. Recently, a new algorithm introduced by Namiki [10] and Zheng et al. [11] has been proposed in order to eliminate the constraints of the CFL condition. This new algorithm is based on the ADI method and is applied to the Yee’s staggered cell to solve Maxwell’s equation. The ADI method is known as the implicit-type FD algorithm, which has the advantage of ensuring a more efficient formulation and calculation than other implicit methods in the case of multidimensional problems. For explanation of the ADI-FDTD formulation, we consider the following equation from system (6):

(19) By applying the ADI principle, the computation of (19) for the FDTD solution marching from the th time-step to the th time-step is broken up into two computational subadvancements: the advancement from the th time-step to the th time-step and the advancement from the th time-step to the th time-step. More specifically, the two substeps are as follows. Step 1) For the first half-step, i.e., at the th time step, the first partial derivative on the right-hand

, is replaced with an imside (rhs) of (19), plicit difference approximation of its unknown pivotal values at the th time step, while the second partial derivatives on the rhs, , is replaced with an explicit FD approximation in its known values at the previous th time step. In other words,

(20) Step 2) For the second half time-step (i.e., at th time step), the second term on the rhs, , is replaced with an implicit FD approximation of its unknown pivotal values at the th time step, while the first term is replaced with an explicit FD approximation in its known values at the previous th time-step. In other words,

(21) Applying the same procedure to all the other five scalar differential equations of Maxwell’s equations, one can obtain the complete set of the implicit unconditionally stable FDTD formulas [11]. Using the above method to solve Maxwell’s equations creates an unconditionally stable 3-D FDTD method. B. Simulation Results In order to demonstrate the performance of the proposed approach, first the GaAs MESFET transistor shown in Fig. 3 is considered. This transistor has an EMS excitation with GHz, large applied electric field, and heavily doped cm . The cell size of the EMS model is approximately 10 m, and the AD model cell size is approximately 10 m, according to (8). Because two equation systems must be solved simultaneously, the cell size is chosen equal to 0.01 m [1]. For the given cell size, the EMS and AD time-step sizes are about 10 and 10 s, respectively, as presented in (10) and Fig. 1. For full-wave simulation, the smallest time-step size is chosen, i.e., fs. [1]. In the above example, by using the ADI-FDTD method to solve the EMS model, the time-step can be increased from 10 to 10 s. This means that the number of necessary time-steps for simulation will be reduced by a factor of 100. This must be mentioned because the ADI-FDTD method is an implicit technique, so its computational cost and calculation time

MOVAHHEDI AND ABDIPOUR: EFFICIENT NUMERICAL METHODS FOR SIMULATION OF HIGH-FREQUENCY ACTIVE DEVICES

in each time-step are more than for the conventional FDTD method, which is an explicit time-marching technique. In our case (i.e., MESFET transistor), simulations show that the calculation time of the ADI-FDTD in each time step is about five times the calculation time of the conventional FDTD. Therefore, the full-wave simulation by the ADI-FDTD method will be done 20 times faster than the conventional FDTD method. In other words, this method shows about 95% reduction in CPU run time. To simulate the infinite space surrounding the structure, in the implementation of the ADI-FDTD method, absorbing boundaries must be used. The choice of absorbing boundaries is very critical to the overall stability of the FD scheme. It has been observed that, for a long time simulation, instability is likely to occur if the absorbing boundary conditions are not chosen correctly. It must be noted that the unconditional property of the ADI-FDTD method can be violated if the boundary condition equations are not discretized properly. It was found that, in our simulation, where the CFL number is very large (about 100) and the cell size in direction is larger than in the other directions (i.e., is on the order of micrometers), only using the PML technique for boundary condition causes the ADI-FDTD method to remain unconditionally stable. We have used the splitfield PML, which is based on Berenger’s original formulation and employed within the ADI-FDTD formulation [25], and its modification [26]. 1) Numerical Dispersion Analysis: Although the ADI-FDTD method is unconditionally stable, it has been shown that the accuracy of the numerical results obtained with the method gets worse when time-step increases [27], [28]. This is due to the fact that the numerical dispersion error of the method gets bigger when the time-step increases. Here, the effect of the large time-steps on the numerical dispersion and the accuracy of the ADI-FDTD method is investigated. In this study, we have used the analytical formula which was presented in [27]. According to this formula, the numerical dispersion of the unconditionally stable ADI-FDTD method is a function of the time-step size and mesh resolution (wavelength per cell size). Dispersion error is defined as , where is the normalized numerical phase velocity [27]. The maximum dispersion errors of the ADI-FDTD method for the above example versus the ADI-FDTD time-step size, i.e., CFL number , and the frequency are shown in Fig. 6. As can be seen in the figure, for our problem that GHz, and the mesh resolution is 10 , the maximum dispersion error is about 10 %. As mentioned before, in the full-wave simulation, the cell size is imposed by the Debye length, which is much smaller than the practical wavelengths. Thus, the numerical dispersion error of the proposed ADI-FDTD method will be very small, even for very high-frequency simulations (such as 1000 GHz). 2) AC Simulation Result: Finally, for this example, the fullwave simulation results obtained by the proposed approach is investigated. An ac excitation is applied to the gate electrode, which is given as: , where is the dc bias applied to the gate electrode, is the frequency of the applied signal in radians per second, and is the peak value of the ac signal (0.1 V). The output drain voltage is estimated

2643

Fig. 6. Maximum dispersion error of the ADI-FDTD method as a function of the CFL and frequency.

1

Fig. 7. Output voltage obtained using the conventional FDTD ( t s) and the ADI-FDTD ( t s) methods.

10

1

= 10

=

by multiplying the total current by the resistance that defines the dc operating point ( point) of the transistor [8]. Fig. 7 shows the output voltages obtained using the ADI-FDTD method and the conventional approach. As can be seen, the results in the ADI-FDTD case and the conventional FDTD case are in good agreement. C. Two-Finger MESFET Transistor Here, a two-finger MESFET transistor is considered as the second example. Fig. 8 shows a 3-D view of the two-finger simulated transistor. The structure parameters of the transistor used in the simulation are given in Table V. The simulated device is biased to V and V. The gate length for the transistor is set to 0.25 m. The dc distribution is obtained

2644

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 8. Generic 3-D view of the simulated two-finger MESFET transistor.

TABLE V TWO-FINGER MESFET TRANSISTOR PARAMETERS USED IN THE SIMULATION Fig. 9. Output voltage of two-finger transistor when EM-wave propagation and electron–wave interaction (full-wave analysis) are considered at different points in the z -direction.

by solving the AD model with Poisson’s equation. A sinusoidal signal is employed in the ac simulations with a peak value of 100 mV and a frequency of 100 GHz. This signal is applied between the gate and the source electrodes. The excitation is considered to be a plane source at , as shown in Fig. 8. The space and time discretization parameters are chosen similar to those for the previous example, and the proposed methods are used for full-wave analysis of the transistor. The full-wave model is solved for a few RF cycles, i.e., several tens of picoseconds, to avoid the effects of the transients on the ac simulation. Fig. 9 shows the temporal evolution of the output voltage at different sections along the -direction. The output voltage means the voltage signal between the drain and the source electrodes obtained by the integration on the output plane. Output voltages are shown at device widths of 70, 150, and 210 m away from the excitation plane. Considering this figure, one should observe the variations of the output voltage with distance along the device width. For short distances, the output voltage amplitude increases along the device width. This phenomena continues until an optimum device width. Beyond this width, the voltage gain decreases. The reasons are due to the nonlinear energy build-up along the device width and due to the phase-velocity mismatch between the EM waves at the gate and drain electrodes. Fig. 9 demonstrates the importance of coupling the EM waves with the semiconductor transport physics for accurate modeling of millimeter-wave transistors. For comparison purposes, both the ADI-FDTD and the conventional FDTD methods were used for the full-wave analysis of this transistor. Similar to the previous example, the time-step s was chosen with the conventional FDTD method, while s was chosen with the

ADI-FDTD method. With such time-step selections, we found, by trial and error, that the two methods presented similar accuracy. With the FDTD method, iterations were needed, and iterations were needed with the ADI-FDTD method. On a Pentium-IV 2.50-GHz PC, it took 1.02 s to finish the simulation of each time-step with the conventional FDTD method and 4.91 s with the ADI-FDTD method. We then concluded preliminarily that a savings factor with the ADI-FDTD method in CPU time is about 20.74 when the conventional FDTD is used as a reference. VI. CONCLUSION In this paper, the potentials of the filter-bank transforms and the ADI-FDTD method have been investigated to reduce the simulation time, which is a complicated problem in the fullwave analysis of active microwave devices. Using a new filterbank-based preconditioner has been suggested for accelerating the iterative solution of a system of linear equations. In the full-wave analysis, this system arise from Poisson’s equation and the other semiconductor equations discretized using implicit schemes. This approach accelerates the steady-state dc solutions which are used as the initialization values. The convergence rate of the used preconditioning scheme, by different filter-bank and wavelet transforms (in more cases), is faster than the well-known ILU method. Moreover, the computational cost of the considered method is as low as , which is better than any other methods. In the time-domain ac analysis of the full-wave simulation, the simulation time is reduced by using larger time-steps. Using the ADI-FDTD method to solve Maxwell’s equation allows for increasing the time-step. The proposed approach shows about 95% reduction in the simulation time with a maximum numerical dispersion error of 10 %. Since the size of the local minimum cell in the computational domain (which is imposed by the Debye length) is much smaller than the wavelength, the

MOVAHHEDI AND ABDIPOUR: EFFICIENT NUMERICAL METHODS FOR SIMULATION OF HIGH-FREQUENCY ACTIVE DEVICES

error limitation is much larger than the CFL limitation. Therefore, the ADI-FDTD method is more efficient than the conventional FDTD method for full-wave simulation of active microwave/millimeter-wave devices. ACKNOWLEDGMENT The authors would like to express their appreciation to Prof. S. Selberherr, Institut für Mikroelektronik, Technische Universität Wien, Vienna, Austria, for his help and guidance. REFERENCES [1] M. A. Alsunaidi, S. M. S. Imtiaz, and S. M. El-Ghazaly, “Electromagnetic wave effects on microwave transistors using a full-wave time-domain model,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 6, pp. 799–808, Jun. 1996. [2] S. M. S. Imtiaz and S. M. El-Ghazaly, “Global modeling of millimeterwave circuits: Electromagnetic simulation of amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2208–2216, Dec. 1997. [3] A. Witzig, C. Schuster, P. Regeli, and W. Fichtner, “Global modeling of microwave applications by combining the FDTD method and a general semiconductor device and circuit simulator,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 919–928, Jun. 1999. [4] P. Ciampolini, L. Roselli, G. Stopponi, and R. Sorrentino, “Global modeling strategies for the analysis of high-frequency integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 950–955, Jun. 1999. [5] R. O. Grondin, S. M. El-Ghazaly, and S. Goodnick, “A review of global modeling of charge transport in semiconductors and full-wave electromagnetics,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 817–829, Jun. 1999. [6] C. T. Sah, “Bulk and inmperfections in semiconductors,” Solid-State Electron,, vol. 19, pp. 975–990, 1976. [7] S. Goasguen, M. M. Tomeh, and S. M. El-Ghazaly, “Electromagnetic and semiconductor device simulation using interpolating wavelets,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 817–829, Dec. 2001. [8] Y. A. Hussein and S. M. El-Ghazaly, “Extending multiresolution timedomain (MRTD) technique to the simulation of high-frequency active devices,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1842–1851, Jul. 2003. [9] M. Movahhedi and A. Abdipour, “Improvement of active microwave device modeling using filter-bank transforms,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1113–1117. [10] T. Namiki, “3-D ADI-FDTD method—Unconditionally stable timedomain algorithm for solving full vector Maxwells equations,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 10, pp. 1743–1748, Oct. 2000. [11] F. Zheng, Z. Chen, and J. Zhang, “Toward the development of a three-dimensional unconditionally stable finite-difference time-domain method,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1550–1558, Sep. 2000. [12] T. Grasser, T.-W. Tang, H. Kosina, and S. Selberherr, “A review of hydrodynamic and energy-transport models for semiconductor device simulation,” Proc. IEEE, vol. 91, no. 2, pp. 251–274, Feb. 2003. [13] Y. K. Feng and A. Hintz, “Simulation of submicrometer GaAs MESFET’s using a full dynamic transport model,” IEEE Trans. Electron Devices, vol. 35, no. 9, pp. 1419–1431, Sep. 1988. [14] K. Tomizawa, Numerical Simulation of Submicron Semiconductor Devices. Norwood, MA: Artech House, 1993. [15] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antenna Propag., vol. AP-14, no. 8, pp. 302–307, Aug. 1966. [16] Y. Saad, Iterative Methods for Sparse Linear Systems. Boston, MA: PWS, 1996. [17] Y. A. Hussein and S. M. El-Ghazaly, “Modeling and optimization of microwave devices and circuits using genetic algorithms,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 329–336, Jan. 2004. [18] H. Deng and H. Ling, “An efficient wavelet preconditioner for iterative solution of three-dimensional electromagnetic integral equations,” IEEE Trans. Antennas Propag., vol. 51, no. 3, pp. 654–660, Mar. 2003.

2645

[19] A. M. Bruaset, A Survey of Preconditioned Iterative Methods. New York, NY: Wiley, 1995. [20] R. Weiss, Parameter-Free Iterative Linear Solvers. Berlin, Germany: Akademie Verlag GmbH, 1996. [21] J. Walen, “Filter bank preconditioners for finite difference discretizations of PDEs,” Dept. Sci. Comput., Uppsala Univ., Uppsala, Sweden, Tech. Rep. 198, Jul. 1997. [22] M. Movahhedi and A. Abdipour, “Accelerating the transient simulation of semiconductor devices using filter-bank transforms,” in Proc. 13th Eur. Gallium Arsenide Other Compon. Semiconductors Applic. Symp., Paris, France, Oct. 2005, pp. 477–480. [23] S. Yoganathan and S. K. Banerjee, “A new decoupled algorithm for nonstationary, transient simulations of GaAs MESFETs,” IEEE Trans. Electron Devices, vol. 39, no. 7, pp. 1578–1587, Jul. 1992. [24] M. Movahhedi and A. Abdipour, “Accelerating the transient simulation of semiconductor devices using filter-bank transforms,” Int. J. Numer. Mod., vol. 19, pp. 47–67, Jan.–Feb. 2006. [25] G. Liu and S. D. Gedney, “Perfectly matched layer media for an unconditionally stable three-dimensional ADI-FDTD method,” IEEE Microw. Guided Wave Lett., vol. 10, no. 7, pp. 261–263, Jul. 2000. [26] S. D. Gedney, G. Liu, J. A. Roden, and A. Zhu, “Perfectly matched layer media with CFS for an unconditionally stable ADI-FDTD method,” IEEE Trans. Antennas Propag., vol. 49, no. 11, pp. 1554–1559, Nov. 2001. [27] F. Zheng and Z. Chen, “Numerical dispersion analysis of the unconditionally stable 3-D ADI-FDTD method,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 1006–1009, May 2001. [28] A. P. Zhao, “The influence of the time step on the numerical dispersion error of an unconditionally stable 3-D ADI-FDTD method: A simple and unified approach to determine the maximum allowable time step required by a desired numerical dispersion accuracy,” Microw. Opt. Technol. Lett., vol. 35, pp. 60–65, Oct. 2002. Masoud Movahhedi (S’06) was born in Yazd, Iran, in 1976. He received the B.Sc. degree from Sharif University of Technology, Tehran, Iran, in 1998, the M.Sc. degree from AmirKabir University of Technology (Tehran Polytechnic), Tehran, Iran, in 2000, both in electrical engineering, and is currently working toward the Ph.D. degree in electrical engineering at AmirKabir University of Technology. In December 2005, he joined the Institute for Microelectronics, Vienna University of Technology, Vienna, Austria, as a Visiting Student. His research interests are in the areas of computer-aided design of microwave integrated circuits, computational electromagnetic, semiconductor high-frequency RF modeling, and interconnect simulation. Mr. Movahhedi was the recipient of the GAAS-05 Fellowship sponsored by the GAAS Association to young graduate researchers for his paper presented at GAAS2005. He was also the recipient of the Electrical Engineering Department Outstanding Student Award in 2006.

Abdolali Abdipour (M’97) was born in Alashtar, Iran, in 1966. He received the B.Sc. degree in electrical engineering from Tehran University, Tehran, Iran, in 1989, the M.Sc. degree in electronics from Limoges University, Limoges, France, in 1992, and the Ph.D. degree in electronic engineering from Paris XI university, Paris, France, in 1996. He is currently an Associate Professor with the Electrical Engineering Department, Amirkabir University of Technology (Tehran Polytechnic), Tehran, Iran. He authored Noise in Electronic Communication: Modeling, Analysis and measurement (Amirkabir Univ. Press, 2005, in Persian). His research areas include wireless communication systems (RF technology and transceivers), RF/microwave/millimeter-wave circuit and system design, electromagnetic (EM) modeling of active devices and circuits, high-frequency electronics (signal and noise), nonlinear modeling, and analysis of microwave devices and circuits. He has authored or coauthored over 80 papers in refereed journals and local and international conferences.

2646

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Distributed MEMS Tunable Matching Network Using Minimal-Contact RF-MEMS Varactors Qin Shen, Member, IEEE, and N. Scott Barker, Member, IEEE

Abstract—This paper presents the design, fabrication, and measurement of a double-slug tunable matching network based on a distributed microelectromechanical-system (MEMS) transmission line. The tuner is implemented with a new minimal-contact RF-MEMS varactor that largely eliminates stiction while allowing the capacitance ratio to be set anywhere from 2 to 5. The measured performance of the tunable matching network demonstrates complete coverage of the Smith chart out to a maximum voltage standing-wave ratio of 12:1 from 10 to 30 GHz with excellent agreement between measurement and simulation results. Index Terms—Distributed microelectromechanical-system (MEMS) transmission line (DMTL), RF-MEMS, tunable matching network.

I. INTRODUCTION EAL-TIME adaptable RF front-ends are becoming an attractive method for dealing with the numerous communication architectures and frequency bands in use today. An important component in realizing such adaptable systems is a tunable matching network that is able to maintain low loss and high linearity. Such a device could be used for tuning microwave amplifiers to operate in various modes or in different frequency bands. An RF microelectromechanical system (MEMS) has the capability of implementing these tunable matching networks with minimal degradation to the overall amplifier performance [1]. Recently, several researchers have applied RF-MEMS to the development of tunable matching networks. Papapolymerou et al. [2] have developed a double-stub tuner with RF-MEMS switches at the ends of open stubs to change the stub input susceptance. Jung et al. [3] demonstrated analog and digital impedance tuners with resonant unit cells and variable stub lengths realized by micromachined capacitors and MEMS switches, capable of matching impedances within the second and third quadrants of the Smith chart from 23.5 to 25 GHz. Vähä-Heikkilä et al. developed an RF-MEMS triple-stub impedance tuner from 6 to 20 GHz [4] based on an 11-switch MEMS capacitor network producing 2 different impedances. The same authors have developed a reconfigurable matching network based on loaded line techniques [5], [6] from 20 to

R

Manuscript received October 7, 2005; revised February 8, 2006. This work was supported by the Defense Advanced Research Projects Agency Intelligent RF Front-End Program under Grant N00014-03-1-0441. An earlier version of this paper was presented at the 2005 European Microwave Conference, Paris, France, October 3–7, 2005. The authors are with the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia, Charlottesville, VA 22903 USA (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872943

50 GHz and 4 to 18 GHz for power-amplifier (PA) applications and a 20–50-GHz RF-MEMS single-stub impedance tuner [7] using ten switched RF-MEMS varactors, producing 1024 different impedances. Lu et al. demonstrated an impedance tuner with four loaded MEMS varactors at 30 GHz, which is optimized for high-power operation [8]. This study presents the design and measurement of a doubleslug impedance tuner [9], [10] based on the distributed MEMS transmission line (DMTL) [11]. This tuner is implemented using minimal-contact RF-MEMS varactors in order to provide robust operation with low loss. This double-slug tuner has excellent impedance coverage of all four quadrants of the Smith chart from 10 to 50 GHz. It is suitable for general applications such as transistor noise measurements and load-pull measurements up to medium power levels. In addition, it can be optimized for specific applications such as input and output matching in tunable amplifiers.

II. MINIMAL-CONTACT VARACTOR DESIGN Capacitive-shunt RF-MEMS switches typically have a capacitance ratio of at least 20 and as high as 100 when the beam is pulled down onto the dielectric [14]. Although such a high capacitance ratio is necessary for RF switches, it becomes a problem when the RF-MEMS devices are used as loading capacitors in a distributed MEMS transmission line where the capacitance ratio is typically limited to 2–5. Additionally, the intimate contact that occurs between the RF-MEMS beam and the dielectric leads to problems with switch reliability [15]. One method that has been used by several researchers to control the capacitance ratio is to place a metal–air–metal capacitor in series with the RF-MEMS capacitor [20], [21]. Although this allows very accurate up- and down-state impedances to be realized, it does not address the reliability problems due to contact with the dielectric. In an attempt to address both the capacitance ratio and switch reliability, a minimal-contact RF-MEMS switch [16], as shown in Fig. 1, has been developed. This new RF-MEMS switch is realized by fabricating standoffs on the bottom side of the RF-MEMS beam, limiting its range of motion. Therefore, by properly designing the standoff height and location, the capacitance ratio can be controlled up to a value of 10:1, as demonstrated by Blondy et al. in [17], and the beam is prevented from contacting the center conductor. As seen in Fig. 1, the beam design used in this study has three standoffs. The middle standoff helps prevent the beam from being pulled down to the center line during actuation. The small holes in the center line of the coplanar waveguide (CPW), which are

0018-9480/$20.00 © 2006 IEEE

SHEN AND BARKER: DISTRIBUTED MEMS TUNABLE MATCHING NETWORK

2647

Fig. 1. Minimal-contact RF-MEMS switch. (a) Up-state. (b) Down-state.

TABLE I RF-MEMS BEAM DESIGN PARAMETERS

required by these middle standoffs, do not change the characteristic impedance or insertion loss of the CPW line, as demonstrated by the measured results [19] and by simulations using a static field solver Maxwell3D [24]. Some basic reliability tests have demonstrated that this RF-MEMS varactor design is very robust [16], [19]. The detailed fabricated design parameters for the RF-MEMS switch are given in Table I, where , and are the width, total length, and thickness of the beam, respectively, and are the heights of the center of the beam relative to the top of the center conductor in the up- and down-states, is the width of the standoff, is the width of the hole in the center conductor, is the spacing between the standoff and center conductor, and is the length for the thin side beams. The outer standoffs are 5 40 m, while the center standoff is 5 30 m. A. Fabrication The DMTL RF-MEMS tuner is fabricated on a 500- m-thick quartz wafer. The fabrication process is detailed in Fig. 2. Fig. 2(a) shows the definition of the sputtered SiCr bias lines, which are 1500- thick and result in a resistivity of 800–1000 sq. Then, the CPW line is deposited with evaporated Ti/Au (200/8000 ) defined using a liftoff method. Plasma-enhanced chemical vapor deposition (PECVD) Si N is deposited on the whole wafer, and reactive ion etching (RIE) is used to define the insulator layer of the metal–insulator–metal (MIM) capacitors in the ground plane shown in Fig. 2(b). The surface is then planarized before depositing the sacrificial layer, as shown in Fig. 2(c). In this design, the CPW line has an 8000–9000- step between the gap and the center conductor. The sacrificial layer height at the corner of this step will be smaller than expected and will be an unknown height in the process. In order to define the beam capacitance properly, a novel planarization method has been developed.

Fig. 2. Fabrication process on a quartz wafer.

A self-aligned lift-off technique is used by exposing positive photoresist through the backside of the transparent quartz substrate. The CPW line serves as the self-aligned mask for this process. The CPW line is planarized by evaporating aluminum in the gaps. The sacrificial layer is then spun on the planarized surface. In this process, the sacrificial layer consists of three layers including a stop-etch layer for defining the standoffs [see Fig. 2(d)]. The down-state capacitance is very sensitive to the height of the standoffs, therefore, properly defining the standoff heights in the process is very important for device performance. The polyimide is used as the first sacrificial layer, on top of which a thin aluminum layer is deposited as the etch-stop for etching the standoffs. Then, polymethyl methacrylate (PMMA) is spun on at the desired thickness to define the standoff heights. The beam sacrificial layer is defined by first removing

2648

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 4. (a) Schematic of a double-slug tuner. (b) Double-slug tuner VSWR variation versus frequency for Z = 50 ; Z = 25 .

SEM images of the completed device as well as the underside of the beam are shown in Fig. 3. III. DMTL DOUBLE-SLUG TUNER STRUCTURE

Fig. 3. SEM images. (a) Angled view showing several RF-MEMS varactors along the CPW line. (b) Bottom view of the RF-MEMS beam.

the PMMA layer with an oxygen plasma, then removing the aluminum layer with aluminum etchant, and then removing the polyimide layer with an oxygen plasma again [see Fig. 2(e)]. On the defined sacrificial layer, windows are opened to etch small standoffs with an oxygen plasma [see Fig. 2(f)]. The etch will stop at the aluminum layer and will not be over-etched at all. The membrane layer is then deposited by sputtering a seed layer (Ti/Au, 50/5000 ). Low-stress gold plating solution BDT5101 is used to plate the center part of the beams, the ground planes, and the center conductor between the beams [see Fig. 2(f)]. After the beams are defined, the polyimide and PMMA sacrificial layer are removed using positive photoresist stripper, and the aluminum is easily removed using AZ400K undiluted developer without harming any existing metal structure. Finally, the device is released in a critical point dryer [see Fig. 2(g)]. 1Enthone-OMI Inc., West Haven, CT. [Online].Available: www.enthone.com

A well-known method for implementing the tuner in a load-pull system is to use a double-slug configuration [9]. The double-slug tuner consists of two quarter-wavelength dielectric sections or slugs that slide along a slotted coaxial line, as shown schematically in Fig. 4 [9], [10]. As seen from Fig. 4(a), the two 90 sections (or slugs) determine the center frequency, and the electrical lengths and define the impedance point being matched. The tuner operates by sliding the two slugs along the transmission line to match the load impedance to the source. A maximum voltage standing-wave ratio (VSWR) is achieved when the two slugs are separated by . The ideal maximum VSWR is determined by the impedance of the 90 sections and can be defined as

(1) For example, with and , the maximum VSWR is 16:1. Since the size of the dielectric slugs are fixed, the impedance-matching performance of the tuner falls off significantly away from the center frequency, as shown in Fig. 4(b). Based on the DMTL concept [11], minimal-contact varactors are used to implement the reconfigurable RF-MEMS double-slug matching network presented in Fig. 5. As can be seen, the DMTL is designed on a high-impedance CPW line with each RF-MEMS varactor having an individual bias line, which is achieved by placing series MIM capacitors in the CPW ground plane. When the switch is in the up-state, the

SHEN AND BARKER: DISTRIBUTED MEMS TUNABLE MATCHING NETWORK

2649

Fig. 5. Topology of a double-slug tuner implemented with a DMTL.

Fig. 7. Measured loss of DMTL tuner with and without bias lines.

Fig. 6. Photomicrograph of the fabricated device.

local CPW line is capacitively loaded down to 50 . When the switch is actuated, the capacitive load increases such that the local CPW line impedance is lowered to the desired value (e.g., 25 ). Because each varactor has an individual bias line, different physical lengths of the 90 slug can be achieved by actuating different numbers of beams. By actuating two different sections of RF-MEMS varactors, with equal number of varactors in each section, a double-slug configuration can be implemented as shown in Fig. 5. The impedance match is then varied by changing the spacing in-between the slugs and between the slugs and load [23]. The advantage of this implementation, compared with the fixed-length slugs, is that the matching performance can be maintained over a broad bandwidth by changing the physical length of the slugs. A. Biasing Scheme The RF-MEMS varactors used in the tunable matching network are actuated through individual bias lines. In order to control the movement of each beam independently, these bias lines must be dc isolated. Therefore, MIM capacitors are implemented in the CPW ground plane to separate the dc bias lines while maintaining the RF ground plane, as seen in Fig. 6. The bias lines are fabricated from SiCr with a high resistivity of about 800–1000 square. High-resistivity bias lines are implemented in order to limit the RF coupling thus resulting in minimal loss from the bias lines. The RC time constant from the bias-line resistance and the capacitance of the CPW line and the MEMS beam will effect the RF-MEMS switching time if the bias line resistance is not designed properly. The MIM capacitor on the ground plane is the dominant capacitance for the dc bias line resulting in pF as designed. Therefore, each bias line is designed to be 250 m long 20 m wide and 1500- m thick, resulting in a dc resistance of 10 k . The resulting RC

time constant is 0.4 s, which should allow for inertia limited switching. The high-voltage bias circuitry is provided through Supertex2 registers controlled by a Labview computer program. The RF-MEMS device is wire-bonded to a daughter board, which is mounted to the motherboard containing the high-voltage shift registers. Loss is an unavoidable part of the DMTL, however, there is potential for the loss to be greatly increased by the presence of the 80 bias lines [20]. This bias design has very low loss, as validated in the measurements. Fig. 7 shows the insertion loss of the full 80-beam double-slug tuner with and without bias lines. In this case, the additional loss due to the bias lines is negligible from 15 to 30 GHz. IV. DMTL TUNER MODELING A. Analytical Model The DMTL double-slug tuner has thousands of match points at each frequency, making it cumbersome and impractical to simulate all possible match points using a circuit simulator. Therefore, it is desirable to derive -parameters analytically for the DMTL tuner. One unit cell of the DMTL can be represented with an LC equivalent circuit, as shown in Fig. 8. The per-unit-length inductance, capacitance, and resistance of the unloaded CPW transmission line are represented by , , and , where is the length of the unit cell. The MEMS device is represented by the series combination of , and . The MIM ground-plane capacitance is represented by . The -parameters derived from this LC equivalent model can be represented as

(2) is the complex propagation constant per secwhere tion, is the attenuation per section, and is the the phase per 2Supertex

Inc., Sunnyvale, CA. [Online]. Available: www.supertex.com

2650

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 9. Transmission-line equivalent-circuit model for one unit cell of the DMTL tuner.

TABLE II DMTL TUNER SIMULATION PARAMETERS FOR FIG. 10

Fig. 8. LC equivalent model for a unit cell of the DMTL.

section. In [22], the relationship between the propagation constant and the transmission-line series impedance and shunt admittance is found for a periodically loaded transmission line

(3) where, in this case,

(4) By substituting (4) into (3), the -parameters of the unit cell can be calculated numerically using MATLAB. The DMTL tuner can be treated as consisting of four major groups including the two low-impedance slugs and the two sections of transmission line with electrical lengths and . Each group can be represented using the following -parameter matrix:

(5) where is the number of RF-MEMS beams used in the group. In order to cascade these four groups, each of the -matrices is converted to an ABCD matrix, then multiplied together, and then converted back to an -matrix. These -matrices are referenced to their own loaded line impedance. Therefore, in order to compare them with results from a circuit simulator, the final -matrix should be normalized to 50 . The process is: convert each -matrix into a -matrix and then into an -matrix, cascade the four -matrices into one -matrix, and then convert that matrix back into an -matrix referenced to 50 .

B. Circuit Model In order to model the DMTL double-slug tuner in a linear circuit simulator, a transmission-line-based circuit model is used. Fig. 9 shows one unit cell of the equivalent circuit. It consists of two physical transmission lines used to represent the unloaded CPW line and a shunt inductance–capacitance–resistance to represent the MEMS beam across the CPW line. This model also includes the ground MIM capacitor . is the impedance of the unloaded CPW transmission line, is the switch spacing, is the attenuation of the unloaded transmission line at a specified frequency (with a variation), and is the effective dielectric constant. The equivalent-circuit model for the entire DMTL tuner is found by cascading the required number of unit cells. C. Analytical and Circuit Model Comparisons The validity of the circuit model presented above has been very well detailed by Barker et al. [11], [12]. In this section, the accuracy of the analytical model is demonstrated by comparisons with the circuit model. The parameters used for each of the following simulations are detailed in Table II. Fig. 10 shows the comparison between these two models when the RF-MEMS beams are all at the up-state and down-state, respectively, and the comparison for a particular match state with ten beams for each slug, beams, and beams. Each of these comparisons demonstrates excellent agreement between the analytical -parameter calculations performed in MATLAB and the circuit simulations performed using ADS. Generally, the analytical model has more accuracy for electrically shorter sections because the approximation in using lumped elements and for the transmission line is better. Compared with Fig. 10(b), Fig. 10(a) shows slight differences between the analytical simulation and the circuit simulator. It is seen that larger capacitive loading [see Fig. 10(b)] also provides better approximation due to the more dominant effect of the bridge capacitance and, thus, less weight in the error of the transmission-line model. In later sections, the circuit model is used to match measured results, and they are shown to agree very well with each other. The excellent agreement between

SHEN AND BARKER: DISTRIBUTED MEMS TUNABLE MATCHING NETWORK

2651

V. TUNER DESIGN The DMTL double-slug tuner is designed on a quartz substrate using a finite ground CPW line [13] with m/100 m/100 m, where and are, respectively, the gap and width of the center conductor of the CPW line, resulting in an unloaded CPW line impedance of 96 . For the 90 sections, the phase change is defined as [12] (6) where is the number of switches for the 90 section at 10 GHz, is the radian frequency, and is the switch downstate capacitance. and are the unloaded transmission line inductance and capacitance per unit length, and is the switch spacing. By choosing the desired slug impedance and the up-state loaded line impedance , the required up and downstate bridge capacitances are found to be

(7) where

(8) The spacing is determined, given the number of switches for the 90 slug , as

(9) where represents the quarter wavelength of the 90 section. The total number of the RF-MEMS beams is calculated as

(10) Therefore, the total length of the DMTL is (11) Once the total number of RF-MEMS beams is set, the number of match points that can be generated for a given slug length is found to be Fig. 10. Simulation comparisons between circuit model and MATLAB analytic model when: (a) all beams are at up-state (C = 18 fF), (b) all beams are at down-state (C = 75 fF), and (c) a match configuration.

(12) A. Design Parameters

these circuit models and measurement make it possible to use the analytical calculations to simulate the DMTL double-slug tuner at all possible match points.

For the purpose of demonstrating the DMTL tuner, ten beams were chosen for the 90 section at 10 GHz, 25 for the slug impedance , and 50 for the up-state loaded impedance . The resulting parameters are given in Table III.

2652

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE III FABRICATED DMTL TUNER DESIGN PARAMETERS

In order to prevent the beam from being pulled into the center conductor when it is in the down-state, the down-state height of the beams is set to 0.4 m. The up-state height and the beam width are then approximated by assuming a parallel-plate capacitance. However, due to fringing fields, the final beam width and height must be determined using a static field solver such as Maxwell3D [24] to achieve the designed capacitance ratio. The final up- and down-state capacitances, listed in Table III, achieve a capacitance ratio of 4.8:1 . Each MIM ground capacitor is designed to be 15 pF, resulting in 30 pF for the two in parallel, in order to present a good short circuit above 5 GHz. The bias lines are fed into the outer edge of the ground plane (see Fig. 6) on alternate sides of the CPW in order to increase the spacing between bonding pads. B. Simulations To demonstrate the matching performance and frequency tunability, a calculation is carried out using the parameters listed in Table III. MATLAB is used to simulate the DMTL for every possible position of the two “slugs.” The match points are determined with a transmission line loss of 0.6 dB/cm at 20 GHz and a switch resistance of 0.1 included. For 10-GHz operation, there are 1831 possible match points, shown in Fig. 11(a), and the Smith Chart is well covered. To increase the center frequency of the tuner to 20 and 30 GHz, the number of switches in the 90 section is reduced to 5 and 3, respectively, which increase the possible match points to 2486 and 2776, as shown in Fig. 11(b) and (c). At 50 GHz [see Fig. 11(d)], there are 2927 possible match points, and only two switches are used in the slug section. When the center frequency is decreased below 10 GHz, the resolution in and increases, but the possible number of match points is dramatically decreased due to the decreased available number of bridges for and [as seen in Fig. 11(e)]. However, if we increase the length of the matching network without changing the switch spacing, we can effectively increase the operating bandwidth of the matching network, although this will also increase the loss. Match points shown in Fig. 11 are the exact points that can be matched. However, if a “good” match is defined by requiring better than 15-dB return loss, then a circle around each match point can be identified that meets this criterion. These 15-dB circles are calculated in Fig. 12, and the shaded regions are where this criterion is met. The simulations show very good coverage of the Smith Chart. VI. MEASUREMENTS Measurements from 2 to 30 GHz were taken using an HP8510 network analyzer and RF-probe station with an RF power level of 10 dBm. On-wafer thru-reflect-line (TRL) calibration was performed to remove any effect of the RF probes on the measurements. The RF-MEMS-based double-slug tuner is

Fig. 11. Simulation of DMTL double-slug tuner at: (a) 10 GHz: ten switches for the 90 section and 1831 match points, (b) 20 GHz: five switches for the 90 section and 2486 match points, (c) 25 GHz: four switches for the 90 section and 2629 match points, (d) 50 GHz: two switches for the 90 section and 2927 match points, and (e) 5 GHz: 20 switches for the 90 section and 821 match points.

wire-bonded to a printed circuit board (PCB) which is mounted on the high-voltage bias-control PCB. A. Capacitance Ratio The capacitance ratio of the RF-MEMS device is determined by fitting a circuit model of the tuner in ADS to measurements of the tuner with all 80 beams in the up-state and down-state, taking into account the MIM series capacitors in the ground plane as well as transmission-line and bridge losses. The ADS circuit model is created by cascading 80 unit cells (shown in Fig. 9) and then varying the capacitance and loss parameters ( ) to achieve the best fit between the measured and modeled data, shown in Fig. 13 and Table IV. The upand down-state capacitances are found to be 16 and 78 fF, resulting in a capacitance ratio of 4.9:1, which is very close to the designed value of 4.8:1. At lower frequencies, the differences

SHEN AND BARKER: DISTRIBUTED MEMS TUNABLE MATCHING NETWORK

2653

Fig. 13. Measured and simulated S -parameters when all 80 beams are in the: (top) up-state and (bottom) down-state.

0

Fig. 12. Simulation of DMTL double-slug tuner with 15-dB match circles for match points at: (a) 10, (b) 20, (c) 30, (d) 50, and (e) 5 GHz.

between measured and simulated data seen in Fig. 13 are most likely due to slight variation between each MIM ground capacitance. Above 8 GHz, measured and simulated data agree well, and some differences are likely due to slight height variations among the 80 MEMS beams. The MIM ground capacitor is around 10 pF rather than the designed value of 15 pF due to an increased PECVD Si N thickness in the fabrication (3000 instead of 2000 ). The fitted RF-MEMS varactor capacitance values for the up- and down-state are a bit smaller than the designed capacitance value due to small differences in the heights compared with the designed values. B. Impedance Point Measurements Impedance match points are measured by terminating one port of the tuner in a 50- load and measuring the reflection coefficient at the other port for different combinations of the two slug positions at different frequencies. In the measurements, the center frequency for each slug size is first determined by setting

TABLE IV FITTED CIRCUIT MODEL PARAMETERS OF FABRICATED DMTL TUNER FOR FIG. 13

the distance between the two slugs to zero to create a section and finding the resonant frequency from the measurements. In [23], we have demonstrated the preliminary measurements showing the operation of the DMTL double-slug tuner. In order to deeply demonstrate the operation of the DMTL double-slug tuner, a subset of match points are measured for four different slug lengths. These measurements are performed on a tuner fabricated with a 2.5:1 capacitance ratio. The VSWR is set by the distance between the two slugs, , and then the exact position on the circle of constant VSWR is set by the distance between the slugs and the load, which is . This effect is clearly seen in the measured results shown in Fig. 14. The number of beams in each slug is 12 for the 12.5-GHz measurement, 11 for 13.62 GHz, 9 for 16.7 GHz, and 8 for 17.8 GHz. In these measurements, the maximum VSWR circle is sampled by placing

2654

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 14. Measured impedance points at different center frequencies for the fabricated DMTL double-slug tuner with C = 2:5 : 1. n is the number of RF-MEMS beams between two slugs, and the input and output ports are both terminated in 50 . (a) 12.5 GHz VSWR = 3; 2:5; n2 = 15; 9. (b) 13.62 GHz VSWR = 3:3; 2:7; n2 = 15; 12. (c) 16.7 GHz VSWR = 3:2; 2:6; n2 = 12; 8. (d) 17.82 GHz VSWR = 3:6; 2:5; 2:1; n2 = 12; 6; 5.

the slugs 90 apart and then varying the distance of the slugs from the load. Then, the VSWR is decreased by reducing the distance between the slugs, and the circle is again sampled. Measurements on the tuner with fabricated capacitance ratio 4.9:1 (i.e., fitted circuit parameters listed in Table IV) were taken to demonstrate both Smith Chart coverage and frequency tunability. The measurements (seen in Fig. 15) demonstrate tuner impedance coverage for six different center frequencies. The number of beams per slug is 14 at 8.58 GHz, 10 at 11.94 GHz, 7 at 15.58 GHz, 4 at 20.06 GHz, 4 at 24.12 GHz, and 3 at 27.76 GHz. The measured data exhibit a from 8.85 to 27.76 GHz, which clearly demonstrates the advantage of this design over the fixed length slug. Included in these figures are MATLAB simulations with all possible match points at each measured frequency for this fabricated device. In order to demonstrate the accuracy of the circuit model, a selection of the measured points are modeled in ADS. The results, seen in Fig. 16, show very good agreement for different points around the Smith Chart and across frequency.

Fig. 15. Measured and simulated impedance points at different center frequencies. Input and output ports are both terminated in 50 . (a) 8.6 GHz measured 119 points. (b) Simulated 1486 points. (c) 11.9 GHz measured 115 points. (d) Simulated 1954 points. (e) 15.6 GHz measured 194 points. (f) Simulated 2212 points (g) 20.1 GHz measured 160 points. (h) Simulated 2347 points. (i) 27.8 GHz measured 126 points. (j) Simulated 2629 points.

C. Measured and Simulated Loss of DMTL Tuner According to (1), a slug impedance of 25.65 should enable a VSWR . However, when loss is included in the MATLAB calculations, the VSWR drops to 10:1 at 5 GHz,

11:1 at 10 GHz, and 12:1 at 20 GHz as seen in Fig. 11. Therefore, it is important to characterize the loss of the tuner. The DMTL double-slug tuner has a very high reflection coefficient,

SHEN AND BARKER: DISTRIBUTED MEMS TUNABLE MATCHING NETWORK

2655

Fig. 17. Measured and simulated loss of DMTL tuner for all up-states and all down-states from Fig. 13.

Fig. 16. Point-by-point comparison between measurement and simulation. : measured data; 3: simulated data. Input and output ports are both terminated in 50 . (a) 8.6 GHz. (b) 11.9 GHz. (c) 15.6 GHz. (d) 20.1 GHz. (e) 24.1 GHz. (f) 27.8 GHz.

and is not the correct loss measurement. The loss for a mismatched two-port can be defined by the power delivered to the load divided by the power delivered into the two-port

Loss

(13)

This loss is calculated from the measured data when all of the beams are in the up- and down-states and is compared with the loss calculated from the ADS circuit model (seen in Fig. 17). The measured loss is 1–2 dB from 8 to 30 GHz with all beams in the up-state and increases to 2.5–5 dB with all beams in the down-state due to the decreased loaded line impedance. Additional DMTL tuners with 20 beams were also fabricated and measured with insertion loss values between 0.3–0.4 dB for the all up-state. The loss of the fabricated DMTL tuner in this study is not optimized for any specific application, and applications

which do not require as high of a maximum VSWR or complete coverage of the Smith Chart can be optimized to result in much lower loss. The loss for two different match configurations of the DMTL double-slug tuner are presented in Fig. 18. Measured loss is calculated for match points located on different VSWR circles at different frequencies. Fig. 18 (top) shows two cases with impedances on different VSWR circles at 11.94 GHz. It is seen that the impedance with larger VSWR has about 3-dB loss, while the impedance with smaller VSWR has about 2.2 dB loss. Similar loss calculations for 24.12 GHz are shown in Fig. 18(b). Again, it is seen that the impedance with VSWR of 5:1 has more loss than that with smaller VSWR . In all of the above measurements, impedance match points are measured by placing a 50- load (RF probe) at one port and measuring the reflection coefficient at the input port (50 ) with different combinations of the two slug positions at different frequencies. However, loss calculations based on these measurements may not represent the loss of the tuner in a real matching situation. In an actual application where the tuner is connected to match an impedance, the load port may no longer be 50 . Although it is difficult to obtain a measured loss in this case, analytical calculations can be done with one port having a non-50load. Due to the excellent agreement between the analytical simulation and circuit model (see Section IV-C) and between circuit model and measured data, these calculations provide reasonable performance data. The loss is calculated based on the parameters in Table IV with the conjugate of all possible match impedances as loads and matched to 50 by the tuner (see Fig. 19). The analytical -parameter model can also be used to simulate this measurement with both ports terminated in 50 . MATLAB is used to study the loss for all possible match points at each frequency, as shown in Fig. 20. These calculations are also based on the fitted circuit data listed in Table IV. Comparing Figs. 19 and 20, the loss calculated with both ports at 50 is higher than the loss calculated with one port

2656

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 20. MATLAB simulated loss for all possible match impedances and average loss. This calculation simulates the measurements setup with both ports terminated with 50 .

Fig. 18. Measured and simulated loss of DMTL tuner for two cases at: (top) 11.94 GHz and (bottom) 24.12 GHz. Both ports of the DMTL tuner are terminated in 50 . Fig. 21. ADS simulated standing wave voltages on the MEMS varactors along DMTL double-slug tuner. Input power level is 10 dBm.

loaded with the conjugate matched impedance. In the case of Fig. 20, both ports are mismatched, and less power is delivered to the load. Meanwhile in Fig. 19, the tuner is matched, thus resulting in less reflected power, and, therefore, more power is delivered to the load. In order to better understand the origin of the higher loss in Fig. 20, the standing wave along the DMTL line is simulated in ADS for a match impedance of at 29.4 GHz (seen in Fig. 21) with an input power of 10 dBm. As can be seen, the standing wave in the tuner is much larger when the load port is terminated with 50 rather than with the conjugate load impedance. Thus, based on Figs. 19 and 20, the loss of the tuner when used for matching is expected to be lower than the loss measured by the network analyzer. D. Reliability and Linearity Measurements Fig. 19. MATLAB simulated loss for all possible match impedances and average loss of matching loads to 50 . Input is terminated with 50 , and output is terminated with conjugately matched loads.

In [16] and [19], a combination of RF and profilometer measurements are used to characterize the electrical and mechanical

SHEN AND BARKER: DISTRIBUTED MEMS TUNABLE MATCHING NETWORK

reliability properties of the minimal-contact RF-MEMS varactors used in this DMTL tuner design. The MEMS switch has been operated up to three billion switching cycles. All of these measurements were performed in an open laboratory without the use of a package for the RF-MEMS devices where the average relative humidity is 45% at room temperature. Two-tone intermodulation measurements were also done. It is expected that the largest intermodulation products will be produced below the beam resonant frequency [18]. Therefore, the two input signals were centered at 12 GHz and spaced 5 kHz apart in order to be well below the RF-MEMS beam resonant frequency calculated to be 222.5 kHz. With the available equipment, the RF power level of the two input signals was limited to 8 dBm, which is expected to only produce IIP3 products at 87 dBm. Unfortunately, the measurement system noise floor was above this value, and, thus, no intermodulation products were detected. The RF-MEMS beam design used in this tuner has a very stiff structure and small up-state capacitance, which lead to such very small intermodulation levels. VII. CONCLUSION This paper has presented the design, fabrication, and measurements of a distributed MEMS transmission-line double-slug tunable matching network. A new digital RF-MEMS varactor with minimal contact was developed in this study. This device has demonstrated to allow precise control of the up- and down-state capacitances, as well as preventing intimate contact between the dielectric layer and the MEMS beam. The minimal-contact design largely reduces stiction and increases the MEMS beam reliability with minimal packaging requirements. New fabrication processes were developed for this RF-MEMS device with specific advances in the planarization of and etch-stop definition for the sacrificial layer. These advances contributed significantly to the precise control of the up- and down-state capacitances. The RF-MEMS tunable matching network implemented with the minimal-contact RF-MEMS switches has demonstrated excellent coverage of the Smith Chart out to a maximum VSWR of 12:1 and over a very broad frequency range extending from 10 to 50 GHz. Measurements from 8 to 30 GHz have been presented and agree very well with simulated results. ACKNOWLEDGMENT The authors would like to thank C. Smith, University of Virginia, Charlottesville, for designing and building the biasing circuit board. The authors would also like to thank their colleagues in the Microfabrication Laboratory, University of Virginia, for their kind help and encouragement. In addition, they would like to thank Dr. J. Ebel, Air Force Research Laboratory, Dayton, OH, for assisting with the plasma-enhanced . chemical vapor deposition REFERENCES [1] Q. Shen and N. S. Barker, “Reconfigurable matching with a 10–30 GHz distributed RF-MEMS tuner,” in Proc. Asia–Pacific Microw. Conf., Suzhou, China, Dec. 4–7, 2005.

2657

[2] J. Papapolymerou, K. L. Lange, C. L. Goldsmith, A. Malczewski, and J. Kleber, “Reconfigurable double-stub tuners using MEMS switches for intelligent RF front-ends,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 271–278, Jan. 2003. [3] S. Jung, K. Kang, J.-H. Park, K.-W. Chung, Y.-K. Kim, and Y. Kwon, “Micromachined frequency variable impedance tuners using resonant unit cells,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, May 20–25, 2001, vol. 1, pp. 333–336. [4] T. Vähä-Heikkilä, J. Varis, J. Tuovinen, and G. M. Rebeiz, “A reconfigurable 6–20 GHz RF MEMS impedance tuner,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 6–11, 2004, vol. 2, pp. 729–732. [5] T. Vähä-Heikkilä and G. M. Rebeiz, “A 20–50 GHz reconfigurable matching network for power amplifier applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 6–11, 2004, vol. 2, pp. 717–720. [6] T. Vähä-Heikkilä and G. M. Rebeiz, “A 4–18 GHz reconfigurable RF MEMS matching network for power amplifier applications,” Int. J. RF Microw. Comput.-Aided Eng., vol. 15, no. 4, pp. 205–207, Apr. 2005. [7] T. Vähä-Heikkilä, J. Varis, J. Tuovinen, and G. M. Rebeiz, “A 20–50 GHz RF MEMS single-stub impedance tuner,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 7, pp. 356–372, Jul. 2004. [8] Y. Lu, L. P. B. Katehi, and D. Peroulis, “High-power MEMS varactors and impedance tuners for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3672–3678, Nov. 2005. [9] J. Cusack, S. Perlow, and B. Perlman, “Automatic load contour mapping for microwave power transistors,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 12, pp. 1146–1152, Dec. 1974. [10] G. L. Ragan, Microwave Transmission Circuits. New York: McGrawHill, 1948, pp. 466–472. [11] N. S. Barker and G. M. Rebeiz, “Distributed MEMS true-time delay phase shifters and wide-band switches,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1881–1890, Nov. 1998. [12] N. S. Barker, “Distributed MEMS transmission lines,” Ph.D. dissertation, Elect. Comput. Eng. Dept., Univ. Michigan at Ann Arbor, Ann Arbor, MI, 1999. [13] G. E. Ponchak, “Development of passive components for millimeterwave circuits,” Ph.D. dissertation, Elect. Comput. Eng. Dept., Univ. Michigan at Ann Arbor, Ann Arbor, MI, 1997. [14] Z. J. Yao, S. Chen, S. Eshelman, D. Denniston, and C. Goldsmith, “Micromachined low-loss microwave switches,” J. Microelectromech. Syst., vol. 8, no. 2, pp. 129–134, Jun. 1999. [15] C. Goldsmith, J. Ehmke, A. Malczewski, B. Pillans, S. Eshelman, Z. Yao, J. Brank, and M. Eberly, “Lifetime characterization of capacitive RF MEMS switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, May 20–25, 2001, vol. 1, pp. 227–230. [16] Q. Shen, I. Chasiotis, and N. S. Barker, “RF-MEMS varactor with minimal contact,” in Proc. ASME/STLE Int. Joint Tribol. Conf. (in pt. A), Long Beach, CA, Oct. 24–27, 2004, vol. 1, pp. 41–45. [17] P. Blondy, A. Crunteanu, C. Champeaux, A. Catherinot, P. Tristant, O. Vendier, J. L. Cazaux, and L. Marchand, “Dielectric less capacitive MEMS switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 6–11, 2004, vol. 2, pp. 573–576. [18] L. Dussopt and G. M. Rebeiz, “Intermodulation distortion and power handling in RF MEMS switches, varactors, and tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1247–1256, Apr. 2003. [19] Q. Shen, “8–50 GHz distributed MEMS tunable matching network using minimal contact RF-MEMS varactors,” Ph.D. dissertation, Elect. Comput. Eng. Dept., Univ. of Virginia, Charlottesville, VA, 2005. [20] J. S. Hayden and G. M. Rebeiz, “Very low-loss distributed -band and -band MEMS phase shifters using metal-air-metal capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 309–314, Jan. 2003. [21] H. T. Kim, J.-H. Park, Y.-K. Kim, and Y. Kwon, “ -band low-loss and low-voltage distributed MEMS digital phase shifter using metal–air–metal capacitors,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2–7, 2002, vol. 1, pp. 341–344. [22] M. J. Rodwell, S. T. Allen, R. Y. Yu, M. G. Case, U. Bhattacharya, M. Reddy, E. Carmen, M. Kamegawa, Y. Konishi, J. Pusl, and R. Pullela, “Active and nonlinear propagation devices in ultrafast electronics and optoelectronics,” Proc. IEEE, vol. 82, no. 7, pp. 1037–1059, Jul. 1994. [23] Q. Shen and N. S. Barker, “A reconfigurable RF MEMS based double slug impedance tuner,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 3–7, 2005, pp. 537–540. [24] Maxwell 3D, Ver. 9. Ansoft Corporation, Pittsburgh, PA, 2002. [25] Microwave Office 2002. Appl. Wave Res. Inc., El Segundo, CA, 2002. [26] Advanced Design System (ADS). Agilent Technol., Palo Alto, CA, 2003.

X

Ka

V

2658

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Qin Shen (S’00–M’05) received the M.S. degree from the National University of Singapore, Singapore, in 2001, and the Ph.D. degree from the University of Virginia, Charlottesville, in 2005, all in electrical engineering. Since 2005, she has been a Research Associate with the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia. Her research is focused on the development of the microwave devices using RF-MEMS technology.

N. Scott Barker (S’94–M’99) received the B.S.E.E. degree from the University of Virginia, Charlottesville, in 1994, and the M.S.E.E. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 1996 and 1999, respectively. From 1999 to 2000, he was a Staff Scientist with the Naval Research Laboratory. In January 2001, he joined the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia, Charlottesville, where he is currently an Assistant Professor. His research interests include applying MEMS to the development of microwave and millimeter-wave circuits and components. He is also interested in micromachining techniques for submm-wave circuits and RF system and circuit design. Prof. Barker was the recipient of the 2003 National Science Foundation CAREER Award, the 2000 IEEE Microwave Prize, and First and Second Place, respectively, in the 1999 and 1997 Student Paper Competition of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2659

A Corrected Microwave Multisine Waveform Generator Nuno Borges Carvalho, Senior Member, IEEE, José Carlos Pedro, Senior Member, IEEE, and João Paulo Martins

Abstract—This paper proposes a solution for one of the major difficulties associated with arbitrary waveform generation at microwave frequencies: the nonideal RF circuits used for signal generation. It presents a practical implementation of a RF multisine signal generator that corrects for the linear and nonlinear impairments identified with its output RF circuitry. The integration of an adaptive predistortion algorithm with a previously presented methodology for multisine synthesis with prescribed higher-order statistics, proved the feasibility of a simple microwave arbitrary waveform generator that meets the aims of previously developed signal synthesis theory. Index Terms—Behavioral science, measurements, nonlinear systems, waveform analysis.

I. INTRODUCTION ONLINEAR behavioral modeling and wireless components characterization have been demanding for signals specially designed to fulfill certain statistical characteristics. The multisine excitation is one of the most important available signals. It combines a sum of sine waves of different frequencies, but phase correlated to each other. This correlation is a must, from both the measurement and the data analysis viewpoints, since it enables the identification of each output and input component using the discrete Fourier transform (DFT) or the fast Fourier transform (FFT). Although multisines have, for long, been used in active device characterization and modeling [1]–[6], their laboratory generation has not yet received the attention it deserves. For instance, there are several works [4]–[7] in which no restrictions are imposed to the phase of each tone in the multisine pattern. Thus, there is no control of even basic signal properties as the amplitude probability density function (pdf). Recently, new theoretical algorithms to obtain multisine signals with desired statistics have been proposed [1], [2]. These algorithms allow the RF design engineer to generate a multisine with some prescribed higher order statistics, at least in the mathematical description and/or in the simulator computer engine. Those statistical properties are achieved for a predetermined phase and amplitude arrangement of the tones. Similar approaches have also been studied and proposed for multisines with minimum crest factors, a goal also satisfied by controlling the phase and amplitude arrangements.

N

Manuscript received October 3, 2005; revised January 12, 2006. This work was supported by the European Union under the Network of Excellence Top Amplifier Research Groups in a European Team Contract IS-1-507893-NoE, and under the MusiLage Project. The authors are with the Instituto de Telecomunicações, Universidade de Aveiro, 3810-193 Aveiro, Portugal (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872947

The authors of this paper have recently established the theoretical framework for the solution of a problem of great engineering significance by proving that the response’s power spectral densities of an arbitrary (causal, stable, and of finite memory) nonlinear dynamic system to two different signals are equal if and only if the two signals have equal higher order autocorrelations or power spectral density functions. Thus, they proposed special algorithms to design a standard signal stimulus—a multisine—capable of mimicking the test of a nonlinear dynamic system under a predetermined excitation [1], [2]. They then also advanced a numerical method for designing a multisine that shows such higher order statistics, which established the methodology necessary to conceive an “ideal RF arbitrary waveform generator.” Unfortunately, those theoretical results become of limited practical value, unless the laboratory generation schemes allow the designed phase relation between the tones to be unaltered throughout the generator’s RF path. If that is not the case, the actually obtained signal statistics and/or crest factors can differ significantly from the desired ones. When these multisine signals are to be created by a real laboratory instrument, several problems appear, which can be traced back to the nonlinear and dynamic path from the digital signal processing (DSP) generator to the output of the RF generator itself (see Fig. 1). Indeed, as the multisine flows through the mixers, amplifiers, and filters, the tones’ amplitudes and relative phases are modified, necessarily impairing the required signal statistics. If those changes result in mere linear phase shifts and amplitude attenuation, they can be compensated by including that effect in the DSP as a linear equalizer. However, if these effects are nonlinear, the referred phase shifts and attenuation depend on the amplitude of the multisine envelope, and a “nonlinear equalizer” (some form of dynamic linearizer) should be used [8]. It should be considered that the digital predistortion applied to this case should compensate for amplitude and phase changes. In fact, the authors of [7] also recognize the distortions generated by the generator’s front-ends and the need to correct them. However, as their measurement setup can only acquire amplitude information (it is based in a spectrum analyzer), they concentrate on eliminating spurious signals and spectral regrowth. They, therefore, left uncovered the possible change of relative phases experienced by the generated tones (even caused by linear distortions, e.g., in the digital-to-analog converter reconstruction filter), which can be catastrophic for the signal’s envelope shape and peak-to-average ratio, and these are known as very important excitation characteristics in the nonlinear test of modern wireless systems and components.

0018-9480/$20.00 © 2006 IEEE

2660

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 1. Block diagram of the proposed multisine generator.

Recently, in [9], a theoretical algorithm was specially conceived to minimize the impact of the generator output RF-frontend. This paper expands upon this groundwork by presenting a practical implementation of such a corrected microwave multisine waveform generator. This paper is organized as follows. First, a revision of the previously advanced theoretical algorithm is presented in Section II. Section III then presents a practical implementation of the proposed generator, and Section IV demonstrates its practical usage via a real laboratory setup. Finally, in Section V, the obtained results are summarized and discussed, and some conclusions are drawn. II. ENVELOPE TRACKING BY HARMONIC-BALANCE (HB) ALGORITHM REVISITED As this paper reports on the practical implementation of the algorithm proposed in [9], it is convenient to start by briefly reviewing this theoretical groundwork. As sketched in Fig. 1, the underlying idea to obtain an “ideal waveform generator” is to compare the actual output multisine with the desired one. The error signal built this way is then used to dynamically adjust the magnitudes and phases of the DSP synthesized multisine up to a point where the error is small enough. The optimization algorithm is based on the HB engine commonly used in the simulation of RF/microwave nonlinear circuits [3]. Similarly to the HB algorithm used for nonlinear circuit simulation, the current algorithm starts from the a priori knowledge of the frequency position of the signal components (the frequency location of the multisine tones), to then balance the amplitude and phase of the positions corresponding to each frequency at all circuit nodes. However, contrary to circuit simulation, in which the circuit and excitation are known and the output is sought, it is now the output signal phase and amplitude of each tone that is perfectly known, while the excitation is unknown. Thus, the algorithm will work on a conceptual port where the error signal between the actually generated and the desired multisine is built. By using an optimization technique, the amplitudes and phases of the DSP synthesized multisine will then be updated to obtain the sought multisine.

Expression (1) presents the HB system of equations

(1) where

and

are the sought digital multisine and multisine obtained at the analog output of the generator itself, respectively. and are the amplitude and phase of the sought multisine, while and are the amplitude and phase of the multisine at the output of the generator. The idea is to minimize the value of the error so that the actually generated multisine approximates the desired one. In order to achieve that goal, we need to measure the output of our generator . As will be seen below, this can be done via a sampling oscilloscope, or a microwave transition analyzer (MTA). III. PRE-DESCRIBED STATISTICS MULTISINE WAVEFORM GENERATOR The first step undertaken in our “ideal waveform generator” consists of the generation of the intended multisine signal. This was carried out by determining the amplitude and phase of each multisine bin via the MATLAB [10] algorithms previously described in [1] and [2]. The output of these algorithms is the set of amplitudes and phases for each of the multisine bins, resulting in the digital version of the real ( ) and imaginary ( ) components of a periodic low-pass baseband signal sampled at 20 MHz and with 32 768 samples. This digital signal is then downloaded onto the physical memory of an arbitrary RF arbitrary waveform generator, i.e., an HP 4433B ESG-D.

BORGES CARVALHO et al.: CORRECTED MICROWAVE MULTISINE WAVEFORM GENERATOR

2661

Fig. 2. Arbitrary waveform generator.

As depicted in the block diagram of the RF arbitrary waveform generator of Fig. 2, this digital baseband signal is then moved to the analog domain via two independent DACs and then up-converted onto the carrier frequency in an modulator. Finally, the bandpass RF signal is then amplified and presented at the output of our arbitrary waveform generator. This step concludes the generation of the uncorrected multisine. Thus, this RF signal prototype must now be acquired and evaluated by comparing it to the desired multisine. In the implemented instrument, the output signal acquisition was made in the time domain via an MTA, i.e., an HP 70820 A, which operates as a fast sub-sampling oscilloscope. This way, the MTA stores at each iteration a time series record of the bandpass signal, which must then be compared with the desired multisine. Asthesetoffrequencypositionsisfixed, themultisinebecomes defined as the amplitude and phase of each tone, which can be acquired via the Fourier transform. In this particular case, and since each of the multisine tones is synchronous to a known reference, we could use the usual DFT or its fast algorithm, the FFT, to calculate the amplitudes and phases of the recorded time series signal using a multisine amplitude and phase determination algorithm. The amplitudes were determined through the direct application of the FFT because the signal is perfectly correlated to the same phase reference, thus showing no spectral leakage. Unfortunately, the phase determination is significantly more difficult. Since the acquisition instant is random (asynchronous trigger), the raw values of the phases of the desired spectral components are also random. However, if a time alignment is performed on each record, the phase differences between tones become deterministic, enabling the sought comparison between the components of the measured signal and the differences stored for the desired signal. In the optimum case where a perfect compensation is achieved, these differences should be zero. Note that this phase alignment demands for a large amount of data to be acquired, similar to [11]. Fig. 3 presents the block diagram used for this phase-measuring scheme. At this point, we already have a way to evaluate the generated signal and, thus, we can obtain the amplitude and phase of each of the output multisine signal. We can now use the statistical characteristics of the signals, for instance, by evaluating the signal cumulative distribution function (cdf) as a comparison reference. This cdf function is calculated by first quantifying the signal amplitudes according to a set of discrete levels, and then by counting the number of amplitude events that fall in each amplitude interval. This way, we can immediately compare the cdf (or the pdf, which is

Fig. 3. Phase-measuring algorithm.

nothing but the derivative of the cdf), of the desired multisine and the obtained multisine. Fig. 4 summarizes this stimulus generation and subsequent measurement procedure by showing the system block diagram of a possible multisine test bench. In that setup, we can see the referred arbitrary waveform generator, a digitizer—in the current case, the MTA—and a computer that will be responsible for the algorithm implementation, controlling the DSP signal that will be programmed at the signal generator. As a final remark, please note that, although a closed box microwave multisine synthesizer solution would naturally include the output signal sampler, the error generator and the whole feedback correction loop inside the same instrument, the availability of an external sampler can be used to guarantee that the device-under-test (DUT) is exactly driven by the desired signal. That may be useful to correct for frequency-dispersive delay and attenuation introduced by the connectors and cables that feeds the DUT, but it will be also useful for correcting any nonlinear distortion present in this signal path. That is of paramount importance in the nonlinear distortion tests of highly linear high power microwave devices and circuits in which a (obviously nonideal) signal booster is normally inserted between the multisine generator and DUT [3]. Moreover, and despite that the operation description has concentrated on the signal fundamental components, the suggested algorithm and setup can also minimize any spectral

2662

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 4. Experimental setup for the “ideal waveform generator.”

regrowth arising in the instrument’s RF-front-end and the generator-to-DUT signal path since the proposed control is actually implementing some form of adaptive digital predistortion linearization. IV. EXPERIMENTAL RESULTS In order to prove the practical applicability of the described corrected signal generation methodology, the generation and measurement instruments, presented in Section III, were built and the algorithm was implemented into a computer. For demonstrative purposes, we drove the output RF front-end of our generator near its 1-dB compression point so that the nonlinear distortion could be maximized and, thus, more easily handled. The generated signal is a multisine with ten tones, centered at 1.5 GHz, and with a separation of 1 kHz. The MTA obtains 5000 samples acquired at a rate of 19.997 MHz, and the algorithm was run through 250 iterations. Due to the nonlinear behavior of the output RF front-end of the used signal generator, the shape of the multisine obtained is significantly different from the one programmed in the instrument. The differences in the output signal are visible, not only in the time waveform, but also in its statistics, as can be seen in Figs. 5–8. In fact, comparing Figs. 5 and 6, the modification faced by the envelope waveform, especially in its amplitude lower end, is evident. The proposed algorithm was then applied to this signal by first acquiring the signal with the MTA. The phase and amplitude of each bin of the multisine was then obtained as previously explained. The total error calculation used for the optimization of the multisine algorithm is the sum of the amplitude error with the phase error.

Fig. 5. Signal time waveform of the desired signal.

Fig. 6. Signal time waveform at the output of our signal generator.

BORGES CARVALHO et al.: CORRECTED MICROWAVE MULTISINE WAVEFORM GENERATOR

2663

Fig. 7. cdf of the desired and obtained signals. Fig. 10. cdf of the desired and obtained signals after optimization.

Fig. 8. pdf of the desired and obtained signals.

Fig. 11. pdf of the desired and obtained signals after optimization.

obtained from the acquired signal computed after the alignment procedure. This process is done for each iteration, and after running an optimization process, the output mimics the desired signal almost perfectly, as can be seen in the output cdf and pdf presented in Figs. 9–11. As can be seen, the final optimized multisine presents good agreement with the initial proposed one. V. CONCLUSION

Fig. 9. Signal time waveform after optimization.

The amplitude error is obtained by the sum of the relative differences between the measured components and the desired components. The phase error is thus the sum of the distance between the stored phase differences from the desired signal and the ones

In this paper, a corrected waveform generator was proposed to generate a multisine with some prescribed statistics and timedomain waveform. Moreover, a new laboratory setup was also presented in order to implement the proposed algorithm. In this setup, the phase and amplitude determination was also studied. This nonlinear dynamic predistortion overcomes the drawbacks associated to any form of linear or nonlinear distortion impairments. This way, better multisine signals specially designed for RF characterization and/or model extraction are obtained.

2664

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

ACKNOWLEDGMENT The authors would like to acknowledge R. Estanqueiro Santos, Instituto de Telecomunicações, Universidade de Aveiro, Aveiro, Portugal, for helping with the measurements. REFERENCES [1] J. C. Pedro and N. B. Carvalho, “Designing bandpass multi-sine excitations for microwave behavioral model identification,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 791–794. [2] J. C. Pedro and N. B. Carvalho, “Designing multi-sine excitations for nonlinear model testing,” IEEE Trans. Microw. Theory Tech., vol. MTT-53, no. 1, pp. 45–54, Jan. 2005. [3] J. C. Pedro and N. B. Carvalho, Intermodulation Distortion in Microwave and Wireless Circuits. Norwood, MA: Artech House, 2003. [4] S. Boyd, “Multitone signals with low crest factor,” IEEE Trans. Circuits Syst., vol. CAS-33, no. 10, pp. 1018–1022, Oct. 1986. [5] K. A. Remley, “Multi-sine excitation for ACPR measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 2141–2144. [6] A. R. Varkonyi-Koczy, “Synchronized multi-sine measurements via DSP methods,” in Proc. IEEE Instrum. Meas. Technol. Conf., 1996, vol. 2, pp. 1056–1060. [7] D. Rabijns, W. Van Moer, and G. Vandersteen, “Spectrally pure excitation signals: Only a dream?,” IEEE Trans. Instrum. Meas., vol. 53, no. 5, pp. 1433–1440, Oct. 2004. [8] P. B. Kennington, High Linearity RF Design. Norwood, MA: Artech House, 2000. [9] N. B. Carvalho and J. C. Pedro, “Laboratory generation of multi-sines with pre-described statistics,” in Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1199–1202. [10] MATLAB 7.0. The Mathworks Inc., Natick, MA, 2004. [11] K. A. Remley, D. F. Williams, D. M. M.-P. Schreurs, G. Loglio, and A. Cidronali, “Phase detrending for measured multisine signals,” in 61st ARFTG Conf. Dig., Philadelphia, PA, Jun. 13, 2003, pp. 73–83. Nuno Borges Carvalho (S’92–M’00–SM’05), was born in Luanda in 1972. He received the Diploma and Doctoral degrees in electronics and telecommunications engineering from the Universidade de Aveiro, Aveiro, Portugal, in 1995 and 2000, respectively. From 1997 to 2000, he was an Assistant Lecturer with the Universidade de Aveiro, in 2000 was a Professor, and is currently an Associate Professor. He is also a Senior Research Scientist with the Instituto de Telecomunicações, Universidade de Aveiro. He was a Scientist Researcher with the Instituto de Telecomunicações, during which time he was engaged in different projects on nonlinear computer-aided design (CAD) and circuits and RF system integration. He coauthored Intermodulation in Microwave and Wireless Circuits (Artech House, 2003). He has been a reviewer for several magazines. His main research interests include CAD for nonlinear circuits, design of highly linear RF-microwave power amplifiers, and measurement of nonlinear circuits/systems.

Dr. Borges Carvalho is a member of the Portuguese Engineering Association. He is a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and an IEEE MTT-11 Technical Committee member. He was the recipient of the 1995 Universidade de Aveiro and the Portuguese Engineering Association Prize for the best 1995 student at the Universidade de Aveiro, the 1998 Student Paper Competition (third place) presented at the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), and the 2000 Institution of Electrical Engineers (IEE) Measurement Prize.

José Carlos Pedro (S’90–M’95–SM’99) was born in Espinho, Portugal, in 1962. He received the Diploma and Doctoral degrees in electronics and telecommunications engineering from the Universidade de Aveiro, Aveiro, Portugal, in 1985 and 1993, respectively. From 1985 to 1993 he was an Assistant Lecturer with Universidade de Aveiro, and a Professor since 1993. He is currently a Professor with the Universidade de Aveiro. He is also a Senior Research Scientist with the Instituto de Telecomunicações, Universidade de Aveiro. His main scientific interests include active device modeling and the analysis and design of various nonlinear microwave and opto-electronics circuits, in particular, the design of highly linear multicarrier power amplifiers and mixers. He coauthored Intermodulation Distortion in Microwave and Wireless Circuits (Artech House, 2003). He has authored or coauthored several papers appearing in international journals and symposia. Dr. Pedro has served as a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was the recipient of the 1993 Marconi Young Scientist Award and the 2000 Institution of Electrical Engineers (IEE) Measurement Prize.

João Paulo Martins was born in Sever do Vouga, Portugal, on May 13, 1973. He received the B.Sc. and M.Sc. degrees from the Universidade de Aveiro, Aveiro, Portugal, in 2001 and 2004, respectively. From 2001 to 2003, he was a Researcher with the Instituto de Telecomunicações, Universidade de Aveiro. His main interests are in wireless systems and nonlinear microwave circuit design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2665

Tunable Metamaterial Transmission Lines Based on Varactor-Loaded Split-Ring Resonators Ignacio Gil, Student Member, IEEE, Jordi Bonache, Student Member, IEEE, Joan García-García, Member, IEEE, and Ferran Martín, Member, IEEE

Abstract—In this paper, it is demonstrated that varactor-loaded split-ring resonators (VLSRRs) coupled to microstrip lines can lead to metamaterial transmission lines with tuning capability. 0) and double (or left-handed) Both negative permeability ( negative media have been designed and fabricated with tuning ranges as wide as 30%. The negative effective permeability is provided by the VLSRRs in a narrow band above their resonant frequency, which can be bias controlled by virtue of the presence of diode varactors. To achieve a negative effective permittivity in the left-handed structure, metallic vias emulating shunt inductances are periodically placed between the conductor strip and the ground plane. The lumped-element equivalent-circuit models of the designed structures have been derived. It has been found that these models provide a good qualitative description of device performance. Since the VLSRR microstrip line and the line loaded with both VLSRRs and vias exhibit stopband and bandpass behavior, respectively, the ideas presented in this study can be applied to the design of narrowband tunable frequency-selective structures with compact dimensions. This is the first time that a tunable left-handed transmission line, based on SRRs, is proposed. Index Terms—Metamaterials, microstrip technology, split-ring resonators (SRRs), tunable devices.

Fig. 1. Topologies of the circular (untunable) SRR originally proposed by Pendry. (a) Tunable varactor-loaded SRR (VLSRR) originally proposed by some of the authors. (b) VLSRR with improved geometry (c). The relevant dimensions are indicated.

I. INTRODUCTION PLIT-RING RESONATORS (SRRs) have attracted a great interest in recent years as key constituent particles for the design of effective media with negative magnetic permeability or left-handed metamaterials (LHMs) [1]. Originally proposed by Pendry [2] [see Fig. 1(a)], SRRs are subwavelength resonators (i.e., electrically very small) that are able to inhibit signal propagation in a narrow band in the vicinity of their resonant frequency, provided that the magnetic field is polarized along the ring’s axis. This property has been interpreted as due to the extreme values (i.e., highly positive/negative) of the effective permeability below/above that frequency. Alternatively, this frequency-selective behavior can be explained by the induced current loops in the rings at resonance. These current loops are closed through the distributed capacitance between concentric rings; hence, SRRs can be modeled as LC resonant tanks that can be externally driven by a magnetic field and are therefore able to inhibit signal propagation in a certain narrow band if they are properly oriented [3]. Since the equivalent capacitance is given by the edge capacitance

S

Manuscript received July 19, 2005; revised January 11, 2006. This work was supported by the Ministerio de Educación y Ciencia under Project Contract TEC2004-04249-C02-01 and Project Contract PROFIT 330200-2004-113. The authors are with the Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona, 08193 Bellaterra (Barcelona), Spain (e-mail: nacho. [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872949

between concentric rings, the resonant frequency can be made very small by decreasing the rings’ separation . In other words, high levels of miniaturization for such particles can be achieved if the lateral resolution of the layout generation system (which is typically a drilling machine or standard photo/mask etching techniques) is small. It has been also demonstrated that, by properly combining SRRs with metallic posts, an artificial medium with left-handed wave propagation can be synthesized [1]. The required negative permittivity comes from the presence of the wires, which behave as a microwave plasma up to a frequency (plasma frequency) that depends on the wires’ diameter and separation. By simply tailoring the SRR/wire medium to exhibit the plasma frequency above the resonant frequency of SRRs, a left-handed behavior is expected in a narrow band just above the resonant frequency of SRRs. Based on the previous ideas, the authors have synthesized one-dimensional (1-D) metamaterials based on transmission lines coupled to (or loaded with) SRRs. Both negative permeability [4] as well as left-handed coplanar waveguides (CPWs) [5], [6] have been recently designed and fabricated, where SRRs have been etched in the back substrate side, underneath the slots, in order to achieve high magnetic coupling between the line and rings. The metallic posts of the artificial left-handed medium of Smith have been replaced by periodic shorts between signal and ground, etched in the upper metal level, above the positions of the SRRs (a detailed analysis of these structures and their equivalent-circuit models is given in

0018-9480/$20.00 © 2006 IEEE

2666

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[7]). Square-shaped SRRs have been also magnetically coupled to microstrip transmission lines in order to fabricate efficient stopband structures based on the concept of single negative (permeability) metamaterial [8], [9]. These structures exhibit high frequency selectivity with a relatively small number of SRR stages and, therefore, are potential candidates for the synthesis of microwave filters with compact dimensions in planar technology. Indeed, it has been demonstrated that two or three SRR stages suffice to obtain narrowband pass filters with symmetric and highly selective frequency responses [10]. In this paper, another important aspect of metamaterials, which has been scarcely explored thus far and with potential interest to the design of reconfigurable structures, is considered, namely, the electronic control (or tunability) of metamaterial transmission lines (this was previously applied to microstrip leaky-wave antennas by Lim et al. [11], [12]). To this end, new tunable constituent particles are required. In a previous paper of the authors, it was shown that SRRs loaded with varactor diodes (which are placed between the inner and outer rings) open the possibility to achieve electronic tuning in SRR-based notch filters [13]. This is due to the variable capacitance, which allows for certain control of the resonator’s frequency. As will be shown in this study, these particles, called varactor-loaded SRRs (VLSRRs), can be used for the design of negative permeability and left-handed microstrip lines with tuning capability. To achieve left handedness, apart from VLSRRs, metallic vias connecting the upper (conductor strip) and lower (ground plane) metals are periodically introduced. These vias make the structure behave as a microwave plasma with negative effective permittivity up the plasma frequency. The structures reported in this study are the first tunable metamaterials based on SRRs. Tunability, combined with compact dimensions, is the key and novel aspect of these structures, which can open the possibility to design reconfigurable devices based on the metamaterial concept. Actually, this study is an extended version of [14]. In that work [14], we essentially compared the measurement with electromagnetic simulations (including the effects of the varactors). In this present paper, we have inferred and discussed the lumped-element equivalent-circuit models of the different structures that have been considered (single negative and left-handed), we have provided additional examples to further illustrate the possibilities of these tunable metamaterial structures, and we have discussed their behavior on the basis of analytical expressions deduced from the models. This paper is organized as follows. In Section II, the topology of the VLSRR is presented, and the lumped-element circuit model of these resonators coupled to the host transmission lines is discussed. In Section III, the circuit model is validated by comparing the frequency responses obtained from it through electrical simulation with those measured in fabricated prototypes. In Section IV, it will be shown that left-handed transmission-line sections based on VLSRRs can be also designed and can be applied to the synthesis of bandpass structures with tunable center frequency. Finally, the main conclusions of the study will be highlighted in Section V. II. TOPOLOGY OF VLSRRS AND EQUIVALENT-CIRCUIT MODEL The topology (layout) of the VLSRRs proposed by the authors in [13] is depicted in Fig. 1(b) for completeness. It is sim-

Fig. 2. Lumped-element equivalent-circuit model for the elemental cell of a biased VLSRR coupled to a microstrip transmission line. L and C are the persection inductance and capacitance of the line. Due to symmetry, the magnetic wall concept has been used.

ilar to the topology originally proposed by Pendry [2], although the separation between rings is no longer uniform in order to connect the diode varactor between the internal and external conductors. Namely, in that region where the varactor is placed, this distance is increased. Moreover, a metal pad is added in the center of the particle to easy diode biasing, and rectangular rings have been considered to enhance the line to ring coupling [8]. With this configuration, the electromagnetic behavior of the VLSRRs does not substantially differ from that of the SRR, except for the fact that certain electronic control of the resonant frequency is possible, thanks to the varactors. These are connected between the inner and outer conductors and dominate over the edge capacitance corresponding to the right half of the structure. Another difference between SRRs and VLSRRs concerns their excitation, or the generation of current loops at resonance. In the former, these currents are mainly induced by the magnetic field flowing into the inner ring, whereas in VLSRRs, the rings’ excitation is mainly achieved by the magnetic field penetrating the inter rings’ region where the varactors are placed. The lumped-element equivalent-circuit model of a biased VLSRR coupled to a microstrip transmission line is depicted in Fig. 2. Diode varactors are modeled by a variable capacitance and a series resistance , which takes into account not only the intrinsic losses of the diode , but also the resistance associated to the varactor-metal junctions . (which is neglected due to the shunt connection of to it) and are the edge capacitances corresponding to the right and left halves, respectively, of the VLSRR, whilst and model the equivalent inductance of the VLSRRs and the pad-to-ground capacitance, respectively. Diode biasing is applied through a variable voltage source , which has an equivalent output resistance termed . Concerning the line-to-VLSRR coupling, we have a priori assumed that VLSRRs can be driven either by the axial magnetic field generated by the line (inductive coupling) or by the electric field present between the line and the external ring (capacitive coupling). Both couplings have been properly modeled by means of a mutual inductance (magnetic coupling) and the edge capacitance between the line and the external ring (electric coupling). The

GIL et al.: TUNABLE METAMATERIAL TRANSMISSION LINES BASED ON VLSRRs

2667

capacitances and are the rings-to-ground capacitances of the left and right halves, respectively. Alternatively, the topology presented in Fig. 1(c) can be also considered to achieve tuning. As compared with the structure of Fig. 1(b), we have shortened the right-hand arm of the outer ring, since no appreciable current flows through it. Namely, the electric current is mostly absorbed by the varactor diode, preventing it from circulating across the portion of the external ring comprised of the diode junction and the slit. Nevertheless, the equivalent-circuit model of Fig. 2 holds for the VLSRR of Fig. 1(c). III. VALIDATION OF THE MODEL AND DISCUSSION In order to validate the previous model, we have compared the frequency response measured on a fabricated two-stage VLSRRloaded microstrip line with that obtained through electrical simulation (using the Agilent ADS commercial software) of the lumped-element equivalent circuit (see Fig. 3). BB833-Infineon Technologies silicon tuning diodes have been used as nonlinear capacitances (the capacitance window for these diodes is 0.75–9 pF for varying voltages in the interval 0–30 V). As expected, a stopband behavior with tuning capability is obtained. The device has been fabricated on a Rogers RO3010 substrate (with dielectric constant , thickness mm, and ) and has been measured by means of an Agilent 8720ET vector network analyzer. Except for the mutual inductance and the varactor–metal junction resistance , which have been used as fitting parameters, the other element values have been estimated either through geometrical considerations or with the help of the commercial software Agilent ADS. To be more specific, line reactances and have been deduced from the phase velocity and characteristic impedance provided by the transmission-line calculator LineCalc (which is included in ADS). The pad capacitance and the ring-to-ground capacitances have been estimated through the parallel-plate capacitance formulas. The edge capacitance has been extracted by means of independent electromagnetic simulations (using Agilent Momentum) of the host line coupled to a line of the same width as the external ring (and at the same distance). By comparing the phase velocity of this structure with that of the host line alone, can be estimated. Finally, the SRR’s inductance and capacitance have been estimated by applying the model reported in [3] (all the values are depicted in the caption of Fig. 3). The frequency responses depicted in Fig. 3(c) have been obtained by setting nH and and are those which have optimally fitted to the experiment over the considered tuning interval. Good agreement between theory and experiment has been obtained, thus supporting the validity of the proposed lumped-element model of the VLSRR coupled to the line. As it is, the equivalent-circuit model includes both magnetic and electric coupling between the host line and the VLSRRs. In order to discriminate which (if any) of the coupling mechanisms is the dominant one, we have switched the electric coupling off by removing the coupling capacitance in the circuit of Fig. 2. By doing this, no appreciable differences in the simulated frequency responses (over the tuning interval considered) come up, as compared with the results of Fig. 3(c). More-

Fig. 3. (a) Two-stage VLSRR-loaded microstrip line, (b) measured insertion losses for different diode polarizations, and (c) frequency responses obtained by electrical simulation of the equivalent-circuit model shown in Fig. 2. Relevant dimensions are: c = d = 0:2 mm, separation between line and external rings is 0.2 mm, and length and width of the VLSRRs are 6.2 and 2.8 mm, respectively. = 1 fF, C = 0:1 pF, Element values are: L = 3 nH, C = 1:4 pF, C C = 0:44 pF, C = 0:89 pF, L = 3:3 nH, C = 1:3 pF, R = 4:8 , (i.e., R = 1:8 and R = 3:0 ), R = 50 ; M = 2:4 nH, and 1 pF C 9 pF.

over, we have seen that no appreciable changes arise if we remove . Hence, the electric coupling can be neglected and the equivalent-circuit model of the VLSRR-loaded microstrip line can be simplified to that shown in Fig. 4(a), where inductive excitation of the VLSRRs is the single coupling mechanism (the simulated frequency response with and removed is shown in Fig. 4(b) for comparison purposes). According to this model, signal can flow through the resistance . However, since and are small, it is a good approximation in order to simplify the analysis of the circuit to neglect these capacitances and consider as a polarization path for the diodes (i.e., irrelevant for signal analysis). With this approximation, the equivalent impedance of the series branch of the transmission-line section can be inferred. To this end, the

2668

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 5. (a) Fabricated four-stage VLSRR microstrip line and (b) measured frequency response. Dimensions are identical to those of Fig. 3(a).

Fig. 4. (a) Equivalent-circuit model of the VLSRR-loaded microstrip line with electric coupling removed. (b) Electrical simulations for different diode polarizations. (c) Simplified circuit.

where . Therefore, the simplified equivalent-circuit model of Fig. 4(a) can be further simplified to that shown in Fig. 4(c). In view of this model, gap-width reduction as well as rejection enhancement when increases is perfectly explained. Namely, the factor of the RLC tank of Fig. 4(c) is given by (8)

voltage–current equations for the transformer are first derived, i.e., (1) (2) where is the series impedance connection of the diode capacitance , the capacitance of the left half of the VLSRR , and , namely, (3) where . By isolating in (2) and substituting it into (1), we directly obtain the series impedance of the line

(4) This impedance is equivalent to the series connection of an inductor (with inductance ) and a parallel RLC tank, provided the following conditions are satisfied: (5) (6) (7)

( and do not depend on and it increases with frequency). Therefore, deeper and narrower stopbands are expected in the upper extreme of the tuning interval, as actually occurs. The agreement between measurements and electrical simulations of the equivalent-circuit model is good over the whole tuning interval. Therefore, we can conclude that the proposed equivalent-circuit model provides a good description of the structure. Moreover, as long as no substantial changes have been obtained when electric coupling has been removed, it is clear that VLSRRs are mainly excited by magnetic coupling to the host line. IV. DESIGN OF TUNABLE SINGLE NEGATIVE AND LEFT-HANDED MICROSTRIP LINES Besides the two-stage VLSRR prototype device [13] used in this study to validate the model of Fig. 2, we have designed other tunable single negative (permeability) metamaterial transmission lines loaded with VLSRRs. Fig. 5 depicts a fabricated four-stage VLSRR-loaded line and its measured frequency responses obtained by varying diode biasing. Obviously, the rejection level in the stopband has been improved, as compared with Fig. 2, due to the presence of four additional resonators (two per stage), whereas gap width and tuning range have been slightly modified, since these parameters do not substantially

GIL et al.: TUNABLE METAMATERIAL TRANSMISSION LINES BASED ON VLSRRs

2669

Fig. 7. Measured insertion losses for a microstrip line loaded with VLSRRs with slightly different dimensions (four-stage structure). As in Figs. 3 or 5, the same bias voltage has been simultaneously applied to all the diodes, and this voltage has been varied to achieve tuning.

Fig. 6. Measured insertion losses obtained by applying different bias voltages to the diodes (and, hence, VLSRR stages) of the circuit of Fig. 5. The applied voltage per stage is indicated in each case. (a) Single stopband. (b) Separate peaks.

depend on the number of stages. In the previous measurements, diode varactors have been biased to the same voltage. However, by applying different bias voltages to the diodes, it is possible to implement a tunable multistopband structure, or to widen the rejection band, as shown in Fig. 6. For instance, we can implement stopband structures with 20-dB rejection in roughly a 0.2-GHz interval [see Fig. 6(a)] or, alternatively, we can generate separate rejection peaks [see Fig. 6(b)]. Another possible solution to produce wide stopbands is to design the VLSRR stages having slightly different dimensions (closed resonances are obtained) and to apply the same varying bias voltage to the devices. This way, wide and tunable rejection bands are obtained (see Fig. 7). We have also combined VLSRRs with signal-to-ground vias in order to implement left-handed microstrip-line sections with tuning capability. However, prior to the fabrication of these devices, we have designed and constructed narrowband untuned backward transmission lines with conventional square-shaped SRRs. The idea is to confirm the viability of using SRR/via basic cells for the design of left-handed transmission lines in microstrip technology, something that is not evident a priori. The fabricated device as well as the measured frequency response are depicted in Fig. 8(a) and (b). The SRRs exhibit their quasi-static resonant frequency near GHz. Thus, the geometry of the vias has been determined in order to obtain a cut-off (plasma) frequency for the negative permittivity effective medium (which is composed of the host line and the vias) beyond . To this end, we have carried out electromagnetic simulations of this structure, where the radius of the vias has been tuned until a satisfactory result has been obtained. The inductance of the vias has been determined by comparing the fre-

quency response of the structure obtained through electromagnetic simulation with that obtained by electrical simulation of a microstrip line loaded with shunt-connected inductors. The equivalent-circuit model of the SRR/via-loaded microstrip line is almost identical to that depicted in Fig. 4(c), but with the addition of via inductances in shunt connection [see Fig. 8(c)]. As long as varactors are not present in this untuned device, losses can be neglected. We can now analyze in detail such equivalent circuits in order to gain insight into the effects of the electrical (and, hence, geometric) parameters of the structure. If we call the series and shunt impedance of the -model of Fig. 8(c) and , respectively, then the phase shift for a single cell, as well as the Bloch impedance of a periodic structure composed of such basic cells, are given by

(9) (10)

respectively. The structure is transparent, i.e., propagating modes are supported, in those frequency regions where the phase is a real number. From (9), the limits of this interval are given by the following conditions:

(11) where

and

, and

(12) and . Equation (11) leads to the upper where limit for signal propagation, namely

(13)

2670

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

capacitive) for (9) to provide a real value of . The shunt impedance is positive (and, hence, inductive) in the passband of interest, provided the inductance of the vias dominates over the capacitance of the line [actually, this capacitance has been neglected in (13) and (14)]. With the signs of these reactances, the structure formally behaves as a dual transmission line and, hence, it admits backward waves [15]. It can be also demonstrated that, in the passband of interest, the phase and group velocities are antiparallel [5], which is also indicative of left-handed wave propagation. We would also like to mention that, above the left-handed band, a band with forward propagation arises (not shown) due to the parasitic effects of the host line ( and ). According to (13) and (14), signal propagation in the left-handed band is delimited by an interval with a width that depends on the values of the electrical parameters of the equivalent-circuit model. As lower is as compared with and , the wider the bandwidth is. However, in actual structures, where the number of stages is finite, impedance mismatch further limits bandwidth. Namely, the Bloch impedance strongly varies between and , within the interval. Thus, the matching condition is only achieved at a single frequency, where a transmission peak is expected. Actually, from the equivalent-circuit model of the structure, it follows that the number of transmission peaks equals the number of basic cells , and, hence, the bandwidth approaches the interval delimited by the frequencies given by (13) and (14) as increases. The additional transmission peaks (apart from that appearing as a consequence of impedance matching) are due to phase matching. They appear at those frequencies where the total phase shift is an integer number of , and this occurs at those frequencies satisfying the following rule [relative to (9)]:

(15)

Fig. 8. (a) Layout of the fabricated LHM microstrip line, (b) measured frequency response, (c) equivalent-circuit model, and (d) electrical simulation of this model. Losses have been excluded. Circles in the conductor strip indicate the position of the via holes. The SRR dimensions are c = 0:2 mm, d = 0:4 mm, and length = width = 5 mm. Element values are: L = 0:13 nH, L = 0:47 nH, C = 6:9 pF, L = 1 nH, and C = 0:4 pF.

whereas the lower limit can be derived from (12) as

(14) In the interval delimited by the previous frequencies, left-handed wave propagation arises. This can be inferred from the sign of the series and shunt impedances. The former shall be necessarily negative (and hence

. As increases, the transmission peaks where spread out toward the limits given by (13) and (14), and this is the reason for bandwidth enhancement. In the electrical simulation of the equivalent-circuit model, this transmission peaks are clearly visible [see Fig. 8(d)]. In measurement, these peaks are obscured by losses and do no emerge. According to the circuit of Fig. 8(c), a transmission zero is expected at the resonant frequency of SRRs. Due to this transmission zero, the lower band edge can be made sharp. To this end, must be increased, although this degrades frequency selectivity in the upper edge of the band, since the cut-off (plasma) frequency related to the presence of the vias decreases. The electrical parameters of the equivalent-circuit model of the structure shown in Fig. 8(a) are depicted in the caption of this figure. The mutual inductance has been considered as a fitting parameter (i.e., and ), and it has been adjusted until a satisfactory agreement between measurement and electrical simulation has been obtained. Once the mutual inductance has been derived, we have verified the left-handed nature of this structure, from the analysis of the phase variation (obtained by electrical simulation) between the input and output ports of several devices with different numbers of cells. The results are

GIL et al.: TUNABLE METAMATERIAL TRANSMISSION LINES BASED ON VLSRRs

2671

Fig. 9. Unwrapped phase of S (2; 1) for one-, three-, and five-stage LHM circuits based on the basic cell shown in Fig. 8(c).

depicted in Fig. 9. Specifically, we have considered one-, three-, and five-stage devices. As can be seen, in the frequency region of interest, increases with . Therefore, the incremental phase (i.e., , where the index corresponds to lines having lengths satisfying ) is positive, which is consistent with the left-handed nature of the structure [16]. Tunability in the left-handed microstrip lines has been achieved by replacing the conventional SRRs by VLSRRs. We have fabricated a one-stage prototype device. The layout is depicted in Fig. 10(a), and the equivalent-circuit model in Fig. 10(b). The measured frequency response is depicted in Fig. 11(a) and is compared with the electrical simulation of the lumped-element circuit model with losses excluded [see Fig. 11(b)]. In this design, the topology of Fig. 1(c) has been used, and we have included inductive chokes between the rings and the voltage source to further prevent an RF path to ground through the series resistance of the voltage source. This way, the effects of losses associated with the presence of the VLSRRs (including the polarization) are reduced. This is important since, in the allowed (backward wave) band, the rings are excited, contrarily to the tunable stopband structures, where VLSRRs do not play a role in the allowed band. Nevertheless, the measured insertion losses in the region of propagation are larger than those obtained from the ideal electrical simulation. This discrepancy is attributed to the fact that, in the electrical simulations, we have neglected the varactor–metal junction resistance the diode resistance and possible leakage currents due to the limited RF blocking capability of the chokes. However, if we include these resistances, as well as the actual (and, hence, limited) value of the choke inductances deduced from well-known formulas [17], then a reasonable agreement between electrical simulation and measurement is obtained [see Fig. 11(c)]. Insertion losses are relatively large and are indicative of the importance of reducing the junction resistance for practical implementations of these devices as frequency-selective structures with tuning capability. In-band return losses (not shown to avoid an excess of curves) are also relatively poor (smaller than 10 dB) due to losses. It is expected that losses can be substantially improved by implementing these structures or other similar VLSRR-based devices, in RF-MEMS technology, where the variable capacitances are

Fig. 10. (a) Layout of the one-stage tunable left-handed transmission line section and (b) equivalent-circuit model. The VLSRR’s dimensions are c = 0:2 mm, d = 0:4 mm, length = width = 5 mm, and g = 2:2 mm. The area of the inductive chokes is 14.4 mm , including the bias pad, that electrically connects the choke to the VLSRR through via holes (with a radius of 0.4 mm) and metal strips etched in the back substrate side. Element values in (b) are L = 4:5 nH, C = 1:3 pF, C = 0:2 fF, C = 2:5 pF, = 1:5 pF, L = 4:85 nH, C = 1:83 pF, R = 4:8 , (i.e., R = 1:8

C = 3:0 ), R = 50 ; L = 10 nH, L = 0:24 nH, and R M = 1:18 nH, and 1 pF C 9 pF. In this case, the pad capacitance (which is present in the circuit of Fig. 2) has been removed since diode polarization is performed through the inductive chokes.

implemented through electromechanical switches, instead of diode varactors (work is in progress in this direction). The measured tuning range of these structures is roughly of 30%. This value is in good agreement with that inferred from the electrical simulation and points out the potential of these devices in applications where reconfigurability and miniaturization are key issues. Inspection of (13) and (14) reveals that the region where backward wave propagation is allowed can be widened by decreasing the line inductance . This can be achieved by increasing line width, but at the expense of line capacitance enhancement , which in turn decreases the onset of frequency transmission above the backward band. One possible alternative to line inductance reduction is to partially compensate this reactance by means of a series capacitance, which can be implemented through a series gap. With this capacitance, (13) and (14) hold but with the line inductance decreased by

(16) (17)

2672

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 12. (a) Layout of the tunable metamaterial with a series gap etched close to the vias position. (b) Measured insertion losses for different bias polarizations.

Fig. 11. (a) Measured insertion losses for the device shown in Fig. 10(a) under different bias polarizations. (b) and (c) Simulated insertion losses, obtained through electrical simulation of the model, by neglecting and including losses, respectively.

for (13) and (14), respectively. To obtain analytical expressions (16) and (17) for this inductive shift, we have considered small reactance compensation. In other words, the overall series reactance is inductive and . Depending on the values of , by adding to the structure, we can widen the allowed band for backward wave propagation (i.e., large value of ), or we can shift this band upwards and thus obtain a more symmetric frequency response in the region of interest (i.e., small values). Nevertheless, if the line-to-rings coupling is dominated by the magnetic field generated by the line, then the position of the transmission zero, which is present below the left-handed band, is not expected to be modified by the presence of since it depends only on the parameters of the VLSRRs. We have fabricated an identical device to that shown in Fig. 10, but with the presence of a capacitor gap close to the position of the via hole (see Fig. 12). Gap spacing is 0.2 mm, which approximately corresponds to a capacitance of 0.2 pF. The measured frequency response of this structure for different bias conditions is depicted

in Fig. 12(b). Very symmetric frequency responses, with losses scarcely dependent on bias, have been obtained. However, surprisingly, the transmission zeros no longer appear in the same positions as in Fig. 11. We have interpreted this shift as due to the enhancement of electric coupling forced by the presence of the capacitor gap. Since this gap has been etched in the region where VLSRRs are present, magnetic coupling between line and rings is reduced by virtue of line-current minimization in the gap position. Contrarily, line voltage is maximized and hence does the electric coupling between the line and the VLSRRs. So, with the presence of the series gap, the simplified model with electric coupling excluded is no longer valid. In order to explain the measured frequency responses, we have to take into account both magnetic and electric coupling. Specifically, we have used the model parameters of Fig. 10, but, due to the enhancement of capacitive coupling and degradation of magnetic coupling between line and rings associated with the presence of the series gap, we have considered and as fitting parameters. The best fit of the electrical simulations to experiments over the whole tuning interval is depicted in Fig. 13 (also included in this figure is the equivalent-circuit model with the element values indicated in the caption). From the values of and that have been obtained, we conclude that both coupling mechanisms are significant. Nevertheless, according to this model, transmission zeros are shifted downwards, since they are no longer given by the intrinsic resonance of VLSRR, but rather by the frequency that nulls the impedance between line and ground. We would like also to mention that, in practice, it is difficult to etch series gaps with spacing much smaller than that of Fig. 12. Hence, it is difficult to achieve the small reactance compensation indicated above. Nevertheless, it has been shown that the introduction of the series gap, rather than slightly

GIL et al.: TUNABLE METAMATERIAL TRANSMISSION LINES BASED ON VLSRRs

2673

combined with metallic vias, which are responsible for the negative value of the effective dielectric permittivity of the structures. Substantial tuning has been achieved. Although losses are significant due to the varactor–metal junction resistance, the approach proposed in this study opens the door for the design of reconfigurable devices, such as filters and resonators, based on the metamaterial concept and SRRs. To this end, SRRs should be loaded with MEMS capacitors, and work is in progress in this direction. We have also shown that, by introducing series gaps to the VLSRR/via transmission-line sections, near symmetric frequency responses with relative low losses not dependent on bias level have been obtained. To the best of the authors’ knowledge, this was the first time that tunable left-handed transmission-line sections based on bias-controlled SRRs have been proposed. ACKNOWLEDGMENT The authors would like to thank Dr. R. Marqués, University of Seville, Seville, Spain, for his helpful discussions. The authors are indebted to R. Pineda, Omicron Circuits s.l., Barcelona, Spain, for the fabrication of the prototypes. REFERENCES

Fig. 13. (a) Equivalent-circuit model of the structure shown in Fig. 12(a) and (b) results of the electrical simulation. Element values are identical to those of , which have been considered as fitting paramFig. 10, except for M and C eters for the reasons explained in the text. We have found that the best fit to = 8 pF and M = 1:02 nH. experiment is for C

modifying the frequency response of the structure through reactance compensation, causes major changes due to the enhancement of electric coupling between the line and VLSRRs, as has been explained. The results are symmetric responses with improved losses over the tuning interval. V. CONCLUSION In summary, it has been demonstrated that 1-D tunable metamaterial structures can be implemented in microstrip technology by using a VLSRR, which is a new particle recently introduced by the authors. This particle provides a negative value of the effective magnetic permeability over a narrow band that can be electronically tuned. The equivalent-circuit model of the VLSRR-loaded microstrip line has been derived. It has been found that magnetic coupling between the line and VLSRRs dominates over electric coupling, and hence it is the main mode of particle (VLSRR) excitation. By adjusting the mutual (line-to-VLSRRs) inductance and the varactor–metal junction resistance, good agreement between the results inferred from electrical simulations of the model and the experiments has been achieved. Both single negative (negative permeability) and left-handed tunable microstrip-line prototypes have been designed and fabricated. In the latter case, VLSRRs have been

[1] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, pp. 4184–4187, May 2000. [2] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2084, Nov. 1999. [3] R. Marqués, F. Mesa, J. Martel, and F. Medina, “Comparative analysis of edge and broadside coupled split ring resonators for metamaterial design. Theory and experiment,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2572–2581, Oct. 2003. [4] F. Martín, F. Falcone, J. Bonache, R. Marqués, and M. Sorolla, “Miniaturized coplanar waveguide stopband filters based on multiple tuned split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 511–513, Dec. 2003. [5] F. Martín, F. Falcone, J. Bonache, R. Marqués, and M. Sorolla, “A new split ring resonator based left handed coplanar waveguide,” Appl. Phys. Lett., vol. 83, pp. 4652–4654, Dec. 2003. [6] F. Falcone, F. Martín, J. Bonache, R. Marqués, T. Lopetegi, and M. Sorolla, “Left handed coplanar waveguide band pass filters based on bi-layer split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 1, pp. 10–12, Jan. 2004. [7] J. D. Baena, J. Bonache, F. Martín, R. Marqués, F. Falcone, T. Lopetegi, M. A. G. Laso, J. García, I. Gil, and M. Sorolla, “Equivalent-circuit models for split ring resonators and complementary split rings resonators coupled to planar transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1451–61, Apr. 2005. [8] J. García-García, J. Bonache, I. Gil, F. Martín, R. Marqués, F. Falcone, T. Lopetegi, M. A. G. Laso, and M. Sorolla, “Comparison of electromagnetic bandgap and split rings resonator microstrip lines as stop band structures,” Microw. Opt. Technol. Lett., vol. 44, pp. 376–379, Feb. 2005. [9] J. García-García, F. Martín, E. Amat, F. Falcone, J. Bonache, I. Gil, T. Lopetegi, M. A. G. Laso, A. Marcotegui, M. Sorolla, and R. Marqués, “Microwave filters with improved stop band based on sub-wavelength resonators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 1997–2006, Jun. 2005. [10] J. Bonache, F. Martín, F. Falcone, J. García, I. Gil, T. Lopetegi, M. A. G. Laso, R. Marqués, F. Medina, and M. Sorolla, “Super compact split ring resonators CPW bandpass filtres,” in IEEE-MTT Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 1483–1486. [11] S. Lim, C. Caloz, and T. Itoh, “A continuously electronically scanned leaky wave antenna using series and shunt varactors,” in IEEE-MTT Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 313–316.

2674

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[12] S. Lim, C. Caloz, and T. Itoh, “Metamaterial-based electronically controlled transmission line structure as a novel leaky-wave antenna with tunable radiation angle and beamwidth,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2678–2690, Dec. 2004. [13] I. Gil, J. García-García, J. Bonache, F. Martín, M. Sorolla, and R. Marqués, “Varactor-loaded split rings resonators for tunable notch filters at microwave frequencies,” Electron. Lett., vol. 40, pp. 1347–1348, Oct. 2004. [14] I. Gil, J. Bonache, J. García-García, F. Martín, and R. Marqués, “Tunable split rings resonators for reconfigurable metamaterial transmission lines,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 905–908. [15] G. V. Eleftheriades, A. K. Iyer, and P. C. Kremer, “Planar negative refractive index media using periodically L–C loaded transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2702–2712, Dec. 2002. [16] O. F. Siddiqui, M. Mojahedi, and G. V. Eleftheriades, “Periodically loaded transmission line with effective negative refractive index and negative group velocity,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pt. 1, pp. 2619–2625, Oct. 2003. [17] I. Bahl and O. Bhartia, Microwave Solid State Circuit Design. Toronto, ON, Canada: Wiley, 1988.

Ignacio Gil (S’05) was born in Barcelona, Spain, in 1978. He received the Physics and Electronics Engineering degrees from the Universitat Autònoma de Barcelona, Bellaterra (Barcelona), Spain, in 2000 and 2003, respectively, and is currently working toward the Ph.D. degree at the Universitat Autònoma de Barcelona. He is also an Assistant Professor with the Universitat Autònoma de Barcelona. His research interests include active and passive microwave devices and metamaterials.

Jordi Bonache (S’05) was born in Cardona (Barcelona), Spain, in 1976. He received the Physics and Electronics Engineering degrees from the Universitat Autònoma de Barcelona, Bellaterra (Barcelona), Spain, in 1999 and 2001, respectively, and is currently working toward the Ph.D. degree at the Universitat Autònoma de Barcelona. In 2000, he joined the High Energy Physics Institute of Barcelona (IFAE), where he was involved in the design and implementation of the control and monitoring system of the MAGIC telescope. In 2001, he joined the Department d’Enginyeria Electrònica, Universitat Autònoma de Barcelona, where he is currently an Assistant Professor. His research interests include active and passive microwave devices and metamaterials.

Joan García-García (M’05) was born in Barcelona, Spain, in 1971. He received the Physics degree and Ph.D. degree in electrical engineering from the Universitat Autònoma de Barcelona, Bellaterra (Barcelona), Spain, in 1994 and 2001, respectively. He then became a Post-Doctoral Research Fellow with the Institute of Microwaves and Photonics, The University of Leeds, Leeds, U.K., under the INTERACT European Project. In 2002, he was a Post-Doctoral Research Fellow with the Universitat Autònoma de Barcelona, under the Ramon y Cajal Project of the Spanish Government. In November 2003, he become an Associate Professor of electronics with the Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona.

Ferran Martín (M’05) was born in Barakaldo (Vizcaya), Spain, in 1965. He received the B.S. degree in physics and Ph.D. degree from the Universitat Autònoma de Barcelona (UAB), Bellaterra (Barcelona), Spain, in 1988 and 1992, respectively. In recent years, he has been involved in different research activities including modeling and simulation of electron devices for high-frequency applications, millimeter-wave and terahertz-generation systems, and the application of electromagnetic bandgaps to microwave and millimeter-wave circuits. He is also currently very active in the field of metamaterials and their application to the miniaturization and optimization of microwave circuits and antennas. He is the Head of the Microwave and Millimeter Wave Engineering Group, UAB, and a partner of the Network of Excellence of the European Union METAMORPHOSE. He is currently Guest Editor for two Special Issues on Metamaterials in two international journals. He has authored or coauthored over 190 technical conference, letter, and journal papers and is currently coauthoring the monograph on metamaterials entitled Metamaterials with Negative Parameters: Theory, Design and Microwave Applications (Wiley, to be published). He has filed several patents on metamaterials and has headed several development contracts. Dr. Martín has organized several international events related to metamaterials, including a Workshop at the 2005 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2675

Behavior of a Traveling-Wave Amplifier Versus Temperature in SOI Technology Mehdi Si Moussa, Student Member, IEEE, Christophe Pavageau, Student Member, IEEE, Pascal Simon, François Danneville, Member, IEEE, Jean Russat, Nicolas Fel, Jean-Pierre Raskin, Member, IEEE, and Danielle Vanhoenacker-Janvier, Senior Member, IEEE

Abstract—In this paper, the design and measurement results of a CMOS partially depleted silicon-on-insulator (SOI) traveling-wave amplifier (TWA) are presented. The four-stage TWA is designed with a single common source nMOSFET in each stage using a 130-nm SOI CMOS technology requiring a chip area of 0.75 mm2 . A gain of 4.5 dB and a unity-gain bandwidth of 30 GHz are measured at 1.4-V supply voltage for a power consumption of 66 mW. The designed circuit has been characterized over a temperature range from 25 C to 300 C. The performance degradation on the gain of the TWA, the SOI transistors, as well as the microstrip lines used for the matching network are analyzed. Thanks to the introduction of a dynamic threshold-voltage MOSFET, a greater gain-bandwidth product under lower bias conditions is demonstrated. Index Terms—Dynamic threshold voltage MOSFET (DTMOS), floating body (FB), high-temperature effect, microstrip lines, silicon-on-insulator (SOI), traveling-wave amplifier (TWA).

I. INTRODUCTION IDEBAND amplification is important for many systems as ultra-wideband (UWB) transceivers, high-speed applications, and optical communications. A traveling-wave amplifier (TWA) is a good candidate to achieve amplification over very broad bandwidths. The demonstration of silicon-on-insulator (SOI) CMOS as a viable alternative for the implementation of low-cost monolithic microwave integrated circuits (MMICs) has generated considerable interests in the development of CMOS TWAs. The term “distributed amplifier” (or TWA) originated in a paper written by Ginzton et al. in 1948 [1]; however, the underlying concepts can be traced back to a patent by Percival in

W

Manuscript received October 12, 2005; revised January 31, 2006. This work was performed in the frame of MEDEA T206 and A107 (4G-Radio) and was supported by The Walloon Region (Belgium-114751), by the Institut d’Electronique et de Microélectronique et de Nanotechnologie, and by the Commissariat à 1’Énergie Atomique. M. Si Moussa, P. Simon, J.-P. Raskin, and D. Vanhoenacker-Janvier are with the Microwave Laboratory, Université catholique de Louvain, 1348 Louvain-laNeuve, Belgium (e-mail: [email protected]). C. Pavageau was with the Institut d’Electronique et de Microélectronique et de Nanotechnologie, Unité Mixte de Recherche Centre National de la Recherche Scientifique 8520, Villeneuve d’Ascq, France. He is now with the Microsystems Components and Packaging Group, Interuniversity Microelectronics Centre, 3001 Leuven, Belgium. F. Danneville is with the Institut d’Electronique et de Microélectronique et de Nanotechnologie, Unité Mixte de Recherche Centre National de la Recherche Scientifique 8520, Villeneuve d’Ascq, France. J. Russat and N. Fel are with the Commissariat à 1’Énergie Atomique, 91680 Bruyères-Le-Ch˘atel, France. Digital Object Identifier 10.1109/TMTT.2006.872950

+

1937 [2]. TWAs employ a topology in which the gain stages are connected such that input and output capacitances are separated from the amplifier stage and combined with series inductors to form what is essentially a lumped-parameter artificial transmission line. One of the main market for SOI devices is the high-temperature applications. Over the last decade, the technology advances to deep submicrometer to improve device performance in term of cutoff frequency. Recently, an SOI CMOS technology with a transit frequency of 243 GHz and maximum frequency of oscillation of 208 GHz has been reported [3]. Some applications (well logging, avionics, automotive, ) require electronic circuits capable of operating at temperature up to 300 C. The capability of SOI circuits to expand the operating temperature range of integrated circuits (ICs) up to 250 C has been demonstrated [4]. SOI devices and circuits present advantages in this field over bulk counterparts such as the absence of thermally activated latch-up and reduced leakage current [5]. Power consumption is a major concern for high-performance digital systems and portable applications. The most efficient technological approach for reducing power consumption is power-supply voltage scaling. Threshold voltage must consequently be reduced to maintain speed, but its lowest value is set by the maximum tolerable off current . For this purpose, SOI devices bring their unique inherent advantages over bulk devices: lower junction capacitance, lower junction leakage, no latch-up, lower sensitivity to temperature variation, no substrate counter-bias effect, and full dielectric isolation [5]. From a technological point-of-view, SOI is now mature enough and is used in commercial products. Nevertheless, as partially depleted (PD) SOI devices exhibit specific characteristics such as related floating-body effects, designing SOI circuits requires skilled designers with a good understanding of SOI specificities, accurate device modeling, and a tuned computer-aided design (CAD) environment. In low-power–low-voltage (LP–LV) circuits, the device operation point is set near the subthreshold region (more exactly, to the weak inversion or the border between strong and weak inversion, respectively). The current values are lowered as well to obtain the minimum device power. To fulfill the LP–LV conditions, it is of the utmost importance to improve the device and technology features to obtain the desired performance. II. TOPOLOGY OF THE TWA The TWA concept has been successfully applied to monolithic GaAs MESFET amplifiers at microwave frequencies in

0018-9480/$20.00 © 2006 IEEE

2676

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 2. Elementary section of the: (a) gate and (b) drain lines.

Fig. 1. Common-source TWA.

the 1980s for larger gain-bandwidth products. Ayasli et al. published design formulas for the gain of a TWA based on an approach that approximates gate and drain lines as continuous structures [6]. Similarly, Beyer et al. developed a closed-form expression for the gain that depends on the circuits propagation constants and the gate circuit cutoff frequency [7]. Niclas et al. have also developed a method based on the use of the admittance matrix employing the -parameters of the transistor model in an amplifier with either artificial or real transmission lines. This method allows the use of much more sophisticated model for the transistor developed from its measured -parameters [8]. The traveling-wave amplification is based on combining the input and output capacitances of the active devices with inductors in such a way that two artificial transmission lines are obtained. The input and output capacitances of each device become the capacitance per unit section for these lines (Fig. 1) and the lines are coupled by the transconductance of the active device. As a result, it is possible to obtain amplification over much wider bandwidths than with conventional amplifiers. Designers have mainly concentrated on increasing the gain-bandwidth product and the gain flatness, as well as on output power capabilities. The operation of the TWA is easy to explain if we look at Fig. 1: an RF signal applied to the input port of the gate line travels down the line to the termination where it is absorbed. The traveling signal is picked up by the gates of the individual transistor and transferred to the drain line via their transconductance. If the phase velocities on the gate and drain lines are identical, the signals on the drain line are added in the forward direction. Any signal which travels backward and is not entirely canceled by the out-of-phase additions will be absorbed by the drain-line termination.

The attenuation on gate and drain lines is the critical factor controlling the frequency response of the amplifier, as will be shown below. The expressions for gate- and drain-line attenuations can be derived from the propagation function for the elementary section (Fig. 2). The origin of losses in a TWA is mainly due to the gate and drain resistances of the MOSFET, but there is also the contribution of the passives (substrate and metal losses). When evaluated for the specific networks shown in Fig. 2, we obtain the following closed-form expressions for attenuation on gate and drain lines [7]: (2)

(3) where

. A TWA provides gain because the voltage present at the gate of each MOSFET is amplified via its transconductance, producing a current in the drain line. To produce a useful gain, it is very important that these drain currents add in-phase as the signal moves along the drain line toward the amplifier’s output. Therefore, the condition that will ensure a constructive signal at the output of the DA is given by (4) and are the phase constant per section of the gate where and drain lines, respectively. This condition means, for these two artificial lines, (5)

III. TWA DESIGN The gain is a function of the drain/gate transmission lines and field-effect transistor (FET) parameters. The expression of the power gain of the stage TWA is given by the following equation [7]:

Another condition that must be imposed if the amplifier is designed to work in a 50 is (6) or (7) (8)

(1) where and are the characteristic impedances of the gate and drain lines, respectively.

The cutoff frequency is then given by (9)

SI MOUSSA et al.: BEHAVIOR OF TWA VERSUS TEMPERATURE IN SOI TECHNOLOGY

2677

Fig. 5. Chip microphotograph of the designed TWA.

Fig. 3. TFMS on 130-nm SOI CMOS technology.

Fig. 4. PD-SOI MOSFET transistor.

In the following, a four-stage TWA is designed on 130-nm PD SOI technology, using floating body (FB) transistors and thin-film microstrip (TFMS) lines. This amplifier was simulated with ADS Agilent Technologies software. The active devices used in the design are FB transistors composed of 60 fingers having a width of 2 m per finger and a channel length of 130 nm (60 2 0.13). The design of the DA also involves the design of lumped inductors. These inductors are realized by short lengths of highimpedance TFMS lines. Since the electrical characteristics of the TFMS are independent of the substrate resistivity, standard resistivity (20 cm) SOI substrates were used. The TFMS structure is composed of metal-1 and metal-2 layers stacked together to form the ground plane and a 2.9- m-thick silicon dioxide dielectric layer as a spacer with a strip conductor patterned on the metal-6 layer (Fig. 3). The PD-SOI MOSFET, still the most popular SOI technology in the industry (Fig. 4), shows a quasi-neutral region sandwiched between the space–charge regions extending from the front, lateral, and back oxide/Si interfaces and source/drain (S/D) junctions and, hence, are usually left electrically unconnected or floating. The TWA was entirely designed in Agilent’s ADS software environment using, for the first time, a semiempirical scalable large-signal RF MOSFET model and using a TFMS line model obtained through full-wave electromagnetic (EM) simulation via Ansoft’s High Frequency Structure Simulator (HFSS) software. The multilayered structure of the dielectric with numerous silicon–dioxide and silicon–nitride layers was simplified using Kraszewski formulation for effective permittivity [9].

Fig. 6. Simulated and measured data for (top) power gain and input reflection coefficient and (bottom) noise figure and output return loss for the CSTWA at room temperature.

The large-signal RF MOSFET model was developed for sub250-nm channel MOSFET transistors [10]. It is based on dc and -parameters measurements. The drain current is modeled with a nonlinear expression, which is continuous and infinitely derivable, leading to the transconductance and output conductance to be continuous. The capacitances and are modeled with nonlinear expressions derived directly from the gate charge expression, thus ensuring charge conservation. Model parameters are consistent with -parameters measurements. Noise simulation was also performed with a linear MOSFET model including noise sources [11]. In the design phase of the TWA, the line lengths within the gate and drain line units remain variables of the ADS optimizer. The final layout is given in Fig. 5 with an area of 500 m 1500 m including RF pads, and measured performances are shown in Fig. 6. IV. RESULTS AND DISCUSSION At room temperature (25 C), the common-source traveling-wave amplifier (CSTWA) shows a measured gain of 4.5 2 dB and a unity-gain bandwidth of 30 GHz (Fig. 6). The input and output reflection coefficients have been measured up to 40 GHz with a return loss better than 6 and 7 dB for and , respectively, up to 25 GHz. The noise figure is 4.6 dB

2678

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 7. Measured TWA gain (S ) versus frequency at various temperatures (25 C, 50 C, 100 C, 150 C, 200 C, 250 C, and 300 C).

Fig. 8. Measured drain line losses versus frequency and temperature (25 C, 50 C, 100 C, 150 C, 200 C, and 250 C).

at 6 GHz and 7.0 dB at 20 GHz. The measured coefficient (not shown) is lower than 15 dB over the whole bandwidth. These optimized performances were found for 1.4-V supply voltage, which corresponds to a dc power consumption of 66 mW. A. Temperature Impact The use of the bulk CMOS MOSFETs in the high-temperature range is limited by the latch-up due to the leakage current through the well junction, which becomes very large at high temperature. The SOI CMOS can be a viable alternative because the almost perfect isolation of nMOS and pMOS is afforded by the elimination of the CMOS well junction, which results in latch-up-free operation. Therefore, there is a practical need for a temperature-dependent SOI MOSFET model for circuit and device simulation. For the temperature analysis, on-wafer measurements were performed on the TFMS, the 60 2 0.13 FB SOI MOSFET transistor, and the designed TWA with an Anritsu 37369A vector network analyzer operating up to 40 GHz. Temperature control is provided by a Temptronics 8-in temperature chuck up to 300 C. 100- m-pitch ground–signal–ground (GSG) high-frequency coplanar probes were used for signal measurement and for circuit biasing (gate and drain lines). The measurements were done at V, over the temperature range from 25 C to 300 C. The measured results include the parasitic effects of the RF pads. As shown in Fig. 7, the decrease in gain and bandwidth versus temperature is important: gain at midband and bandwidth are 4.5 dB and 30 GHz, respectively, at room temperature and approximately 2 dB and 15 GHz at 300 C. In order to investigate and explain the behavior of the TWA versus temperature, -parameters of the TFMS lines were measured and, after using a classical thru-reflect-line (TRL) deembedding method, the lineic losses were extracted as shown for the drain line in Fig. 8. An increase of 80% of the lineic losses is measured with respect to temperature. Since the metallic strip has a positive temperature coefficient, the losses of the TFMS increase versus temperature [12]. The same degradation is observed for the gate line.

Fig. 9. Measured gate transconductance voltage versus temperature.

g

at

V

= 0:5 V and threshold

The gain degradation is also due to the decrease in the transconductance of the SOI MOSFET of approximately 28%, as shown in Fig. 9, at the same gate voltage used to bias the TWA. Also shown in this figure is the evolution of the threshold voltage as a function of temperature, which drops by 20% from room temperature up to 250 C. This can be explained by the fact that exhibits a negative temperature coefficient [13]. At high temperature, the increase of intrinsic carrier density causes the reduction of the front-channel threshold voltage. There is also an increase of the leakage current in the body–drain junction due to the increased thermal generation in the reverse-biased junction and in the depleted body film. The channel mobility degradation at high temperature due to the increased phonon scattering results in reduced drain current [14]. Fig. 10 also shows the degradation of the cutoff frequency of the transistor versus temperature. This degradation of the current gain cutoff frequency is due to the decrease of the transconductance (as shown in Fig. 9) and an increase of 10%–15% observed on the capacitances [15]. Fig. 11 depicts the behavior of a PD-SOI transistor when temperature increases. Since the body of the SOI devices is electrically floating, impact ionization-related effects (kink effect, parasitic bipolar action, ) tend to degrade the output conductance of SOI MOSFETs. It is observed that output conductance of SOI MOSFETs actually improves when temperature is increased (Fig. 12).

SI MOUSSA et al.: BEHAVIOR OF TWA VERSUS TEMPERATURE IN SOI TECHNOLOGY

2679

TABLE I DEGRADATION OF SOME MEASURED PARAMETERS FOR THE STUDIED TRANSISTOR FROM 25 C TO 250 C

Fig. 10. f and f

versus temperature.

Fig. 13. Contribution of the passive (TFMS) and active (MOSFET) devices to the degradation of TWA performance at 250 C.

Fig. 11. Effect of temperature increase on a PD-SOI [5].

The following curve shows the contribution of the losses due to the passive (TFMS) and active (FB MOSFET) devices on the gain and bandwidth of the TWA. The effect of each contributor has been simulated separately in order to quantify the impact of each on the TWA frequency behavior. From Fig. 13, it is clear that the largest contribution to the gain and bandwidth degradation is due to the metallic losses of the TFMS. This is an important guideline parameter in the design of TWA for high-temperature applications. Another problem related to the CSTWA is the Miller effect, which limits the high-frequency response. The Miller capacitance effect also contributes to the mismatch of the TWA sections causing the ripple in the gain [16]. B. Cascode Cell

Fig. 12. Output conductance dependence on temperature.

This is explained by several mechanisms: high temperature reduces impact ionization near the drain, excess minority carrier concentration in the device body is reduced through increased recombination, and the body potential variations are reduced owing to an increase of the saturation current of the source junction [5]. The degradation of some measured parameters for the studied transistor are summarized in Table I. Simulations were also carried out with ADS in order to investigate the main origin of the drop in the gain and bandwidth. The comparison is done at 25 C and 250 C on the gain of the TWA.

Nanometer-scale MOS devices deeply suffer from high Miller effect, which limits the amplifier’s high-frequency response. FB devices have a gate-to-drain capacitance of around 60 fF for a total width of 60 m. The gate-to-source capacitance to the gate-to-drain capacitance (Miller capacitance) ratio is [17]. The impact of the Miller effect on TWA performance is: 1) reduction of the bandwidth; 2) more ripple in the gain curve; and 3) reduction of the isolation (higher ), which causes mismatch and instability of the TWA. The choice of a cascode pair is justified by the drastic reduction of the Miller effect. As a consequence, higher and flatter gain are achievable, as well as an improved input–output isolation. A four-stage cascode traveling-wave amplifier (CTWA), which uses FB SOI MOS transistors and microstrip lines as passives, was designed (Fig. 14) and measured (Fig. 15). A gain of 7 1.1 dB with a 0.4–27-GHz bandwidth is measured under 1.4-V supply voltage [16].

2680

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 16. Schematic: (a) top view and (b) cross section of the body contact for a DT nMOSFET. Fig. 14. (top) Circuit schematic and (bottom) chip microphotograph of the designed CTWA.

Fig. 17. g and g versus drain current for a 30 SOI transistors.

2 2 2 0.13 FB et DT MOS

Fig. 15. Reduction of the ripple using the cascode topology.

As expected, the use of the cascode topology has not only increased the gain, but also reduced the Miller effect. This reduction results in an improvement of the gain flatness, as shown in Fig. 15.

TABLE II COMPARISON OF THE MEASURED CHARACTERISTICS OF THE USED FB AND DT MOSFET TRANSISTORS IN 130-nm SOI TECHNOLOGY

C. DTMOS Transistor Portable applications that traditionally required a modest performance are now dominated by devices that demand a very high performance. The demand for portability of these new systems limits their battery weight and size, placing a severe constraint on their power dissipation. Speed, density, and size of nonportable CMOS-based systems have increased considerably in recent years. Thus, lower consumption that was not a concern in these systems is now becoming a critical parameter. The most common approach for reducing power is power supply scaling. However, the lower limit for threshold voltage is set by the amount of off-state leakage current that can be tolerated. It is seen that if standard MOSFETs are used, a lower bound for power supply voltage or a larger leakage current become inevitable. To extend the lower bound of power supply to ultra-low voltages (0.6 V and below), the dynamic threshold voltage MOSFET (DTMOS) was proposed [18]. The DTMOS SOI transistor is a PD device with a contact between the gate and FB. DTMOS are fabricated using standard SOI PD CMOS processes. The FB of the SOI MOSFET is connected to the gate using a -metal contact, as shown in Fig. 16. A DTMOS is a body-contacted SOI transistor whose threshold voltage is dynamically controlled by connecting the

body to the gate. As the body-source voltage is controlled, there is no FB effect, and the threshold voltage swing is maximized, improving the ratio. For voltages higher than 0.6 V, the drain/body diode starts conducting and current limiters have to be introduced. Nevertheless, DTMOS transistors have two main drawbacks: a much larger layout area and a larger gate capacitance. However, the DTMOS is a good candidate for a TWA because it exhibits a higher transconductance and lower output conductance compared to an FB nMOS of the same size, as shown in Fig. 17. The DTMOS is larger due to the th reduction and the resultant increase of the gate drive. The DTMOS is smaller for short gate lengths, which suggests that drain currents saturate very well due to the small channel length modulation effect caused by a reduction in the drain’s electrical field. However, the DTMOS capacitances are larger due to the additional bodysource/drain capacitances [19]. The main small-signal equivalent elements for FB and DTMOS are extracted and presented in Table II. DTMOS demonstrates an increase of around 15% of and compared to FB MOS, thanks to its larger transconductance , which cancels the increase of its parasitic capacitances.

SI MOUSSA et al.: BEHAVIOR OF TWA VERSUS TEMPERATURE IN SOI TECHNOLOGY

2681

threshold swing and higher carrier mobility than the standard MOSFET. Furthermore, DTMOS threshold voltage drops as the gate voltage is raised, resulting in a much higher current drive than a conventional bulk or SOI MOSFET. As the ac and dc experimental and simulation results demonstrate, DTMOS is a good candidate for very low-voltage operation. ACKNOWLEDGMENT Fig. 18. Layout of the designed CTWA using DTMOS transistors.

The authors thank S. Lepillet, Institut d’Electronique et de Microélectronique et de Nanotechnologie (IEMN), Villeneuve d’Ascq, France, for the measurement setup. The chips were manufactured by ST-Microelectronics, Crolles, France. REFERENCES

Fig. 19. Simulated and measured gains of the common source (CS FB) and cascode (C FB) TWA with FB and DTMOS transistors.

In the following, we design a CTWA using DTMOS transistors, by keeping the same architecture as before and replacing the FB MOS by DTMOS. The chip layout is shown in Fig. 18. The chip area is kept the same (0.75 mm ). Fig. 19 shows simulated and measured gains obtained using the CSTWA and CTWA configurations using a 30 2 0.13 FB or a 30 2 0.13 DTMOS. As we can see, the CTWA DTMOS, which uses the same passives as the CTWA FB, already shows an enhancement of gain and bandwidth. An optimization of the passives, where the lengths and widths of the gate and drain microstrip lines were recomputed, combined to the used 30 2 0.13 DTMOS transistor, allows a simulated 0-dB cutoff frequency of 69 GHz. V. CONCLUSION TWAs were designed in a standard 130-nm SOI PD CMOS process, with FB devices and TFMS lines. TFMS is very attractive because it keeps the amplifier performances independent on the substrate resistivity and makes the circuit layout more compact comparing to coplanar waveguide (CPW) or large area consumed by integrated lumped inductors. The CSTWA has 4.5-dB gain at midband and 1–30-GHz bandwidth, with a power consumption of 66 mW at 1.4-V supply voltage at room temperature. The CTWA has 6.8-dB gain over 1–27 GHz for a power consumption of 54 mW. The excellent behavior of SOI CMOS circuits at high temperature suggests the use of this technology for different applications. For this reason, the behavior of the TWA has been investigated with respect to temperature. The results show that the losses induced in the TFMS are the main contributor to the decrease of the gain and the bandwidth of the TWA at high temperature. By tying the gate and the body of an SOI MOSFET together, a DTMOS is obtained. DTMOS has the theoretically ideal sub-

[1] E. L. Ginzton, W. R. Hewlett, J. H. Jasberg, and J. D. Noe, “Distributed amplification,” Proc. IRE, vol. 36, no. 8, pp. 956–969, Aug. 1948. [2] W. S. Percival, “Thermonic valve circuits,” British Patent 460 562, Jan. 25, 1937. [3] N. Zamdmer, J. Kim, R. Trzcinski, J. O. Plouchart, S. Narasimha, M. Khare, L. Wagner, and S. Chaloux, “A 243-GHz F and 208-GHz F 90-nm SOI CMOS SoC technology with low-power millimeterwave digital and RF circuit capability,” in VLSI Technol. Symp. Tech. Dig., Jun. 15–17, 2004, pp. 98–99. [4] D. Flandre, A. N. Nazarov, and P. L. F. Hemment, Science and Technology of Semiconductor-on-Insulator Structures and Devices Operating in a Harsh Environment. Norwell, MA: Kluwer, 2005. [5] J. P. Colinge, Silicon-on-Insulator Technology: Materials to VLSI, 2nd ed. Norwell, MA: Kluwer, 1997. [6] Y. Ayasli, R. L. Mozzi, J. L. Vorhaus, L. D. Reynolds, and R. A. Pucel, “A monolithic GaAs 1–13 GHz traveling-wave amplifier,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 7, pp. 976–981, Jul. 1982. [7] J. B. Beyer, S. N. Prasad, R. C. Becker, J. E. Nordman, and G. K. Hohenwarter, “MESFET distributed amplifier design guidelines,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 3, pp. 268–275, Mar. 1984. [8] K. B. Niclas, W. T. Wilser, T. R. Kritzer, and R. R. Pereira, “On theory and performance of solid-state microwave distributed amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 6, pp. 447–456, Jun. 1983. [9] Kraszewski, “Prediction of the dielectric properties of two phases mixtures,” J. Microw. Power, vol. 12, no. 3, p. 215, 1977. [10] A. Siligaris, “A new empirical nonlinear model for sub-250 nm channel MOSFET,” IEEE Microw. Compon. Lett., vol. 13, no. 10, pp. 449–451, Oct. 2003. [11] G. Dambrine, J.-P. Raskin, F. Danneville, D. V. Janvier, J.-P. Colinge, and A. Cappy, “High-frequency four noise parameters of silicon-oninsulator-based technology MOSFET for the design of low-noise RF integrated circuits,” IEEE Trans. Electron Devices, vol. 46, no. 8, pp. 1733–1741, Aug. 1999. [12] M. Si Moussa, C. Pavageau, P. Simon, F. Danneville, J. Russat, N. Fel, J.-P. Raskin, and D. Vanhoenacker-Janvier, “Behavior of a common source traveling wave amplifier versus temperature in SOI technology,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 3–7, 2005, pp. 1075–1078. [13] Y. S. Lin, “Temperature dependence of the power gain and scattering parameters S 11 and S 22 of an RF nMOSFET with advanced RF-CMOS technology,” Microw. Opt. Technol. Lett., vol. 44, no. 2, pp. 180–185, Jan. 20, 2005. [14] D. S. Jeon and D. E. Burk, “A temperature-dependant SOI MEOSFFET model for high-temperature application (27 C–300 C),” IEEE Trans. Electron Devices, vol. 38, no. 9, pp. 2101–2111, Sep. 1991. [15] M. Si Moussa, C. Pavageau, F. Danneville, J. Russat, N. Fel, J.-P. Raskin, and D. Vanhoenacker-Janvier, “Temperature effect on the performance of a traveling wave amplifier in 130 nm SOI technology,” in IEEE Radio Freq. Integr. Circuits RFIC Symp., Long Beach, CA, Jun. 11–17, 2005, pp. 495–498. [16] C. Pavageau, M. Si Moussa, A. Siligaris, L. Picheta, F. Danneville, J.-P. Raskin, D. Vanhoenacker-Janvier, J. Russat, and N. Fel, “Low power 23-GHz and 27-GHz distributed cascode amplifiers in a standard 130 nm SOI CMOS process,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 11–17, 2005.

2682

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[17] G. Dambrine, “What are the limiting parameters of deep-submicron MOSFETs for high frequency applications?,” IEEE Electron Devices Lett., vol. 24, no. 3, pp. 189–191, Mar. 2003. [18] F. Assaderaghi, D. Sinitsky, S. A. Parke, J. Bokor, P. K. Ko, and C. Hu, “Dynamic threshold-voltage MOSFET (DTMOS) for ultra-low voltage VLSI,” IEEE Electron Devices, vol. 44, no. 3, pp. 414–422, Mar. 1997. enhancement of dy[19] T. Tanaka, Y. Momiyama, and T. Sugii, “F namic threshold-voltage MOSFET (DTMOS) under ultra-low supply voltage,” IEEE Int. Electron Devices Meeting, pp. 423–426, Dec. 1997.

Mehdi Si Moussa (S’03) was born in Skikda, Algeria, in 1977. He received the State Engineering degree in electronics and M.Sc. degree in microwave and communication from the Ecole Nationale Polytechnique (ENP) of Algiers, Algiers, Algeria, in 1999 and 2001, respectively, and is currently working toward the Ph.D. degree in applied sciences at the Université catholique de Louvain (UCL), Louvain-la-Neuve, Belgium. He is currently with the Microwave Laboratory (EMIC), UCL. He is currently involved in the design of microwave distributed amplifiers. His research interests include simulation, design, and modeling of RF and microwave circuits in SOI technology.

Christophe Pavageau (S’05) was born in Angers, France, in 1979. He received the Engineers degree in electrical engineering from the Ecole Supérieure d’Electronique de 1’Ouest, Angers, France, in 2002, the M.S. degree in microelectronics and microtechnologies from Rennes I University, Rennes, France, in 2002, and the Ph.D. degree (with Honors) from Lillel University, Lille, France, in 2005. From 2002 to 2005, he was with the Institut d’Electronique et de Microélectronique et de Nanotechnologie (IEMN), Villeneuve d’Ascq, France and the Commisariat à 1’Energie Atomique, Bruyères-le-Châtel, France, as a doctoral student involved with the modeling of SOI MOSFETs and transmission lines and with the design of SOI CMOS microwave ICs. In November 2005, he joined the Microsystems Components and Packaging Group, Interuniversity Microelectronics Centre (IMEC), Leuven, Belgium, where he is involved with the design of CMOS 45-nm circuits with above-IC technology for 60-GHz applications.

Pascal Simon is currently a Technician with the Electrical Department, Université catholique de Louvain, Louvain-la-Neuve, Belgium, where he is currently in charge of electrical characterization. His main activities include I–V, C–V, and S -parameter measurements at wafer level over a wide frequency range (up to 110 GHz).

François Danneville (M’98) became an Associate Professor with the University of Lille, Lille, France, in 1991. Over the last ten years, his research has been carried out at the Institut d’Electronique et de Microélectronique et de Nanotechnologie (IEMN), Villeneuve d’Ascq, France, where he has studied the noise properties of III–V devices operating in the linear and nonlinear regimes for application in the centrimetric and millimetric ranges. In 1998, he was a Visitor (noise expertise) with the EEsof Division, Hewlett-Packard Company (now Agilent), Santa Rosa, CA. Since 2001, he has been a Full Professor with the University of Lille. He gives lectures in compound semiconductor device physics, noise in devices, linear and nonlinear electronics intended to analog, microwave,

and numerical circuits (third- and fourth-year university level). His research with the IEMN is oriented toward advanced silicon devices and circuits, which includes dynamic, noise, and linearity properties of MOSFET-based devices (including alternative architectures), SiGe HBTs, and circuit design in the millimetric-wave range using SOI technology and SiGe BiCMOS technology. He has authored or coauthored approximately 80 scientific international publications and communications. He is Editor for the Fluctuation and Noise Letters Journal. Dr. Danneville is chairman of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Microwave Low Noise and Techniques MTT-14 Technical Committee. He has been a member of several International Scientific or Technical Program Committees (UPoN’99-Adelaide, SPIE FaN 2003-Santa Fe, ICNF 2003-Prague, ICCDCS 2004). He was also the chairman of the Noise in Devices and Circuits Conference, SPIE Fluctuations, and Noise Symposium, 2004, Maspalomas, Canary Islands, and will be the co-chairman of the Noise in Devices and Circuits Conference, SPIE Fluctuations, and Noise Symposium 2005, Austin, TX.

Jean Russat was born in Arcachon, France, on January 3, 1959. He received the Doctorate degree in microelectronics and microcomputing from the Laboratory of Solid State Physics, Ecole Normale Supérieure, University of Paris VII, Paris, France, in 1987. His doctoral research concerned the formation of polyamic acid and polyimide/metal interfaces. Since 1989, he has been with the Commissariat à 1’Énergie Atomique (CEA), Bruyères-Le-Châtel, France, where he has been involved in research projects dedicated to various physics areas such as solid-state physics, antennas, and high-frequency electronics. He currently heads a laboratory involved with the design of ICs.

Nicolas Fel was born in Tours, France, on March 2, 1966. He received the Doctorate degree in physics from the Institut d’Électronique Fondamentale (IEF), University of Paris XI, Orsay, France, in 1993. His doctoral research concerned GaAs high-speed and high-resolution DACs. Since 1993, he has been a Research Engineer with the Commissariat à 1’Énergie Atomique (CEA), Bruyères-Le-Châtel, France, where he is involved in the design of mixed-mode or RF ICs either using silicon or III–V advanced technologies.

Jean-Pierre Raskin (M’97) was born in Aye, Belgium, in 1971. He received the Industrial Engineer degree from the Institut Supérieur Industriel d’Arlon, Arlon, Belgium, in 1993, and the M.S. and Ph.D. degrees in applied sciences from the Université catholique de Louvain, Louvain-la-Neuve, Belgium, in 1994 and 1997, respectively. From 1994 to 1997, he was a Research Engineer with the Microwave Laboratory, Université catholique de Louvain. He was involved with the modeling, characterization, and realization of MMICs in SOI technology for low-power low-voltage applications. In 1998, he joined the Electrical Engineering and Computer Science Department, The University of Michigan at Ann Arbor. He has been involved in the development and characterization of micromachining fabrication techniques for microwave and millimeter-wave circuits and microelectromechanical transducers/amplifiers operating within hard environments. Since January 2000, he has been an Associate Professor with the Microwave Laboratory, Université catholique de Louvain. He is a member of the Research Center in Micro and Nanoscopic Materials and Electronic Devices, Université catholique de Louvain. He has authored or coauthored over 150 scientific papers. His research interests are the modeling, characterization and fabrication of SOI MOSFETs for RF and microwave applications, planar circuits at millimeter and sub-millimeter waves frequencies, RF microelectromechanical systems (MEMS), and micromachined sensors. Dr. Raskin is a European Microwave Association (EuMA) associate member.

SI MOUSSA et al.: BEHAVIOR OF TWA VERSUS TEMPERATURE IN SOI TECHNOLOGY

Danielle Vanhoenacker-Janvier (M’88–SM’90) received the Electrical Engineer degree and Ph.D. degree in applied sciences from the Université catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 1978 and 1987, respectively. She is currently with the UCL, where she was an Assistant (1979–1987), Senior Scientist (1987–1994), Associate Professor (1994–2000), and Professor (since 2000) with the Microwave Laboratory. Since 2001, she has been Head of the Microwave Laboratory, UCL. She has been involved in the study of atmospheric effects on propagation above 10 GHz for over 25 years and she is currently interested in the analysis and modeling of the

2683

mobile propagation channel and the evaluation of its impact on communication systems. In 1989, she extended her research activity to microwave circuits. She is involved in the analysis, design, and measurement of microwave planar passive and active circuits with a special interest, since 1994, in microwave ICs on SOI. She has authored over 120 technical papers and coauthored a book. She is a reviewer for various international conferences and Institution of Electrical Engineers (IEE), U.K., journals Dr. Vanhoenacker-Janvier is a member of evaluation committees for grants and projects at Innovatie door Wetenschap en Technologie (TWT) since 1997, and at Fonds door Wetenschappelijk Onderzoek (FWO) and Fonds pour la formation a la Recherche dans rindustrie et 1’Agriculture (FRIA) since 2001. She is also a reviewer for various IEEE publications.

2684

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Lumped-Element Load-Network Design for Class-E Power Amplifiers Renato Negra, Student Member, IEEE, and Werner Bächtold, Fellow, IEEE

Abstract—This paper presents a design-oriented analysis of two lumped-element load-coupling topologies, which can be used to approximate class-E switching conditions. The presented output circuits are compared theoretically and experimentally with respect to harmonic termination and component values. Using a commercial BiCMOS process, two monolithic integrated class-E power amplifiers (PAs) have been designed and fabricated for operation at 5–6 GHz based on these load-coupling circuits. The PAs show an output power of over 19.7 dBm and power-added efficiency greater than 43.6% when operated from a 3-V supply. The results obtained with these first prototypes, operating at -band, show the feasibility of the proposed lumped-element class-E networks. Index Terms—BiCMOS integrated circuits, class E, high efficiency, monolithic microwave integrated circuit (MMIC) power amplifiers (PAs), switched-mode PAs.

I. INTRODUCTION IFFERENT linearization and efficiency enhancement techniques have been developed throughout the last century to provide linear and efficient amplification of nonconstant envelope signals [1]. Envelope elimination and restoration (EE&R) [2] is a very promising efficiency enhancement technique for systems employing modulation schemes like orthogonal frequency division multiplexing (OFDM), which result in large peak-to-average power ratio (PAPRs). In EE&R, highly efficient nonlinear amplifiers, theoretically achieving 100% efficiency, are employed to linearly amplify signals with a time-varying envelope. Switching-mode circuits are widely used at lower frequencies due to their very high efficiency, but they are rarely encountered at microwave frequencies. In fact, as the design frequency increases, the effects of device parasitics makes approximation of ideal switching behavior more difficult. Among the highly-efficient PA classes, class-E and the current mode class-D (CMCD) [3] topology have the distinct advantage of exploiting the output capacitance of the transistor. By including this device parasitic in the output matching network, these classes can be tuned to eliminate the capacitive power dissipation associated with the periodical charging and discharging of the output shunt capacitance. RF integrated-circuit (RFIC) implementation of single-

D

Manuscript received October 12, 2005; revised February 2, 2006. This work was supported by the Swiss Federal Institute of Technology/IBM Centre for Advanced Silicon Electronics. R. Negra is with the Laboratory for Electromagnetic Fields and Microwave Electronics, Swiss Federal Institute of Technology Zürich, CH-8092 Zürich, Switzerland (e-mail: [email protected]). W. Bächtold, retired, was with the Laboratory for Electromagnetic Fields and Microwave Electronics, Swiss Federal Institute of Technology Zürich, CH-8092 Zürich, Switzerland. Digital Object Identifier 10.1109/TMTT.2006.874864

ended class-E topologies is more feasible than that of CMCD [3] amplifiers due to the absence of both on-chip transformers and tight switching synchronization demands. Hybrid implementation of class-E amplifiers at microwave frequencies has been successfully demonstrated from below 1 GHz [4], [5] and up to the -band [6]. Monolithic implementations, however, have been restricted to below 4 GHz [7] by the use of lumped-element topologies, and to above 10 GHz [8], [9] by adopting transmission-line networks. Below 10 GHz, the use of on-chip transmission lines is often limited or impractical due to their large physical dimensions. On the other hand, the broadband performance of on-chip lumped components, particularly the self-resonant frequency value, prevents their use in harmonic controlled applications above a few gigahertz. Accurate prediction of the component behavior at higher harmonics is, however, essential in switched-mode or harmonic-controlled operation, since the circuit performance directly depends on harmonic impedance termination. The recently proposed load coupling topology in [10] makes do with smaller series inductance in the output network. By using this approach, the impact of passive component parasitics on the performance of switched-mode amplifiers is reduced. This extended paper aims at assessing the potential of the novel output-circuit concept proposed in [10] by comparing its performance theoretically with other load coupling networks. Experimental results of two monolithic microwave integrated circuit (MMIC) -band class-E power amplifiers (PAs), with different load coupling networks, are discussed. Both circuits are designed for the 5–6-GHz Unlicensed National Information Infrastructure (U-NII) bands and manufactured on the same chip. II. LOAD CIRCUIT TOPOLOGY Class E minimizes power dissipation by driving the transistor hard so that it acts like an ON/OFF switch. The output matching network shapes the voltage and current waveforms to prevent simultaneous high voltage and high current across the transistor output terminals. A detailed explanation of the operational principle of class-E PAs is presented in [11]. To obtain class-E operation, the active device has to be ideally loaded by given as [11] at at depends on the angular design frequency where switching capacitance as follows:

0018-9480/$20.00 © 2006 IEEE

(1) and the

(2)

NEGRA AND BÄCHTOLD: LUMPED-ELEMENT LOAD-NETWORK DESIGN FOR CLASS-E PAs

Fig. 1. Classic output architecture for class-E operation approximation. The load coupling circuit consists of a harmonic filter and an impedance transformation section.

The maximum achievable efficiencies for incremental inclusive control of harmonics, from the first through the fifth, have been calculated in [12] and are 50%, 70.7%, 81.65%, 86.56%, and 90.45%, respectively. These values show that, theoretically, proper second harmonic termination has the biggest impact on efficiency enhancement, whereas the influence of higher harmonics decreases with increasing order. A. Classic Load Circuit The load network depicted in Fig. 1 is commonly used to approximate the class-E switching conditions given in (1). A load transformation network, typified in Fig. 1 by a low-pass L-section, transforms the load impedance to the optimum fundamental class-E load . Inductor is introduced and determined to satisfy the fundamental load angle condition given in (1). The series resonator removes all higher harmonics from the output signal, constraining the load voltage to be a sinusoid of frequency . The reactive impedance obtained at the lower harmonics, especially the second, will depend on the quality factor of the output network. Considering the impedance transformation of down to , the loaded quality factor can be expressed as

(3) of the classic network is an important design parameter since it determines the impedances obtained at the lower harmonics, the harmonic content in the load voltage, the operational bandwidth of the circuit, as well as maximum efficiency. Besides providing better nominal class-E approximation and, thus, higher efficiency, a high also has the virtue of more effectively suppressing the harmonic content at the load. Selecting a large value, however, results in a narrow operational bandwidth of the circuit. In practice, large inductors also have the disadvantage of increased resistive loss and low self-resonant frequency . The of inductors—a figure of merit for their broadband performance—is of particular interest for higher frequency harmonic-controlled circuits. As the design frequency increases, the second harmonic can already easily fall outside the bandwidth of larger inductors and, consequently, the impedance seen at is not controllable. B. Low-Pass Load Circuit A simplified version of the classic output network is shown and, in Fig. 2. With the assumption of a very large value of hence, a very small value of , the series resonator

2685

Fig. 2. Modified low-pass network used to approximate class-E impedance termination.

Fig. 3. Proposed load circuit for class-E approximation providing optimized second harmonic termination and simultaneous fundamental load transformation.

in Fig. 1 is omitted. Although leaving out the series-tuned circuit impairs harmonic filtering and impedance termination, this topology is still a valuable means of approximating nominal class-E operating conditions, as it downsizes the output series inductor to more realizable values. Benefits of smaller inductors are lower resistive loss and, thus, higher output power and efficiency, reduced chip area, as well as wider bandwidths. Without additional filtering, however, the harmonic content at the load could be too high for many practical applications. The low-pass L-section in Fig. 2, formed by and , is designed to provide the required class-E load at its input terminal when loaded with . Inductor accomplishes the appropriate load angle and augments the reactive impedances at the harmonics. C. Optimized second harmonic Load Circuit Whereas the influence of appropriate harmonic termination on amplifier efficiency decreases with increasing order [13], the input impedance of a series resonator increases monotonically with larger offsets from resonance. This means that a series resonator with finite theoretically provides optimum load decoupling only at the least important harmonic. However, at the most significant frequency , it provides the lowest of the harmonic reactance. Since the number of harmonics present in a circuit and their proper termination is limited in practice by the maximum oscillation frequency of the active device and the broadband performance of the passive components, the load coupling topology depicted in Fig. 3 focuses on providing optimum impedance at the most significant harmonic, while accepting lower accuracy with decreasing importance. In addition, instead of relying on two distinct sections, one for harmonic filtering and one for fundamental load transformation, the proposed class-E output network can be designed to provide optimum second harmonic termination and simultaneously fundamental load transformation with less series inductance than the classic topology. This will benefit die size, as well as matching network insertion loss on the high-power side of the circuit, which leads to improved efficiency.

2686

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

In Fig. 3, the parallel tank formed by is designed to resonate at . The net impedance of the resonator at is used in combination with to transform the load to the impedance . At , the net impedance of the resonator is capacitive, but the reactance seen at the collector still can be made relatively high compared to the impedance at . At the reference plane in Fig. 3, the impedance looking toward the load has to be

(4) to obtain the class-E conditions, defined in (1) at the transistor output terminals. Furthermore, the impedance seen toward load is given by the parallel combination of and

(5) and by using (4) and (5), as well as the Imposing additional condition for resonance of at

(6) the design equations for the three elements and in Fig. 3 can now be derived. Assuming that , which is typically given in PA designs, the element values can be calculated as follows:

Fig. 4. Schematic of the 5–6-GHz class-E PA with the modified low-pass loadcoupling circuit.

of 3.3 V and of 10.5 V. At optimum bias current, the HBTs yield transit frequencies up to 47 GHz allowing adequate fast switching at -band. The process is targeted for RF, analog, and mixed-signal applications, having a 4- m-thick top metal layer and a maximum dielectric stack of 10 m for low-loss interconnect. This, together with the deep-trench insulations and patterned polysilicon shields, allows the implementation of inductors with a quality factor up to 19 at 5 GHz. Additionally, the 0.25- m CMOS devices and standard libraries could be exploited to integrate a complete EE&R amplifier on a single chip. HighNPN HBTs with an emitter area of 2 24 m 0.44 m are used as active devices in both designs. For this transistor size, an output capacitance of fF was extracted from -parameter simulations. According to (2) and (1), this leads to a fundamental class-E load impedance of at 5.5 GHz. Assuming ideal class-E operation, a theoretical output power of 21.7 dBm and an efficiency

(7) (8) (9)

%

(10)

are estimated for this device biased at 3 V. The minimum collector voltage V could be reduced by increasing the transistor size and, hence, obtaining a lower ON resistance of the device.

D. Optimized Higher Harmonic Load Circuit

A. Low-Pass Load Circuit

The load network topology shown in Fig. 3 can be adapted to provide optimum terminations at any higher harmonics or at any convenient frequency in between them. Focusing on higher harmonics has the advantage that series inductor in Fig. 3 is further downsized because the net impedance of the parallel resonator will become more inductive at with higher resonance frequency . The load coupling network proposed in [10] offers sufficient flexibility to allow optimization of circuit performance according to application specific requirements by adjusting . Since lower harmonics are the most relevant for efficiency and filtering, putting the resonance frequency between and will give the best results.

Fig. 4 shows the complete circuit diagram of the designed class-E PA based on a single-section low-pass output matching network. The capacitor and the inductor are determined to obtain the appropriate class-E load impedance at the switching frequency. Due to its low-pass characteristic, the impedance seen at the collector terminal tends to approximate an open circuit with increasing frequency. How well the ideal class-E switching conditions can be approximated will directly depend from the load transformation ratio : the higher , the higher the reactive impedance at will be compared to the fundamental impedance. For the used device, a capacitor of 370 fF is employed to lower the load impedance to . To compensate the parasitic shunt capacitances of the RF signal bond pad and the spiral inductor , the value of is reduced accordingly. The load angle is achieved by increasing from 0.655 nH—necessary for impedance transformation—up to 1.84 nH.

III. CIRCUIT DESIGN The potential applications require the circuits to be fabricated with a low-cost high-volume MMIC technology. The commercial IBM BiCMOS 6HP process [14] features SiGe heterojunction bipolar transistors (HBTs) with breakdown voltages

NEGRA AND BÄCHTOLD: LUMPED-ELEMENT LOAD-NETWORK DESIGN FOR CLASS-E PAs

2687

Fig. 5. Chip photograph of the monolithic integrated class-E PA. The load matching circuit is an L-section low-pass network. The total circuit area, including bond pads, measures 1.0 mm 0.67 mm.

2

Fig. 7. Chip photograph of the MMIC class-E PA with optimized second harmonic matching network. The total circuit area measures 0.78 mm 0.9 mm.

2

TABLE I COMPARISON OF THE COMPONENT VALUES AND IDEAL HARMONIC IMPEDANCES OF THREE ANALYZED CLASS-E LOAD-COUPLING CIRCUITS

Fig. 6. Schematic of the 5–6-GHz class-E PA loaded with the optimized second harmonic output network.

The input network was designed using small-signal techniques to match the impedance seen at the base of the properly loaded transistor to 50 . A ballasting resistor at the base contact, bypassed for the RF signal by a large capacitor , is used to prevent thermal runaway and to improve low-frequency stability. Inserting this resistor at the base impairs efficiency less than employing emitter ballasting. Exactly the same input matching network can be used for both designs because not only the fundamental impedance but, ideally, also all higher harmonic impedances have to be identical to achieve nominal class-E operation. A photograph of a fabricated -band class-E PA MMIC with the modified low-pass output network is shown in Fig. 5. The circuit comprises the low-pass load coupling circuit, an input matching and circuit stabilization network, as well as a base biasing circuitry. B. Optimized Second Harmonic Load Circuit Using the topology proposed in [10] and shown in Fig. 6, an inductor nH is required for the fundamental impedance transformation. By designing the same amplifier, but using the classic load topology with the minimum possible -value of 1.788 [15], the inductor nH in Fig. 1 would already be 36% larger than in Fig. 3. Also taking into account the necessary impedance transformation network in the classic approach, the total inductance needed in the output matching network of this design is lower by a factor of 1.8 for the optimized second harmonic topology. The value for capacitor fF, calculated from (9), had to be reduced to 90 fF in order to compensate for the parasitic parallel capacitance of spiral inductor . Including the

parasitic shunt capacitance of both the bond pad and metal–insulator–metal (MIM) capacitor , the initial value of was also lowered. To facilitate the comparison of the two output topologies, the input matching network is kept the same, both in terms of component values, topology, and layout as for the implemented low-pass amplifier described above. A photograph of a fabricated MMIC class-E PA, designed for the 5–6-GHz frequency band and employing the optimized load coupling circuit, is shown in Fig. 7. The circuit, which comprises input and output matching networks, as well as base biasing circuitry, occupies a chip area of 0.78 mm 0.9 mm. A summary of simulation results, carried out to evaluate the three discussed output networks with respect to component values and ideal harmonic terminations, is given in Table I. IV. EXPERIMENTAL RESULTS On-wafer characterization of the MMIC class-E PAs was carried out with the chip glued on a 25 mm 25 mm copper base plate by means of electrical and thermal conductive glue. The metal backplane, needed for heat-sinking purposes, has only negligible effects on the electrical behavior of the circuit due to the 635- m-thick substrate. A. Low-Pass Load Circuit Fig. 8 compares simulated and measured small-signal -parameters of the MMIC PA with the modified low-pass load coupling network. Biased at V and mA, a power

2688

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 8. Small-signal gain S and input return loss S of the class-E PA with = 3 V and I = 10 mA (measured: low-pass output network biased at V solid lines, simulated: dashed lines).

Fig. 9. Measured PAE (dashed line), dc-to-RF efficiency  (dashed–dotted (solid line), and power gain G (solid line with line), output power P markers) versus input power of the C -band PA with modified low-pass network = 3 V, I = 5 mA). measured at 5.5 GHz (V

gain of more than 9.8 dB is measured over the 5–6-GHz band, with a peak of 10.6 dB at 5.2 GHz. The input reflection coefficient is better than 6.2 dB over the same frequency band. The difference between simulated and measured -parameters might originate from lower effective capacitance in the input matching. As a consequence, the influence of the stabilizing resistor (see Fig. 4) on the gain of the amplifier is more pronounced. Whenever possible, the effect of parasitics of interconnects between various circuit elements has been factored into simulations. However, neglecting some short connections in the final simulation also impaired simulation accuracy. Results of the large-signal characterization at 5.5 GHz, as a function of single-tone sinusoidal input drive, are plotted in Fig. 9. When operated from a 3-V supply, the circuit provides 20.3 dBm (107 mW) of output power with a peak dc-to-RF efficiency %. When driven 4.5 dB into gain compression, the circuits exhibits a peak power-added efficiency (PAE) of 51.8% for a sinusoidal input power of 11.7 dBm.

(solid line), PAE (dashed line), and  Fig. 10. Measured peak P (dashed–dotted line) of the low-pass loaded MMIC PA measured over the U-NII frequency bands (V = 3 V, I = 5 mA).

Fig. 11. Output voltage V versus collector supply voltage V at 5.0 GHz (dashed line), 5.5 GHz (solid line), and 6.0 GHz (dashed–dotted line) for the low-pass class-E module.

As demonstrated in Fig. 10, the amplifier maintains similar performance for these three figures-of-merit over the specified 1-GHz bandwidth and beyond. Peak RF output power, PAE, and are better than 19.7 dBm, 43.6%, and 54.1%, respectively, over the band of interest when the circuit is biased at V and mA. The measurement ripple is due to frequencydependent mismatch between the circuit and the test equipment. Fig. 11 shows the dependency of output voltage from the applied supply voltage at 5.0, 5.5, and 6.0 GHz. For supply voltages between V and V, a near-linear relationship can be observed over the entire frequency band. At supply voltages lower than 0.1 V the switching behavior of the device is compromised and linearity degrades rapidly. The dynamic amplitude modulation range of the amplifier is, hence,

dB

(11)

NEGRA AND BÄCHTOLD: LUMPED-ELEMENT LOAD-NETWORK DESIGN FOR CLASS-E PAs

Fig. 12. Small-signal gain S and input return loss S of the class-E PA designed with the optimized second harmonic load network. The amplifier is = 3 V and I = 10 mA (measured: solid lines, simulated: biased at V dashed lines).

Fig. 13. PAE (dashed line), dc-to-RF efficiency (dashed–dotted line), output power (solid line), and power gain (solid line with markers) versus input power = 3 V, I = 5 mA). of the second harmonic PA measured at 5.3 GHz (V

B. Optimized Second Harmonic Load Circuit Fig. 12 compares simulated and measured small-signal -parameters of the class-E PA. Under the same bias conditions as the low-pass amplifier, the optimized second harmonic circuit provides higher gain over the entire band of interest with a peak of 11.1 dB at 5.1 GHz. The input reflection coefficient is better than 6.4 dB over the same frequency band. Results of large-signal characterization at 5.3 GHz are plotted in Fig. 13. Whereas the peak output power of 20.5 dBm is 0.2 dB higher, the peak % is lower than for the low-pass circuit. If the drive power is also taken into account, the larger gain provided by the optimized second harmonic circuit benefits PAE. A maximum of 52.5% at 3-dB gain compression is measured for the optimized amplifier. As demonstrated in Fig. 14, the second harmonic amplifier provides generally superior performance in terms of and PAE over the specified 1-GHz bandwidth. For this circuit maximum RF output power,

2689

(solid line), PAE (dashed line), and  Fig. 14. Measured peak P (dashed–dotted line) of the MMIC PA with the optimized second harmonic = 3 V, I = 5 mA). load circuit over the U-NII frequency bands (V

Fig. 15. Output voltage V versus collector supply voltage V at 5.0 GHz (dashed line), 5.5 GHz (solid line), and 6.0 GHz (dashed–dotted line) for the optimized 2f class-E amplifier.

TABLE II COMPARISON OF THE MAIN FIGURES-OF-MERIT OF THE IMPLEMENTED CLASS-E PAs OVER THE 5–6-GHz BAND

PAE, and dc-to-RF efficiency are better than 20.1 dBm, 47%, and 58.4%, respectively, over the band of interest. Comparing Figs. 15 and 11, it appears that there is practically no difference between the two circuits concerning dynamic modulation range and modulation accuracy. V. CONCLUSION Two MMIC amplifiers have been designed for the 5–6-GHz band to assess the performance of different lumped-element class-E load coupling networks. Both analyzed output topologies offer combined fundamental impedance transformation

2690

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

and suitable harmonic termination with considerably downsized series inductance compared to the classic output matching circuit. This opens the possibility to implement class-E circuits at microwave frequencies, where the classic lumped-element network cannot be realized. It can also benefit the performance of class-E circuits at lower frequencies by reducing insertion loss in the high-power side, and by providing optimum impedance termination at the most relevant harmonic. From simulations and experimental results summarized in Table II, it can be concluded that the performance of both implemented -band class-E PAs is superior to the classic configuration in this frequency range. The smaller inductance value—lower by a factor of 1.88 and 1.2 for the optimized second harmonic and the low-pass circuit, respectively—leads to considerably smaller die sizes and better performance compared to the standard technique. Higher efficiencies can be expected with an optimized switching transistor and careful tuning of the resonance frequency of the parallel-tuned circuit. Experimental prototyping showed that both load coupling networks are of suitable complexity to be applied successfully for monolithic integration of highly efficient class-E PAs at -band. ACKNOWLEDGMENT The authors would like to thank M. Lanz, H. R. Benedickter, and D. Treyer, all with the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland, for their help in preparing and characterizing the MMIC circuits, and the IBM SiGe Foundry, Burlington, VT, for manufacturing the chips. REFERENCES [1] F. Raab, P. Asbeck, S. Cripps, P. Kenington, Z. Popovic, N. Pothecary, J. Sevic, and N. Sokal, “Power amplifiers and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 814–826, Mar. 2002. [2] L. R. Kahn, “Single sideband transmission by envelope elimination and restoration,” Proc. IRE, vol. 40, no. 7, pp. 803–806, Jul. 1952. [3] A. Long, J. Yao, and S. Long, “A 13 W current mode class D high efficiency 1 GHz power amplifier,” in Proc. IEEE Microw. Circuits Syst. Symp., Tusla, OK, Aug. 2002, pp. 1–33-6. [4] A. Wilkinson and J. Everard, “Transmission-line load-network topology for class-E power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1202–1210, Jun. 2001. [5] T. Mader and Z. Popovic, “The transmission-line high-efficiency class-E amplifier,” IEEE Microw. Guided Wave Lett., vol. 5, no. 9, pp. 290–292, Sep. 1995. [6] M. Weiss, F. Raab, and Z. Popovic, “Linearity of -band class-F power amplifiers in high-efficiency transmitters,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1174–1179, Jun. 2001. [7] R. Tayrani, “A monolithic -band class-E power amplifier,” in Proc. IEEE GaAs Integr. Circuits Symp., Baltimore, MD, Oct. 2001, pp. 205–208.

X

X

[8] T. Quach, P. Watson, W. Okamura, E. Kaneshiro, A. Gutierrez-Aitken, T. Block, J. Eldredge, T. Jenkins, L. Kehias, A. Oki, D. Sawdai, R. Welch, and R. Worley, “Ultrahigh-efficiency power amplifier for space radar applications,” IEEE J. Solid-State Circuits, vol. 37, no. 9, pp. 1126–1134, Sep. 2002. -band [9] R. Negra and W. Bachtold, “Switched-mode high-efficiency MMIC power amplifier in GaAs pHEMT technology,” in Proc. IEEE Int. Electron Devices for Microw. Optoelectron. Applicat. Symp., Kruger Nat. Park, South Africa, Nov. 2004, pp. 15–18. [10] ——, “BiCMOS MMIC class-E power amplifier for 5 to 6 GHz wireless communication systems,” in Proc. 35th Eur. Microw. Conf., Paris, France, 2005, pp. 445–448. [11] N. Sokal and A. Sokal, “Class E—A new class of high-efficiency tuned single-ended switching power amplifiers,” IEEE J. Solid-State Circuits, vol. SSC-10, no. 3, pp. 168–176, Mar. 1975. [12] F. Raab, “Class-E, class-C, and class-F power amplifiers based upon a finite number of harmonics,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1462–1468, Aug. 2001. [13] ——, “Class-F power amplifiers with maximally flat waveforms,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 11, pp. 2007–2012, Nov. 1997. [14] J. S. Dunn, “Foundation of RF CMOS and SiGe BiCMOS technologies,” IBM J. Res. Develop., vol. 47, no. 2/3, Mar./May 2003. [15] N. Sokal, “Class E high-efficiency power amplifiers, from HF to microwave,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 1998, pp. 1109–1112.

Ka

Renato Negra (S’06) received the M.Sc. degree in telematics from the Graz University of Technology, Graz, Austria, in 1999, and is currently working toward the Ph.D. degree at the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland. From 1998 to 2000, he was with Alcatel Space Norway AS (formerly AME Space AS), Horten, Norway, where he was involved in the design and characterization of space-qualified RF equipment. In April 2000, he joined the Laboratory for Electromagnetic Fields and Microwave Electronics, ETH Zürich, where his doctoral research covers RF power-amplifier linearization techniques, and highly-efficient microwave PAs.

Werner Bächtold (M’71–SM’99–F’00) received the Diploma and Ph.D. degrees in electrical engineering from the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland, in 1964 and 1968, respectively. From 1969 to 1987, he was with the IBM Zurich Research Laboratory, where he was involved with device and circuit design and analysis with GaAs MESFETs, design of logic and memory circuits with Josephson junctions, and semiconductor lasers for digital communication. He has had several assignments with the IBM T. J. Watson Research Center, Yorktown Heights, NY. From December 1987 to March 2005, he was a Professor of electrical engineering with ETH Zürich. He headed the Microwave Electronics Group, Laboratory for Electromagnetic Fields and Microwave Electronics, and was engaged in the design and characterization of MMICs, design and technology of InP high electron-mobility transistor (HEMT) devices and circuits, and microwave photonics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2691

Direct-Conversion Quadrature Modulator MMIC Design With a New 90 Phase Shifter Including Package and PCB Effects for W-CDMA Applications Jian-Ming Wu, Student Member, IEEE, Fu-Yi Han, Tzyy-Sheng Horng, Senior Member, IEEE, and Jenshan Lin, Senior Member, IEEE

Abstract—This paper presents a wideband code-division multiple-access direct-conversion quadrature modulator monolithicmicrowave integrated-circuit (MMIC) design that employs a new technique to generate the 90 phase shift with low implementation loss. From the bare-chip measurement, this new 90 phase shifter has been proven with an amplitude and phase error less than 0.6 dB and 0.8 , respectively, within the applied frequency range from 1.85 to 1.98 GHz. The package and printed circuit board (PCB) interconnects are also analyzed using the three-dimensional electromagnetic simulation tool and transformed into the equivalentcircuit elements for co-simulation with the designed quadrature modulator MMIC. The degradation of error vector magnitude and sideband suppression due to the package and PCB can be well predicted and verified by measurements. Although the proposed 90 phase shifter has a remarkable advantage over the others in implementation loss, it is quite susceptible to the package and PCB effects and needs more design efforts to deal with those effects. Index Terms—Package and printed circuit board (PCB) effects, phase shifter, quadrature modulator.

I. INTRODUCTION N COMPARISON with the traditional heterodyne architecture, the direct-conversion architecture removes the IF process such that it can reduce the amount of local oscillators (LOs), mixers, and filters and lead to a high integration. The performances of a direct-conversion quadrature modulator such as the error vector magnitude (EVM), sideband suppression, and modulation bandwidth highly depend on the amplitude and phase errors of a 90 phase shifter. Many techniques have been reported to design a 90 phase shifter with low amplitude and phase errors. They are summarized to include the: 1) frequency divide-by-two scheme [1]–[3]; 2) RC–CR network [4], [5]; 3) LC high- and low-pass filter [6], [7]; 4) RC all-pass filter [8], [9]; 5) ring oscillator [10], [11]; and 6) poly-phase filter [12]–[14]. The first technique generates an accurate 90 phase shift, but requires high dc power consumption. For the second technique, it can be done with simplicity and low dc power consumption, but has high implementation loss inherently. The third technique has less

I

Manuscript received October 18, 2005; revised January 13, 2006. This work was supported in part by the Ministry of Education under Program of Aim for the Top University Plan, Taiwan, R.O.C., and by the National Science Council, Taiwan, R.O.C., under Grant 93-2213-E-110-009. J.-M. Wu, F.-Y. Han, and T.-S. Horng are with the Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, R.O.C. (e-mail: [email protected]). J. Lin is with the Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL 32611 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.874866

implementation loss, but is difficult to integrate. It is broadband, but complicated in design for the fourth technique. The fifth technique offers a relatively imprecise 90 phase shift and also consumes much dc power. For the sixth technique, it has a large implementation loss of approximately 3 dB for one stage and may need at least 2–3 stages for an accurate 90 phase shift. A new technique to generate the precise 90 phase shift has been proposed in this paper. It adopts a similar configuration of the differential amplifier pair shown in [4], but has the distinguishing feature that one differential amplifier with an emitterdegeneration inductance and the other one with an emitterdegeneration capacitance create the 90 phase shift. In further analysis, this phase shifter is based on an RL–RC network. The resistances in this RL–RC network are the intrinsic resistances of transistors and differ from those in the above-referred RC–CR network that uses real resistors. Therefore, the proposed 90 phase shifter is advantageous to have a relatively low implementation loss. A 1.9-GHz direct-conversion quadrature modulator featured with the proposed 90 phase shifter was implemented for wideband code-division multiple-access (W-CDMA) applications as a monolithic microwave integrated circuit (MMIC) and then housed in a leadless wire-bond package for the convenience of testing on a printed circuit board (PCB). It has been reported that the wire-bond packages usually behave like low-pass filters to reduce the operating bandwidth of MMICs [15], [16], and also play important roles to move the input impedance away from the optimum point in noise-figure matching [17], [18] for a low-noise amplifier MMIC. In [19] and [20], we have studied the degradation of linearity due to the package and PCB for an upconverter MMIC. In this research, the package and PCB interconnects are also carefully modeled to account for their effects on the implemented direct-conversion quadrature modulator MMIC during the on-board test. It is noted that, in [21], only some preliminary results of this research were presented without so much detailed analysis and measurement of the package and PCB effects, as shown in this paper. II. DIRECT-CONVERSION QUADRATURE-MODULATOR MMIC FEATURED WITH A NEW 90 PHASE SHIFTER The circuit schematic of the proposed 90 phase shifter is shown in Fig. 1. It consists of two differential amplifiers. One connects an inductance as an emitter-degeneration element, while the other connects a capacitance instead. Each differential amplifier has one input for the coming single-ended LO signal and has

0018-9480/$20.00 © 2006 IEEE

2692

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

With use of (1), the amplitude and phase error of the 90 phase shifter can be defined as (2) (3) are within the constraints and , which can simplify (2) and (3) as

In reality, the choices of Fig. 1. Circuit schematic of the proposed new 90 phase shifter.

and

(4)

(5) From (4) and (5), both amplitude and phase error are equal to zero only when (6) Fig. 2. Small-signal T-equivalent differential half-circuit for the proposed 90 phase shifter using a round spiral inductor as an emitter-degeneration element.

the other input ac grounded. The phase difference between two differential amplifier outputs is aimed at 90 . In practice, the combination of one inductance and one capacitance cannot generate the 90 phase shift. The 90 phase shift is mainly determined by the intrinsic resistances in the transistors and in conjunction with the emitter-degeneration inductance and capacitance . Assume that the transistors and match each other. The center node between the emitters of the differential amplifier connecting the bias current source is virtually grounded due to the balanced operation of the circuit. We can analyze the differential amplifier with its differential half-circuit in the common-emitter configuration. Fig. 2 shows the equivalent small-signal T-model for such a differential half-circuit having the emitter-degeneration element of impedance , which is equal to either or . In the circuit shown in Fig. 2, and represent the signal source voltage and the base–emitter voltage, respectively. The base–emitter resistance is denoted by . is the base–emitter junction capacitance that consists of the diffusion and depletion capacitance. represents the emitter resistance. The transistor transconductance is denoted by . When the collector is assumed ac grounded, the transconductance gain normalized with respect to for the differential half-circuit shown in Fig. 2 is derived as

(1)

(7) Under the conditions of (6) and (7), the differential amplifier with an emitter-degeneration inductance has a phase lag of 45 , while the differential amplifier with an emitter-degeneration capacitance has a phase lead of 45 . However, creating such a 90 phase difference between the two differential amplifiers is at the expense of the gain reduction due to the emitter-degeneration inductance or capacitance. Therefore, an implementation loss can be defined as the ratio of the absolute value of normalized transconductance gain, calculated without the emitter-degeneration inductance or capacitance, to the same gain, calculated considering such an effect, which is expressed as

or

dB

(8)

, the implementation loss is calculated equal When to 3 dB, which is the smallest value among the reported data in the current literature regarding the active 90 phase-shifter designs. In the implementation of the above-described 90 phase shifter using an InGaAs heterojunction bipolar transistor (HBT) foundry process with up to 30 GHz, the quantity of and forward ideality factor ( ) of the HBT is found as 7.05 and 1.042, respectively, in the SPICE model provided by the foundry. Under the bias collector current of 3.2 mA, can be approximated equal to , which is calculated as . Note that represents the thermal voltage and is approximately equal to 0.026 V at room temperature. For W-CDMA applications, the design center frequency is chosen at 1.915 GHz. After substituting these quantities into (6) and (7), the calculated solution of and is approximated to 2.6 nH and 2.6 pF, respectively, for constructing such a 90

WU et al.: DIRECT-CONVERSION QUADRATURE MODULATOR MMIC DESIGN WITH NEW 90 PHASE SHIFTER

Fig. 3. Block diagram of the direct-conversion quadrature modulator MMIC.

phase shifter. We use a round spiral inductor and metal–insulator–metal (MIM) capacitor to realize these two components with specific value. It is cautioned that the 2.6-nH round spiral inductor has much larger parasitic elements than the 2.6-pF MIM capacitor and, therefore, it is better to use the complete equivalent circuit extracted from -parameter measurement for this inductor in the final circuit design, as illustrated in Fig. 2. The block diagram of the direct-conversion quadrature modulator is shown in Fig. 3. It consists of a 90 phase shifter, two double-balanced mixers, a differential-to-single-ended converter, and an output buffer. All the essential microwave circuits are integrated inside a single chip. The LO signal is split into two in-phase single-ended signals of equal amplitude before applied to the two differential amplifiers. The outputs of the two differential amplifiers featured with the proposed 90 phase shifter are the two differential signals of equal amplitude with 90 phase difference. Quadrature modulation is finally done with the help of two Gilbert-cell mixers. The differential to the single-ended converter is in a totem-pole configuration and takes charge of transforming the double-balanced mixer’s differential output into a single-ended one. The buffer is designed with output impedance matched to 50 for the purpose of power amplification. The proposed 90 phase shifter and the direct-conversion quadrature modulator that uses this 90 phase shifter were implemented in a single chip with 2 mm 1 mm area. Its microphotograph is shown in Fig. 4. Fig. 5 shows the amplitude error and phase error extracted from the measured -parameters of the 90 phase shifter. At the center design frequency of 1.915 GHz, the measured amplitude and phase error is observed as 0.25 dB and 0.2 , respectively. Within the W-CDMA transmit bands I and II that cover from 1.85 to 1.98 GHz, the measured phase error is maintained quite low, less than 0.8 . The measured amplitude error within the same transmit bands is higher due to parasitic loss in the 2.6-nH round spiral inductor, but still under 0.6 dB. The average deviation of the theoretical results from the measured data is 0.2 dB and 0.4 for the amplitude and phase error, respectively. III. EVALUATION OF PACKAGE AND PCB EFFECTS ON THE IMPLEMENTED QUADRATURE-MODULATOR MMIC The quadrature modulator requires a four-port measurement setup to provide the baseband in-phase (I) and quadrature (Q) signals and the LO carrier with a power sweep as input signals, and generate the output RF modulated signal for test of

2693

Fig. 4. Chip micrograph of the implemented direct-conversion quadrature modulator MMIC.

Fig. 5. Comparison between theoretical and measured results of amplitude and phase errors for the 90 phase shifter without including the package and PCB effects.

Fig. 6. (a) Wire-bonded chip in the packaging process. (b) Packaged chip on PCB for testing.

the EVM and sideband suppression. This measurement is not easily done on-wafer. For the convenience of measuring the complete RF parameters, the MMIC was housed in a 32-pin bump chip carrier (BCC) package with an overall dimension of 5 mm 5 mm 0.8 mm. Fig. 6(a) presents a chip wirebonding photograph in the packaging process. The packaged chip was finally surface mounted onto a PCB with an area of 27 mm 26 mm for testing, as seen in Fig. 6(b). It is noted that the 32-pin BCC package is a leadless quad package and can reduce more than one-half in the area of a mounting footprint when compared to the conventional lead quad package like quad flat pack (QFP) with the same pin count.

2694

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 9. (a) Circuit schematic of the proposed 90 phase shifter. (b) Its smallsignal T-equivalent circuit.

Fig. 7. 3-D configuration shown in an EM extractor for simulating the equivalent parasitic elements of package and PCB interconnects.

TABLE I SIMULATED PARASITIC ELEMENT QUANTITIES OF THE OUTPUT AND GROUND INTERCONNECTS IN THE PACKAGE AND PCB

Fig. 10. (a) Circuit schematic of the proposed double-balanced mixer. (b) Its small-signal  -equivalent differential half-circuit.

Fig. 8. Circuit simulation of direct-conversion quadrature-modulator MMIC with inclusion of the package and PCB interconnect parasitic elements.

The parasitic effects for the interconnects realized on the MMIC are assumed negligible in comparison with those realized on package and on the PCB. As illustrated in Fig. 7, we use the electromagnetic (EM) extractor based on a three-dimensional (3-D) quasi-static methodology to calculate the equivalent parasitic element quantities for the package and PCB interconnects in connection to the RF output and ground terminals of the quadrature modulator MMIC. The calculated quantities are listed in Table I. The corresponding equivalent circuit of these interconnects when used for co-simulation with the quadrature modulator MMIC is shown in Fig. 8. It should be particularly noted that the parasitic elements of the package and PCB interconnects in connection to the baseband I and Q and the LO terminals have been neglected because they hardly influence the output modulation quality. The package and PCB effects on the individual stage, as well as the overall circuit of the quadrature modulator MMIC can be analyzed as follows. A. 90 Phase Shifter The dominant package and PCB effects on the proposed 90 phase shifter, as described in Section II, is to cause nonzero

ground impedance in grounding one of the two input ports for each differential amplifier. Fig. 9(a) shows the circuit schematic for one of the differential amplifiers in the 90 phase shifter that considers the common-ground parasitic elements in the package and PCB. It is noted that the extracted quantities of these ground parasitic elements can be found in Table I. After analysis of its equivalent circuit, as shown in Fig. 9(b), one can derive the transconductance gain normalized with respect to in the following form:

(9) corresponds to the equivalent ground impedance for where these ground parasitic elements. B. Double-Balanced Mixer The design of double-balanced mixers in the quadrature modulator adopts a Gilbert-cell structure with emitter degeneration to enhance the linearity performance, as shown in Fig. 10(a). The transistors from to form the basic structure of a Gilbert cell. The input differential baseband signal is amplified by the differential-pair transistors, i.e., and , which are individually degenerated by an emitter resistance . The output voltage drops on the load resistances are then caused by the differential LO signal when applied to switch on the transistors from to . This way, the baseband and LO signals are mixed to generate the output differential RF signal, which is finally transformed into a single-end one by an on-chip differential to single-ended converter. Since the double-balanced mixer has a well-matched differential configuration, one

WU et al.: DIRECT-CONVERSION QUADRATURE MODULATOR MMIC DESIGN WITH NEW 90 PHASE SHIFTER

2695

Fig. 11. (a) Circuit schematic of the proposed differential to single-ended converter. (b) Its small-signal  -equivalent circuit.

can regard the current source terminal as a virtual ground. This implies that the double-balanced mixer is not affected by the ground interconnects in the package and PCB. As a matter of fact, the influence of package and PCB still exists because the output load impedance of the double-balanced mixer, i.e., , is dependent on the ground parasitic elements. The main reason to account for this dependence is that can be regarded as the input impedance of the next stage component, a differential to single-ended converter, which needs to be common grounded for delivering a single-ended signal and, therefore, has an input impedance with dependence on the common-ground parasitic elements in the package and PCB. Through an analysis of the equivalent differential half-circuit, shown in Fig. 10(b), the transconductance gain of double-balanced mixer can be derived as a function of the equivalent-circuit elements and the load impedance, which is given as

Fig. 12. (a) Circuit schematic of the proposed output buffer. (b) Its small-signal  -equivalent circuit.

where represents the output load impedance. Note that can be regarded as the input impedance of the next stage component, an output buffer, and still has the dependence on the package and PCB parasitic elements. In (10), can be regarded as the input impedance of this converter and has been found as (12)

(10) D. Output Buffer C. Differential to Single-Ended Converter Fig. 11(a) shows the circuit schematic of the differential to single-ended converter including the package and PCB parasitic elements. The converter adopts a totem-pole structure with a stack-up of two transistors, i.e., and . One transistor is in a common-collector configuration and serves as the input end of the differential positive signal. The other one is in a common-emitter configuration and serves as the input end of the differential negative signal. Fig. 11(b) shows the equivalent small-signal -model of this converter with a current gain derived as

As shown in Fig. 12(a), the ground and output interconnect and admittance in the parasitic impedances package and PCB are considered in evaluation of the output buffer performance. It is noted that the output buffer is in a common emitter configuration with a parallel feedback for consideration of stability. The output impedance is matched to 50 . With an equivalent circuit shown in Fig. 12(b), the output buffer has been analyzed to have a transimpedance gain given as

(13) (11)

2696

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 14. Comparison of the EVM of the quadrature modulator between inclusion and exclusion of the package and PCB effects.

Fig. 13. Accumulated amplitude error, phase error, and power loss at consecutive stages of the quadrature modulator including the package and PCB effects.

where and represent the equivalent shunt impedances after using Miller’s theorem to deal with the parallel feedback elements and . In (12), can be regarded as the input impedance of this output buffer and has been found as

can be clearly seen that, after considering the package and PCB effects, the 90 phase shifter is the dominant component to the total amplitude and phase error, while the output buffer is the dominant component to the total loss due to the presence of package and PCB. IV. RESULTS AND DISCUSSIONS A. EVM

(14) E. Overall Effects The overall effects of the package and PCB on the implemented quadrature modulator MMIC can be summarized with the following total gain:

In Fig. 13, the accumulated magnitude and phase errors at the output buffer stage of the quadrature modulator MMIC in consideration of the package and PCB effects can be used to predict the EVM of quadrature phase-shift keying (QPSK)-modulated output signal. Under the condition that the amplitude error and phase error approach unity and zero, respectively, an approximate formula to calculate the EVM can be found as %

(15)

(17)

The above total gain, as well as the gains of individual stages, can be used to replace the gain without considering the package and PCB effects in (2) and (3) for yielding the amplitude and phase errors in the overall circuit and the individual stages with inclusion of package and PCB effects. Besides, the package and PCB effects also cause a power loss in each stage and overall of the quadrature modulator, which can be modeled as

Fig. 14 compares the calculated EVM (solid line) with the measured results (square symbols) over the entire applied frequency range. The comparison shows good agreement with an average difference of 0.25%. In a similar fashion, the accumulated amplitude and phase error at the output buffer stage of the quadrature modulator MMIC without inclusion of package and PCB effects can also be obtained by setting all the parasitic impedances and admittances in (9)–(14) equal to zero. With these data, we again apply (17) to calculate the corresponding EVM, as plotted in the dotted line of Fig. 14. One can compare to see that the package and PCB effects increase the EVM by an average of approximately 5.8%.

dB

(16)

where with subscript denotes the gain of the th stage or the total gain. Fig. 13 shows the accumulated amplitude error, phase error, and power loss at consecutive stages of the quadrature modulator including the package and PCB effects. In Fig. 13, the symbols are used to pinpoint the quantities of those parameters at the center frequency of 1.915 GHz, and the gray I bars are used to indicate the variation in quantity for those parameters within the applied frequency range from 1.85 to 1.98 GHz. It

B. Sideband Suppression When treated as a single-sideband modulator, the quadrature modulator is selected to output the upper sideband (USB) signal rather than the lower sideband (LSB) signal by inserting the baseband I signal with a 90 phase lag to the Q signal. The variations in both USB and LSB power levels due to the amplitude

WU et al.: DIRECT-CONVERSION QUADRATURE MODULATOR MMIC DESIGN WITH NEW 90 PHASE SHIFTER

2697

When compared to the on-PCB measured results (square symbols), good agreement with both simulated and theoretical results can be seen up to an input LO power of 20 dBm. The sideband suppression within this range is approximately 23 dB. An input LO power larger than 20 dBm drives the output buffer into compression, which is not well predicted by the presented theory and simulation. From the comparison of output USB and LSB power levels between the bare and packaged chips, the package and PCB effects have caused the USB power level to decrease by approximately 5.2 dBm and the LSB power level to increase by approximately 3.1 dBm. This results in a total of 8.3-dB degradation in the sideband suppression due to the presence of the package and PCB. Fig. 15. Comparison of the sideband suppression of the quadrature modulator between inclusion and exclusion of the package and PCB effects.

and phase errors of quadrature modulator have been successfully derived and verified in [22]. However, for this case, not only the amplitude and phase errors, but also the power losses due to the presence of the package and PCB will vary the USB and LSB power levels. Therefore, with the derived formulas in [22] to account for the influence of amplitude and phase errors and (16) to account for the package and PCB losses, we can predict the output USB and LSB power levels for the implemented quadrature modulator MMIC in consideration of the package and PCB effects as follows:

V. CONCLUSION A W-CDMA direct-conversion quadrature-modulator MMIC design featured with a new 90 phase shifter has been presented. The main advantage is less implementation loss in comparison with the other available techniques. The package and PCB effects on the implemented quadrature modulator MMIC have also been studied. It was observed through our careful analysis and measurement that the package and PCB effects caused an average of 5.8% increase in EVM and 8.3-dB degradation in sideband suppression. The component most responsible for these phenomena is the proposed 90 phase shifter whose amplitude and phase balances are quite susceptible to the ground parasitic elements in the package and PCB. ACKNOWLEDGMENT

dBm (18)

The authors wish to thank the National Chip Implementation Center, Taiwan, R.O.C., for providing the InGaAs HBT foundry service, and Advanced Semiconductor Engineering Inc., Kaohsiung, Taiwan, R.O.C., for providing the packaging service. Our gratitude is also given to the National Science Council, Taiwan, R.O.C., for sponsoring the Graduate Student Study Abroad Program (GSSAP) to bring about this international cooperative research project. REFERENCES

dBm (19) where the subscript “bare chip” denotes the results for the barechip case, i.e., the case without considering the package and PCB effects. In the on-PCB measurement, the frequency of the input baseband I and Q signals is set at 5 MHz and the LO frequency is centered at 1.915 GHz. The output frequency of LSB and USB signal corresponds to 1.91 and 1.92 GHz, respectively. Fig. 15 compares the results for the output USB and LSB power levels between inclusion and exclusion of the package and PCB effects. The simulation results (solid line) are generated from the Advanced Design System (ADS) based on the circuit configuration, as illustrated in Fig. 8. The theoretical results (cross symbols) use ADS simulation results for the bare-chip case as reference data (dotted line) and, according to (18) and (19), add the variations due to the increase of the amplitude and phase errors and the losses when the package and PCB effects are included.

[1] H. M. Rein, R. Reimann, and L. Schmidt, “A 3 Gb/s bipolar phase shifter and AGC amplifier,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 1989, pp. 144–145. [2] K. Maemura, Y. Kohno, H. Nakano, T. Shimura, K. Oki, H. Ishida, and O. Ishihara, “The 200 MHz- and 1.5 GHz-band GaAs monolithic quadrature modulator ICs,” in Gallium Arsenide Integr. Circuits Symp. Dig., 1990, pp. 283–286. [3] J. Itoh, T. Nakatsuka, K. Sato, Y. Imagawa, T. Uda, T. Yokoyama, M. Maeda, and O. Ishikawa, “A low distortion GaAs quadrature modulator IC,” in IEEE Radio-Freq. Integr. Circuits Symp. Dig., 1998, pp. 55–58. [4] M. Steyaert and R. Roovers, “A 1-GHz single-chip quadrature modulator,” IEEE J. Solid-State Circuits, vol. 27, no. 8, pp. 1194–1197, Aug. 1992. [5] K. Yamamoto, K. Maemura, N. Andoh, and Y. Mitsui, “A 1.9-GHzband GaAs direct-quadrature modulator IC with a phase shifter,” IEEE J. Solid-State Circuits, vol. 28, no. 10, pp. 994–1000, Oct. 1993. [6] R. V. Garver, “Broad-band diode phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 5, pp. 314–323, May 1972. [7] C. Suckling, R. Pengelly, and J. Cockrill, “S -band phase shifter using monolithic GaAs circuits,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 1982, pp. 134–135. [8] S. K. Altes, T. H. Chen, and L. J. Ragonese, “Monolithic RC all-pass networks with constant-phase-difference outputs,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 12, pp. 1533–1541, Dec. 1986.

2698

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[9] A. Boveda and J. I. Alonso, “A 0.7–3 GHz GaAs QPSK/QAM direct modulator,” IEEE J. Solid-State Circuits, vol. 28, no. 12, pp. 1340–1349, Dec. 1993. [10] H. Matsuoka and T. Tsukahara, “A 5-GHz frequency-doubling quadrature modulator with a ring-type local oscillator,” IEEE J. Solid-State Circuits, vol. 34, no. 9, pp. 1345–1348, Sep. 1999. [11] W. Baumberger, “A single-chip image rejecting receiver for the 2.44 GHz band using commercial GaAs-MESFET-technology,” IEEE J. Solid-State Circuits, vol. 29, no. 10, pp. 1244–1249, Oct. 1994. [12] M. J. Gingell, “Single sideband modulation using sequence asymmetric polyphase networks,” Elect. Commun., vol. 48, pp. 21–25, 1973. [13] F. Behbahani, Y. Kishigami, J. Leete, and A. A. Abidi, “CMOS mixers and polyphase filters for large image rejection,” IEEE J. Solid-State Circuits, vol. 36, no. 6, pp. 873–887, Jun. 2001. [14] M. Borremans, M. Steyaert, and T. Yoshitomi, “A 1.5 V, wide band 3 GHz, CMOS quadrature direct up-converter for multi-mode wireless communications,” in Proc. Custom Integr. Circuits Conf., 1998, pp. 79–82. [15] T.-S. Horng, S.-M. Wu, H.-H. Huang, C.-T. Chiu, and C.-P. Hung, “Modeling of lead-frame plastic CSP’s for accurate prediction of their low-pass filter effects on RFICs,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1538–1545, Sep. 2001. [16] T.-S. Horng, S.-M. Wu, C.-T. Chiu, and C.-P. Hung, “Electrical performance improvements on RFIC’s using bump chip carrier packages as compared to standard thin shrink small outline packages,” IEEE Trans. Adv. Packag., vol. 24, no. 4, pp. 548–554, Nov. 2001. [17] P. Sivonen and A. Parssinen, “Analysis and optimization of packaged inductively degenerated common-source low-noise amplifiers with ESD protection,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1304–1313, Apr. 2005. [18] P. Sivonen, S. Kangasmaa, and A. Parssinen, “Analysis of packaging effects and optimization in inductively degenerated common-emitter low-noise amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1220–1226, Apr. 2003. [19] J.-M. Wu, J.-K. Jau, T.-S. Horng, and C.-C. Tu, “Highly linear upconverter MMIC designs with complete package and test board effects for CDMA applications,” in IEEE Radio-Freq. Integr. Circuits Symp. Dig., 2003, pp. 405–408. [20] J.-M. Wu, F.-Y. Han, J.-K. Jau, and T.-S. Horng, “Package and PCB effects on linearity of a micromixer-based W-CDMA upconverter,” in Proc. Eur. Microw. Conf., 2004, pp. 245–248. [21] J.-M. Wu, F.-Y. Han, T.-S. Horng, and J. Lin, “Direct-conversion quadrature modulator MMIC design with a new 90 degrees phase shifter including package and PCB effects for W-CDMA applications,” in Proc. Eur. Microw. Conf., 2005, pp. 983–986. [22] A. Brilland and D. Pezo, “Modulation imperfections in IS-54/136 dualmode cellular radio,” Microw. J., vol. 43, pp. 300–312, May 2000.

Jian-Ming Wu (S’00) was born November 13, 1974, in Kaohsiung, Taiwan, R.O.C. He received the B.S.E.E. degree from Yuan Ze University, Chungli, Taiwan, R.O.C., in 1997, the M.S.E.E. degree from National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C., in 2000, and is currently working toward the Ph.D. degree in electrical engineering at National Sun Yat-Sen University. His research interests include design and modeling of MMIC components and packages.

Fu-Yi Han was born December 4, 1979, in Taichung, Taiwan, R.O.C. He received the B.S.E.E. and M.S.E.E. degrees from National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C., in 2001 and 2003, respectively, and is currently working toward the Ph.D. degree in electrical engineering at National Sun Yat-Sen University. His research focuses on the chip-package-board codesign of RF components and modules.

Tzyy-Sheng Horng (S’88–M’92–SM’05) was born December 7, 1963, in Taichung, Taiwan, R.O.C. He received the B.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1985, and the M.S.E.E. and Ph.D. degrees from the University of California at Los Angeles, in 1990 and 1992, respectively. He is currently a Professor with the Department of Electrical Engineering and also the Director of the Institute of Communications Engineering, National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C. His research interests include RF and microwave integrated circuits, RF systems-on-package, and digitally assisted RF technology.

Jenshan Lin (S’91–M’94–SM’00) received the B.S. degree from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1987, and the M.S. and Ph.D. degrees in electrical engineering from the University of California at Los Angeles (UCLA), in 1991 and 1994, respectively. In 1994, he joined AT&T Bell Laboratories (later Lucent Bell Laboratories), Murray Hill, NJ, as a Member of Technical Staff, and became the Technical Manager of RF and High Speed Circuit Design Research in 2000. Since joining Bell Laboratories, he has been involved with RF integrated circuits using various technologies for wireless communications. In September 2001, he joined Agere Systems, a spin-off from Lucent Bell Laboratories, and was involved with high-speed CMOS circuit design for optical and backplane communications. In July 2003, he joined the University of Florida, Gainesville, as an Associate Professor. He has authored or coauthored over 100 technical publications in referred journals and conferences proceedings. He holds five patents. His current research interests include RF system-on-chip integration, high-speed broadband circuits, high-efficiency transmitters, wireless sensors, biomedical applications of microwave and millimeter-wave technologies, and software-configurable radios. Dr. Lin has been active in the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He is an elected Administrative Committee (AdCom) member serving the term of 2006–2008. He is a member of the Wireless Technology Technical Committee. He has served on several conference Steering Committees and Technical Program Committees including the IEEE MTT-S International Microwave Symposium (IMS), the Radio Frequency Integrated Circuits Symposium (RFIC), the Radio and Wireless Symposium (RWS), and the Wireless and Microwave Technology Conference (WAMICON). He is currently the Technical Program co-chair of 2006 and 2007 RFIC Symposium, and the finance chair of the 2007 RWS. He was the recipient of the 1994 UCLA Outstanding Ph.D. Award and the 1997 Eta Kappa Nu Outstanding Young Electrical Engineer Honorable Mention Award. He has been the coauthor/advisor of several IEEE MTT-S IMS Best Student Paper Awards and advisor of an IEEE MTT-S Undergraduate/Pre-Graduate Scholarship Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2699

Multiwafer Vertical Interconnects for Three-Dimensional Integrated Circuits Rosa R. Lahiji, Student Member, IEEE, Katherine J. Herrick, Member, IEEE, Yongshik Lee, Member, IEEE, Alexandros Margomenos, Member, IEEE, Saeed Mohammadi, Senior Member, IEEE, and Linda P. B. Katehi, Fellow, IEEE

Abstract—Low-loss multiwafer vertical interconnects appropriate for a microstrip-based circuit architecture are proposed. These transitions have been designed, fabricated, and measured for 100- m-thick silicon and GaAs substrates separately. Experimental results show excellent performance up to 20 GHz, with extremely low insertion loss (better than 0.12 and 0.38 dB for the two different silicon designs and 0.2 dB for the GaAs transition), and very good return loss (reflection of better than 12.9 and 17.3 dB for the two silicon designs, respectively, and 13.6 dB for the GaAs design). Using a high-performance transition allows for a more power-efficient interconnect, while it enables denser packaging by stacking the substrates on top of each other, as today’s technologies demand. Index Terms—Integrated circuit packaging, microstrip line, vertical interconnects.

I. INTRODUCTION N TODAY’S technology, integrating a system is a major challenge in terms of having the most compact and efficient packaging. Microstrip lines are one of the best suited architectures for monolithic microwave integrated circuits (MMICs) and, hence, are widely used due to their large bandwidth, high power handling, excellent miniaturization, and small volume. At the same time, the microstrip architecture has been the most difficult one to utilize in multiwafer arrangements due to the extending ground planes and the parasitic inductances and capacitances associated with changes in the ground metallization. High-density circuit architectures require multiwafer transitions that can be used to tightly integrate circuit components on multiple wafers, integrated using wafer-to-wafer bonding methods. Developing a multiwafer circuit using a low-loss vertical interconnect architecture results in less dissipated power per unit area and, hence, increases power-handling capability and reduces volume and cost. To design an optimal transition, not only the line impedances should be matched (to maximize the coupling and minimize the reflection), but also the fields on

I

Manuscript received October 12, 2005; revised February 4, 2006. This work was supported by the Defense Advanced Research Project Agency and managed by the Air Force Research Laboratory, Sensors Directorate, Aerospace Components and Subsystems Division, Wright Patterson AFB under the Intelligent RF-Front End Program. R. R. Lahiji, S. Mohammadi, and L. P. B. Katehi are with the School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47906 USA (e-mail: [email protected]). K. J. Herrick is with Raytheon RF Components, Andover, MA 01810 USA. Y. Lee is with the School of Electrical and Electronic Engineering, Yonsei University, Seoul 120-749, Korea. A. Margomenos is with EMAG Technologies Inc., Ann Arbor, MI 48108 USA. Digital Object Identifier 10.1109/TMTT.2006.874867

the two interconnecting lines should make a transition in a way that reduces unwanted junction parasitics. Even at frequencies as low as -band, matching the impedances alone is not adequate and the fields along the transition have to maintain their continuity as well. In a high-density circuit, good performance requires low loss combined with reduced parasitic radiation and proximity coupling between the various sections of the electromagnetic structure. Previous research has demonstrated the development of efficient vertical transitions that transfer the wave (signal) from the backside of a wafer to the front side of the same wafer [1]–[3]. In addition, uniplanar transitions have been demonstrated that transition of the RF signal from one type of an interconnect to another [4]. Some examples of previous research include a coplanar waveguide (CPW)-to-microstrip transition on a single wafer [1], a CPW-to-CPW transition on a single wafer [2], a microstrip-to-coplanar stripline (CPS) transition, a slotline transition [3], and a CPS-to-CPW transition [4]. In all previous research, multiwafer transitions have thus far been designed and demonstrated in a finite-ground CPW circuit environment. Specifically, a CPW-to-CPW vertical transition was demonstrated on a silicon wafer, which transfers the signal from the front side of the bottom wafer to the backside of the top wafer, operated at frequencies as high as -band [5]. As oppose to a CPW line, in a microstrip configuration, the ground and signal lines are separated by the thickness of the wafer. Hence, in order to make the transition of the microstrip line vertically from a lower wafer to the top wafer in a stacked configuration, both the signal and ground metallization have to go through vertically and, thus, multiple vias are needed. The study presented in this paper describes for the first time the development and successful demonstration of a new three-dimensional (3-D) microstrip-to-microstrip interconnect that can transfer RF signals vertically through stacks of wafers. These transitions have been successfully demonstrated with two 100- m GaAs wafers using deep reactive ion etching (DRIE) to form cylindrical vias [6] and are extended herein to multiwafer structures using two 100- m Si wafers and wet etching to form conical vias. Fig. 1 illustrates the primary idea of the proposed transitions. In the 3-D view, the wire-framed boxes represent the substrates, while in the side view, the substrates are recognized as solid boxes. Also for the clarity of this figure, only the metal layers are depicted in the top view. II. DESIGN AND MODELING In order to implement the idea of the vertical interconnect, both signal and ground conductors have to make a transition

0018-9480/$20.00 © 2006 IEEE

2700

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 2. First architecture (DES1): the optimized microstrip-to-microstrip vertical interconnects on silicon. (a) 3-D view. (b) Top view.

they compensate the effect of each other (creating a resonance). Hence, different architectures are proposed herein for each case specifically to maintain both the impedance match and the continuity of the fields. Each structure has been modified and optimized using Ansoft HFSS V.9.1, for the best impedance and field match in the desired frequency range (1–20 GHz) on both silicon and GaAs 100- m-thick wafers. The major difference between the designs originated from the available technology to fabricate the designs. Hence, the designs are reviewed separately herein. Fig. 1. Preliminary idea of vertical interconnects between two wafers (a) 3-D view. (b) Side view. (c) Top view. (Color version available online at: http:// www.ieeexplore.ieee.org.)

A. Silicon Transition Designs

from the bottom wafer to the top wafer. The signal conductor of both wafers is on the front side of each wafer, as depicted in Fig. 1. As shown in this figure, in addition to the signal lines, the ground lines are finite in size and their width has been designed to provide the appropriate characteristic impedance (50 ). Both signal and ground lines are transited from a bottom wafer to the top wafer with vias that are etched through the wafers. The presence of vias increases the inductance of the line locally, which has to be compensated by introducing the proper capacitance at the transition point, for the desired frequency range. The design aspect for both silicon and GaAs wafers are identical, but due to the slight difference in fabrication processes, which will be described below, the parasitic elements introduced during transition are different in value. These parasitic capacitances and inductances are responsible for the continuity of the fields, near changes in geometry characteristics. Traditional methods to overcome these field discontinuities lead to either modifications of the geometrical structure in order to eliminate or minimize these parasitic values or to optimize their values so that

As mentioned before, the idea is to transition the signal vertically through vias. This transition will delay the signal and introduces some inductance along the transition path, which has to be compensated with proper capacitance accordingly. Two different designs have been established, namely, DES1 and DES2, which are shown in Figs. 2 and 3, respectively. Both designs are optimized for a stacked configuration of two 100- m-thick high-resistivity ( k cm) silicon substrates. For low resistivity substrates such as the ones used in current CMOS processes, long microstrip lines will introduce substantial loss and should be avoided. However, with some modifications, vertical transition designs presented here can be utilized for 3-D integrated circuits. As it is obvious from these figures, DES1 has a higher count of vias for the ground transition when compared to DES2. The vias have a pyramidal shape with 162 m 162 m opening windows because they are etched anisotropically through the wafer using silicon wet etching. Hence, the base of each via is 20 m 20 m in area. The width of the signal line on the top wafer is 80- m wide, while on the lower wafer it is 100 m so that all lines have a characteristic impedance of 50 . During

LAHIJI et al.: MULTIWAFER VERTICAL INTERCONNECTS FOR 3-D INTEGRATED CIRCUITS

2701

Fig. 4. Architecture of the optimized microstrip-to-microstrip vertical interconnects on GaAs. (a) 3-D view. (b) Top view. Fig. 3. Second architecture (DES2): the optimized microstrip-to-microstrip vertical interconnects on silicon. (a) 3-D view. (b) Top view.

the transition from the top wafer to the bottom wafer, the ground conductor of the top microstrip and the signal conductor of the bottom microstrip are sandwiched between the two wafers, thus causing a change in the characteristic impedance of the line. Specifically, the characteristic impedance increases from 50 to a higher value. It is in an effort to correct for this change that the width of the signal line is widened from 80 m on the top microstrip to 100- m-wide line on the bottom microstrip. In order to measure the -parameters of the designed structure, a number of back-to-back transitions with different lengths of line in between them were fabricated. Moreover, to be able to make on-wafer measurements, a CPW section of line with a signal–gap–ground size of 60–40–250 m (50 ) was utilized and appropriate CPW-to-microstrip transitions were designed and added at both ends. In this design, with wet-etched vias, the ground vias open from the front side of the bottom wafer, while the signal via opens from the backside of the top wafer, allowing flexibility in defining the separation between the top and bottom wafer vias. This orientation of the vias aligns the inner sidewalls of the signal and ground vias of the transmission line in a parallel fashion and brings them in close proximity. Hence, the signal and ground currents are forced to flow along the parallel inner sidewalls of the vias, thus resulting in reduced parasitic radiation loss. B. GaAs Transition Design A new transition based on cylindrical via architecture has been designed on a 100- m-thick GaAs wafers. The vias are fabricated by a DRIE so they are smaller in size and provide a more compact size design. In this design, the vias

are cylindrical with a 60- m diameter and with a pad size of 85 m 85 m. As illustrated in Fig. 4(a), the signal is fed through a 60–40–130- m CPW section to the top microstrip and transitions to the bottom microstrip through the vias. As in silicon designs, the width of the microstrip line was increased appropriately to compensate the increase in (effective permittivity) when the conductor is sandwiched between two substrates. Fig. 4(b) shows the dimensions of the fabricated structure. C. Modeling As mentioned in the design section, the lines and transitions have been designed to maintain a 50- impedance across the lines and through the vias. A 50- microstrip or CPW line is realized relatively easily, but in order to preserve the impedance along the transition, the effect of the geometrical discontinuities is studied. A simple model has been derived that describes the response of such a transition fairly well [see Fig. 5(b)]. The inductance values are determined by the shape of the via and the via pad sizes, while the capacitance has two components: the parasitic open-end capacitance between the end of the microstrip signal conductor and the ground conductor [ in Fig. 5(a)] and the gap capacitance between the signal and ground conductors at the midsection of the transition , the value of which is primarily determined by the separating gap. As the gap size decreases, the value of the increases accordingly, while and values remain unchanged. On the other hand, increasing the thickness of the wafer decreases the value of and . Comparing these effects and the geometry dimensions, the and values can be negligible with respect to for small gap sizes and thick wafers. As a result, we can further simplify the model to the one shown in Fig. 5(c), where . The extension of the lines beyond the vias

2702

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 6. Simulation results with HFSS for the selected example and the response of the optimized model, which was extracted from ADS. (Color version available online at: http://www.ieeexplore.ieee.org.)

Fig. 5. Modeling the transition. (a) Side view of the transition showing the capacitor components. (b) Simplified model of the geometry shown in (a). (c) Further simplified model of the transition. (Color version available online at: http://www.ieeexplore.ieee.org.)

are modeled as simple transmission lines, while the lumped circuit shown in this figure represents the transition section itself. The circuit parameters of this simplified model are derived within ADS 2003, while the physical structure is simulated and optimized using Ansoft HFSS V.9.1 to achieve the optimum impedance and desired field match in the operating frequency range (1–20 GHz). In order to derive the value of the passive components shown in Fig. 5(c) ( and the capacitance ) as function of the given geometry, a detailed study is performed using both HFSS and ADS software. First by keeping the architecture and all the dimensions the same, the gap size [the distance between the metal traces, as shown in Fig. 1(a)] varies and, at each value, the structure is simulated within HFSS. Next, the wafer thickness [labeled as in Fig. 1(a)] is varied and, for each value of the wafer thickness, the structure is simulated within HFSS. The simulated scattering parameters of each of the above-mentioned geometry parameters are exported from HFSS and then imported in ADS. By utilizing the gradient optimization, the value of circuit elements shown in Fig. 5(c) are derived so that the response of the circuit is best fitted to each corresponding set of data from HFSS. To demonstrate the above-described design process, a 100- m GaAs transition with gap size of 75 m has been used as an example here. This design is modeled in ADS by using the gradient optimizer to match the -parameter results derived from simulating the physical 3-D structure in HFSS. Fig. 6 shows the response of this design in HFSS along with the response of the best fit model deduced from

ADS by adjusting the values of the elements of the proposed simple circuit. The lumped-element circuit will show a more narrow frequency-band response when compared to the fabricated transmission-line structure. The theoretically calculated results in ADS (the line marked with ’s) follow the real insertion-loss response from the HFSS model, almost perfectly up to 20 GHz, while the reflection coefficients compare less favorably. The discrepancy in the values of the reflection coefficients originates from the fact that the model used is using the lumped-element circuit and has been simplified by consolidating the three capacitances into one. Despite the simplifications, the results from the analysis agree fairly well with the measured behavior of the transition to the third decimal place 10 10 8 10 . This extraction of the inductance and capacitance values is performed for each geometrical variation in the vertical interconnect structure and the value of the capacitance and inductances are plotted in Fig. 7(a) and (b), respectively. As observed from the graph in Fig. 7(a), the gap size is changed for three different wafer thickness values m, m, and m. and being the open-end parasitic capacitances of the simplified transmission line, dominate when the wafer thickness is small compared to the gap size. Hence, the real value of the model parameter might deviate slightly from the plotted curve, as the substrate gets thinner [this is the dotted plot in Fig. 7(a)]. However, as the gap size decreases, the value of the increases and becomes a dominant term, thus, any variation of the gap size has a significant effect on . On the other hand, by keeping the gap size at the nominal value, i.e., 75 m, and increasing the thickness of wafers, the inductance value increases accordingly. Hence, for a given thickness of the wafer, the value for ’s can be derived from Fig. 7(b). The value can also be extrapolated from the graphs in Fig. 7(a) and an estimated value for the gap size can be realized as the starting point of the design. Proper dimensions for the transition can be maintained by using 3-D electromagnetic software such as HFSS and by optimizing the performance for the desired frequency range. For a 100- m-thick GaAs wafer and gap size of 75 m, the inductance and capacitances values can be read off the graphs as nH, nH, and pF.

LAHIJI et al.: MULTIWAFER VERTICAL INTERCONNECTS FOR 3-D INTEGRATED CIRCUITS

2703

Fig. 7. Variation of the modeled parameter values showed in Fig. 5 with respect to physical changes to the geometry on GaAs. (a) Capacitance. (b) Inductances. (Color version available online at: http://www.ieeexplore.ieee.org.)

III. FABRICATION A. Silicon Design The fabrication of the proposed silicon multiwafer transition is achieved via a combination of surface and bulk micromachining. Two 100- m-thick high-resistivity double-sided polished silicon wafers with thermal oxide on both sides are used. 1 m of gold is deposited on the topside using a liftoff process in order to form the top interconnect. The via structures are anisotropically etched in potassium hydroxide (KOH) and are metallized with 4 m of gold to form the bottom metallization layer. The required alignment marks for the front and backside of each wafer are formed using optical alignment. After completion of the fabrication steps on each of the two wafers separately (top and bottom wafers), the two wafers were bonded together to form the stacked multiwafer structure. The bonding alignment marks are etched through the wafers (DRIE) so that the two wafers can be aligned through the etched holes at four corners of the wafer. Finally a gold-to-gold thermo-compression bonding is performed at 365 C [8], [9], which completes the process. B. GaAs Design Fabrication of the multiwafer transition on GaAs utilizes industry standard processing and is compatible with active field-effect transistor (FET) fabrication and integration processes. With some minor differences, the process steps are similar to the silicon transition. Starting with full thickness GaAs wafers, one side of the wafers are fully processed and then flipped and mounted on carriers for backside processing. Backside processing includes thinning the GaAs wafer to 100 m, dry etching the 60- m-diameter vias, metallization, patterning, and scribing. As in the silicon architecture, each of the top and bottom wafers is processed separately. After completion of the fabrication steps on each of the two wafers, the top and bottom transition substrates are bonded together by a thermo-compression gold to-gold bond to form the stacked multiwafer structure, similar to the silicon devices. Alignment is achieved by simply aligning the edges of the two wafer pieces.

IV. MEASUREMENT AND SIMULATION RESULTS A. Silicon Design The fabricated structures have been measured using the 8722 network analyzer with a thru-reflect-line (TRL) calibration for 1–20 GHz. These calibration standards are fabricated on each chip and, in addition to providing calibration of the network analyzer, they serve to provide good measurements of the insertion loss in decibels per millimeter. On the silicon wafers, insertion loss of approximately 0.19 dB/mm has been measured. Fig. 8(a) demonstrates the measured and simulated results of the DES1 shown in Fig. 2, while Fig. 8(b) depicts the results of the DES2 (Fig. 3). As seen from these data, the measured and simulated reflections coefficients agree very well. For DES1, a reflection of better than 12.9 dB and for DES2 better than 17.3 dB has been achieved for the whole frequency band. The measured insertion loss is slightly higher than the simulated insertion loss due to the fact that the simulations have been done for perfect conductors and the ohmic losses were not included. Assigning a finite conductivity to the lines and considering the ohmic losses in the simulations will not have any substantial effect on the reflection coefficient, but it will increase the insertion loss as the measurements have demonstrated. Comparing the return loss of the two designs , it is seen that DES1 has a better loss at lower frequencies, but it degrades faster as frequency increases. This is due to the fact that the ground metallization of DES1 has multiple vias and, hence, is a more inductive structure with respect to DES2. This plays the dominant role in increasing the return loss at higher frequencies. Nondeembedded insertion loss of better than 0.87 dB for DES1 and 1.47 dB for DES2 has been achieved. The measured insertion loss of each one of these structures includes the two back-to-back vertical transitions, along with a 2-mm-long microstrip line connecting the transitions. In addition, there are two 600- m-long sections of microstrip line right after the CPW-to-microstrip transitions. To get a more accurate characterization of the transition, we deembedded the loss of the microstrip-line sections included in

2704

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 8. Measured and simulated response of the whole structure consisting of two back-to-back microstrip-to-microstrip vertical interconnect and CPW-tomicrostrip transitions at both ends on silicon. (a) DES1. (b) DES2. (Color version available online at: http://www.ieeexplore.ieee.org.)

Fig. 9. Simulated and measured response of a back-to-back vertical interconnects on silicon before and after deembedding the ohmic loss due to the excess microstrip sections. (a) DES1. (b) DES2. (Color version available online at: http://www.ieeexplore.ieee.org.)

the designs (a total of approximately 3.2-mm-long microstrip lines). Fig. 9(a) and (b) shows the measured, deembedded, and simulated results for the insertion loss of each back-to-back transition separately. Based on these results, insertion loss of back-to-back transitions better than 0.24 dB for DES1 and 0.77 dB for DES2 has been achieved after deembedding. As a result, the insertion loss per individual vertical transition is 0.12 and 0.38 dB, respectively. B. GaAs Design The designed transitions for the GaAs wafer have also been fabricated and measured using the 8722 network analyzer calibrated from 1 to 20 GHz. As mentioned in the design section, the two-port CPW measurement is possible by fabricating a back-to-back microstrip transition with additional microstrip-to-CPW transition at both ends. Fig. 10 demonstrates the measured and the simulated results of this design. As seen from this figure, the measured -parameters agree very well with the simulated results from HFSS. For this design, a reflection of better than 13.6 dB for the whole frequency band has been achieved. Similar to the silicon case, the measured insertion loss is slightly higher than the simulated results due to the fact that the simulations include perfect conductors and the ohmic losses were omitted. A nondeembedded insertion loss of better than 1.1 dB up to 20 GHz has been measured. The measured insertion loss of this structure includes the insertion loss of two vertical transitions in a back-to-back configuration along with the ohmic loss of the

Fig. 10. Measured and simulated response of the whole structure consisting of two back-to-back microstrip-to-microstrip vertical interconnects along with the CPW-to-microstrip feed lines at both ends on GaAs. (Color version available online at: http://www.ieeexplore.ieee.org.)

1-mm-long microstrip line, which connects these two transitions. There are also two 600- m-long sections of microstrip line, right after the CPW pads, which have to be considered when deembedding the excess loss terms. To get a more accurate characterization of the transition, the loss of the line sections included in the designs (approximately a total of 2.2-mm-long microstrip line) are deembedded. Fig. 11 demonstrates the insertion loss of the simulated and measured structure before and after deembedding. The response of the back-to-back transition has been extracted from the measurements and is plotted in this

LAHIJI et al.: MULTIWAFER VERTICAL INTERCONNECTS FOR 3-D INTEGRATED CIRCUITS

2705

W

-Band Three-Dimensional Integrated Circuits Uti[9] K. J. Herrick, lizing Silicon Micromachining. Ann Arbor, MI: The Univ. Michigan at Ann Arbor, 2000. [10] Ansoft HFSS. ver. 9.1, Ansoft Corporation, Pittsburgh, PA, 2003. [11] A. Margomenos and L. P. B. Katehi, “Fabrication and accelerated hermeticity testing of an on-wafer package for RF MEMS,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 6, pp. 1626–1636, Jun. 2004.

Fig. 11. Simulated and measured response of the whole structure consisting of two back-to-back microstrip-to-microstrip vertical interconnects along with the CPW-to-microstrip feed lines at both ends on GaAs. (Color version available online at: http://www.ieeexplore.ieee.org.)

figure. Consequently, the insertion loss per vertical transition has been found to be less than 0.2 dB for the frequencies up to 20 GHz. V. CONCLUSION Novel microstrip architectures for multiwafer vertical interconnects compatible with industry-standard silicon micromachining and GaAs active wafer technology have been demonstrated. The transitions show very low insertion loss and good return loss for frequencies up to 20 GHz. These designs open new opportunities for further integration of multiwafer architectures in a new class of 3-D circuits. Since these transitions are based on a microstrip architecture, the presented designs are very flexible and will result in significant reduction of chip size along with cost and power consumption. ACKNOWLEDGMENT The authors would like to acknowledge the help and assistance of Dr. J.-H. Jeon, Purdue University, West Lafayette, IN. REFERENCES

W

[1] G. P. Gauthier, L. P. B. Katehi, and G. M. Rebeiz, “ -band finite ground coplanar waveguide (FGGPW) to microstrip line transition,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, vol. 1, pp. 107–109. [2] A. Margomenos, D. Peroulis, J. P. Becker, and L. P. B. Katehi, “Silicon micromachined interconnects for on-wafer packaging of MEMS devices,” in Silicon Monolithic Integr. Circuits RF Syst. Top. Meeting Dig., Sep. 2001, pp. 33–36. [3] K. Goverdhanam, R. N. Simons, and L. P. B. Katehi, “Novel vertical interconnects with 180 degree phase shift for amplifiers, filters, and integrated antennas,” in Silicon Monolithic Integr. Circuits RF Syst. Top. Meeting Dig., Sep. 2001, pp. 201–204. [4] N. I. Dib, R. N. Simons, and L. P. B. Katehi, “New uniplanar transitions for circuit and antenna applications,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2868–2873, Dec. 1995. [5] K. J. Herrick and L. P. B. Katehi, “RF -band wafer-to-wafer transition,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, pp. 73–76. [6] R. R. Lahiji, K. J. Herrick, S. Mohammadi, and L. P. B. Katehi, “Low loss multi-wafer vertical interconnects for three dimensional integrated circuits,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, vol. 1, pp. 177–180. [7] M. A. Schmidt, “Wafer-to-wafer bonding for microstructure formation,” Proc. IEEE, vol. 86, no. 8, pp. 1575–1585, Aug. 1998. [8] N. Ahmed and J. J. Svitak, “Characterization of gold–gold thermocompression bonding,” Solid-State Technol., pp. 25–32, Nov. 1975.

W

Rosa R. Lahiji (S’97) was born in Ann Arbor, MI. She received the B.S. degree in electrical engineering from Tehran University, Tehran, Iran, in 2000, the M.S. degree from The University of Michigan at Ann Arbor, in 2003, and is currently working toward the Ph.D. degree at Purdue University, West Lafayette, IN. Her research interests include RF and microwave circuits and packaging, RF microelectromechanical systems (MEMS), 3-D integration of RF and microwave systems, and nanotechnology.

Katherine J. Herrick (S’91–M’00) received the B.S.E. and M.S.E. degrees in electrical engineering and Ph.D. in electrical engineering from The University of Michigan at Ann Arbor, in 1994, 1996, and 2000, respectively. Her doctoral dissertation concerned integrated 3-D microwave circuits up to -band utilizing silicon micromachining. She is currently a Research Scientist with Raytheon RF Components, Andover, MA, where she focuses on high-frequency metamorphic high electron-mobility transistor (MHEMT) technologies, microwave circuit design, and integrated array programs through 110 GHz. In addition, she is part of the Intelligent RF Front End Defense Advanced Research Projects Agency (DARPA) team. Prior to joining Raytheon in January 2001, she conducted research as a Post-Doctoral Research Fellow in the areas of packaged MEMS and multilayer silicon circuits. She has authored or coauthored over 25 technical papers. She holds several patents.

W

Yongshik Lee (S’00–M’04) was born in Seoul, Korea. He received the B.S. degree from Yonsei University, Seoul, Korea, in 1998, and the M.S. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 2001 and 2004, respectively. From 2004 to 2005, he was with EMAG Technologies Inc., Ann Arbor, MI, as a Research Engineer. In September 2005, he joined Yonsei University, as an Assistant Professor. His current research interests include GaAs monolithic microwave/millimeter-wave integrated circuits and silicon micromachining for high-frequency applications.

Alexandros Margomenos (M’99) was born in Thessaloniki, Greece. He received the B.Sc. degree in physics from the Aristotle University of Thessaloniki, Thessaloniki, Greece, in 1998, and the M.Sc. and Ph.D. degrees in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 2000 and 2003 respectively. Since July of 2003, he has been a Senior Research Engineer with EMAG Technologies Inc., Ann Arbor, MI. His research interests include microwave and millimeter-wave circuits, silicon micromachining, 3-D integration, packaging, and micromachined antenna design. He is also involved with the design and implementation of packages for RF MEMS switches and the creation of 3-D integrated system-on-a-chip architectures. He holds one patent in the area of packaging of RF MEMS. He has one patent pending.

2706

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Saeed Mohammadi (S’89–M’92–SM’02) received the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2000. He is currently an Assistant Professor of electrical and computer engineering from Purdue University, West Lafayette, IN. His group is currently involved in research of RF devices and circuits, RF packaging, and nanoelectronic technology. He has authored or coauthored over 50 journals and refereed conference papers in these areas. Dr. Mohammadi serves as an associate editor for IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS.

Linda P. B. Katehi (S’81–M’84–SM’89–F’95) received the B.S.E.E. degree from the School of Mechanical and Electrical Engineering, National Technical University of Athens, Athens, Greece, in 1977, and the M.S.E.E. and Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 1979 and 1981, respectively. Following her undergraduate studies, for two years she was a Senior Engineer with the Naval Research Laboratory. In the Fall of 1979, she joined UCLA. She is currently the John A. Edwardson Dean of Engineering and Professor of Electrical and Computer Engineering with Purdue University, West Lafayette, IN (since 2002). She is the former Senior Associate

Dean and Professor of electrical engineering and computer science at The University of Michigan at Ann Arbor. She holds 12 U.S. patents and nine U.S. patent applications. she has authored or coauthored nine book chapters, 183 published papers in refereed journals, and 363 papers in symposia proceedings. She has been invited to numerous seminars and workshops. As a faculty member, she has focused her research on the development and characterization of 3-D integration and packaging of integrated circuits with particular emphasis on MEMS devices, high- evanescent-mode filters, and the theoretical and experimental study of planar circuits for hybrid-monolithic and monolithic oscillator, amplifier, and mixer applications. She pioneered the development of on-wafer integration techniques that lead to low-cost high-performance integrated circuits for radar, satellite, and wireless applications. Dr. Katehi is a member of the Nominations Committee for the National Medal of Technology, the chair of the National Science Foundation (NSF) Advisory Committee to the Engineering Directorate, a member of the Engineering Advisory Committee for Iowa State University, a member of the National Research Council (NRC) Telecommunications Board, a member of the NRC Army Research Laboratory Advisory Committee on Sensors and Electronics Division (SED), a member of the NSF Advisory Committee to Computer and Information Science and Engineering (CISE), a member of the National Aeronautics and Space Administration (NASA) Aeronautics Technical Advisory Committee (ARAC), and a member of the Department of Defense (DoD) Advisory Group on Electron Devices. She is also a member of the National Academy of Engineering (NAE) Committee on the Future of Engineering Research and a member of the Administrative Committee (AdCom) of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). She has been the recipient of numerous national and international technical awards.

Q

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2707

Power Amplifier Characterization: An Active Load–Pull System Based on Six-Port Reflectometer Using Complex Modulated Carrier Souheil Bensmida, Eric Bergeault, Member, IEEE, Ghalid Idir Abib, and Bernard Huyart, Member, IEEE

Abstract—An original measurement system for nonlinear RF power-transistor characterization is presented. This new setup enables measurement and optimization of output power, poweradded efficiency (PAE), or linearity using active fundamental tuning and six-port reflectometers as vector network analyzers. High- and low-frequency bias-tees are inserted at both ports of transistors in order to control source and load impedances at the baseband (envelope) frequency. Experimental results at 1.575 GHz show an adjacent channel power ratio improvement of 20 dB for a commercial GaAs MESFET power transistor operating in class AB. Moreover, the output power and PAE are increased by 1 dB and ten points, respectively. Index Terms—Load–pull contours, low-frequency impedance, power amplifier characterization, power amplifier linearity, six-port reflectometer.

I. INTRODUCTION ODAY, modern telecommunication systems are able to transmit high data rates using digital modulation signals. In all these systems, the emission block contains one of the most complicated components to design: the power amplifier, which is a strongly nonlinear device and which requires an accurate experimental characterization [1], [2]. In this paper, we present a measurement system based on six-port reflectometers for power transistor characterization under complex modulated signals. Low-cost six-port reflectometers, realized in microstrip technology, are used as network analyzers for absolute power and reflection coefficient measurement at the frequency of interest. Consequently, this system is simple and does not require costly frequency converters as in heterodyne network analyzers. Moreover, output power, power-added efficiency (PAE), or linearity can be optimized by varying fundamental load impedance and low-frequency source/load impedances. To our knowledge, it is the first time that simultaneous optimization of low- and high-frequency source/load impedances can be carried out using RF signals such as continuous wave (CW), CW-pulsed, multicarried and modulated (Gaussian minimum shift keying (GMSK), quadrature phase-shift keying (QPSK), quadrature amplitude modulation (QAM), etc.) signals using homodyne detection. We will show the effects of low-frequency source and load impedances on the performances of

T

Manuscript received October 10, 2005; revised January 6, 2006. The authors are with the Département Communication et Electronique, Ecole Nationale Supérieure des Télécommunications, 75634 Paris Cedex 13, France. Digital Object Identifier 10.1109/TMTT.2006.874870

a MESFET power transistor (FLL107ME) biased in class-AB operation [3]. Load–pull adjacent channel power ratio (ACPR) and third-order intermodulation (IM3) product contours will be presented and the correlation between them is discussed. II. “LOAD–PULL” MEASUREMENT SYSTEM DESCRIPTION Fig. 1 shows the complete architecture of the measurement system. It is composed of an RF generator, which can provide several RF signals such as those quoted above. This generator is connected to an isolator noted to protect it from possible reflected signals. By dividing the generated power through a 3-dB divider (DIV), we obtain two measurement paths: Input Path: The input path is defined from access 2 of the power divider to port 1 of the device-under-test (DUT). The reconfigurable YIG filter is centered at the fundamental frequency and is followed by a high gain power amplifier protected by an isolator . A step attenuator is placed in order to control the DUT input power . The bidirectional coupler and six-port reflectometer 1 allow to measure the input power level and the input reflection coefficient of the DUT. We note that isolators and are, respectively, inserted between access 1 and 2 of the six-port reflectometer 1 and the coupler to avoid multiple reflections. The circulator , bandpass filter , and bandpass filter fix the fundamental and second harmonic source impedance to 50 . Finally, bias-tees (high-frequency tee) and (low-frequency tee) allow the control of the low-frequency source impedance presented at the input of the DUT. Output Path: The output path is defined by access 3 of the power divider and port 2 of the DUT. When the switch SW is on position 2, an active loop operating mode is generated. This loop consists of a controlled phase shifter (DEPH), a step attenuator , a reconfigurable filter centered at the fundamental frequency , a high gain power amplifier protected by an isolator , and an unidirectional coupler . This active loop controls the fundamental load impedance independently from the second harmonic one. The circulator and bandpass filter fix the second harmonic load impedance to 50 . The combination of the six-port reflectometer 2 with the coupler , the isolators , and the attenuators and allow to measure the output power and the output reflection coefficient of the DUT. Finally, bias-tees (high-frequency

0018-9480/$20.00 © 2006 IEEE

2708

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 1. Complete architecture of the measurement system.

Fig. 2. Zero-bias diode detector.

tee) and (low-frequency tee) ensure the control of the low-frequency load impedance presented at the output of the DUT. The spectrum analyzer is required to measure ACPR and IM3 in order to quantify the DUT nonlinearity. , PAE, and ACPR are measured when the RF source provides a QPSK modulated signal centered at 1.575 GHz with a 1-MS/s symbol rate. On the other hand, for the IM3 measurement, the RF source provides a bi-carried signal centered at 1.575 GHz and separated by 800 kHz. Power Detection: In six-port systems, reflection coefficients are deduced from four output power readings, i.e., P3, P4, P5, P6 (Fig. 1). The choice of low-barrier Schottky diodes presents substantial advantages including a lower power requirement and simplified accessory instrumentation. Fig. 2 shows a typical diode detector intended to measure the power level (OPA: operational amplifier will be used to realize fast detectors) [4]. The values of and depend on tradeoffs between sensitivity, linearity, video bandwidth , rising time , and falling time . Measurements for pulsed or modulated signals should be performed with fast detectors and sufficient video bandwidth leading to choose small values for and . On the other hand, maximum sensitivity is achieved when is large and optimum values can be found for linearity, dynamic range, etc. Moreover, all these values are nonlinear as they depend on the input power level via the video resistance . Consequently, designing such power detectors is not straightforward. A fast

detector is designed to measure fast RF signal power variations for which weak rise and fall times ( nS, nS) are obtained. In this case, k and pF leading to a video bandwidth of 3.2 MHz. Wider bandwidth can be achieved with reduced value for or with a detector having a lesser value for the video resistance. Operational amplifiers are inserted in order to isolate the capacities brought back by the connection cables and the acquisition board from the output detectors (Fig. 2). The resistances and also improve the sensitivity of the detector. Variation of Low-Frequency Impedances and : Impedance setting is performed using an electronically controlled passive tuner realized with conventional resistances, capacitances, and inductances. This tuner allows to cover the entire Smith chart (symbols “ ” and “ ” in Fig. 3). In order to reduce the number of measurement points, the magnitude is fixed near unity (“ ” in Fig. 3), while the phase was varied because the best and worst cases are generally found to be near a short or open circuit, respectively [5]–[8]. Calibration: Diode detectors operate in the square law region (where its output voltage is proportional to the input microwave power) only at low power levels. In order to achieve accurate six-port measurements for wide input power dynamic range, a linearization procedure of the diodes is applied according to the method described in [9]. Each detector is linearized “in situ” at the central frequency of interest and for all the required dynamic range. The six-port reflectometer calibration procedure enables the system to carry out vector-corrected measurements of power wave ratios in on-wafer or in-fixture environments. It is based on a robust method using a minimum of five unknown loads [10] associated with the thru-reflect-line (TRL) method, which, by principle, always simultaneously calibrates the input and output port establishing well-defined reference planes at the DUT. The method directly takes into account the nonideality of the different elements, and the accuracy obtained with six-port reflectometers is comparable with the accuracy of heterodyne systems [11]. Finally, a reference power meter is connected at each measurement port in order to determine the input and output power levels [2].

BENSMIDA et al.: POWER AMPLIFIER CHARACTERIZATION: ACTIVE LOAD–PULL SYSTEM BASED ON SIX-PORT REFLECTOMETER

Fig. 3. Distribution of low-frequency source (Z ances at 1 MHz.

) and load (Z

2709

Fig. 4. ACPR versus input power level for different Z 50 .

and for Z

set to

Fig. 5. Gain versus input power level for different Z 50 .

and for Z

set to

) imped-

III. EXPERIMENTAL RESULTS AND DISCUSSION The measurement procedure is the following: for an input power level equal to 10 dBm (at 1.575 GHz), linearity optimization is performed by varying low-frequency source and load impedances presented at the input and output DUT accesses. Low-frequency source and load impedances are then fixed to their optimum values, and an optimization of output power, PAE, and linearity is performed while varying the fundamental load impedance . The transistor was biased in class AB ( V, V, mA). The fundamental and harmonic impedances are matched to 50 . The amplifier performances are then measured versus input power level for different low-frequency load impedances (symbols “ ” in Fig. 3) and for a constant low-frequency source impedance value set to 50 . Low-frequency impedances are synthesized at the envelop frequency (1 MHz). Fig. 4 shows the great influence of low-frequency load impedances on the ACPR. For dBm, a variation of 20 dB is observed as function of the values. This effect also appears for a low power level (5 dB of variation for dBm) and it is due to the strong nonlinear behavior of the class-AB operation. This result is in accordance with [5], [7], [12], and [13]. Note that the ACPR can be improved by 8 dB in the compression region giving good linearity performance as for class A, but with a substantially improved efficiency. This result is typical of strong nonlinear operation classes (classes AB, B, and C) and is associated with gain expansion (Fig. 5) as observed for MESFETs in [7], [12], and [14]. The appearance of minimum values for IM3 or the ACPR at input power near the compression region have been observed for an HBT SiGe transistor [5], LDMOS transistors [13], and CMOS transistors [15]. Nonlinear behavior in transistors mainly depends on the input

voltage/output current transfer function [12]. Consequently, bias conditions and source/load impedances presented at the DUT ports also have an influence on the transistor linearity behavior. Moreover, it can be seen that the optimum low-frequency load impedance is near a short circuit. This result was expected as this impedance minimizes the drain biasing point modulation by reducing the dynamic load line excursion in the knee region of the drain current–voltage characteristic. On the other hand, an open circuit maximizes the modulation of the drain bias point, and the device linearity is significantly reduced. In fact, the optimal impedance is not exactly a short circuit, but is complex and equal to . As a rigorous low-frequency calibration was performed in transistor plans, this result cannot be explained by measurement errors for the determination of . Nonlinear low-frequency memory effects can be due to thermal effects, trap effects, or electrical effects (baseband impedances) [6]. The compensation of these memory effects (electrical effects and thermal or traps effects) can lead to an optimum complex impedance. Figs. 6 and 7 show that, in the saturation region, for the optimum IF impedance, the output power and the PAE are increased by 1 dB and from 42% to 52%, respectively. These effects were also observed by simulations and measurements, as presented in [6]–[8].

2710

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 6. Output power versus input power level for different Z set to 50 .

and for Z

Fig. 9. Load–pull P contours (P ances fixed to their optimum values).

Fig. 7. PAE versus input power level for different Z 50 .

Fig. 8. ACPR versus input power level for different (2:3 + j 17:2) .

and for Z

Z

and for

set to

Z

=

Fig. 8 shows the ACPR versus for different low-frequency source impedances (symbols “ ” in Fig. 3) when is fixed to its optimum value. It can be seen that source impedance does not have a significant influence on the transistor linearity before the compression region. Otherwise,

= 10 dBm,

Z

, and Z

imped-

in the saturation region, we obtain an ACPR variation equal to 5 dB, but no influence on or PAE are observed. Larger linearity variations were measured on a HBT SiGe, but it was biased in class B [5]. Simulations performed on a heterostructure field-effect transistor (HFET) power transistor [6] have showed no influence of on the transistor performances. Again, the linearity of all the transistor depends on the input voltage/output current transfer function in conjunction with bias conditions and source/load impedances. Consequently, different results can be observed. In the second step of operation, we fix the input and output low-frequency impedances to their optimum values and load– pull measurements at the fundamental frequency were performed in order to optimize linearity (through ACPR and IM3), output power, and efficiency for a constant input power level equal to 10 dBm. Previous measurements have been taken for both fundamental source and load impedances equal to 50 . The optimization of the fundamental load impedance improves output power by 2.2 dB ( dBm, % ) while optimization for PAE increases it from 37% to 58% ( dBm, % ). Nevertheless, ACPR is decreased from 47 to 34 dBc and decreased from 47 to 28.5 dBc for these two optimum impedances, respectively. ACPR optimization gives a fundamental optimal load impedance near 50 . In this case, ACPR is equal to 48 dBc ( dBm, % ). These results show that the optimal fundamental load impedances for , PAE, and ACPR are different so that designers should find a tradeoff between high efficiency, high output power, and low spectral regrowth (load–pull contours, see Fig. 9–12). Moreover, ACPR and IM3 load–pull contours show that there is no correlation between IM3 and ACPR because

BENSMIDA et al.: POWER AMPLIFIER CHARACTERIZATION: ACTIVE LOAD–PULL SYSTEM BASED ON SIX-PORT REFLECTOMETER

Fig. 10. Load–pull PAE contours (P ances fixed to their optimum values).

= 10 dBm, Z

, and Z

imped-

Fig. 12. Load–pull IM3 contours (P ances fixed to their optimum values).

= 10 dBm, Z

2711

, and Z

imped-

Fig. 13. ACPR versus IM3 for all synthesized fundamental load impedances , and Z impedances fixed to their optimum values). (P = 10 dBm, Z

IV. CONCLUSION Fig. 11. Load–pull ACPR contours (P ances fixed to their optimum values).

= 10 dBm, Z

, and Z

imped-

the associated load–pull contours are strongly different even though the optimum loads are close for both cases. Fig. 13 shows ACPR versus IM3 for all fundamental load impedances synthesized when load–pull measurements are performed. Therefore, predicting ACPR from IM3 or higher intermodulation products is not possible [16][17]. If there was a strong correlation between ACPR and IM3 (e.g., in class-A operation), it could be possible to predict ACPR from IM3, IM5, and higher order nonlinearities [16].

An active load–pull setup based on six-port reflectometers combined with a modified polarization technique has been presented. The measurement system allows optimization of the fundamental load impedance, as well as the low-frequency source and load impedances. This optimization can also be performed with any RF signal (CW, CW-pulsed, digital modulated carrier, etc.). The measurement system is mainly devoted to design high-efficiency and linear power amplifiers. The tuning of the low-frequency impedances is of prime importance for linearity performance and has been illustrated by measurements performed on a MESFET power transistor biased for class-AB operation. At 1.575 GHz, ACPR, output power, and PAE can be improved by 20 and 1 dB and ten points, respectively. Therefore,

2712

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

maintaining a low-frequency optimum load should be an objective in power amplifier circuit design. The main future investigations will concern the extension of our measurement system under complex modulated carriers to second harmonic source and load impedances control. Consequently, the influence of each impedance on the transistor performances ( , PAE, and linearity) will be very useful for the designer.

Souheil Bensmida was born in Gafsa, Tunisia, in 1977. He received the DEA degree in electronics and instrumentation from the Université Pierre and Marie Curie Paris 6, Paris, France, in 2000, and the Ph.D. degree in electronics and communications from the Ecole Nationale Supérieure des Télécommunications (ENST), Paris, France, in 2005. His research interest is the nonlinear characterization and linearization of power amplifiers for mobile applications and microwave instrumentation.

REFERENCES [1] F. M. Ghannouchi, Z. Guoxiang, and F. Beauregard, “Simultaneous load–pull of intermodulation and output power under two-tone excitation for accurate SSPA’s design,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 6, pp. 929–934, Jun. 1994. [2] E. Bergeault, O. Gibrat, S. Bensmida, and B. Huyart, “Multiharmonic source–pull/load–pull active setup based on six-port reflectometers: Influence of the second harmonic source impedance on RF performances of power transistors,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1118–1124, Apr. 2004. [3] S. Bensmida, E. Bergeault, G. I. Abib, and B. Huyart, “Power amplifier characterization: An active load–pull system based on six-port reflectometer using complex modulated carrier,” in Proc. 35th Eur. Microw. Conf., 2005, pp. 613–615. [4] S. Bensmida, E. Bergeault, B. Huyart, and G. Berghoff, “Mesure de puissance RF de signaux à variation rapide d’enveloppe,” in Proc. 13th J. Nat. Microones, 2003, 3C session. [5] D. J. Williams, J. Leckey, and P. J. Tasker, “Envelope domain analysis of measured time domain voltage and current waveforms provide for improved understanding of factors effecting linearity,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 1411–1414. [6] N. Le Gallou, J. M. Nebus, E. Ngoya, and H. Buret, “Analysis of low frequency memory and influence on solid state HPA intermodulation characteristics,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, pp. 979–982. [7] J. N. Wong and C. S. Aitchison, “A Simple technique for improving the IM3/C and PAE performance of MESFET amplifiers,” in Proc. 33rd Eur. Microw. Conf., 2003, pp. 281–284. [8] J. F. Sevic, K. L. Burger, and M. B. Steer, “A novel envelope-termination load–pull method for ACPR optimization of RF/microwave power amplifiers,” in MTT-S Int. Microw. Symp. Dig., Jun. 1998, pp. 723–726. [9] E. Bergeault, B. Huyart, G. Geneves, and L. Jallet, “Characterization of diode detectors used in six-port reflectometers,” IEEE Trans. Instrum. Meas., vol. 40, no. 6, pp. 1041–1043, Dec. 1991. [10] F. Wiedmann, B. Huyart, E. Bergeault, and L. Jallet, “A new robust method for six-port reflectometer calibration,” IEEE Trans. Instrum. Meas., vol. 48, no. 5, pp. 927–931, Oct. 1999. [11] E. Bergeault, J. Achkar, M. Valon, and B. Huyart, “A national intercomparison between automatic network analyzers,” IEEE Trans. Instrum. Meas., vol. 42, no. 2, pp. 511–515, Apr. 1993. [12] N. B. De Carvalho and J. C. Pedro, “Large- and small-signal IMD behavior of microwave power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2364–2374, Dec. 1999. [13] C. Fager, J. C. Pedro, N. B. De Carvalho, and H. Zirath, “Prediction of IMD in LDMOS transistor amplifiers using a new large-signal model,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2834–2842, Dec. 2002. [14] C. Blanco, “Gain expansion and intermodulation in a MESFET power amplifier,” IEEE Electron. Device Lett., vol. EDL-15, no. 1, pp. 31–32, Jan. 1979. [15] C. Fager, J. C. Pedro, N. B. De Carvalho, H. Zirath, F. Fortes, and M. J. Rosario, “A comprehensive analysis of IMD behavior in RF CMOS power amplifiers,” IEEE J. Solid-State Circuits, vol. 39, no. 1, pp. 24–34, Jan. 2004. [16] J. Staudinger, “An examination of two measures of power amplifier linearity—Intermodulation distortion and channel spectral regrowth,” in Wireless Commun. Conf., New Delhi, India, 1996. [17] M. J. Pelk, L. C. N. de Vreede, M. Spirito, and J. H. Jos, “Base-band impedance control and calibration for on-wafer linearity measurements,” in 63rd ARFTG Conf. Dig., Jun. 2004, pp. 35–40.

Eric Bergeault (M’00) was born in Limoges, France, in 1963. He received the Diplôme d’Etudes Approfondies (DEA) degree from the University of Limoges, Limoges, France, in 1987, and the Ph.D. degree in electronics and communications from the Ecole Nationale Supérieure des Télécommunications (ENST), Paris, France, in 1991. In 1998, he earned the accreditation to supervise research from the University of Limoges. From 1987 to 1990, he was Research Engineer with the Laboratoire Central des Industries Elecriques (LCIE), Fontenay aux Roses, France. In 1991, he joined ENST, where he is currently a Full Professor. His research interest is in the field of microwave instrumentation. He is mainly involved with network analyzers, characterization of nonlinear devices, and modelization and applications to the optimization of power amplifiers.

Ghalid Idir Abib was born in Algiers, Algeria, in 1977. He received the Engineer degree and Master (Magister) degree in electronics from the Université des Sciences et de la Technologie Houari Boumediene (USTHB), Algiers, Algeria, in 1999 and 2002, respectively, the Diplome d’Etudes Approfondies (DEA) degree from the Ecole Nationale Supérieure des Télécommunications (ENST), Paris, France, in 2003, and is currently working toward the Ph.D. degree at ENST. His research concerns power transistor characterization and linearization.

Bernard Huyart (M’92) was born in Lille, France, on 1954. He received the Electrical Engineer degree from the University of Lille (EUDIL), Lille, France, in 1977, and the Doctoral degree in physics from the Ecole Nationale Supérieure des Télécommunications (ENST), Paris, France, in 1986. In 1995, he earned the accreditation to supervise research from the University of Limoges, Limoges, France. In 1978, he joined ENST, where he is currently a Full Professor and Head of the Radiofrequencies and Microwaves Group, which is associated with the Centre National de la Recherche Scientifique (CNRS) [Unité Mixte de Recherche (UMR) 5141]. His current research interests include microwave instrumentation (six-port techniques, electrooptic probing), the design of active circulators and six-port reflectometers in microwave monolithic integrated circuit (MMIC) or hybrid technology, noise and nonlinear devices measurement and modelization, and the applications of six-port reflectometers in telecommunications (estimation of direction-of-arrival (DOA) of RF signals and direct demodulation) and in radar systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2713

A C -Band High-Efficiency Second-Harmonic-Tuned Hybrid Power Amplifier in GaN Technology Paolo Colantonio, Franco Giannini, Rocco Giofrè, Ernesto Limiti, Member, IEEE, Antonio Serino, Marco Peroni, Paolo Romanini, and Claudio Proietti

Abstract—In this paper, the design, fabrication, and test of highefficiency, high-power -band harmonic-tuned power amplifiers in GaN technology is reported. The amplifier has been designed utilizing second-harmonic tuning for high-efficiency operation, thus exploiting the high-breakdown voltage peculiarity of GaN-based devices. Realized in a hybrid form, the amplifier has been characterized in terms of small-signal, power, and intermodulation (IMD) performance. An operating bandwidth over 20% around 5.5 GHz, with 33-dBm minimum output power, and 60% drain efficiency at center frequency is demonstrated, together with low IMD. Index Terms—Harmonic manipulations, high efficiency, low intermodulation, power amplifiers (PAs).

I. INTRODUCTION OWER amplifiers (PAs), which are used in mobile or wireless transmitter systems, have to satisfy tight requirements on power performance. In particular, the highest output power and efficiency levels achievable from a device, together with its linearity, represent the major concern of PA designers. The design results, therefore, in a tradeoff between such conflicting requirements, e.g., linearity versus efficiency or high output power versus low distortion. Potential design approaches are related to the operating frequency and bandwidth requirements, as well as to the available device technology. For narrowband applications, with up to 10% fractional bandwidth, commonly adopted design solutions are based on harmonic tuning (HT) strategies, e.g., class F [1], [2] or related ones [3]–[5], to improve PA performance, both in terms of output power and efficiency (i.e., drain or power-added, ). In these approaches, a suitable combination of device input and output harmonic terminations, practically limited up to the third one, is adopted. Output voltage waveform is shaped to fulfill device physical constraints while assuring a higher fundamental component as compared to a class-A amplifier. As a consequence, improvements on output power, power gain, and efficiency are attained [6]. The control of second-harmonic terminations, both at the input and output device ports (hereinafter, 2nd HT PA), demonstrated major performance improvements [7]. However, 2nd HT PA design re-

P

Manuscript received October 6, 2005; revised December 31, 2005. This work was supported by the Information Society Technologies Programme of the European Union under Contract IST-1-507 893-NOE in the context of the network TARGET—“Top Amplifier Research Groups in a European Team.” P. Colantonio, F. Giannini, R. Giofrè, E. Limiti, and A. Serino are with the Electronic Engineering Department, University of Roma Tor Vergata, 00133 Rome, Italy (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). M. Peroni, P. Romanini, and C. Proietti are with Selex Sistemi Integrati S.p.A., 00100 Rome, Italy (e-mail: [email protected]; promanini@ selex-si.com; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.874872

quires additional design efforts related to the control of the input harmonic terminations to properly generate the output harmonic components with appropriate phase relationships [6], [7]. Moreover, the resulting output voltage waveform exhibits a peaking behavior toward device breakdown. In GaAs technology, the latter drawback typically confines the 2nd HT strategy to low-voltage applications to prevent device gate–drain junction breakdown and to avoid affecting device reliability. Advances in GaN technology, in which device output voltage swing is mainly limited by the device ohmic region rather than its (very high) gate–drain breakdown, 2nd HT strategy becomes an attractive design solution [8]. Such advantages sum up to the demonstrated higher electromagnetic (EM) pulse hardness, high-temperature stability, and chemical inertness [9] of GaN structures, together with the inherent easier device output matching due to larger optimum large-signal impedances. While other high-efficiency operating classes have already been demonstrated in GaN technology [10]–[13], in this paper, for the first time, to the best of the authors’ knowledge, a harmonic tuning that makes use of input and output harmonic manipulation is attempted. In this study, the design of a hybrid -band 2nd HT PA based on a 1-mm GaN device is presented. Starting from device technology, characterization, and modeling, the design strategy and the experimental results for the realized PA are presented and discussed. In Section II, the GaN HEMT technology is presented, together with the resulting device characterization and modeling. The PA design and its realization are described in Section III, while experimental results in terms of output power, efficiency, and linearity are shown in Section IV. The latter performance results in a 20% bandwidth around 5.5 GHz, with 33-dBm minimum and 60% drain efficiency, together with 36-dBc carrier-to-intermodulation (C/I) ratio. II. GAN ACTIVE DEVICE The active device, which was developed by Selex Sistemi Integrati (SSI) S.p.A., Rome, Italy, is a GaN high electron-mobility transistor (HEMT) with 10 100 m gate periphery, whose photograph is shown in Fig. 1. A. Device Technology The layer structure is epitaxially grown on a semi-insulating and amorphous silicon–carbide substrate (4H SiC). After an SSI proprietary nucleation deposition of 1.2 m of a GaN as buffer layer on SiC substrate, 30 nm of Al Ga N are grown to reduce reticular mismatch; a 3-nm GaN

0018-9480/$20.00 © 2006 IEEE

2714

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 1. Selected GaN HEMT device. (Color version available online at http:// ieeexplore.ieee.org.)

Fig. 2. Physical structure of the GaN HEMT device.

layer is grown on top to create the device channel. Device drain and source ohmic contacts composed of the layer series of Ti (20 nm), Al (100 nm), Ni (40 nm), and Au (50 nm) were deposited. Using a transmission-line model (TLM) measurement technique [14], a /mm contact resistance and a /sq sheet resistance result. Finally, the wafer surface is passivated using SiN plasma-enhanced chemical vapor deposition (PE-CVD), while the active device isolation is achieved by means of fluorine ion implantation. The gate electrode is defined by liftoff of 20-nm Ni/200-nm Au Schottky metallization on the AlGaN surface, which is deposited after opening a window on the SiN layer by using CF4 plasma reactive ion etching. The resulting structure of the device is depicted in Fig. 2. Residual current leakage after ion implantation, which is verified by a test pattern consisting of 100- m-wide conductive layers separated by a 10- m insulated gap, results in less than 20 nA at 300-V bias. Discrete device fabrication is completed with Ti/Pt/Au overlay interconnection and Au-plating for lines, pads, and air bridges, obtaining the device shown in Fig. 1.

B. Device Characterization and Modeling The active device has been extensively characterized in static, small-signal, and pulsed operating conditions. Resulting measured data have been used to evaluate the performance of the

Fig. 3. Nonlinear equivalent circuit model of the device.

device and to extract an accurate nonlinear model. -parameters have been measured up to 20 GHz using an on-wafer setup including a probe station and a vector network analyzer. A series of “cold” and “hot” bias conditions have been considered, taking into account the device power ratings. DC current and voltage at the device terminals have been simultaneously measured together with -parameters. Pulsed – measurements were performed using an on-wafer measurement setup basically composed by a probe station and a GaAsCode [15] pulsed measurement system. Many quiescent bias points have been investigated applying pulses having a duration of 500 ns with a separation of 0 and 5 ms at the device terminals. The device has been modeled by using a nonlinear equivalent circuit model in which the nonlinear elements are described by the equations proposed by Angelov et al. [16], [17]. The topology of the equivalent circuit is reported in Fig. 3. Model parasitic elements were extracted from the device -parameters, which were measured under cold bias condition ( V) [18]. In particular, -parameters, which were measured with the gate junction in forward bias, have been used to estimate the resistive and inductive parasitic elements, while measurements with the gate in pinch-off were used to determine the values of the parasitic capacitances. The adopted nonlinear equivalent circuit includes three nonlinear elements: a voltage-controlled drain current source and the gate–source and the drain–source charges. The nonlinear current source represents the AM–AM distortion of the device, and this is the main cause of harmonic generation. The parameters of the function describing this element have been determined fitting the function to the dc and pulsed – measurements. Given the peculiarity of the fitting function expression, especially regarding the gate–source voltage dependence, great care was used to fit both the controlled current source value and its derivatives. This is especially important when harmonic manipulation is concerned. Voltage-controlled gate–source and gate–drain charges (i.e., and , respectively) contribute to the AM–PM distortion phenomena in the device. Analytical functions parameters describing the latter elements have been determined fitting the functions to the values of the voltage-dependent gate–source and gate–drain capacitances, as extracted from the bias-dependent -parameter measurements.

COLANTONIO et al.:

-BAND HIGH-EFFICIENCY SECOND-HARMONIC-TUNED HYBRID PA IN GaN TECHNOLOGY

2715

as to properly shape the drain voltage waveform [19]. In particular, assuming that the active device behaves as a current source, the drain voltage harmonic components can be related to the drain current harmonics through the load impedances , e.g.,

(1) Expression (1) can be rewritten as Fig. 4. Comparison between measured and simulated device S -parameters at V = 25 V and V = 3 V.

0

(2) where is the ratio between the magnitude of the voltage harmonic components and the fundamental one

(3) and is the phase of the harmonic component . With this representation and truncating the series expansion to the third-order, 2nd HT optimum design condition [19] implies that

Fig. 5. Comparison between simulated and measured pulsed drain current at V = 25 V and V = 3 V.

0

The developed nonlinear model has been implemented in the Microwave Office design environment (i.e., AWR1). Fig. 4 shows the comparison between the measured and modeled -parameters at V and V. The comparison between the measured and simulated pulsed drain current obtained applying the pulse starting from the above-mentioned quiescent bias point is shown in Fig. 5. III. PA DESIGN AND REALIZATIONS GHz center For the design of the power stage, a frequency has been adopted, while a class-AB bias condition ( V and V) has been selected. Such condition, resulting in a mA output current, which is approximately 20% of the maximum, has been selected to prevent wrong harmonic-current phase generation [3]. The adopted PA design strategy (2nd HT) is based on the control of both input and output active device harmonic terminations (up to the third-harmonic frequencies, i.e., 16.5 GHz), so 1Appl. Wave Res. Inc., El Segundo, CA. [Online]. Available: http://www. appwave.com

(4) Therefore, in the design of 2nd HT PA, both input and output matching networks play a critical role. The former is responsible for assuring the fundamental conjugate matching condition and, through the choice of suitable harmonic terminations, to shape the device input controlling signal waveform [e.g., voltage in a field-effect transistor (FET)], and thus to control the generation of properly phase-related output drain current harmonic components [19]. The latter is responsible for shaping the device output voltage waveforms according to (1) to fulfill the conditions in (4). We should stress that the input harmonic terminations, and especially the input load condition at , become critical to fulfill, in (4), the condition on the phase of the voltage harmonic components. In fact, assuming, for the sake of simplicity, purely resistive loads across the intrinsic current source, then the phase relation on the voltage harmonic components becomes the same relationship on the drain current harmonic components. Therefore, to fulfill (4), it becomes mandatory to generate the fundamental (I1) and second (I2) harmonics current opposite in phase. Otherwise the use of an output second-harmonic termination become deleterious, as was demonstrated experimentally in [7].

2716

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE I OPTIMUM INPUT AND OUTPUT ACTIVE DEVICE HARMONIC TERMINATIONS

Fig. 8. Input network using lumped elements. (Color version available online at http://ieeexplore.ieee.org.)

Fig. 6. Optimum input harmonic loads.

Fig. 9. Output network using lumped elements. (Color version available online at http://ieeexplore.ieee.org.)

Fig. 7. Optimum output harmonic loads.

It is important to note that, in the design step, the amplifier has been assumed to operate under a continuous wave (CW) regime. Therefore, the 2nd HT design challenge results in the fulfillment of the optimum conditions represented by (4) at the 1-dB compression point (i.e., 1 dBcp). In fact, the optimization of the device performance at 1 dBcp implies an improvement for back-off operating conditions also, even if such an effect

decreases toward the small-signal regime (e.g., increasing the back-off values). To achieve this goal, the optimum harmonic terminations have been preliminarily determined by using ideal tuners, resulting in the input and output reflection coefficient values ( and , respectively) reported in Table I and graphically depicted in Figs. 6 and 7, respectively (circles). Subsequently, a lumped-element approach has been adopted to realize the networks fitting the optimum load conditions, thus obtaining the networks reported in Figs. 8 and 9, respectively. Both 8.5- and 50- series-shunt resistors are required in the input network to assure in-band and low-frequency stability conditions, respectively. The resulting input and output reflection coefficients are reported in Figs. 6 and 7, respectively (square points), as compared with the ideal values obtained using tuners. In order to realize the amplifier using a hybrid structure, the two lumped-element networks have been transformed into a distributed solution, synthesized on Alumina substrate (with

COLANTONIO et al.:

-BAND HIGH-EFFICIENCY SECOND-HARMONIC-TUNED HYBRID PA IN GaN TECHNOLOGY

2717

Fig. 10. PA computer-aided design (CAD) layout. (Color version available online at http://ieeexplore.ieee.org.)

Fig. 12. k and k simulated values for the realized PA. Fig. 11. Photograph of the realized PA. (Color version available online at http:// ieeexplore.ieee.org.)

and thickness 381 m), resulting in the input (IMN) and output (OMN) matching networks depicted in Fig. 10. The final layout has been analyzed by circuit-oriented and EM simulators, thus obtaining the harmonic loads reported in Figs. 6 and 7, respectively (diamond points). A good agreement between ideal and actual harmonic load values can be noted. The fabricated PA was mounted onto an aluminum test-jig, as shown in Fig. 11. The device has been bonded to both IMN and OMN with three gold wires, whose effects have been accounted for by using an equivalent inductive model. Two SMA connectors were used to connect the PA and two capacitors were inserted into the dc path in order to filter out potential low-frequency dc signals. Simulated values of , and as functions of input power for the realized PA are reported in Fig. 12. Output voltage waveforms simulated for different input power levels are shown in Fig. 13. The voltage waveform corresponding to 1 dB exhibits the typical peaking shape due to the presence of a second-harmonic component. This behavior is stressed also in Fig. 14, where the intrinsic (i.e., across the current source) load curve at 1 dBgcp is reported.

Fig. 13. Simulated output voltage waveforms for the designed PA.

IV. EXPERIMENTAL RESULTS The realized PA has been fully characterized by linear and nonlinear measurements. A. Small-Signal Characterization To verify amplifier stability and small-signal performance, PA scattering parameters have been measured using an HP8510C VNA. The resulting measured data are shown in

2718

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 17. Measured PA frequency performance for P Fig. 14. Simulated intrinsic load curve at 1 dB

= 28 dBm.

of the realized PA. TABLE II COMPARISONS OF DESIGNED PA WITH STATE-OF-THE-ART IN C -BAND

Fig. 15. PA linear performance.

Fig. 18. Comparisons of state-of-the-art C -band GaN PA.

Fig. 16. PA performance at 5.5 GHz and V

= 25 V and I

= 80 mA.

Fig. 15 as compared with simulated performance, resulting in a good agreement. From the measurements, a small-signal gain of 7.5 dB results, as compared with the value of 14.5 dB reported

in Fig. 4. The first cause of such a major difference is the different matching condition to be fulfilled in linear or nonlinear design (e.g., conjugate match versus power match conditions). Moreover, while is measured across the active device, in the hybrid amplifier, losses of both input and output connectors and networks resulting in 0.5 dB have to be take into account. Finally, to obtain low-frequency unconditional stability, a 50shunt resistor was inserted, while, in the operating frequency

COLANTONIO et al.:

-BAND HIGH-EFFICIENCY SECOND-HARMONIC-TUNED HYBRID PA IN GaN TECHNOLOGY

2719

Fig. 19. Setup to adopted for the PA (DUT in figure) IM characterization.

bandwidth, an 8- series resistor was required, sacrificing the achievable power gain level. B. Large-Signal Characterization The large-signal CW PA characterization has been performed using a microwave synthesized source (Anritsu MG3692A) boosted by a microwave driver amplifier (Cernex 2268) and measuring the relevant power levels by GigaTronics power sensors. Measured PA performance at 5.5 GHz for the nominal bias condition ( mA and V) and de-embedding the connector losses is depicted in Fig. 16 and compared with simulated results. An output power exceeding 34 dBm with 63% drain efficiency was obtained. This corresponds to 45% power-added efficiency (PAE), due to the low power gain available from this device at GHz. From Fig. 16, it can be noted that measured gain compression starts at lower than predicted levels. Such phenomena seems to be related to the device temperature increase, due to power dissipation (e.g., for an output power of 1.9 W and 32.8 dBm, the device dissipates 4 W with a drain efficiency of 47%). Device heating issue is a critical aspect for GaN technology, due to the high power density resulting in very high output power levels (and, consequently, dissipated power levels) in a limited chip area. In this case, an electro-thermal nonlinear model could predict such behavior. Subsequently, the PA has been characterized in the frequency range from 4.8 to 6.2 GHz, with a 28-dBm fixed drive level. Results are plotted in Fig. 17 and compared with simulated parameters. As can be noted from Fig. 17, less than 1-dB ripple in the output power and power gain is obtained in a 20% bandwidth around 5.5 GHz, while drain efficiency is higher than 45%, thus demonstrating the effectiveness of the proposed 2nd HT design approach, even for moderate frequency bandwidth (and, therefore, not only for narrowband applications). This is to stress that

such a frequency bandwidth was obtained by adopting a distributed solution and avoiding resonating structures. Comparisons of the realized PA’s performance with state-ofthe-art results for -band GaN PAs are reported in Table II (and shown graphically in Fig. 18), demonstrating the advances described in this study. The type column in Table II indicates whether the performances reported are related to a realized PA or to a device characterization. C. PA Linearity Characterization Linearity of the realized PA has been tested by using several setups. First, a two-tone characterization has been performed using the setup in Fig. 19, so as to measure the intermodulation (IM) product levels. The two sources are synthesized ones (Anritsu MG3692A and HP83640A), while two driver amplifiers (from Cernex 2268 and 2267) operating in the linear regime are adopted to reach the required drive levels. The two input tones are initially at GHz and GHz (i.e., 100 MHz apart). From Fig. 20, a 40-dBm PA third-order intercept point results. In the same picture, third, fifth, and seventh-order IM products are shown. The IM products have been measured assuming GHz and a tone spacing from 20 to 100 MHz in 20-MHz steps, with a 21-dBm input drive for each tone. Carrier-to-intermodulation ratios, which are defined as

(5) are reported in Fig. 21, while intermodulation product asymmetries, i.e.,

(6) are plotted in Fig. 22.

2720

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 23. Drain efficiency and C=I versus bias level.

Fig. 20. PA third-order intercept point and IMD , IMD , and IMD .

As can be noted from previous figures, asymmetry decreases while increasing the tone spacing, according to experimental results reported in [30]. , which is measured with a 20-MHz Furthermore, tone spacing, and efficiency performance have been measured varying the gate bias (i.e., for different quiescent drain currents). The results are reported in Fig. 23, thus demonstrating performance together a simultaneous optimization of with the maximization of the PA efficiency. Such an increase is strictly related to the use of a 2nd HT design scheme [31]. V. CONCLUSION

Fig. 21. Carrier-to-intermodulation products versus tone spacing.

In this paper, the design of a hybrid -band 2nd HT PA based on a GaN device has been presented. Starting from the device technological realization aspects, a nonlinear model has been extracted, and the adopted design criteria have been presented. A complete characterization in terms of small-signal, large-signal, and intermodulation measurements has been presented. In particular, the experimental result demonstrate a bandwidth larger than 20% around 5.5 GHz, with a 33-dBm minimum output power and a 60% drain efficiency, together with high linearity performance. REFERENCES

Fig. 22. PA

IMD , IMD

, and

IMD

asymmetry versus tone spacing.

[1] F. H. Raab, “Class-F power amplifiers with maximally flat waveforms,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 11, pp. 2007–2012, Nov. 1997. [2] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwell, MA: Artech House, 1999. [3] P. Colantonio, F. Giannini, and E. Limiti, “Nonlinear approaches to the design of microwave power amplifiers,” Int. J. RF Microw. Comput.Aided Eng., vol. 14, no. 6, pp. 493–506, Nov. 2004. [4] P. Colantonio, F. Giannini, R. Giofrè, E. Limiti, A. S. M. Peroni, P. Romanini, and C. Proietti, “A C -band high efficiency second harmonic tuned hybrid power amplifier in GaN technology,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1619–1622. [5] M. Maeda, H. Masato, H. Takehara, M. Nakamura, S. Morimoto, H. Fujimoto, Y. Ota, and O. Ishikawa, “Source second-harmonic control for high efficiency power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2952–2958, Dec. 1995. [6] P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, “Multiharmonic manipulation for highly efficient microwave power amplifiers,” Int. J. RF Microw. Comput.-Aided Eng., vol. 11, no. 6, pp. 366–384, Nov. 2001.

COLANTONIO et al.:

-BAND HIGH-EFFICIENCY SECOND-HARMONIC-TUNED HYBRID PA IN GaN TECHNOLOGY

[7] P. Colantonio, A. Ferrero, F. Giannini, E. Limiti, and V. Teppati, “An approach to harmonic load- and source- pull measurements for high efficiency PA design,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 191–198, Jan. 2004. [8] Y. Nanishi, “Present status and challenges of AlGaN/GaN HFETs,” in Proc. 7th Int. Conf. Solid-State Integrat. Circuits Technol., Oct. 18–21, 2004, vol. 3, pp. 2230–2235. [9] R. J. Trew, G. L. Bilbro, W. Kuang, Y. Liu, and H. Yin, “Microwave AlGaN/GaN HFETs,” IEEE Microw. Mag., vol. 6, no. 1, pp. 56–66, Mar. 2005. [10] H. Xu, S. Gao, S. Heikman, S. I. Long, U. K. Mishra, and R. A. York, “A high-efficiency class-E GaN HEMT power amplifier at 1.9 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 22–24, Jan. 2006. [11] V. Paidi, X. Shouxuan, R. Coffie, B. Moran, S. Heikman, S. Keller, A. Chini, S. P. DenBaars, U. K. Mishra, S. Long, and M. J. W. Rodwell, “High linearity and high efficiency of class-B power amplifiers in GaN HEMT technology,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 643–652, Feb. 2003. [12] K. Joshin, T. Kikkawa, H. Hayashi, T. Maniwa, S. Yokokawa, M. Yokoyama, N. Adachi, and M. Takikawa, “A 174 W high-efficiency GaN HEMT power amplifier for W-CDMA base station applications,” in IEEE Int. Electron Devices Meeting Tech. Dig., Dec. 8–10, 2003, pp. 126.1–12.6.3. [13] M. Micovic, J. S. Moon, A. Kurdoghlian, P. Hashimoto, D. Wong, L. McCray, T. Hussain, and P. Janke, “ -band GaN power HFET’s with 6.6 W/mm CW saturated output power density and 35% power added efficiency at 20 GHz,” in Proc. Device Res. Conf., Jun. 25–27, 2001, pp. 199–200. [14] G. K. Reeves and H. B. Harrison, “Obtaining the specific contact resistance from transmission line model measurements,” IEEE Trans. Electron Devices, vol. EDL-3, no. 5, pp. 111–113, 1982. [15] Manual for Pulsed-Measurement Instrument. Cambridge, U.K.: GaAs Code Ltd., 2000. [16] I. Angelov, H. Zirath, and N. Rorsman, “A new empirical nonlinear model for HEMT and MESFET devices,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2258–2266, Dec. 1992. [17] I. Angelov, L. Bengtsson, and M. Garcia, “Extension of the Chalmers nonlinear HEMT and MESFET model,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 10, pp. 1664–1674, Oct. 1996. [18] G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 36, pp. 1151–1159, Jul. 1988. [19] P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, “Theoretical facet and experimental results of harmonic tuned PAs,” Int. J. RF Microw. Comput.-Aided Eng., vol. 13, no. 6, pp. 459–472, Nov. 2003. [20] P. Y. Chung, S. Cai, W. Lee, Y. Lin, C. P. Wen, K. L. Wang, and T. Itoh, “High power wideband AlGaN/GaN feedback amplifier module with drain and feedback loop inductances,” Electron. Lett., vol. 37, no. 19, pp. 1199–1200, 2001. [21] S. Syed and A. F. M. Anwar, “GaN/AlGaN HEMT microwave class-e power amplifier,” in Proc. Int. Semicond. Device Res. Symp., Dec. 5–7, 2001, pp. 446–449. [22] V. Paidi, S. Xie, R. Coffie, B. Moran, S. Heikman, S. Keller, A. Chini, S. P. DenBaars, U. K. Mishra, and S. Long, “High linearity and high efficiency of class-B power amplifiers in GaN HEMT technology,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 643–652, Feb. 2003. [23] N. Vellas, C. Gaquiere, Y. Guhel, M. Werquin, F. Bue, R. Aubry, S. Delage, F. Semond, and J. C. De Jaeger, “High linearity performances of GaN HEMT devices on silicon substrate at 4 GHz,” IEEE Electron Device Lett., vol. 23, no. 8, pp. 461–463, Aug. 2002. [24] Y.-F Wu, D. Wapolnek, J. Ibbetson, P. Parikh, B. P. Keller, and U. K. Mishra, “14-W GaN-based microwave power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, vol. 2, pp. 11, 963–16, 965. [25] J. J. Xu, W. Yi-Feng, S. Keller, S. Heikman, B. J. Thibeault, U. K. Mishra, and R. A. York, “1–8-GHz GaN-based power amplifier using flip-chip bonding,” IEEE Microw. Guided Wave Lett., vol. 9, no. 7, pp. 277–279, Jul. 1999. [26] M. Werquin, C. Gaquiére, Y. Guhel, N. Vellas, D. Theron, B. Boudart, V. Hoel, M. Germain, J. C. De Jaeger, and S. Delage, “High power and linearity performances of gallium nitride HEMT devices on sapphire substrate,” Electron. Lett., vol. 41, no. 1, pp. 46–47, Jan. 2005.

K

2721

[27] J. W. Lee, L. F. Eastman, and K. J. Webb, “A gallium–nitride push–pull microwave power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2243–2249, Nov. 2003. [28] Y.-F. Wu, R. A. York, S. Keller, B. P. Keller, and U. K. Mishra, “3–9-GHz GaN-based microwave power amplifiers with L–C–R broad-band matching,” IEEE Microw. Guided Wave Lett., vol. 9, no. 8, pp. 314–316, Aug. 1999. [29] A. Chini, D. Buttari, R. Coffie, L. Shen, S. Heikman, A. Chakraborty, S. Keller, and U. K. Mishra, “Power and linearity characteristics of field-plated recessed-gate AlGaN–GaN HEMTs,” IEEE Electron Device Lett., vol. 25, no. 5, pp. 229–231, May 2004. [30] N. B. de Carvalho and J. C. Pedro, “A comprehensive explanation of distortion sideband asymmetries,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2090–2101, Sep. 2002. [31] P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, “Class G approach for high efficiency PA design,” Int. J. RF Microw. Comput.-Aided Eng., vol. 10, no. 6, pp. 366–378, Nov. 2000.

Paolo Colantonio was born in Rome, Italy, on March 22, 1969. He received the Electronic Engineering degree and Ph.D. degree in microelectronics and telecommunications from the University of Roma Tor Vergata, Rome, in 1994 and 2000, respectively. In 1999, he became a Research Assistant with the University of Roma Tor Vergata, where, since 2002, he has been a Professor of microwave electronics. His main research activities are in the field of nonlinear microwave circuit design methodologies, nonlinear analysis techniques, and modeling of microwave active devices.

Franco Giannini was born in Galatina, Italy, on November 9, 1944. He received the Electronics Engineering degree (summa cum laude) from the University of Roma La Sapienza, Rome, Italy, in 1968. Since 1980, he has been is Full Professor of applied electronics with the University of Roma Tor Vergata, Rome, Italy, and an Honorary Professor of the Warsaw University of Technology, Warsaw, Poland, since 2001. He has authored or coauthored over 340 scientific papers. He has been involved with problems concerning modeling, characterization, and design methodologies of linear and nonlinear active microwave components, circuits and subsystems, including MMICs. He is a consultant for various national and international industrial and governmental organizations, including the International Telecommunication Union and the European Union. Dr. Giannini is the chairman of the Italian National Society of Electronics, a member of the Board of Directors of the Italian Space Agency (ASI), and president of the GaAs Association. He is a member of numerous committees of international scientific conferences.

Rocco Giofrè was born in Vibo Valentia, Italy, on August 13, 1979. He received the M.S.Eng. degree in electronics engineering (summa cum laude) from the University of Roma Tor Vergata, Rome, Italy, in 2004, and is currently working toward the Ph.D. degree in space systems and technologies at the University of Roma Tor Vergata. His current research interests include RF power amplifier theory, design and test, linearization techniques and efficiency-improving techniques.

2722

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Ernesto Limiti (M’92) was born in Rome, Italy, in 1965. He received the Electronic Engineering degree from the University of Roma Tor Vergata, Rome, in 1989. In 1991, he became a Research and Teaching Assistant with the University of Roma Tor Vergata, where, since 1998, he has been a Professor of electronic instrumentation and measurements. He is currently a Full Professor of electronics. His main scientific interests are in the field of design methodologies for nonlinear microwave circuits, nonlinear analysis methods, and noise characterization and modeling of microwave active devices for high-performance applications and extreme operating conditions. He has authored or coauthored over 150 contributions on refereed international journals and conferences. He serves as a reviewer for numerous scientific journals and international conferences. Prof. Limiti organized and chaired the 2004 INMMiC International Workshop.

Antonio Serino was born in Lecce, Italy, on January 7, 1967. He received the Electronic Engineering degree (summa cum laude) from the University of Roma Tor Vergata, Rome, Italy, in 1994. From 1998 to 2000, he was a Graduate Technician with the University of Rome Tor Vergata, where he became an Assistant Professor in 2001. His research activities concern small- and large-signal characterization and modeling of microwave and millimeter-wave active devices, noise characterization and modeling of field effect transistors for microwave and millimeter-wave applications, and design methodologies of millimeter-wave low-noise amplifiers.

Marco Peroni has been a Process Engineer with the GaAs Foundry, Selex Sistemi Integrati (SSI) S.p.A. (formerly AMS), Rome, Italy, since 1990. He has been involved in process development, characterization, and reliability issues study, as well as modeling of III–V microwave devices. In these topics, he has contributed numerous papers and presentations for international technical magazines and congresses. At the moment, he is primarily involved in the GaN HEMT devices development and responsible for SSI activity in several national and European research programs.

Paolo Romanini was born in Rome, Italy, in 1973. He received the Physics degree from the University of Rome La Sapienza, Rome, Italy, in 2001. Since 2002, he has been with Selex Sistemi Integrati S.p.A. (formerly AMS), Rome, Italy. He has been involved with computer-aided manufacturing systems for monolithic microwave integrated circuit (MMIC) processing and thermal and electrical simulations of devices for RF operation. His current research interests are thermal analysis, modeling, processing, and characterization of III–V devices, high-resolution lithography, thin-film deposition, and etching techniques. In particular, he is involved with AlGaN–GaN HEMT technology for high-power high-frequency applications.

Claudio Proietti has been with Selex Sistemi Integrati (SSI) S.p.A. (formely AMS), Rome, Italy, since 1984 as Microwave Engineer, and, in 1990, he began monolithic microwave integrated circuit (MMIC) design with the GaAs Foundry. During his research activity, he has contributed to the development of power and low-noise MMIC design, microwave semiconductor characterization, reliability issues study, as well as MMIC testing. At the moment, he is primarily involved in MMIC design for TR modules, as well as GaN HEMT devices development.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2723

Broadband High-Efficiency Linearly and Circularly Polarized Active Integrated Antennas Yi Qin, Steven Gao, Member, IEEE, and Alistair Sambell, Member, IEEE

Abstract—This paper presents the design, fabrication, and measurements of two broadband high-efficiency active integrated antennas (AIAs) operating in linear polarization and circular polarization, respectively. A new design method is proposed for designing the broadband load network of the class-E power amplifier (PA), so that a high power-added efficiency (PAE) can be achieved over a broad bandwidth. A broadband high-efficiency class-E PA is designed based on the proposed method. The linearly polarized (LP) AIA is realized by integrating the broadband class-E PA with a broadband LP antenna, which uses a ring-slot coupled microstrip patch antenna. Then, the circularly polarized (CP) AIA is realized by integrating the broadband class-E PA with a broadband CP antenna, which uses a single-feed cross-slot-coupled microstrip patch antenna. Each antenna is designed for working as both a radiator and a harmonics-terminated load. A low-cost printed-circuit-board technology is employed in fabrication, and a p-high-electron mobility transistor is used. The PAE of the LP AIA is over 50% within a 14.6% bandwidth from 1.78 to 2.06 GHz, while the PAE of the CP AIA is over 50% within a 14% bandwidth from 1.92 to 2.21 GHz. The axial ratio of the CP AIA is below 3 dB over a 9% bandwidth from 1.99 to 2.18 GHz. Index Terms—Active integrated antennas (AIAs), antenna, broadband antenna, class-E amplifier, high efficiency, power amplifier (PA).

I. INTRODUCTION ROAD bandwidth and high efficiency are two key requirements in wireless communication, radar, and sensor systems. Broad bandwidth offers the capacity to carry voice, data, and video simultaneously, thus being attractive for broadband multimedia communications. High efficiency can increase the battery life, reduce the power dissipation, relax the cooling requirement, and lower the cost. High-efficiency active integrated antennas (AIAs) have been a popular research topic in recent years. Using this approach, the antenna can be integrated directly with the PA, hence the losses in the connecting cables, matching networks, and connectors can be eliminated. The integration of a highly efficient power amplifier (PA) with the antenna will further reduce the size of the RF front-ends, improve the efficiency, and lower the cost. Due to its high-efficiency capability and simple circuit topology, the class-E switching-mode PA is a promising choice for RF front-ends. First introduced by Sokal and Sokal [1], the design equations taking into account an ideal active device are given by Raab [2]. Drain efficiency of

B

Manuscript received October 3, 2005; revised January 5, 2006. This work was supported by the Engineering and Physical Sciences Research Council, U.K., under Grant GR/S42538/01. The authors are with the Active Antenna Group, School of Engineering and Technology, Northumbria University, Newcastle-Upon-Tyne NE1 8ST, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.874873

Fig. 1. Class-E PA topology.

100% can be achieved when the transistor is driven heavily into saturation. High-efficiency active antenna designs have been reported in [3] with push–pull PAs, [4] class-E PAs, and [5] class-F PAs. Circularly polarized (CP) antennas are useful for satellite communications and GPS system, as circular polarization will keep the signal constant regardless of anomalies or rotation. In this paper, two novel designs of broadband high-efficiency AIAs are presented. Section II describes the improved design technique of broadband class-E PAs and the simulation results obtained by using the software ADS. Section III describes the practical design of broadband high-efficiency class-E PAs. Its power-added efficiency (PAE) is more than 60% over a 12% bandwidth, and a peak PAE of 82.1% is achieved. Section IV describes the design of a broadband linearly polarized (LP) antenna. The antenna is designed to function as a harmonics-terminated load, and it can be directly integrated to the class-E PA output. Section V describes the design of a broadband circularly polarized (CP) antenna. This antenna is designed to provide not only appropriate fundamental frequency and harmonic impedances for class-E PA integration, but also a wide bandwidth of axial ratio for broadband CP operation. Section VI describes the LP AIA, i.e., integration of a broadband LP antenna with a broadband class-E PA. The LP AIA achieves a peak PAE of 65.2%. Section VII describes the design of the CP AIA, i.e., integration of a broadband CP antenna with a broadband class-E PA. It achieves a peak drain efficiency of 72.2%, and the axial ratio is below 3 dB over a 9% bandwidth.

0018-9480/$20.00 © 2006 IEEE

2724

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 4. Simulated drain efficiencies using lumped elements and microstrip-line configurations.

TABLE I SIMULATED OPTIMUM SOURCE AND LOAD IMPEDANCES

Fig. 2. Proposed circuit diagram of a class-E PA. (a) Switch model with lumped elements. (b) Switch model with transmission lines.

tuned to the fundamental frequency, and, the load resistance . In the ideal case, the drain voltage and current waveforms do not overlap, therefore an efficiency of 100% can be obtained. From the analysis by Mader [6], the optimum impedance at the output capacitance is given by

(1)

Fig. 3. Comparison of Z

and calculated Z

II. CLASS-E PA The basic circuit topology for the class-E PA is shown in Fig. 1. It consists of an active device acting as a switch, a shunt capacitor across the switch, which includes of the transistor and the external capacitor, an ideal bandpass filter

where is the switching frequency. In order to achieve a wide-bandwidth class-E mode operation, a load network providing the optimum load impedance over the bandwidth of operation is required. The proposed load network configuration using lumped elements is shown Fig. 2(a). It consists of a series dc blocking capacitor followed by a series inductor . A parallel tuned circuit is attached at the node connecting with the load . In order to obtain the optimized solution from the given circuit configuration, it is necessary to minimize the difference between the optimum impedance and the actual impedance

QIN et al.: BROADBAND HIGH-EFFICIENCY LINEARLY AND CIRCULARLY POLARIZED AIAs

2725

TABLE II COMPONENT VALUES AND TRANSMISSION-LINE DIMENSIONS OF THE CLASS-E AMPLIFIER

Fig. 5. (a) Proposed circuit diagram of a class-E PA. (b) Designed broadband class-E PA.

over a frequency bandwidth from sary to minimize the error function

to

, i.e., it is neces-

(2) over the frequency range from to with respect to the circuit design parameters . The circuit input impedance is given by

(3) where is the quality factor of the parallel tuned circuit. An improved design method for broadband class-E PAs was reported in [7]. The component values are calculated by using the approximation method trapezoidal rule. In this paper, a direct integration is performed to calculate the component values, which give more accurate results. The error function is integrated over the frequency range from to with respect to the circuit design parameters and . The result is minimized with respect to and by solving the simultaneous equations and . For a design frequency of 2 GHz

with an assumption of , the following design parameters are obtained: nH and GHz. The values are used to determine the optimum load network component values nH and pF. A value of 10 pF was chosen for the dc blocking capacitor . The impedance curves for and are shown in Fig. 3. The load network impedance is in good agreement with the values of optimum impedance over the bandwidth. The equivalent transmission-line network shown in Fig. 2(b) then replaces the lumped-element network. The drain efficiencies obtained for the circuits shown in Fig. 2(a) and (b) are plotted in Fig. 4. To validate this result, a load–pull simulation, which includes the output networks of circuit in Fig. 2(a) and (b) and a switch model for the transistor, were performed using the harmonic balance method to determine the optimum output impedances over the frequency bandwidth. To ensure ideal class-E operation, the load network is required to give open circuit condition for all of the higher order harmonic frequencies. Optimum source and load impedances are obtained using the software ADS, from Agilent Technologies. The circuit uses a low-cost medium power packaged pseudomorphic high electron-mobility transistor (pHEMT) ATF34143 (from Agilent Technologies, Palo Alto, CA). The transistor is biased at the pinchoff voltage with a drain voltage of V. Also, an external shunt capacitor pF is placed across the transistor. The nonlinear behavior of the transistor requires two impedance tuners at the source and load in order to obtain optimum load values. A 12-dBm power source ensures that the transistor is operating in the deep saturation mode. In practice, the ideal open-circuit condition at second and third harmonics for ideal class-E operation cannot be realized, and, hence, the harmonic load impedances are specified as , which ensures that there is no loss in the unwanted harmonics power. Table I shows the results of simulated optimum impedances at the source and the load. The final amplifier circuits using lumped and transmissionline elements are shown in Fig. 5. As is seen in Table I, is nearly independent of the frequency and, consequently, a simple L-C matching network, i.e., T1 and T2 in Fig. 5(a), is used at the source.

2726

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 7. (a) Side view of the cross-slot coupled patch antenna. (b) Detailed dimensions of the proposed design (in millimeters).

III. PRACTICAL DESIGN OF A BROADBAND CLASS-E PA

Fig. 6. (a) Simulated voltage and current waveform. (b) Measured power output against frequency and the comparison of measured and simulated PAE versus frequency. (c) The comparison of measured and simulated gain versus input power at 2 GHz.

Using the calculated component values as a starting point for the output network, a broadband class-E PA was designed using a low-cost packaged pHEMT transistor ATF34143. The design elements were then replaced by the equivalent microstrip circuitry shown in Fig. 5(a). Detailed design procedures are presented in [7] and [8]. The circuit diagram is shown Fig. 5(a), and a photograph of the fabricated circuit in printed circuit board (PCB) technology is shown in Fig. 5(b). Fig. 6(a) shows that the nonideal switching waveforms are obtained by ADS simulation. A peak PAE of 82.1% is measured at 1.99 GHz, with a 22.08-dBm output power for an input power of 12 dBm, as shown in Fig. 6(b). Fig. 6(b) shows that the bandwidth for a PAE of more than 60% is about 12%. Fig. 6(c) and (d) shows that close agreements are obtained between ADS simulation and practical measurements. The results show that a class-E PA with a high efficiency and a wide bandwidth has been achieved.

IV. BROADBAND LP ANTENNA Quarter-wave microstrip lines (T3 and T5) are used as the bias networks both for the drain and gate of the transistor. In order to prevent short circuiting at the drain of the transistor, a series microstrip line T6 along with a series dc C blocking capacitor are connected with the dc feed. The output load network consists of T7–T9, which transfer the optimum impedance to 50 . Instability, which is a major concern in this amplifier design, was tested in ADS to determine if unwanted oscillation occurs across the wide frequency range. One resistor was used in the gate bias network shown in Fig. 5. The associated dimensions of the feed network, together with the values of the components, are given in Table II.

The antenna is connected directly to the output of the PA forming the load network in the integrated active antenna. It not only has to provide the optimum impedance for the first resonant frequency, but also has to exhibit open-circuit characteristics for higher order harmonics of the fundamental resonant frequency. The slot-coupled antenna is carefully designed to suppress the higher harmonics. The structure of the LP antenna design is shown in Fig. 7. The characteristics of a ring-slot coupled patch antenna have been reported [9]. To achieve a broadband LP operation, circular ring-slot coupling, a low value substrate (air), and an H-shaped patch on the top are employed. There is a 10-mm air gap between the ground plane and patch.

QIN et al.: BROADBAND HIGH-EFFICIENCY LINEARLY AND CIRCULARLY POLARIZED AIAs

Fig. 8. Comparison between the simulated optimum load impedance with the measured input impedance of the antenna (e.g., fundamental, second, and third harmonic impedance).

2727

Fig. 10. Comparison between the simulated optimum load impedance with the measured input impedance of the antenna (e.g., fundamental, second, and third harmonic impedance).

Fig. 11. Schematic of an AIA with class-E amplifier integration.

Fig. 9. (a) Side view of the cross-slot coupled patch antenna. (b) Detailed dimensions of the proposed design (in millimeters).

In Fig. 7(a), the metallic H-shaped patch is attached to the underside of the upper supporting substrate, which is held in position by vertical supporting rods. Fig. 7(b) shows the top view, where the relative positions and dimensions of the feed, circular ring-slot, and, H-shaped patch are shown. A 0.79-mmthick duroid (5870) substrate is used to attach the feed line. The geometry of the antenna feed line is obtained by first examining the experimental results using a rectangular microstrip line. Due to the low output impedance required at the

fundamental frequency for class-E PA operation, stepped-width transmission lines are formed to give approximately optimum load impedances over a wide bandwidth, as shown in Table I. Furthermore, approximately open-circuit conditions for both the second and third harmonics were provided by the antenna design. The antenna was fabricated and measured. The measured input harmonic impedances of the antenna and the optimum load impedance obtained are shown in Table I and are compared in Fig. 8. Close agreements between the optimum load impedance and the fundamental input impedance of the designed antenna are obtained. High reactive terminations for higher order harmonics are given by the input impedance of the design at second and third harmonic frequencies. Hence, the measured input impedance of the designed antenna is directly transformed to the optimum impedance for high efficiency at the fundamental frequency. The measured harmonic input impedances are used in the simulation of the PA. V. BROADBAND CP ANTENNA The same considerations for suppressing higher harmonics which were described in previous section are applied to this design. The characteristics of a cross-slot coupled patch antenna

2728

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 12. Broadband LP AIA. (a) Back view. (b) Top view.

have been reported in [10][11]. By using a cross slot for coupling the electromagnetic energy from the microstrip feed to the radiating element, a circular polarization can be obtained. The proposed design can achieve a right-hand CP antenna with a center frequency of 2 GHz. The structure is shown in Fig. 9. It consists of a stepped feed line, a cross-slot with unequal length and width, and a simple circular patch as a radiator. Tuning the width and length of two crossed slots mainly controls two near-degenerate resonant modes. Two orthogonal slots are used to excite the two equal amplitude fields with 90 phases. There is a 10-mm-high air layer between the ground plane and the patch. Once again, stepped-width transmission lines are formed to give approximately optimum input impedances over a wide bandwidth. The antenna was fabricated on the Duroid (5870) with a thickness of 0.79 mm. The measured harmonic impedances of the antenna and the optimum load impedance obtained are shown in Table I and are compared in Fig. 10. The harmonics impedances of the antenna design show that the antenna can be used to replace the output load network of a class-E PA. VI. BROADBAND LP ACTIVE ANTENNA In order to reduce the size and improve the efficiency of the RF front-end, the antenna is to be integrated directly to the

Fig. 13. Measured and simulated radiation pattern of the designed active antenna. (a) E -plane. (b) H -plane.

class-E PA output without using a 50- interface, as shown in Fig. 11. The class-E PA is redesigned in Fig. 11 without the output load network. A shunt [1.12 mm ( ) 7.6 mm ( )] open-circuit stub T7 is connected to the dc bias line. Based on the class-E amplifier design and broadband LP antenna design, an LP AIA is fabricated and measured. The photographs of the LP AIA are given in Fig. 12. The voltage and current waveforms in the time domain confirm the nonideal class-E mode of operation that results. The LP AIA was measured at the central frequency of 2 GHz in an anechoic chamber. Fig. 13 shows that good agreement is obtained between the measured and simulated results of the radiation pattern. The antenna radiation pattern simulation is performed by using Ensemble, from Ansoft. Practical measurements show that symmetric radiation patterns are obtained in both the - and -planes, and, cross polarizations are well

QIN et al.: BROADBAND HIGH-EFFICIENCY LINEARLY AND CIRCULARLY POLARIZED AIAs

2729

Fig. 14. (a) Simulated voltage and current waveform. (b) Measured power output against frequency and the comparison of measured and simulated PAE versus frequency. (c) The comparison of measured and simulated drain efficiency. (d) The comparison of measured and simulated gain at 2 GHz. (e) Simulated passive antenna gain.

below 20 dB in the broadside direction. The simulated voltage and current waveforms in Fig. 14(a) show the nonideal class-E operation. The PAE of this LP AIA amplifier module is determined by using the standard definition of PAE and the Friis’ transmission equation shown as follows:

(4)

where is the received power measured by the standard horn antenna, is the gain of the standard horn, is the power loss in the cable, and is predetermined passive antenna gain. The calculation takes into account the losses in the cables. The measured power output is shown in Fig. 14(b). A peak output power of 21.5 dBm is obtained at the output of a class-E PA. The measured and simulated results of PAE, drain efficiency, and gain versus frequency are shown in Fig. 14(b)–(d), respectively. The simulated passive antenna gain is shown in Fig. 14(e). The measured PAE is above 50% over a 14.6% bandwidth from 1.78 to 2.06 GHz.

2730

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 15. CP AIA. (a) Back view. (b) Top view.

A reasonable agreement is obtained between simulation and measurement, due to the variation of the antenna input impedance along the frequency. VII. BROADBAND CP ACTIVE ANTENNA The broadband CP AIA is realized by integrating the broadband class-E PA with the broadband CP antenna. The photographs of the fabricated CP AIA are shown in Fig. 15. The CP AIA was then measured at the central frequency of 2 GHz in anechoic chamber. A standard LP Horn is used to measured the total radiation pattern at and . The measured radiation patterns of the active antenna at 2 GHz are given in Fig. 16(a), which shows that symmetric radiation patterns are obtained at and . The antenna pattern simulation (passive) is done by using Ensemble, from Ansoft. Simulation results in Fig. 16(b) show that symmetric radiation patterns are obtained at both Phi and Phi , and, cross polarizations are well below 20 dB in the broadside direction.

Fig. 16. (a) Measured (AIA) total radiation pattern. (b) Simulated (passive) radiation patterns of the broadband active antenna.

Fig. 17 shows the measured and simulated results of PAE, drain efficiency, and gain versus frequency. The measured PAE is above 50% over a 14% bandwidth from 1.92 to 2.21 GHz. A peak output power of 22.3 dBm is obtained at the output of the class-E PA. Fig. 17(e) shows the comparison between measured and simulated axial ratio results versus frequency together with simulated passive antenna gain. A close agreement is found. The axial ratio of the CP AIA is below 3 dB over a 9% bandwidth from 1.99 to 2.18 GHz.

VIII. CONCLUSION This paper presented novel designs of two broadband high-efficiency AIAs, i.e., an LP AIA and a CP AIA. First, an improved design technique was proposed for designing broadband class-E PAs so that a high PAE can be achieved over a broad frequency range instead of a single frequency point. A broadband LP antenna and a broadband CP antenna were designed to function as both a harmonics-terminated load and a broadband radiator. Broadband high-efficiency LP AIAs and CP AIAs at 2 GHz

QIN et al.: BROADBAND HIGH-EFFICIENCY LINEARLY AND CIRCULARLY POLARIZED AIAs

2731

Fig. 17. (a) Simulated voltage and current waveform. (b) Measured power output against frequency and the comparison of measured and simulated PAE versus frequency. (c) The comparison of measured and simulated gain at 2 GHz. (d) The comparison of measured and simulated drain efficiency. (e) The comparison of measured and simulated axial ratio versus frequency.

were then realized by integrating the above two broadband antennas with broadband class-E PAs, respectively, without using a 50- interface between them. A low-cost PCB technology is employed in the fabrication, and a low-cost pHEMT transistor is used. The LP AIA achieves a PAE over 50% within a 14.6% bandwidth from 1.78 to 2.06 GHz. The CP AIA achieves a PAE over 50% within a 14% bandwidth from 1.92 to 2.21 GHz. The

axial ratio of the CP AIA is below 3 dB over a 9% bandwidth from 1.99 to 2.18 GHz. ACKNOWLEDGMENT The authors would like to thank Dr. P. Gardner, Birmingham University, Birmingham, U.K., for his support.

2732

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

REFERENCES [1] N. O. Sokal and A. D. Sokal, “Class E—A new class of high-efficiency tuned single-ended switching power amplifiers,” IEEE J. Solid-State Circuits, vol. SC-10, no. 6, pp. 168–176, Jun. 1975. [2] F. H. Raab, “Idealized operation of the class E tuned power amplifier,” IEEE Trans. Circuit Syst., vol. CAS-24, no. 12, pp. 725–735, Dec. 1977. [3] C. Hang, Y. Qian, and T. Itoh, “High-efficiency push–pull power amplifier integrated with quasiyagi antenna,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1155–1160, Jun. 2001. [4] S. Pajic and Z. B. Popovic, “An efficient -band 16-element spatial combiner of switched-mode power,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1863–1870, Jul. 2003. [5] Y. Chung and T. Itoh, “AlGaN/GaN HEFT power amplifier integrated with microstrip antenna for RF front-end applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 653–659, Feb. 2003. [6] T. B. Mader and Z. Popovic, “Switch mode high efficiency microwave power amplifiers in a free space power combiner array,” IEEE Trans. Microw. Theory Tech, vol. 46, no. 10, pp. 1391–1397, Oct. 1998. [7] Y. Qin, S. Gao, and A. Sambell, “Improved design technique of a broadband class-E power amplifier at 2 GHz,” in Proc. Eur. Microw. Conf., Paris, France, Oct. 3–7, 2005, pp. 453–456. [8] Y. Qin, S. Gao, and A. Sambell, “Design of low cost broadband class-E power amplifier using low voltage supply,” Microw. Opt. Technol. Lett., vol. 44, no. 2, pp. 103–106, Jan. 2005. [9] Y. Qin, S. Gao, and A. Sambell, “Broadband patch antenna with slot coupling,” Electron. Lett., vol. 40, no. 1, pp. 5–6, Jan. 2004. [10] K. L. Wong, Compact and Broadband Microstrip Antennas. New York: Wiley, 2002. [11] H. Kim and B. M. Lee, “A single-feeding circularly polarized microstrip antenna with the effect of hybrid feeding,” IEEE Antennas Wireless Propag. Lett., vol. 2, pp. 74–77, 2003.

X

Yi Qin received the B.Eng. degree in electronic and communication engineering from Northumbria University, Newcastle-Upon-Tyne, U.K., in 2001, and is currently working toward the Ph.D. degree at Northumbria University. He is currently a Research Fellow with the University of Birmingham, Birmingham, U.K., where he is involved with the investigation of novel techniques in adaptive digital predistortion for RF transmitter linearization in electronic and electrical engineering. His research interests include high-efficiency PAs, multifunctional active integrated antennas, digital signal-processing algorithms,

artificial intelligence techniques, predistortion, and linearization of nonlinear PAs for wireless applications.

Steven Gao (M’01) received the Ph.D. degree in microwave engineering from Shanghai University, Shanghai, China, in 1999. He is a Senior Lecturer with Northumbria University, Newcastle-Upon-Tyne, U.K., where he leads the active antenna research group. His research interests mainly include the design of multifunction planar antennas (e.g., dual-polarization, broadband, multiband, and phased array), active integrated antennas, RF/microwave circuits, high-efficiency microwave power amplifiers (e.g., class E and class F), numerical methods, radio propagation, and communication systems. In 1994, he was involved with radio propagation modeling with the China Research Institute of Radiowave Propagation, and then joined the Active Antenna Group, Shanghai University. He was a Post-Doctoral Research Fellow with the National University of Singapore, Singapore, then a Research Fellow with the University of Birmingham, Birmingham, U.K., and a Visiting Scientist with the Swiss Federal Institute of Technology, Zürich, Switzerland. He was a Visiting Professor with the University of California at Santa Barbara, in 2005, and a Visiting Professor with Chiba University, Chiba, Japan. He has authored or coauthored over 80 papers in refereed journals and international conferences and five book chapters. Dr. Gao was the recipient of the Japan Society of Promotion Science Fellowship in 2005, the Promising Research Fellowship Scheme Award from HEFCE, U.K., in 2004, the URSI Young Scientist Award in 2002, the Outstanding Ph.D. Dissertation Prize from Shanghai University in 2000, the Creation and Invention Award from Shanghai University in 1997, and the Science and Technology Progress Award (first-class) from the China Research Institute of Radiowave Propagation in 1996.

Alistair Sambell (M’96) received the B.Sc. and D.Phil. degrees in electronics from York University, York, U.K., in 1987 and 2001, respectively. His doctoral and subsequent postdoctoral research focused on novel III–V device structures and solar cells for space applications. Since 2001, he has been with Northumbria University, Newcastle-Upon-Tyne, U.K., where he is currently a Professor and Dean of the School of Engineering and Technology. His current research interests include the design of microwave antennas for road tolling and other applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2733

Miniaturized Antenna Arrays Using Decoupling Networks With Realistic Elements Jörn Weber, Christian Volmer, Kurt Blau, Member, IEEE, Ralf Stephan, and Matthias A. Hein Abstract—In ordinary antenna arrays, the spacing between ra2. In order to use antenna arrays in diators is usually chosen small mobile platforms, the overall dimensions and, hence, the radiator separation, must be reduced, which aggravates the problem of mutual coupling between radiators. Results are highly distorted beam patterns and greatly reduced radiation efficiency. We propose a method to design a decoupling and matching network for a 10, three-element antenna array with a radiator separation of which additionally allows arbitrary beam patterns assigned to each port—subject to certain constraints. An iterative process is employed to account for network losses, which are unavoidable in any realistic network implementation. Index Terms—Antenna array, decoupling and matching, miniaturization, passive network, superdirectivity.

I. INTRODUCTION N ORDER to improve the capacity and quality of today’s communication systems, so-called “smart antennas” are employed, which allow distinguishing between different paths of signal propagation in a scattering and fading environment with the aid of analog or digital beamforming [1], [2]. A -element antenna array permits the synthesis of radiation patterns possessing degrees of freedom by applying appropriate feeding currents to the antenna ports. The use of smart antennas in small mobile terminals, such as notebooks or handheld computers, is restricted solely by the lack of space. Placing individual radiators closer together aggravates the problem of mutual coupling between antenna ports. Ludwig states in [3] that tight radiator coupling in conjunction with superdirective modes of operation (also refer to [4] and [5]) results in significant gain reduction, caused by power mismatch, of the antenna array. Excitation of such modes, however, is inevitable to take full advantage of all available degrees of freedom. Simply increasing the generators’ transmit power is not an option in battery-powered devices. The power mismatch also applies in the receive direction, decreasing the antenna array’s ability to extract energy from the field [6]. The design of passive and lossless decoupling and matching networks (DMNs) to overcome these drawbacks has been treated, for instance, in [2], [7], and [8]. Yet little or no attention was paid to how the beam patterns get affected by the network. We have addressed this issue in [9] where we have demonstrated

I

Manuscript received October 11, 2006; revised February 2, 2006. This work was supported by the Deutsches Zentrum für Luft und Raumfahrt under Grant 50YB0509, and by the Network of Excellence in Wireless Communications under the European Community 6th Framework Programme. The authors are with the Institute for Information Technology, Technische Universität Ilmenau, 98684 Ilmenau, Germany (e-mail: [email protected]) Digital Object Identifier 10.1109/TMTT.2006.874874

Fig. 1. p-port antenna system Y~ consisting of the antenna admittance matrix Y~ connected to the DMN Y~ .

a method to decouple and match an antenna array with reduced radiator separation using a lossless network having predefined radiation patterns associated with each system port—subject to certain constraints that will receive continuative attention in this paper. This approach will be useful for small terminals where a switched-beam antenna array could make up for the lack of processing power that otherwise would be required for (continuous) beamforming. The practical implementation of such a network turned out to be a challenge. This is partly owed to the fact that losses are inherent in the network and cause a distortion of the network frequency response. Degradation of the decoupling and matching performance, as well as distorted radiation patterns are undesirable results. This paper puts forward an algorithm to come up with an adjusted network matrix that counteracts these effects. Section II gives an overview about the theoretical background, recapitulates the design methods used in the hypothetical lossless case, and extends this theory to include network losses. Section III provides a proof of concept by applying the algorithm to a simulated group of three dipole radiators. II. THEORY A. Basic Principles and Definitions Here, the theoretical background of the realization of a miniaturized antenna system is laid out. In contrast to [9], notation was changed from impedance to admittance domain, merely because a straightforward network topology derives from the admittance matrix directly, as described in Section III-B. Fig. 1 shows the building blocks of such an antenna system. First, there is the -element antenna array, described by the antenna admittance matrix . There is further the DMN, described by the network admittance matrix . Network losses are entirely represented by the real part of the admittance matrix, thus, in the case of a lossless network,

0018-9480/$20.00 © 2006 IEEE

2734

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

and is purely imaginary. The antenna and DMN matrix can be connected together to form the antenna system exposing ports. The system can now be described by the system admittance matrix . For decoupling and matching, the system admittance matrix must be diagonal with the reference admittance on its main diagonal, i.e., , where is the identity matrix and is real. The radiation pattern that arises when the th port of the antenna system is driven individually shall be referred to as the th port pattern. Port patterns are a direct consequence of the currents or voltages that occur at the antenna inputs when one particular system port is excited. The concept of transfer matrices is introduced to describe how a quantity at the inputs of the antenna system is transferred to the inputs of the antenna itself. The voltage transfer matrix is defined as

Fig. 2. Flowchart of the design process for a miniaturized antenna array.

where is the voltage vector at the system ports, and is the voltage vector at the antenna ports (refer to Fig. 1). Similarly a current transfer matrix can be defined as

Thus, when the th port of the system is fed exclusively by a voltage (current), while the other ports are short circuited (open circuited), the th column of the transfer matrix contains the voltage (current) vector that is fed into the antenna. The port patterns can, therefore, be completely determined by specifying columns in the transfer matrix. It is noted that the following two types of transfer matrices can be converted to one another, provided that both the antenna matrix and the system matrix are known: and In order to calculate the admittance matrix of the system from its constituent parts, the network matrix must be split into four submatrices according to

The indices refer to the reference planes in Fig. 1. The admittance matrix of the entire system can now be expressed as

matching, but also implements predetermined port patterns is presented here. Fig. 2 illustrates the design flow. As indicated, the task can be divided into the design processes of a lossless and a lossy network. Both will be treated individually and in depth later on. Port patterns are subject to certain constraints, which will be explained in Section II-D. As a consequence, a mutual design process is required to find a combination of antenna array and port patterns that is optimal. Once this is done, it is easy to calculate the admittance matrix of a lossless DMN using (3)–(6). In practice, however, a realization of the network will never be lossless. Losses in the system distort the port patterns and degrade the decoupling and matching performance. To compensate for these effects, the idea is as follows. The lossless theory leads to a network matrix, which is purely imaginary, i.e., . When the network is built, the component implementations, which derive from the imaginary part , introduce losses, which are fully described by the real part of the network matrix. The question arises in what way has the imaginary part of the network matrix to be adjusted to counteract the degradation due to the real part. Sure enough, after has been adjusted, will also have changed. In order to arrive at the optimum solution, an iterative approach is implemented. This final network shall be referred to as the compensated network. C. Lossless Network Design

(2)

The generation of a lossless DMN will be presented here and refers to the upper part of Fig. 2. Using (1) and (2), separating into real and imaginary parts and solving for the DMN submatrices while remembering that for the lossless case and for decoupling and matching, yields

All networks are assumed to be reciprocal throughout this paper, i.e., their network matrices are symmetric.

(3)

where

(1)

B. Network Design Flow The basic steps necessary for the design of a realistic DMN, which not only fulfills the requirements of decoupling and

(4) (5) (6)

WEBER et al.: MINIATURIZED ANTENNA ARRAYS USING DECOUPLING NETWORKS WITH REALISTIC ELEMENTS

Here,

. A new matrix is also introduced to simplify the paperwork. Note that . A lossless DMN can, therefore, be calculated from (3)–(6) once the antenna and voltage transfer matrix are known. As the network is lossless, power conservation requires the injected power to be equal to the power transferred to the . These powers are defined by antenna (7) (8) , the superscript The real part of a quantity is denoted by designates the Hermitian transpose of a matrix. Equating to gives , which has to hold for any feeding vector . Thus, it can be deduced that

(9) which shows that the voltage transfer matrix is constrained by the real part of the antenna admittance matrix. As demonstrated in the Appendix, (9) can be solved for the voltage transfer matrix with the aid of the Cholesky decomposition [10], denoted by as follows:

2735

crepancy between desired and realizable port patterns, there are a number of degrees of freedom that can be employed to optimize the outcome, which include the following. • Every column in may be multiplied by a constant. This has no effect on pattern orthogonality, however, it does affect power conservation and, thus, (9). • Appropriate array elements can be found by choosing modified radiators with corresponding radiation patterns and varying their parameters (e.g., dimensions). As usual, a tradeoff has to be found between the time and effort to be spent and the nonideality of the result. E. Lossy Network Design The results of Section II-C are insofar academic as they assume a purely lossless network. This is not realistic and has to be extended. Here, the losses of the DMN are introduced to correct the element values. The set of equations of the lossy DMN can be derived analogously to Section II-C. The only differences lie in the real parts (i.e., the losses) of the submatrices of the DMN. For now, the following real parts are assumed to be known: (12) (13) (14)

(10)

(15)

The matrix is unitary , yet can be chosen arbitrarily. Apparently, the number of transfer matrices, which results in port decoupling and matching and, thus, the number of permittable port patterns, is infinite, while at the same time, restricted to the subset described by (10).

The power consideration from Section II-D is repeated, but this time including network losses. With

D. Antenna and Port Pattern Design It was shown in a fundamental paper by Stein [6], that the port patterns of any lossless decoupled and matched antenna system are orthogonal. Thus, (9) enforces not only power conservation within the DMN, but also port pattern orthogonality. The challenge is to create port patterns that satisfy more or less stringent design goals while being restricted to orthogonal beam patterns and condition (9). Nonoverlapping beams have higher chances of meeting this requirement, although this is not a necessary condition [6]. The desired port patterns result from appropriate superposition of the single element patterns and, thus, dictate the transfer matrix for a particular antenna. For instance, the Schelkunoff polynomial method [11] can be used to determine the feeding currents in the case of a dipole array. This does not necessarily fulfill condition (9). To “enforce” this condition, a unitary matrix (11) can be derived. Substituting this into (10) in conjunction with the actual antenna yields a , which results in orthogonal port patterns and is, therefore, realizable. Depending on the dis-

and with (7) and (8), it follows that elimination of the voltage vector

, and after

(16) which is the lossy equivalent to (9). Defining the abbreviations

and solving (16) for the voltage transfer matrix pendix) leads to

(see the Ap-

(17) Again, is an arbitrary unitary matrix. Equation (17) simplifies to (10) in the lossless case, where , and . Both the matrix and the term have to be Hermitian and positive definite for a solution to exist, which is the case in our examples. The main problem with the lossy DMN lies in the fact that the actual losses (i.e., the real parts of the submatrices) are unknown. They are arbitrarily complicated functions of the imaginary parts, i.e., . These functions are deter-

2736

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 3. Port patterns of the example antenna system. The plots of the: (a) first, (b) second, and (c) third ports are normalized to a lossless isotropic radiator. The thin lines represent the lossless case and the thick lines illustrate the patterns resulting from the compensated lossy DMN with = 20. The plotted dynamic range is 25 dB.

Q

mined by the type of network realization and topology. Thus, essentially, (12)–(15) can be summarized as

and the voltage transfer matrix turns out to be

(18) exists, it must be a fixed point of Thus, if a solution network the function . Due to the unknown nature of , no attempt was made to prove the existence of a solution in general. It turned out that, in practice, however, a solution can be found using the iteration process, as described in Section III. III. EXAMPLE OF NETWORK DESIGN

The resulting port patterns are shown as thin lines in Fig. 3. The initial goal of dividing the space into three sections is met; however, the radiation zeros as prescribed by the Schelkunoff method “moved” in order to fulfill condition (9). This leads to an increased sidelobe level, especially for port pattern 2, where the sidelobes are approximately 10 dB below peak. B. Network

The feasibility of our approach shall be substantiated by means of a selected example. Its purpose is to demonstrate that our algorithm actually converges and that the decoupling and matching behavior is restored after the iteration process. A. Antenna The example operates at 2.45 GHz and shall divide the illuminated space into three sections, similar to a Butler-matrix approach [12]. An array of three dipole antennas spaced apart consisting of thin perfectly conducting rods with ideal ports attached to their feeding points is chosen and simulated in CST Microwave Studio [13] in order to get the port patterns as well as the antenna admittance matrix. The antenna terminal currents are deduced from the polynomial method by Schelkunoff [11] to realize radiation zeros at and , and , and and , respectively, which results in the desired beam patterns. These currents are used as the initial condition of the design process discussed in Section II-D. The dipoles are shortened to a total length of because this results in an optimum efficiency for an element spacing of . The antenna admittance matrix is then

mS

Now a lossless DMN can be calculated using (3)–(6). This hypothetical network is used as a starting point for the iterative process. The admittance matrix can be converted to an actual realization of the DMN in terms of capacitors and inductors. Each off-diagonal element of is the negative of the element between the two corresponding ports. To realize the diagonal elements of , a shunt element at each port is necessary. The value of the th shunt element is the sum over the th row of . In a real design process, any realization of an inductance or capacitance introduces different losses in the system. To demonstrate the feasibility of our approach, we assume that all losses can be captured by one global quality factor so the losses of all elements can be approximated by

(20) For practical implementations, it is advisable to measure and tabulate the losses corresponding to a certain element value. These lookup tables can then be employed in the design process instead of (20). A lossy admittance matrix is calculated by reversing the process outlined above. To fulfill the requirement of power conservation (16), the voltage transfer matrix has to be adjusted using (17) and substituting the new and the unitary matrix

WEBER et al.: MINIATURIZED ANTENNA ARRAYS USING DECOUPLING NETWORKS WITH REALISTIC ELEMENTS

2737

Fig. 4. Outline of the DMN. Thick lines correspond to individual reactances.

, as obtained from (11) in the lossless case. An adjusted imaginary part of the network admittance matrix is then calculated from (12)–(15). This process starts over by recalculating the losses using the -factor, and so on, as depicted in Fig. 2. Iteration stops when the differences between subsequent iterations have become negligible. Multiple trials have shown that convergence is actually achieved within, at most, ten iterations, even for small factors. It should, however, be pointed out that convergence greatly depends on the element realization and the network topology employed. Different element realizations and network topologies introduce different losses and influence the iteration function in (18). Tests have shown that convergence cannot generally be taken for granted. C. Results and Interpretation In this example, is chosen as 20. After convergence is achieved, the correct operation of the network is verified by a simulation in Agilent ADS [14]. The antenna is imported as a three-port -parameter file and the network elements are inserted as “Inductor with ” or “Capacitor with ,” respectively. The outline is depicted in Fig. 4. The network consists of 21 elements whose values are between 0.15–6.2 pF for the capacitors and between 0.4–22 nH for the inductors. The element values, therefore, lie within viable intervals. The complete admittance matrix of the DMN is shown for reference in (19) at the bottom of this page. 1) Realization of the DMN: Experimental work to realize such a network is in progress. Difficulties arise from the tight tolerances imposed on the element values (refer to [9]). We are currently investigating elements that can be printed using standard printed circuit board (PCB) processes. Some elements have

Fig. 5. Frequency dependence of the transverse (thick lines) and shunt (thin lines) susceptances of the 5-equivalent network of an inductor printed on PCB according to the inlay. The dash patterns refer to measurement data (——), simulation with  = 10:02 (according to manufacturer, - - -), and simulation with  = 11:92 (according to own measurements using ring resonators, - 1 - 1 -).

already been verified by measurement [15] and we are confident that the required accuracies can be achieved. The quality factors of the designed elements display a continuous behavior and lie between 20–160. As an example, Fig. 5 shows the behavior of a printed inductor, designed for 1 GHz. The ground plane below the narrow conductor has been removed to alleviate the effects of stray capacitances. The RO3010 high-frequency laminate was used as a substrate with a dielectric constant of at 10 GHz, as specified by the manufacturer.1 Simulation results of the -equivalent network (dashed lines) clearly show that the shunt susceptances (thin line) can be made zero at the design frequency, leaving a series inductance (thick line) of approximately 1.83 nH. Measurements, however, produced the plots according to the solid curves of Fig. 5. Subsequent tests with ring resonators revealed the true dielectric constant of , which is off by almost 20%. Resimulation with the actual dielectric constant (dashed–dotted curves) displays an excellent agreement at the design frequency. Due to this discrepancy in the material parameter, it was not possible to verify the complete operation of the first network built in [15]. A new design accounting for this problem is in progress. The results will be published after careful analysis. 2) Performance of the Antenna Array: The frequency response of the antenna system is simulated and depicted in Fig. 1High-frequency

laminates, Rogers Corporation, Rogers, CT, 2006.

mS (19)

2738

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 6. Frequency response of first port matching and coupling coefficients S (——), S (---), and S (- 1 - 1 -). The compensated frequency response (thick lines) is compared to the lossless case (thin lines, panel a) and the lossy uncompensated case (thin lines, b).

=

Fig. 7. Efficiency  P =P of the compensated DMN depending on the quality factor Q for the first (——), second (---), and third (- 1 -1 -) port driven individually.

6. Only the first three scattering parameters are plotted. The remaining ones show similar behavior and have been omitted for clarity. Fig. 6(a) compares the lossy compensated case to the lossless case. The goal of decoupling and matching is met at the design frequency of GHz in the lossless, as well as in the lossy case. Without a DMN, the antenna has dB, dB, and dB over the same frequency range. The overall bandwidth is fairly narrow due to the high power mismatch of superdirective operation, as explained in Section I. The most prominent difference between the two cases lies in themore than twofold increase in bandwidth,which is due to the losses in the DMN. Fig. 6(b) clearly demonstrates the beneficial effect of the compensation algorithm by showing the frequency response of the uncompensated DMN. The compensated voltage transfer matrix turns out as

=

Fig. 8. Dependence of the worst port efficiency  P =P on radiator separation d and dipole length l for a element Q factor of 20. Both parameters are normalized to the wavelength .

resulting in the port patterns shown as thick lines in Fig. 3. Although the general shape of the port patterns has been preserved by the compensation algorithm, the relative sidelobe levels of the first and third port pattern have increased by approximately 5 dB, the sidelobes of the second port pattern have decreased a little. The most obvious degradation, however, is inflicted by the network losses. Looking at the network efficiency plotted for various factors in Fig. 7, taking the average efficiency at of % dB agrees well with the loss in port pattern gain apparent from Fig. 3. The generally low efficiency is again caused by the power mismatch under highly directive operation. D. Analysis of the Effects of Antenna Design As was suggested in Section II-D, an appropriately designed antenna greatly contributes to a successful DMN realization. The optimization process used when preparing the particular example shall now be discussed in more detail. Each column of the transfer matrix may be multiplied by an arbitrary unit phasor without affecting (9). What does get

affected, however, are the network matrix , the component values required to realize the network, and more importantly, the component tolerances (refer to [9]), as well as the network efficiency. A given network matrix will be realizable only if these degrees of freedom are utilized to perform an optimization with respect to either tolerances or efficiency. If the antenna design is to be based on simulation data, it is essential that these are conducted with sufficient accuracy. The effects of radiator separation and dipole length on the DMN performance are illustrated in terms of the corresponding efficiencies (for ) in Fig. 8 and component tolerances in Fig. 9. Some correlation between the two plots is clearly visible. The element length of was chosen in the example to maximize network efficiency. While the tolerances at are around 1%, they quickly relax toward larger element separations. The fact that both the tolerances and the network efficiency increase as the radiator length approaches shows that a sound radiator is fundamental to proper DMN operation. The dipole radiator, chosen solely for demonstration, is probably not the best radiator for the purpose of miniaturized

WEBER et al.: MINIATURIZED ANTENNA ARRAYS USING DECOUPLING NETWORKS WITH REALISTIC ELEMENTS

2739

However, is not the only solution. Consider an arbitrary unitary matrix ( ). If is a solution, then is also a solution because

Returning to the original problem (A.1), two matrices are introduced as

and

and with

unitary. Equation (A.1) can now be expressed as

Fig. 9. Dependence of the critical component tolerance on radiator separation d and dipole length l. Both parameters are normalized to the wavelength .

(A.2) Expanding the expression

antenna arrays. Radiator coupling is not only affected by separation, but also by pattern overlap, as discussed in Section II-D and more extensively in [6]. More research will, therefore, be directed toward radiators, which possess some directivity by themselves and could be accommodated to exhibit less beam overlap.

and comparing to (A.2) results in

IV. CONCLUSION A new strategy for the design of a DMN has been presented. This network counteracts gain reduction due to the strong mutual radiator coupling of a miniaturized antenna array and, at the same time, divides the illuminated space into independent sections. Losses are specifically included in the theory in order to permit a realistic design. Simulated data based on a element dipole array illustrate the beneficial effects of this approach. Current and future research is dedicated to the practical implementation of a miniaturized antenna array. To improve the practical feasibility, further investigations regarding antenna element design, as well as the network implementation will be conducted. The advantage of our approach comes into its own in small mobile terminals, where lack of space prevents the use of conventional arrays.

Decomposing once more produces

(A.3) with unitary. Resubstitution of hand side

and for the left-hand side yields APPENDIX A solution for the following general quadratic matrix equation in is derived here: Thus, (A.3) becomes (A.1) . Observe that (9) presents a special case of this with As a first step, consider a decomposition of a square Hermitian positive definite matrix such that . One possible decomposition is the complex Cholesky decomposition [10] denoted by . The Cholesky decomposition of yields a matrix , which is upper triangular and unique.

Rearranging for

gives

and

yields for the right-

2740

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Finally, combining the unitary matrices duces the final answer

with unitary and arbitrary and definite.

and

and

to

pro-

positive

Christian Volmer was born in Düsseldorf, Germany, in 1980. He received the Dipl.-Ing. degree in electrical engineering and information technology from the Technische Universität Ilmenau, Ilmenau, Germany, in 2005, and is currently working toward the Ph.D. degree in RF and microwave techniques at the Technische Universität Ilmenau. His current research activities concentrate on the application of miniaturized adaptive antenna arrays to mobile satellite communications. He co-conducts a research project supported by the German Ministry for Education and Research.

REFERENCES [1] R. G. Vaughan and J. Bach Andersen, “Antenna diversity in mobile communications,” IEEE Trans. Veh. Technol., vol. VT-36, no. 4, pp. 149–172, Nov. 1987. [2] H. J. Chaloupka and X. Wang, “Novel approach for diversity and MIMO antennas at small mobile platforms,” in Proc. 15th IEEE Int. Pers., Indoor, Mobile Radio Commun. Symp., Barcelona, Spain, Sep. 2004, vol. 1, pp. 637–642. [3] A. C. Ludwig, “Mutual coupling, gain, and directivity of an array of two identical antennas,” IEEE Trans. Antennas Propag., vol. AP-24, no. 6, pp. 837–841, Nov. 1976. [4] M. M. Dawoud and A. P. Anderson, “Design of superdirective arrays with high radiation efficiency,” IEEE Trans. Antennas Propag., vol. AP-26, no. 6, pp. 819–823, Nov. 1978. [5] M. M. Dawoud, “Scanning properties of superdirective antenna arrays,” in 8th Int. Antennas Propag. Conf., Edinburgh, U.K., Mar. 1993, vol. 2, pp. 694–697. [6] S. Stein, “On cross coupling in multiple-beam antennas,” IEEE Trans. Antennas Propag., vol. AP-10, no. 5, pp. 548–557, Sep. 1962. [7] J. B. Andersen and H. H. Rasmussen, “Decoupling and descattering networks for antennas,” IEEE Trans. Antennas Propag., vol. AP-24, no. 6, pp. 841–846, Nov. 1976. [8] V. Riech, “Remarks on decoupling- and matching-networks for small antenna arrays,” Arch. Elektron. Uebertrag., vol. 30, no. 5, pp. 204–208, May 1976. [9] J. Weber, C. Volmer, K. Blau, R. Stephan, and M. A. Hein, “Miniaturization of antenna arrays for mobile communications,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1173–1176. [10] G. H. Golub and C. F. van Loan, Matrix Computations, 3rd ed. Baltimore, MD: The John Hopkins Univ. Press, 1996. [11] C. A. Balanis, Antenna Theory: Analysis and Design, 2nd ed. New York: Wiley, 1997. [12] J. P. Shelton and K. S. Kelleher, “Multiple beams from linear arrays,” IEEE Trans. Antennas Propag., vol. AP-9, no. 2, pp. 154–161, Mar. 1961. [13] CST Microwave Studio. CST Microwave, Darmstadt, Germany, 2006. [14] Agilent ADS. Agilent Technol., Palo Alto, CA, 2006. [15] C. Kutscher, “Entwurf, Aufbau und Erprobung einer miniaturisierten Gruppenantenne einschließlich Speisenetzwerk mit vorgegebener Impedanzmatrix,” Diploma thesis, Dept. RF Microw. Tech., Tech. Univ. Ilmenau, Ilmenau, Germany, 2005.

Jörn Weber was born in Quedlinburg, Germany, in 1978. He received the Dipl.-Ing. degree in electrical engineering and information technology from the Technische Universität Ilmenau, Ilmenau, Germany, in 2003, and is currently working toward the Ph.D. degree in RF and microwave techniques at the Technische Universität Ilmenau. His current research activities concentrate on the design and optimization of miniaturized antenna arrays to mobile satellite communications. He co-conducts a research project supported by the German Ministry for Education and Research.

Kurt Blau (M’00) was born in 1949. He received the Diploma and Doctoral degrees in electrical engineering, information, and measurement techniques from the Technische Hochschule Ilmenau, Ilmenau, Germany, in 1972 and 1977, respectively. In 1987, he joined the Department for Microwave Techniques, Technische Hochschule Ilmenau. Since then, he has successfully conducted and completed numerous interdisciplinary research projects concerning topics such as control circuits for phased arrays, phase-locked loops (PLLs) with YIG-tuned oscillators, satellite receiver front ends, multichannel RF front ends, and wave propagation effects in sewerage pipes. He is currently a Senior Researcher with the Department of RF and Microwave Techniques, Technische Universität Ilmenau, Ilmenau, Germany. His interests cover research and development of RF and microwave circuits and their measurements, mobile antennas, and switched-mode amplifiers.

Ralf Stephan was born in 1959. He received the Diploma and Doctoral degrees in theoretical electrical engineering from the Technische Hochschule Ilmenau, Ilmenau, Germany, in 1982 and 1987, respectively. In 1987, he joined the Department of RF and Microwave Techniques, Technische Hochschule Ilmenau. Since then, he has successfully conducted and completed numerous interdisciplinary research projects concerning topics such as integrated GaAs microwave filters and broadband noise RADAR. He is currently a Senior Researcher with the Department of RF and Microwave Techniques, Technische Universität Ilmenau, Ilmenau, Germany. His research interests concern microwave devices and measurements, antennas, and antenna arrays.

Matthias A. Hein received the Diploma and Doctoral degrees in experimental physics from the University of Wuppertal, Wuppertal, Germany, in 1987 and 1992, respectively, and the Habilitation degree from University of Wuppertal, Wuppertal, Germany, in 1998. Since 1992, he has conducted interdisciplinary research on passive superconducting microwave electronics and materials. From 1999 to 2000, he was with the University of Birmingham, Birmingham, U.K., as an Engineering and Physical Sciences Research Council (EPSRC) Senior Research Fellow. In 2002, he joined the Faculty of Electrical Engineering and Information Technology, Technische Universität Ilmenau, Ilmenau, Germany, as a Professor, where he also currently heads the Department of RF and Microwave Techniques. He has been invited to numerous international workshops and summer schools. He has authored or coauthored various monographs, reviews, and approximately 190 technical papers. He has supervised approximately 40 diploma and doctoral students. His current research interests concern novel microwave concepts and materials for various applications including wireless communications and sensor technology. Dr. Hein was the recipient of the Alan Berman Research Publication Award for his work on satellite-based navigation systems in the framework of the U.S. Navy’s High-Temperature Superconductivity Space Experiment (HTSSE) project.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2741

Novel Source of the Chaotic Microwave Radiation Based on the Gyro-Backward-Wave Oscillator Roman M. Rozental, Member, IEEE, Naum S. Ginzburg, Mikhail Y. Glyavin, and Alexander S. Sergeev

Abstract—First experimental studies of the nonstationary phenomena in a powerful -band gyro-backward-wave oscillator with an external reflector are presented. Variation of the selfmodulation frequency from 69 to 112 MHz and transition to the chaotic oscillations with spectrum width of 100 MHz were obtained due to the tuning of the guiding magnetic field. These results are in a good agreement with simulations, which demonstrate a strong dependence of the system dynamics on the strength of the magnetic field.

Fig. 1. Geometry of the interaction region, output waveguide, and reflector.

Index Terms—Chaotic microwave radiation, delayed feedback, gyrotron, gyro-backward-wave oscillator (BWO).

I. INTRODUCTION HE generation of powerful microwave nonmonochromatic signals could be interesting for different practical applications such as amplitude-modulated radio-acoustic sounding [1], chaotic communications [2], jamming of the radar systems, and others. During the last few years, a significant progress takes place in this research field. For example, in the -band, the generation of periodic and chaotic self-modulated signals with MW power level was achieved in a relativistic backward-wave oscillator (BWO) [3] and gyrotron [4]–[6], in the -band, the generation of nonmonochromatic signals in gyrotrons were obtained at the power level from several to hundreds of kilowatts [7], [8]. The gyro-BWO in comparison with gyrotron possesses frequency tunability, which can be realized by varying the magnetic field or the beam voltage. From the other side, in difference with a conventional BWO cyclotron, the mechanism of radiation can be realized in smooth waveguides. At the same time, the theoretical and experimental studies demonstrate that the multifrequency self-modulation regimes in the gyro-BWO take place only with a considerable exceeding of the injection current over the threshold [7]–[10]. In this situation, the introduction of additional reflections of the output signals could be used for decreasing the bifurcation currents of the nonstationary regimes. The above technique was previously theoretically studied for the gyrotron [11] and conventional BWO [12] and was tested experimentally at the relativistic -band gyrotron [4]–[6]. For the gyro-BWO, first experimental studies of nonstationary oscillation regimes were presented by Rozental et al. in [13]. In this

T

Manuscript received October 10, 2005; revised February 22, 2006. This work was supported by the Russian Foundation for Basic Research under Grant 03-02-17560 and by The International Association for the Promotion of Co-operation with Scientists from the New Independent States (NIS) of the Former Soviet Union under Grant 03-55-1858. The authors are with the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod 603950, Russia (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.874876

Fig. 2. Dispersion diagram of the gyro-BWO operation.

paper, the extended studies of the nonstationary phenomena in a sub-relativistic -band gyro-BWO with an external reflector are discussed and compared with simulations results. II. EXPERIMENTAL SETUP The experimental investigations were carried out at the prototype of the -band technological gyrotron [14]. Using this facility, it was possible to produce pulses of accelerating voltage with the flat top duration of approximately 40 s with the repetition rate of approximately 5 pps. The helical electron beam with energy up to 20 kV, current up to 3.5 A, pitch factor close to 1.5, and average radius of 2 mm was formed by the triode-type magnetron injection gun. The electrodynamics system consisted of an open-end interaction cavity with 12-cm length and 3.64-mm radius of the uniform section and output waveguide with 1-cm radius. The delayed feedback was formed by external reflector with a trapezoidal profile, which reflected approximately 4% of incident power and was placed outside the vacuum volume at the total distance of approximately 250 mm from the resonance cavity output (Fig. 1). The electron beam interacts with the backward wave of the operating mode at the second cyclotron harmonic at the frequency of approximately 24.6 GHz (Fig. 2). The magnitude of the guiding magnetic field for the gyro-BWO operation was approximately 4600 Oe. The output signal was registered by a crystal detector and was recorded by a digital oscilloscope with the passband of 500 MHz and the sampling period of 1 ns. The energy of the microwave pulses was detected by a solid-state calorimeter with accuracy of approximately 10%.

0018-9480/$20.00 © 2006 IEEE

2742

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

III. NUMERICAL SIMULATIONS The nonstationary processes in the gyro-BWO with delayed feedback operating at the second cyclotron harmonic can be described by the following equations:

with initial and boundary conditions

where is the normalized amplitude of the RF field, and are the normalized axial coordinate and time, and are the normalized system length and the output signal delaying time, is the reflection coefficient for the output wave,

is the current parameter, is the normalized longitudinal wavenumber, and are the waveguide cutoff frequency and the cyclotron frequency, respectively, are the normalized initial transverse and axial veloci; and are the ties of the electrons beam current and average beam radius, respectively, the function is given for the mode of a cylindrical waveguide, and is the th root of the equation . The value characterizes the orbital momentum of the electron, is a slowly varying phase, and and are the components of the orbital momentum in the polar frame. Simulations were carried out under the following values of the normalized parameters: , which correspond to the beam current 3 A, voltage 17.5 kV, guiding magnetic field of approximately 4600 Oe, and the delayed time of approximately 5 ns. First of all, the simulations of the gyro-BWO operation without delaying feedback were carried out. It was found that, for the experimental parameters, the normalized oscillation starting current and the nonstationary oscillations take place when . It should be noted that, due to additional reflections of the output signal, the starting oscillation current and above-mentioned ratio reduce down to 0.015 and 20, respectively. The strong dependence of the oscillation regime from the value of the guiding magnetic field was observed for the gyro-BWO with delayed feedback. Fig. 3 demonstrated the modifications of the spectrum of the output signal and “phase-plane portrait.” For reconstruction, the phase space

Fig. 3. Simulation results: spectra of the output signal amplitude and phase planes as the guiding magnetic field increases.

of the system presented in [15] has been used. Based on the function describing temporal dependence of the output signal amplitude the points corresponding to the states of the system at different moments have been plotted on the plane . The delay time was chosen of approximately a quarter of the self-modulation period. One can see that transition from periodic to chaotic self-modulation regimes takes place when magnetic field value varies from 4630 to 4643 Oe, i.e., the relative variation of is less than 0.3%. The rapid variation of the self-modulation frequency also occurs when the magnetic field exceeds 4647 Oe. This fact can be explained by the significant variation of the phase of the reflected signal due to the changing of the oscillation frequency caused by the guiding magnetic field variation. Note that the one doubling of the modulation period was registered before the output signal acquired the chaotic character [see Fig. 3(b)]. Nevertheless, the full patterns of transition from the steady state to chaotic oscillation regimes should be the subject of a separate investigation. IV. EXPERIMENTAL RESULTS In the beginning, the gyro-BWO without an output reflector were tested. Only steady-state single-frequency oscillations were observed in this system in the wide range of the accelerating voltage and beam current. After reflector was installed, the self-modulated regimes, with maximum output power of approximately 100 W, were established in the range of the guiding magnetic field from 4600 to 4685 Oe. Typical oscilloscope traces of the accelerating voltage pulse and output signal in the self-modulation regime are presented in Fig. 4. In more detail, the evolution of the spectra of the

ROZENTAL et al.: NOVEL SOURCE OF CHAOTIC MICROWAVE RADIATION BASED ON GYRO-BWO

Fig. 4. Typical oscilloscope traces of accelerating voltage modulated signal A .

U and output self-

2743

112 MHz was obtained. The sequence of bifurcations, in general, corresponds to the simulation data. In particular, the transition from the periodic to the chaotic self-modulation regimes also occurred through period doubling [see Fig. 5(b)]. However, in simulations, the spectrum width of radiation in the chaotic regime ( 50 MHz) was sufficiently less then experimentally observed ( 100 MHz). This fact can be explained by the small variation of the accelerating voltage at the flat top of the pulse. The simple estimation from the resonant condition gives the approximate value of the frequency changing of approximately 30 MHz for the 3% accelerating voltage variation. Thus, the central oscillation frequency and full spectrum shift during the pulse is what resulted in the spectrum broadening. V. CONCLUSION For the first time, the periodic and chaotic self-modulation regimes were observed at the sub-relativistic gyro-BWO. It was shown that the gyro-BWO dynamics strongly depends on the value of the guiding magnetic field. Thus, it is possible to conclude that the gyro-BWO is an attractive source of the nonmonochromatic radiation, which combines the main advantages of the gyrotron and traditional BWO, and has the good potential of increasing the operating frequency [16] and output power due to the optimization of the guiding magnetic field profile [17] and recuperation of the beam energy [18]. ACKNOWLEDGMENT The authors would like to thank Dr. A. G. Luchinin, Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, Russia, for technical support. REFERENCES

Fig. 5. Experimental data: spectra of the output signal amplitude and phase planes as the guiding magnetic field increases.

output signal amplitude and “phase-plane portrait” with an increase in the guiding magnetic field is presented in Fig. 5. Note that the spectral analysis was made for the central part of the pulse, where the variation of the accelerating voltage was less than 3%. When the guiding magnetic field was increased from 4600 to 4636 Oe, the transition from the periodic to chaotic selfmodulation regimes was observed. When was varied from 4636 to 4685 Oe, the chaotic oscillations were then replaced by the periodic self-modulation regime. In this region, the significant variation of the self-modulation frequency from 69 to

[1] V. E. Ostashev, S. F. Clifford, R. Lataitis, A. Muschinski, and A. L. Fabrikant, “Amplitude-modulated radio-acoustic sounding,” Acta. Acustica, vol. 87, no. 6, pp. 717–720, 2001. [2] A. S. Dmitriev, B. Y. Kyarginsky, A. I. Panas, and S. O. Starkov, “Experiments on direct chaotic communications in microwave band,” Int. J. Bifurcat. Chaos, vol. 13, no. 6, pp. 1495–1507, Jun. 2003. [3] N. S. Ginzburg, N. I. Zaitsev, E. V. Ilyakov, I. S. Kulagin, Y. V. Novozhilova, R. M. Rozenthal, and A. S. Sergeev, “Observation of chaotic dynamics in a powerful backward-wave oscillator,” Phys. Rev. Lett., vol. 89, no. 10, 2002, art. 108304. [4] N. I. Zaitsev, N. S. Ginzburg, E. V. Ilyakov, I. S. Kulagin, V. K. Lygin, V. N. Manuilov, M. A. Moiseev, R. M. Rosenthal, V. E. Zapevalov, and N. A. Zavolsky, “X -band, high-efficiency relativistic gyrotron,” IEEE Trans. Plasma Sci., vol. 30, no. 3, pp. 840–845, Jun. 2002. [5] R. M. Rozental, N. I. Zaitsev, E. V. Ilyakov, I. S. Kulagin, and N. S. Ginzburg, “Experimental investigation of the nonstationary phenomena in the gyrotron with end reflections,” in 28th Int. Infrared Millimeter Waves Conf. Dig., Otsu, Japan, 2003, pp. 407–408. [6] R. M. Rozental, N. I. Zaitsev, E. V. Ilyakov, I. S. Kulagin, and N. S. Ginzburg, “Nonstationary processes in an X -band relativistic gyrotron with delayed feedback,” IEEE Trans. Plasma Sci., vol. 32, no. 4, pp. 418–421, Apr. 2004. [7] T. H. Chang, S. H. Chen, L. R. Barnett, and K. R. Chu, “Characterization of stationary and nonstationary behavior in gyrotron oscillators,” Phys. Rev. Lett., vol. 87, no. 6, 2001, art. 064802. [8] R. M. Rozental, N. S. Ginzburg, E. V. Ilyakov, I. S. Kulagin, and N. I. Zaitsev, “Self-modulation spectrum variation in gyrotrons with Bragg type output reflector,” in 29th Int. Infrared Millimeter Waves Conf. Dig., Karlsruhe, Germany, 2004, pp. 631–632. [9] G. S. Nusinovich, A. N. Vlasov, and T. M. Antonsen, Jr., “Nonstationary phenomena in tapered gyro-backward-wave oscillators,” Phys. Rev. Lett., vol. 87, no. 21, 2001, art. 218301.

2744

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[10] S. H. Chen, T. H. Chang, K. F. Pao, C. T. Fan, and K. R. Chu, “Linear and time-dependent behavior of the gyrotron backward-wave oscillator,” Phys. Rev. Lett., vol. 89, no. 26, 2002, art. 268303. [11] N. S. Ginzburg, M. Y. Glyavin, N. A. Zavol’skii, V. E. Zapevalov, M. A. Moiseev, and Y. V. Novozhilova, “A proposal to use reflection with delay for achieving the self-modulation and stochastic regimes in millimeter-wave gyrotrons,” Tech. Phys. Lett., vol. 24, no. 6, pp. 436–438, 1998. [12] N. M. Ryskin and V. N. Titov, “Self-modulation and chaotic regimes of generation in a relativistic backward-wave oscillator with end reflections,” Radiophys. Quantum Electron, vol. 44, pp. 793–806, 2001. [13] R. M. Rozental, N. S. Ginzburg, M. Y. Glyavin, and A. S. Sergeev, “Novel source of the chaotic microwave radiation based on the gyrotron backward-wave oscillator,” in Proc. 35th Eur. Microwave Conf., Paris, France, 2005, pp. 1367–1370. [14] Y. Bykov, A. Eremeev, M. Glyavin, A. Gol’denberg, A. Luchinin, V. Lygin, N. Zavolsky, G. Denisov, A. Bogdashov, Y. Rodin, V. Holoptsev, N. Pavlov, and I. Plotnikov, “Gyrotron compact system for materials processing,” in Proc. 26th Int. Infrared Millimeter Waves Conf., Toulouse, France, 2001, pp. 5.156–5.160. [15] H. D. I. Abarbanel, R. Brown, J. J. Sidorowich, and L. S. Tsimring, “The analysis of observed chaotic data in physical systems,” Rev. Mod. Phys., vol. 65, no. 4, pp. 1331–1392, 1993. [16] M. A. Basten, W. Guss, K. E. Kreischer, R. T. Temkin, and M. Caplan, “Experimental investigation of a 140 GHz gyrotron backward-wave oscillator,” Int. J. Infrared Millimeter Waves, vol. 16, no. 5, pp. 889–905, May 1995. [17] A. K. Ganguly and S. Ahn, “Non-linear analysis of the gyro-BWO in three dimensions,” Int. J. Electron., vol. 67, no. 2, pp. 261–276, 1989. [18] S. V. Samsonov, G. G. Denisov, V. L. Bratman, A. A. Bogdashov, M. Y. Glyavin, A. G. Luchinin, V. K. Lygin, and M. K. Thumm, “Frequencytunable CW gyro-BWO with a helically rippled operating waveguide,” IEEE Trans. Plasma Sci., vol. 32, no. 6, pp. 884–889, Jun. 2004.

Roman M. Rozental (M’05) was born in Nizhny Novgorod, Russia, in 1977. He received the M.S. degree in radiophysics from the Nizhny Novgorod State University, Nizhny Novgorod, Russia, in 1999, and is currently working toward the Ph.D. degree at the Institute of Applied Physics, Russian Academy of Sciences. His main scientific activity is nonlinear dynamics of high-power microwave devices.

Naum S. Ginzburg was born in Gorky (now Nizhny Novgorod), Russia, in 1952. He received the M.S. and Ph.D. degrees in physics from Gorky State University, Gorky, Russia, in 1974 and 1983, respectively, and the D.Sci. degree from the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, Russia, in 1994. In 1974, he joined the Gorky Radio Physical Research Institute. In 1977, he joined the Institute of Applied Physics. In 1995, he became the Head of the Theory of Relativistic Microwave Devices Group. His current research interests are high-power relativistic microwave electronics including free-electron lasers, cyclotron autoresonance masers, gyrotrons, scattering electromagnetic waves by relativistic electron beams, multifrequency processes, and chaotic dynamics, as well as optical guiding and superradiance.

Mikhail Y. Glyavin was born in 1965. He received the Ph.D. degree in physics from the Institute of Applied Physics, Russian Academy of Science, Nizhny Novgorod, Russia, in 1999. His dissertation concerned gyrotron research, in particular, efficiency increasing and energy recovery. Since 1988, he has been with the Institute of Applied Physics of Academy of Sciences of the U.S.S.R. (since 1991, the Russian Academy of Sciences), where he is engaged in the development of high-power gyrotrons for nuclear fusion. From 1999 to 2006, he was a Part-Time Visiting Professor with the Research Center for the Development of the Far-Infrared Region (FIR), Fukui University (FU), Fukui, Japan. His research interest is concentrated on theoretical and experimental gyro-device investigation, including gyrotron application to material processing. He has authored or coauthored over 100 publications on theoretical and experimental topics.

Alexander S. Sergeev was born in Gorky, Russia, in 1957. He received the M.Sc. degree from Gorky State University, Gorky, Russia, in 1979, and the Ph.D. degree from the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, Russia, in 1997. Since 1980, he has been with the Institute of Applied Physics, Russian Academy of Sciences. His research interests include computer simulation of nonlinear processes in high-power electron devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2745

Carbon Nanotube Composites for Broadband Microwave Absorbing Materials Aimad Saib, Lukasz Bednarz, Raphael Daussin, Christian Bailly, Xudong Lou, Jean-Michel Thomassin, Christophe Pagnoulle, Christophe Detrembleur, Robert Jérôme, and Isabelle Huynen, Member, IEEE

Abstract—In this paper, we present a new shielding and absorbing composite based on carbon nanotubes (CNTs) dispersed inside a polymer dielectric material. The extremely high aspect ratio of CNTs and their remarkable conductive properties lead to good absorbing properties with very low concentrations. A broadband characterization technique is used to measure the microwave electrical properties of CNT composites. It is shown that a conduction level of 1 S/m is reached for only 0.35 weight % of a CNT, while, for a classical absorbing composite based on carbon black, 20% concentration is mandatory. The conductive properties are explained by a phenomenological electrical model and successfully correlated with rheological data aiming at monitoring the dispersion of conductive inclusions in polymer matrices. Index Terms—Carbon nanotubes (CNTs), microwave absorbers, nanocomposite materials.

I. INTRODUCTION HE continuous growth of the telecommunication market has led to the emergence of a huge number of RF systems. In order to allow the coexistence of all of those various instruments without harmful electromagnetic interferences, it is necessary to develop new shielding and absorbing materials with high performance and a large operating frequency band. Composites based on carbon nanotubes (CNTs) are very promising due to their high aspect ratio and special conductive properties for an excellent absorption level with low CNT concentration. This study consists of an electromagnetic characterization of polymer composites containing dispersed CNTs at microwave frequencies (40 MHz–40 GHz) using microstrip transmission lines. To the best of our knowledge, this paper, together with [1], is the first report on the electrical conductivity of CNTs at microwave frequencies. The conduction properties of CNT com-

T

Manuscript received October 2, 2005; revised January 10, 2006, and February 6, 2006. This work was supported the Région Wallonne under the Nanotechnologies Program ENABLE and by the National Fund of Scientific Research, Belgium. A. Saib is with the CISSOID S.A., 1348 Louvain-la-Neuve, Belgium (e-mail: [email protected]). L. Bednarz and I. Huynen are with the Microwave Laboratory, Université Catholique de Louvain, B-1348 Louvain-la-Neuve, Belgium. (e-mail: [email protected]; [email protected]). R. Daussin and C. Bailly are with the Polymer Science Laboratory, Université Catholique de Louvain, B-1348 Louvain-la-Neuve, Belgium. (e-mail: [email protected]; [email protected]). X. Lou is with the Department of Chemistry, University of Toronto, Toronto, ON, Canada M5S 3H6. J.-M. Thomassin, C. Detrembleur, and R. Jérôme are with the Center for Education and Research on Macromolecules, University of Liège, B-4000 Liège, Belgium (e-mail: [email protected]; [email protected]; [email protected]). C. Pagnoulle is with PhysIOL S.A., B-4000 Liège, Belgium. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.874889

Fig. 1. Schematic view of two composites with: (a) spherical inclusions (CB) and (b) high-aspect-ratio cylindrical inclusions (CNT).

posites are compared with those of composites based on carbon black (CB). It is shown in Section III that a conductivity level of 1 S/m is obtained in a CNT’s composite with only 0.35 % concentration, while a concentration of 20% is necessary for CB composites to reach the same conductivity level. This effect can be easily understood since the geometry of CNTs presents a very high aspect ratio of more than 1000 (i.e., a few nanometers in diameter and several micrometer in length). As shown in Fig. 1, indeed, since CB is composed of spherical grains, a high concentration is necessary to ensure a physical contact between grains, hence a conduction network. Contrarily, for CNTs, a very small concentration is sufficient to have conduction paths inside the composite, because contacts between the ends of long tubes are sufficient to create a conducting network. As detailed in Section II, having a good conduction in the material will favor the absorption of power inside the material. This is confirmed by the measurement results of the power absorption (noted PA, as defined in Section II) for a shielding thickness with 2 cm for two CNT and CB polyethylene composites (see Fig. 2). The thickness of 2 cm has been selected as a typical value that is available for commercial microwave absorbers, such as foams. The absorption level of the CNT composite is roughly two times higher than that of the CB composite, despite the difference in weight concentration of inclusions by a factor of 10. It should be noted that, in both cases, the absorption results from conductive losses and not from ferromagnetic resonance, which is responsible for the operation of ferrite-based shielding foams. II. CHARACTERIZATION METHOD The microwave electrical properties of CNTs are measured using the line–line (LL) characterization technique [2], [3] applied to CNT–polymer composites in microstrip-line configuration. This method has been selected to overcome two main constraints: CNTs are available in very small quantities due to their high cost (any alternative waveguide or coaxial line configurations are excluded) and are highly volatile and difficult to manipulate. In addition, the LL method is more suitable for broad-

0018-9480/$20.00 © 2006 IEEE

2746

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 3. Principle of operation of the LL method.

Fig. 2. PA for shielding thickness with 2 cm for CNT and CB polyethylene composites containing 0.5 and 5 weight percent of inclusions, respectively.

band measurements since no geometrical adjustments of the microstrip line are needed and is very accurate for the evaluation of the permittivity: it has indeed been validated on a number of materials, including composites with conductive inclusions, such as ferromagnetic nanowires [4]. The method, which will be described hereafter, extracts the propagation constant through the measurement of two different lengths of microstrip-line propagating quasi-TEM waves [4]. It is based on the following equation relating the measured transfer matrices of the two microstrip lines to the transfer matrix of the section (see Fig. 3):

(1) where is an unknown matrix that is not necessary for the determination of the propagation constant since the matrix is diagonal and is given by

(2) According to the theory of linear algebra, (1) means that the matrices and are called similar: they have the and are comsame eigenvalues. Since the matrices puted from the measured scattering matrices, the eigenvalues of and , and hence, the propagation constant , can be easily determined. The dimensions of the microstrip lines (substrate thickness mm, width of the microstrip lines mm, and lengths mm and mm) have been carefully calculated to avoid spurious radiations that may exist if the thickness of the substrate is large or side effects if the width of the ground plane is not much larger than the microstrip width

Fig. 4. CNT–PCL microstrip lines. Dark color indicates the presence of carbonated inclusions: width of the microstrips w = 1:5 mm and lengths L = 10 mm and L = 20 mm.

(see Fig. 4). The complex effective permittivity and conductivity of the substrate are evaluated using the following equations:

(3) and are, respectively, the speed of light and the where permittivity in vacuum. Of course, two of the three parameters , , and are sufficient to characterize the substrate, because of the relationship between the conductivity and the imaginary part of the permittivity. The PA presented in Fig. 2 is in fact defined as PA , where is the thickness of composite material considered and is related to the complex permittivity of the material via (3). We made the choice to present the PA because it is only related to the constitutive parameters of the composite material, that is, the complex permittivity, or, equivalently, its real part, the dielectric constant, and the conductivity. It should be noted that the PA is different from the shielding effectiveness (SE) commonly defined for shielding materials in [5]. The inset of Fig. 5 aims to illustrate the difference between the two concepts: it shows the voltage magnitude of incident (subscript +) and reflected (subscript -) waves at

SAIB et al.: CNT COMPOSITES FOR BROADBAND MICROWAVE ABSORBING MATERIALS

2747

Fig. 6. Real part of the effective permittivity of CNT–PCL composite with 0.2 (solid line), 0.35 (dashed line), and 0.5 (dashed–dotted line) weight percent of CNTs. Fig. 5. Characterization of composite containing 0.5 weight percent CNT with a thickness of 2 cm as microwave shielding and absorber.

input (i) and output (o) interfaces between air and composite material, as well as waves and that are present in the material at those interfaces. Referring to the notation in this inset, the power absorption is a measure of the decay undergone by signal flowing through a composite material of thickness , from just after the input interface (air–material) until just before output interface (material–air) and is not influenced by the reflections at such interfaces: PA . The shielding effectiveness is defined as the ratio between power incident in air at input interface and power detected in air at output interface: SE . SE thus results from the combination of PA inside the material and reflection of power at input interface. It is usually defined or measured assuming no reflection in the output air medium ). A third parameter of interest for characterizing microwave composites is the reflectivity . It measures the efficiency of the material as microwave absorber, that is, presenting a low reflection coefficient at the input interface. The reflectivity is defined or measured as the ratio between reflected and incident wave at the input air interface obtained when backing the output interface by a metal plate, which acts as a perfect reflector: . Fig. 5 shows the shielding effectiveness SE and reflectivity calculated for the composite having 0.5% weight content with thickness 2 cm, for which the PA was shown in Fig. 2. It can be observed that the SE (solid curve) is very close to the PA over the whole frequency range. This can easily be explained when looking at the reflectivity (dashed curve). It is lower than 15 dB over the whole frequency range, meaning that reflection at the input interface is low. The high value of SE is thus mainly due to the PA occuring in the material. Values of SE and obtained over two decades (20–40 GHz) are in good competition with data sheets [6] of commercial microwave absorbers with similar thickness using conductive foams SE dB/cm and dB), despite the fact that our composite is not yet optimized for low reflectivity: the concentration of conductive inclusions is uniform over its whole thickness, while commercial microwave absorbers are made of

Fig. 7. RF conductivity of CNT–PCL composite with 0.2 (solid line), 0.35 (dashed line), and 0.5 (dashed–dotted line) weight percent of CNTs.

multilayers with graded concentrations. It should be noted that SE and are calculated using formulas available in [5] that involve only the thickness of the material and its constitutive parameters (3). The second inset in Fig. 5 shows the level of conductivity , which was measured for this composite using the technique presented in this section (see Figs. 3 and 4 and (2) and (3)] and then used to calculate SE and . Its mean value is around 1 S/m over the frequency range, and the frequency dependence of both SE and PA follows that of . Hence, it can be concluded that the conductivity is the key parameter for the design of microwave shieldings and absorbers and that S/m (corresponding to dB/cm) is the typical target value for realizing efficient absorbers. Therefore, the following sections will concentrate on the analysis of the conductivity performances of CNT composites, keeping in mind the target value of 1 S/m and the fact that parameters SE and characterizing microwave shieldings and absorbers can be easily deduced/predicted from material parameters (3). III. RESULTS The measurement results of the RF permittivity and conductivity of a CNT-poly( -caprolactone) (PCL) composite with 0.2, 0.35, and 0.5 weight percent of CNTs are given in Figs. 6 and 7, respectively, while Figs. 8 and 9 provide similar measurements for a CB-polyethylene (PE) composite containing 5–50 weight

2748

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 10. Model explaining the frequency dependence of conductivity extracted from the LL method applied to microstrip line on carbonated composite. (a) Original topology including CB spherical particles. (b) Approximation by a network of resistive grains separated by insulating polymer matrix. (c) Equivalent admittance per unit length of microstrip. (d) Corresponding transmission line section, with thin upper layer PE insulator and thick bottom layer conductive. The arrow represents the predominant direction of the RF electric field.

Fig. 8. Real part of the effective permittivity of CB-PE composite with 5 (solid line), 10 (dashed line), 20 (dashed–dotted line), and 50 (dotted line) weight percent of CB.

There are at least two advantages to the good conductive properties obtained with very low concentrations of CNT [8]–[10]. First, the low concentration of elongated inclusions guarantees very good mechanical properties. It can indeed be observed experimentally that, for CB-loaded composites, the Young modulus does not increase significantly with the CB weight content in the range 0%–5%. Using CNTs instead of CB enables, even for a concentration as low as 2%, to increase by a factor of two the Young modulus of the composite, as compared with that of the pure polymer matrix. Second, in order to use the composite as an electromagnetic absorber, the real part of the effective permittivity should be kept as close as possible to 1 [5]. This is achieved thanks to the low concentration of CNTs, which does not induce significant change in the real part of the effective permittivity of the composite. IV. ELECTRICAL MODEL

Fig. 9. RF conductivity of a CB-polyethylene (PE) composite with 5 (solid line), 10 (dashed line), 20 (dashed–dotted line), and 50 (dotted line) weight percent of CB.

percent of CB. The conductivity is obtained from the imaginary part of the measured permittivity by using (3). For the CNT-PCL composite, the dielectric constant remains around 3.2 for all concentrations (Fig. 6), while the conductivity reaches 1 S/m around 30 GHz (Fig. 7). This conductivity level is reached around 15 GHz for the CB- PE composite containing 20 weight percent of CNT (see Fig. 9), meaning a concentration greater by a factor of 100 than for 0.20% CNT loaded-PCL composite. On the other hand, the permittivity increases rapidly to 6 for 20% CB concentration (see Fig. 8). Such an increase is in accordance with LL measurements made on other composite substrates containing conductive inclusions, such as ferromagnetic nanowires. In [7], we show that an increase of permittivity from 2.7 to 4.1 is observed for a volumetric concentration of conducting nanowires as low as 2.4%, both in simulations and in experiments using the LL method. The very high level of conductivity observed for 50% weight CB is explained by the fact that, for this high concentration, there are many physical contacts between grains, so that a conductive network is formed inside the matrix, yielding this sudden increase in conductivity.

For all concentrations, it is seen that the conductivity level at low frequency goes to zero (see Figs. 7 and 9). This is explained by the fact that the CNTs or CB grains are not touching each other over the whole volume of the composite. However, at a given frequency where this distance is small, as compared with the wavelength, there exists a high capacitive coupling between grains or nanotubes, which becomes equivalent to an electrical short circuit, thus giving rise to a high conduction. To explain the frequency dependence of the extracted conductivity and its very low value at low frequencies, the following simple model has been proposed. The random distribution of CB grains [see Fig. 10(a)] is approximated by a network of grains, separated by the insulating polymer matrix [see Fig. 10(b)]. Each grain is assumed to be conductive, with an equivalent resistor, and strongly coupled to its neighborgs by a capacitor. As the electric field distribution between the two conductors of the microstrip can be assumed to be uniform and perpendicular to them, only coupling capacitors and resistors in that direction are considered. The resulting model is a two-layered microstrip transmission line [see Fig. 10(d)] that has as admittance per unit length the equivalent circuit of Fig. 10(c), which is formed by the series connection of a resistor and a capacitor . The resistor is modeled in the two-layer structure of Fig. 10(d) by a corresponding volumic conductivity (S/m) for the bottom layer. This two-layer model enables to explain the measured behavior of conductivity versus frequency observed in Figs. 11 and 12 for CB-loaded composites. At zero or low frequency, there is neither physical nor “electrical” contact between the grains. At

SAIB et al.: CNT COMPOSITES FOR BROADBAND MICROWAVE ABSORBING MATERIALS

Fig. 11. LL characterization of polymer composite consisting of PE loaded with 5% weight CB particles. Curves show real part of effective permittivity (top) and conductivity (bottom) defined by (3), respectively, extracted from the LL measurement (solid line) and simulated (dashed line) using the model of Fig. 10, with conductivity of bottom layer taken equal to 25 S/m and H=H with H H : mm. =

7 93

+

=08

=

+

= 08

Fig. 13. LL characterization of polymer composite consisting of PCL loaded with 0.35% weight CNT. Curves show real part of effective permittivity (top) and conductivity (bottom) defined by (3), respectively, extracted from LL measurement (solid line) and simulated (dashed line) using model of Fig. 10, with = with conductivity of bottom layer taken equal to 25 S/m and H=H : mm. H H

+

Fig. 12. LL characterization of polymer composite consisting of PE loaded with 50% weight CB particles. Curves show real part of effective permittivity and conductivity (bottom) defined by (3), respectively, extracted from LL measurement (solid line) and simulated (dashed line) using the model of Fig. 10, = with conductivity of bottom layer taken equal to 25 S/m and H=H with H H : mm.

= 95 5

higher frequencies, despite the absence of physical contact, the capacitive coupling induces a virtual electrical short-circuit between the resistor and the two metallic conductors of the line, and when the conductivity becomes constant for frequency. Its value is fixed by the value of the resistance. The latter condition fixes the value of the transition frequency as . This is indeed observed at Fig. 12, where the measured conductivity (solid line) tends to saturate above 10 GHz, yielding GHz. At this frequency, a change in behavior of the real part of the permittivity is also observed. The value of is inversely proportional to the distance between grains: for the low concentration (5%) shown in Fig. 11, the transition frequency is expected to be much higher than 100 GHz. Measurements in this frequency range should be necessary to confirm this prediction. Figs. 11

2749

= 08

= 40 60

and 12 also show that this physical explanation is fully confirmed by simulations (dashed lines) using the two-layer transmission-line model of Fig. 10(d). Considering a conductivity of 25 S/m for the bottom layer and adjusting the height of the two layers enables to reproduce qualitatively the measured values, as was concluded when comparing simulations (dashed lines) with measurements (solid) for two different CB concentrations of 5% in Fig. 11 and 50% in Fig. 12, respectively. For the 50% concentration, the thickness of the conductive layer to be used in the model to properly render the saturation of the conductivity is about 20 times lower than for the 5% concentration. The distance between inclusions decreases with increasing concentration, yielding a higher capacitance and, hence, a lower transition frequency . The same LL characterization method was applied to the PCL composite loaded with 0.35% CNTs (dashed lines in Figs. 6 and 7). Fig. 13 shows that the model developed for CB grains is able to predict the conductivity of the 0.35% CNT-loaded PCL composite, provided that the thickness of layers (Fig. 10) is strongly modified: , to be compared with for 5% CB in Fig. 11. The slightly higher conductivity of the PCL composite at 40 GHz despite its much lower concentration compared with 5% CB is correlated to the fact that the thickness of insulating layer is lowered by a factor of 1.55 in the model, meaning a smaller distance between conductive inclusions and, hence, a higher coupling. To conclude, it should be noted that, during this study, we faced the difficulty of converting the known weight concentration into a volumetric concentration: this would need the accurate knowledge of polymer, CNT, and CB densities. For this reason, and are determined as the values yielding the best agreement with measurements over the whole frequency range. In fact, only has to be adjusted in order to fit the measurements, because is known from the constraint mm. The model is developed and presented in the paper only

2750

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

G

measured for various weight concentrations of Fig. 15. Elastic modulus CNT inside the PCL polymer matrix. Fig. 14. TEM picture of CNTs without polymer matrix.

to show that a different frequency behavior of measured conductivity could be successfully related to a different volumetric concentration, which is expressed by the ratio , hence, to different mean distances between conductive inclusions. Work is now in progress to obtain accurate estimation of actual volumetric concentration of inclusions inside our samples in order to validate the values of that were extracted from measurements using the model. V. CORRELATION BETWEEN RHEOLOGY AND ELECTRICAL PROPERTIES As predicted by the electrical model, one critical step in the realization of CNT composites with interesting conductive properties is the dispersion inside the insulating polymer matrix. Indeed, distances between conductive inclusions have to be minimized in order to increase coupling capacitances and favor physical/electrical contact between them. Fig. 14 shows a transmission electron microscope (TEM) picture of CNTs before their mixing and dispersion in PCL. It is seen that the nanotubes stick to each other and are arranged in an arbitrary way. Rheological measurements help to monitor the dispersion of CNTs inside a polymer matrix by measuring the viscoelasticity of the material. To this end, the dynamical complex modulus is defined. It relates the tensile stress, noted , and the deformation (strain), noted . In dynamical mechanical analysis, a sinusoidal strain or stress is applied to a sample, and the response is measured as a function of the oscillatory frequency: for viscoelastic materials, the resulting measured is complex. This means that the response of the material to a sinusoidal strain is also sinusoidal, but with a phase shift. The real part is the in-phase response, associated with the elastic behavior of the material (referring to a solid material). The imaginary part is the out-of-phase response, associated with the viscous behavior of the sample (referring to a liquid). For a fused polymer, the increase of at a low oscillatory frequency indicates that polymeric chains, though they are mobile and flexible, are bound

G

Fig. 16. Elastic modulus measured for various weight concentrations of CNT inside the PS polymer matrix: pure (solid line) and 1% CNTs.

to each other by bridging structures. In our case, CNTs act as bindings between chains. Fig. 15 shows the elastic modulus measured in dynamic sinusoidal mode, as a function of the oscillatory frequency for the PCL composites of Figs. 6 and 7. For nonzero concentrations, a deviation from the linear behavior obtained for pure PCL (0%) is observed at low oscillation frequency: as explained above, it is the signature of the percolation of the filler and of the building up of a filler network throughout the polymer. The nonlinear behavior is more pronounced when the CNT concentration increases: this observation is successfully correlated to the increase in conductivity with weight content observed in Fig. 7 for the same composite. Fig. 16 shows similar rheological measurements for CNT dispersed in a polystyrene (PS) polymer matrix. There is almost no change in the behavior of from pure PS to 1% CNT-loaded PS composite. This should indicate that no filler conductive network is existing in the polymer and is confirmed by the conductivity measurements. Fig. 17 indeed compares the conductivity measured on the PCL sample containing 0.35% weight CNT and on the PS sample with 1% CNT. Despite its lower concentration, the PCL sample has a conductivity that is ten times higher than that of

SAIB et al.: CNT COMPOSITES FOR BROADBAND MICROWAVE ABSORBING MATERIALS

2751

Fig. 17. Conductivity measured on PCL sample with 0.35% CNT concentration and on the PS sample with 1% CNT concentration.

Fig. 18. TEM micrograph for the PCL nanocomposite containing CNTs.

tan

=

 G =G and (b) diFig. 20. (a) Rheological loss tangent factor " =" for PCL and PS CNT-loaded  electric loss tangent factor composites.

tan

Fig. 19. TEM micrograph for the PS nanocomposite containing CNTs.

the PS sample. According to Fig. 1 and the modeling presented in Section IV, this should mean that distances between CNT inclusions in the PS sample are much greater than in the PCL sample. This prediction is successfully confirmed by TEM analysis made on PCL (see Fig. 18) and PS (see Fig. 19) samples:

=

the PCL sample shows well-separated and uniformly dispersed nanotubes, forming a nearly interconnected network, with small distances between CNT ends (typically 100 nm). In contrast, the PS sample shows big aggregates (characteristic dimensions of bundles 1 micrometer) distant by about 1 m. A good dispersion is thus necessary for having a high conductivity with very low concentrations of CNTs. Another illustration of the correlation between rheology and electrical behavior is given in Fig. 20. The rheological loss tangent factor, which is defined by the ratio , is compared with the dielectric loss tangent factor, which is defined by the ratio . When dispersion occurs (for PCL with CNTs, as derived from Figs. 7 and 15), a maximum appears in both rheological and dielectric loss tangent factors, while, for badly dispersed samples (PS 1%), no maximum is present. It has to be emphasized that the good correlation between rheology, RF conductivity, and dispersion observed on TEM pictures has been validated for PCL and PS matrices. Figs. 21 and 22 show, respectively, rheological and conductivity measurements on two Lotader samples with 1% CNT weight content, prepared at two different temperatures: 100 C and 250 C.

2752

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

G

Fig. 21. Elastic modulus measured for Lotader samples with 1% CNT prepared at two different temperatures: 100 C (solid line) and 250 C (dashed line).

Fig. 23. TEM micrograph for the Lotader nanocomposite containing 1% CNTs prepared at 100 C.

Fig. 22. Conductivity measured on Lotader samples with 1% CNT concentration, prepared at two different temperatures: 100 C (solid) and 250 C (dashed).

They have very similar rheological properties (e.g., the same modulus in Fig. 1), while the conductivity of the sample prepared at 250 C is roughly two times that of the sample prepared at 100 C. TEM pictures of the two samples (see Figs. 23 and 24) do not show significant differences, though the sample prepared at 250 C could be considered as slightly better dispersed. In that case (different conditions of preparation), the difference in conductivity observed in Fig. 22 cannot be fully explained by TEM and rheology. However, it can be noted that scales are different in TEM pictures of Fig. 18 (unit bar 500 nm) and of Figs. 23 and 24 (unit bar 1 m). Comparing Figs. 23 and 24 indicates that distances between tubes appear to be smaller in the case of the PCL sample, in accordance with the fact that the conductivity of the PCL sample (Fig. 17) is roughly three times higher than that of the Lotader sample prepared at 250 C (see Fig. 22). From the above results, it is concluded that the conductivity measurements, besides their use for EMI shielding applications, have to be compared with rheological measurements to assess the chemical affinity between the polymer used and the CNTs

Fig. 24. TEM micrograph for the Lotader nanocomposite containing 1% CNTs prepared at 250 C.

and with TEM analysis to monitor the dispersion of conductive loads in polymer matrices. Based on the results presented in this paper, research is in progress aiming to test various mixture conditions and compare their influence on both the dispersion and the length of CNTs in order to optimize the conductivity and absorption level obtained. Two main techniques are currently investigated for mixing the CNTs and the polymer matrix: co-precipitation [11] and melt-mixing [12].

SAIB et al.: CNT COMPOSITES FOR BROADBAND MICROWAVE ABSORBING MATERIALS

VI. CONCLUSION A new broadband microwave absorbing composite based on CNTs dispersed inside a polymer dielectric material has been presented. The particular geometrical, mechanical, and conductive properties of CNT offer good absorbing performances in the frequency range of 20–40 GHz with very low concentrations that cannot be achieved by classical absorbing materials. A simple LL characterization technique has been used to measure the RF electrical properties of CNT composites in the microstrip configuration. Work is in progress to disperse CNTs inside polymer foams aiming to benefit from self-orientation and low density due to the foam structure. Our goal is to reach high conductivity levels together with relative permittivity as close as possible to unity. REFERENCES [1] A. Saib, L. Bednarz, R. Daussin, C. Bailly, X. Lou, J.-M. Thomassin, C. Pagnoulle, C. Detrembleur, R. Jérôme, and I. Huynen, “Carbon nanotube composites for broadband microwave absorbing materials,” in Proc. Eur. Microw. Conf., Paris, France, Oct. 4–6, 2005, pp. 285–288. [2] I. Huynen, C. Steukers, and F. Duhamel, “A wide-band line-line dielectrometric method for liquids, soils, and planar substrates,” IEEE Trans. Instrum. Meas., vol. 46, no. 4, pp. 102–106, Oct. 2001. [3] A. Saib, R. Daussin, G. Van Lier, C. Bailly, J.-C. Charlier, and I. Huynen, “Les nanotubes de carbone pour l’absorption micro-onde: Caractérisation théorique et expérimentale,” in Proc. 8th Journées de Caractérization des Matériaux Micro-ondes, La Rochelle, France, Mar. 31–Apr. 2 2004, p. G2. [4] A. Saib, “Modeling and design of microwave devices based on ferromagnetic nanowires,” Ph.D. dissertation, Dept. Appl. Sci., Univ. Catholique de Louvain, Louvain-la-Neuve, Belgium, Dec. 2004. [5] D. R. J. White, A Handbook on Electromagnetic Shielding Materials and Performances. Washington, DC: Library Congr., 1975. [6] “RF products—Microwave absorbing materials,” Laird Technol., San Marcos, CA, 2004, [Online]. Available: http://www.lairdtech.com/ pages/catalogs/EMI-Catalogs-MicrowaveAbsorbers.asp. [7] A. Saib and I. Huynen, “Periodic metamaterials combining ferromagnetic nanowires and dielectric structures for planar circuits applications,” Electromagnetics, vol. 26, no. 3–4, pp. 261–277, Apr.–Jun. 2006. [8] C. A. Grimes, C. Mungle, D. Kouzoudis, S. Fang, and P. C. Eklund, “The 500 MHz to 5.50 GHz complex permittivity spectra of single-wall carbon nanotube-loaded polymer composites,” Chem. Phys. Lett., vol. 319, pp. 460–464, Mar. 2000. [9] D. T. Colbert, “Single-wall nanotubes: A new option for conductive plastics and engineering polymers,” Plastics Additives & Compounding, Jan./Feb. 2003. [10] C. P. Neo and V. K. Varadan, “Optimization of carbon fiber composite for microwave absorber,” IEEE Trans. Electromagn. Compat., vol. 46, no. 1, pp. 102–106, Feb. 2004. [11] F. Du, J. E. Fischer, and K. I. Winey, “Coagulation method for preparing single-walled carbon nanotube/poly(methyl methacrylate) composites and their modulus, electrical conductivity, and thermal stability,” J. Polymer Sci., vol. 41, no. 24, pp. 3333–3338, Dec. 2003. [12] T. McNally, P. Potschke, P. Halley, M. Murphy, D. Martin, S. Bell, G. P. Brennan, D. Bein, P. Lemoine, and J. P. Quinn, “Polyethylene multiwalled carbon nanotube composites,” Polymer, vol. 46, no. 19, pp. 8222–8232, Sept. 2005. Aimad Saib was born in Fez, Morocco, in 1978. He received the Electrical Engineer degree from Université Ibnou Zohr, Agadir, Morocco, in 1999, the M.S. degree in propagation telecommunications and remote sensing from the Université de Nice-Sophia Antipolis, Nice, France, in 2000, and the Ph.D. degree in applied sciences from the Université Catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 2004. From 2000 to 2004, he was a Research Assistant with the Microwave Laboratory (EMIC), UCL, where his research activity concerned characterization and modeling of mag-

2753

netic nanomaterials and metamaterials for planar passive and nonreciprocal devices at centimeter and millimeter wavelengths for telecommunication applications. In 2005, he joined CISSOID S.A., Louvain-la-Neuve, Belgium, where he is involved in the design of RF circuits on silicon-on-insulator for high-temperature and wireless applications.

Lukasz Bednarz was born in Krakow, Poland, on November 3, 1977. He received the M.Sc. degree in materials science from the Akademia Gorniczo-Hutnicza (AGH) University of Science and Technology, Krakow, in 2001, and is currently working toward the Ph.D. degree at the Université Catholique de Louvain (UCL), Louvain-la-Neuve, Belgium. He is currently with the Microwave Laboratory, UCL, within the scope of the Region Wallonne “Enable” Project. His research field is HF characterization and modeling of ballistic nanodevices based on III–V compound heterostructures at room and low temperatures and HF characterization of carbon-nanotube polymer composites for electromagnetic interference (EMI) shielding and fuel cell applications.

Raphael Daussin was born in Marche-en-Famenne, Belgium, in 1979. He received the Civil Engineer degree in material sciences from the Université Catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 2002, and is currently working toward the Ph.D. degree in applied sciences at UCL. Since 2002, he has been with the Polymer Science Laboratory, UCL. His main research activities, which are funded by the Region Wallonne, Belgium, in the frame of the “Enable” Project, concern the electromagnetic properties of carbon nanotubes—polymer nanocomposites.

Christian Bailly is a Full Professor with the School of Engineering, Université Catholique de Louvain, Louvain-la-Neuve, Belgium. His research activities with the Polymer Laboratory, Materials Science Department, UCL, focus on the structure–properties relationships of polymer-based systems, including blends and nanocomposites. His recent emphasis is on the quantitative prediction of rheological properties from structural knowledge.

Xudong Lou received the B.S. and M.S. degrees from the University of Science and Technology of China, Hefei, China, in 1995 and 1998, respectively, and the Ph.D. degree from the Center for Education and Research on Macromolecules (CERM), University of Liége, Liége, Belgium, in 2002. From 2002 to 2004, he was a Post-Doctoral Fellow with CERM, where he was involved with carbon nanotubes containing composites. In 2004, he joined the Department of Chemistry, University of Toronto, Toronto, ON, Canada, as a Post-Doctoral Fellow.

Jean-Michel Thomassin was born in Verviers, Belgium, in 1979. He received the M.S. degree in chemistry and Ph.D. degree in sciences from the University of Liége (ULg), Liége, Belgium, in 2001 and 2005, respectively. In 2004, he joined the Center for Education and Research on Macromolecules, ULg, where he is involved with the development of EMI shielding materials. His main research activities have included the development of new polymeric membranes for fuel cell applications.

2754

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Christophe Pagnoulle was born in Verviers, Belgium, in 1970. He received the Ph.D. degree in sciences from the University of Liège (ULg), Liège, Belgium, in 2000. In 2002, he joined PhysIOL, Liège, Belgium, which is a spin-off company of the ULg, as a Manager of the Research and Development Department. His particular interest and experience are in the field of bio-polymers, nanoscience, and plastic transformation. He has authored or coauthored over 30 refereed publications. He holds eight patents.

Christophe Detrembleur received the Ph.D. degree from the Center for Education and Research on Macromolecules (CERM), University of Liège (ULg), Liège, Belgium. in 2001. While an undergraduate student with the ULg, he conducted a research project on structure/polarizability relationships in a novel type of push–pull conjugated system. Although the major topic of his thesis was the search for new regulators for the controlled radical polymerization of (meth)acrylic monomers, he also contributed to the progress of other research projects, mainly in ring-opening polymerization and electropolymerization. From August 1998 to October 1998, he was an Invited Researcher with IBM, Almaden Research Center, CA. He then joined the Research Center, Bayer AG, Leverkusen, Germany, where he was involved with materials synthesis and polymer processing. In January 2003, he joined the Polyurethane Research Division, Bayer AG, where he was involved with the development of new high-performance UV coatings. In October 2003, he left Bayer AG to join the Center for Education and Research on Macromolecules (CERM), ULg, as a Research Associate with the National Fund for Scientific Research. His main research projects are in the field of the development of new controlled radical polymerization techniques, the preparation of new polymeric materials using the different living/controlled polymerization techniques, and the application of the macromolecular engineering to nanotechnology.

Robert Jérôme is a Full Professor with the University of Liège (ULg), Liège, Belgium, where he is also the Director of the Center for Education and Research on Macromolecules (CERM). He is President of the Interuniversity Research Center in Science of Polymeric Materials, to which the Belgian universities of Mons-Hainaut and ULg participate. He also presides at the Interfacultary Center on Biomaterials, ULg. His laboratory of 40 people is concerned with the macromolecular engineering of synthetic polymers based on living/controlled anionic, radical, and ring-opening polymerization. This research effort is material-oriented, e.g., block and graft copolymers, polymer blends, (nano)composites, and biomaterials. He has coauthored over 600 scientific publications.

Isabelle Huynen (S’90–A’95–M’96) was born in Brussels, Belgium, in 1965. She received the Electrical Engineer degree and Ph.D. degree in applied sciences from the Université Catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 1989 and 1994, respectively. In 1989, she joined the Microwave Laboratory, UCL, where she is currently a Senior Research Associate with the National Fund for Scientific Research (FNRS), Belgium, and a Part-Time Professor. She has authored or coauthored over 50 refereed journal papers and has coauthored a book. Her main research deals with electromagnetic theory and measurement techniques applied to materials, devices, and circuits at microwave, millimeter-wave, and optical wavelengths. She has particular interest in the development of microwave and millimeter-wave devices based on nanoscaled materials and topologies, in view of synthesizing wideband hybrid and integrated opto-electronic circuits for telecommunications applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2755

Gaussian Pulse Expansion of Modulated Signals in a Double-Negative Slab Giuseppina Monti and Luciano Tarricone

Abstract—A novel approach, based on a theoretical formulation, is proposed for the analysis of finite-bandwidth signals in a lossy double-negative (DNG) slab. It adopts a signal expansion into Gaussian pulse functions, and provides an immediate approximate form of a modulated signal after the propagation in the dispersive medium. The accuracy and flexibility of the approach is proven studying the propagation of amplitude- and phase-modulated signals, and considering two different realizations of the DNG medium. Index Terms—Double-negative (DNG) media, Fourier transform, metamaterials, modulation, transfer function.

been considered as modulating signals), as well as the case of an amplitude-phase modulation. Finally, results are given and discussed in Section VI. II. GAUSSIAN PULSE IN A DISPERSIVE MEDIUM -diWe consider a plane wave with an angular frequency rected and -polarized electric field amplitude-modulated by a Gaussian pulse (see [10, Fig. 1]), normally impinging on a slab defined by its transfer function

(1)

I. INTRODUCTION OUBLE-NEGATIVE (DNG) materials are a promising class of metamaterials, introduced by Veselago in 1968 [1]. In the recent past, a large number of applications based on the DNG medium’s unusual properties (negative refraction, negative phase velocity, etc.) have been proposed, including compact-cavity resonators [2] and phase shifters [3]. Some studies [2] are based on the analysis of the behavior of a DNG medium at a single frequency, assuming as the input signal a monochromatic plane wave. This analysis is useful for initial comprehension of the propagating behavior. For application purposes, it is important to extend the investigation to the case of input signals with finite bandwidth such as modulated signals [4]–[9]. Accordingly, in a previous paper [10], a theoretical and numerical study of a plane wave, amplitude modulated by a Gaussian pulse, has been performed in a DNG material. In [10], theoretical results are compared with numerical data, attained from our finite-difference time-domain (FDTD) code, demonstrating an excellent agreement between the two approaches. In this paper, a demonstration is given of the possibility to employ the results achieved for the Gaussian pulse to study the propagation of any modulated signal, expanding it into a Gaussian sum. This paper is organized as follows. First, the theoretical formulation for a single Gaussian pulse is proposed in Section II, and the propagation of a Gaussian sum is studied in Section III. DNG media considered here are briefly described in Section IV. Some applications of the proposed approach are then given in Section V. The propagation of an amplitude-modulated signal is studied (a raised cosine (RC) and a single pulse function have

D

where and are, respectively, the medium attenuation and phase factor, while is the thickness of the slab. In the time domain, the incident signal can be written as (2) (3) where is the instant when the pulse reaches its maximum amplitude, and determines the pulsewidth (see [10, Fig. 2]). The real vector is the time envelope of the modulated signal , the corresponding frequency characteristics are given in [10, eqs. (5) and (6)]. In the following, it is assumed that the slab is a layer of finite thickness, matching the surrounding medium at . Consequently, for a signal with a small bandwidth, the reflected wave, exactly equal to zero at , can be neglected so that the signal immediately after the slab interface is still given by (2) (this is also confirmed in [6, Fig. 2]). Employing and assuming that can be expanded as a Taylor series around , the time characteristic of the signal transmitted by the slab can be calculated as an inverse Fourier transformation

(4) Manuscript received October 13, 2005; revised December 26, 2005. The authors are with the Department of Engineering and Innovation, University of Lecce, Via Monteroni, 73100 Italy (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.874879

is the Taylor series of in which, assuming that where the bandwidth of is small with respect to , terms further than the second-order ones have been neglected, while

0018-9480/$20.00 © 2006 IEEE

2756

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

is the attenuation factor assumed constant and equal to . The solution of (4) is given by [10, eq. (10)] multiplied by the . attenuation factor If the medium transfer function has a Hermitian symmetry in

(5) the proposed approach can be resumed as follows:

As showed in [11], it is possible to approximate the delta family function so we can write

using

(9) Let us divide the finite interval in equal subintervals. The resulting amplitude of each subinterval is (10) If is the central instant of , (9) can itself be approximated with a Riemann sum as follows:

(6) where the symbol “*” in (5) indicates the complex conjugate operation, while, in (6), and are, respectively, the inverse Fourier transform and the Fourier transform operations. Furthermore the following definitions have been used:

(7)

(11) In this way, we have obtained an approximation of the signal as a sum of Gaussian functions, with the same variance, centered in the instants , uniformly distributed in the interval , and weighted by the value assumed by in these instants. In Section III-B, we will show that this result can be employed to represent any signal (satisfying the conditions 1 and 2) after the propagation in a DNG slab, as a sum of terms such as those given in [10, eq. (10)]. B. Gaussian Sum Propagation in a Dispersive Slab

III. GAUSSIAN SUM APPROXIMATION Here, recalling [11], we demonstrate the possibility to approximate a modulated signal with a Gaussian sum so that results obtained in Section II can be employed to calculate the behavior of any modulated signal after the propagation in a slab of a dispersive medium.

Let us study the propagation of a modulated signal, as in (2), in a dispersive slab. We assume that the complex time envelope satisfies conditions 1 and 2, thus it can be expanded into a Gaussian sum

(12)

A. Gaussian Sum Let us consider a time-dependent function with the following properties. 1) is defined and continuous everywhere, but a finite number of points in the interval . 2)

where

Now we will introduce the delta family of positive type [11]

with

is given in (8); using (11), (6) becomes

(8) One can verify that type as .

generates a delta family of positive

(13)

MONTI AND TARRICONE: GAUSSIAN PULSE EXPANSION OF MODULATED SIGNALS IN DNG SLAB

Consequently, the signal after the propagation can be expressed as

2757

As suggested in [6] and [7], the relative constitutive parameters of such a medium can be modeled using the lossy Drude medium model

(14)

Recalling the result obtained for the propagation of a single Gaussian pulse (see [10, eq. (10)]), we then have

(16) where is the plasma pulsation of the thin wire, is the resonant pulsation of the SRR, and is the dissipation factor determined by the conductor loss, assumed positive and small. Assuming and , the medium acts as a DNG for if . Indeed, from (16), it is evident that, in this range of frequency, the real part of the constitutive parameters have negative values. The corresponding medium phase constant is

(17) where the relation given in [6, eq. (12a)] has been employed. It is useful to observe that is an odd function of , thus, has a Hermitian symmetry satisfying (5). (15)

where are the centers of Gaussian pulses. The result achieved in (15) is of general validity, it can be adopted for a wide variety of signals and media (this will be demonstrated below), and, more important, it is suitable to analytically characterize the propagating behavior.

B. CRLH Medium In 2002, based on L–C distributed network representation of homogeneous dielectrics, several authors (i.e., Caloz and Itoh [13], Oliner [14], and Antoniades and Eleftheriades [3]) proposed a medium alternative to Smith’s made out of a host transmission line (TL) planar network medium periodically loaded with lumped series capacitors and shunt inductors: the CRLH medium ([15]–[17]). Referring to the medium unit cell described in [3], it can be derived

IV. ANALYZED MEDIA Now we assume that the slab is made of a DNG medium surrounded by a double positive (DPS) medium and matches it. We consider two different realizations of a DNG medium: the split-ring resonator (SRR)-wire DNG medium and the composite right-handed–left-handed (CRLH) medium. The Hermitian symmetry of the transfer function of both DNG realizations will be demonstrated, thus, the approach presented in Section III, synthesized by (6) and (15), can be applied to study the propagation inside both of them.

(18) Consequently, the unit cell transfer function is given by (19)

A. SRR-Wire Medium The first realization of a DNG material was achieved in 2000 by Smith et al. [12], alternating layers of wire arrays and SRR arrays.

(20)

2758

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

The “nearly equal” signs in (20) are justified considering that, choosing small enough, the presence of the TL can be neglected for any value of . Indeed, we have . Equation (20) evidences the dual nature of the CRLH medium, defined as balanced if . In this case, the unit cell medium acts left-handed (LH) for frequen, while it acts right-handed (RH) cies under elsewhere. In this case, the DPS medium is represented by the TL lines, and the DNG medium is represented by the shunt lumped elements. Consequently, the matching condition is automatically satisfied in the balanced case

(21) Furthermore, from (19) and (20), one can derive that the medium transfer function has a Hermitian symmetry, indeed it is evident from (20) that the medium phase factor is an odd function of . V. SIMULATED SIGNALS A. Amplitude Modulation A) RC: Let us assume as the modulating signal an RC [i.e., a function that consists of a flat portion and a portion with sinusoidal form, insert of Fig. 1(a)],

Fig. 1. Electric field with a carrier frequency equal to 10 GHz, E (t; f = 10 GHz; d) and amplitude modulated by an RC ( = 0:1; T = 0:78 ns;  = 1:75 ns) after the propagation in the SRR-wire DNG slab described in Section VI-A. (a) Results achieved solving (15) with MATLAB. (b) Results achieved employing a proprietary FDTD code. The insert of (a) shows the simulated input signal time envelope.

B) Single pulse: We have also considered the following modulating signal [see Fig. 2(a)]: , with elsewhere (22) where , with being the pulse center, while and determine the propagating signal’s time length, which is equal to ( is called the rolloff factor). In this case, the analytical solution of (6) is difficult to find. Consequently, it can be useful to substitute the modulating signal with its Gaussian sum approximation

(24) and refer to it as the single pulse [6], [8]. Similar considerations as for the RC case can be developed. B. Amplitude-Phase modulation In this case, the time envelope is a complex function

(25) (23) Bit . where Now, by applying the approach proposed in Section IV, one can immediately derive an approximated expression of the signal after the propagation in a DNG slab, which is given by (15), where .

Bit

Bit (26)

MONTI AND TARRICONE: GAUSSIAN PULSE EXPANSION OF MODULATED SIGNALS IN DNG SLAB

2759

A. RC in an SRR-Wire DNG Medium A) Medium parameters: We suppose that the slab is surrounded by free space and the following medium parameters are assumed: rad/s at GHz where is the carrier frequency of the simulated signals. It can be verified that the imaginary part of , which gives the system loss, can be approximated by the value assumed at and, as showed in [6], that (29) (30) B) Signal parameters: The modulating signal assumed is an RC function with the following parameters: ns The Gaussian sum parameters are ns ns Fig. 2. Single pulse propagation in the SRR-wire DNG slab described in Section VI.A. (a) Gaussian sum approximation of both the electric field [E (t; f = 10 GHz; d = 0)] and its time envelope [A(t) = SP(T = 1 ns)]. (b) Electric field for different values of d (results achieved by employing its Gaussian sum approximation).

where

The resulting time length of the simulated signal is equal to 3.432 ns. The insert in Fig. 1(a) shows the simulated input signal time envelope. An approximate form of the signal after the propagation in the DNG medium can be calculated as follows:

is the unit step function

elsewhere.

(27)

Two different random-generated sequences have been considered as follows: Bit Bit

(28)

The corresponding input signals will be referred as A-PM1 and A-PM2. The phase of A-PM1 satisfies the condition

while for the A-PM2 phase, we have

(31) (32) Equation (6), as well as (15), have been discretized substituting the FT and IFT with the discrete Fourier transform (DFT) and the inverse discrete Fourier transform (IDFT), which have been calculated with MATLAB. In this way, the two [(6) and (15)] are easily solved for different values of [see Fig. 1(a)]. In order to validate the proposed approach, we also have simulated the RC propagation in the SRR-wire DNG medium by means of a proprietary FDTD code [see Fig. 1(b)]. The results shown in Fig. 1(a) and (b) are in perfect agreement, thus demonstrating the accuracy of the Gaussian sum approach. B. Single Pulse in an SRR-wire DNG Medium

The parameter is a phase shift introduced to ensure the phase continuity of both the simulated signals at the transition between successive bits. VI. RESULTS The propagation of a signal with a carrier frequency of 10 GHz has been studied for different modulating signals and different DNG media realizations.

The medium parameters are the same as assumed in Section VI-A, while the modulating signal considered is given in (24) with ns ( is the time length), and is reported in Fig. 2(a). Consequently, (32) becomes (33) Following the procedure described for the RC case, (6) and (15) have been solved for different distances covered in the DNG medium.

2760

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 3. Simulated A-PM1 signal complex time envelope at the: (a) input and (b) output port of the CRLH medium described in Section VI-C. Black solid line: real part. Grey solid line: imaginary part. Black dashed line: amplitude.

The electric field time characteristics calculated by means of (15) for , respectively, are equal to 0.005, 0.1, and 0.5 m, are plotted in Fig. 2(b); as for the RC case, a strong distortion of the pulse shape is observed as grows.

Fig. 4. Comparison between the phase (t) of the input (black line) and transmitted (grey line): (a) signals A-PM1 and (b) A-PM2. In (b), only five symbols are showed. A phase shift of 30 is put forward in the enlarged area reported in (a).

Bit

C. A-PM Signal in a CRLH medium A) Medium parameters: We suppose that the medium unit cell is made out of two TLs with and (RH medium) so we neglect in (20). Loading lumped elements are equal to nH pF

Fig. 3(a) and (b) shows the complex and imaginary part of A-PM1, respectively, at the input and output ports of a CRLH medium made out of 12 unit cells. The phase of the incident and transmitted signal are compared in Fig. 4(a), while the same comparison is showed in Fig. 4(b) for A-PM2 considering a CRLH medium composed of 72 unit cells. In agreement with (34), it is evident that

GHz (34) B) Signal parameters: A signal as in (25) has been simulated with the following parameters: parameters

VII. CONCLUSION

s s parameters Gaussian sum parameters The random-generated bit sequences are Bit

Thus, the applicability of the proposed approach is also demonstrated in this case.

s ns

A theoretical formulation has been proposed to study the propagation of a modulated signal through a DNG slab. The proposed method, taking advantage of an expansion of a generic signal into Gaussian sums, gives an approximated analytical expression of the signal after the propagation. A key feature of the approach is its immediate implementation by using common and simple commercial packages (e.g., MATLAB), thus allowing the solution of very complex problems in a short time and with very reduced efforts. Another relevant

MONTI AND TARRICONE: GAUSSIAN PULSE EXPANSION OF MODULATED SIGNALS IN DNG SLAB

advantage is its suitability to investigate and control the propagating behavior with analytical tools (15), this being a topic addressed in several papers (see [6]–[8]). To validate the presented method, results are proposed referring to the case of both amplitude and amplitude-phase modulated input signals propagating both in SRR-wire DNG and CRLH media. Results have demonstrated that the approach is accurate, extremely general, and suitable for any realistic modulated signal. REFERENCES [1] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of " and ,” Sov. Phys.—Usp., vol. 10, no. 4, pp. 509–514, Jan.–Feb. 1968. [2] A. Alù and N. Engheta, “Pairing an epsilon-negative slab with a mu-negative slab: Resonance, tunneling and transparency,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2558–2571, Oct. 2003. [3] M. A. Antoniades and G. V. Eleftheriades, “Compact, linear, lead/lag metamaterial phase shifters for broadband applications,” IEEE Antennas Wireless Propag. Lett., vol. 2, pp. 103–106, Jul. 2003. [4] P. M. Valanju, R. M. Walser, and A. P. Valanju, “Wave-refraction in negative-index media: Always positive and very inhomogeneous,” Phys. Rev. Lett., vol. 88, no. 18, pp. 1–4, May 2002, 187 401. [5] D. R. Smith, D. Schuring, and J. B. Pendry, “Negative refraction of modulated electromagnetic waves,” Appl. Phys. Lett., vol. 81, no. 15, pp. 2713–2715, Oct. 2002. [6] R. W. Ziolkowski and E. Heyman, “Wave propagation in media having negative permittivity and permeability,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 64, pp. 1–15, Oct. 2001, 056 625. [7] R. W. Ziolkowski, “Pulsed and CW Gaussian beam interactions with double negative metamaterial slabs,” Opt. Exp., vol. 11, no. 7, pp. 662–681, Apr. 2003. [8] R. W. Ziolkowski and C.-Y. Cheng, “Existence and design of trans-vacuum-speed metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 68, pp. 1–18, Aug. 2003, 026 612. [9] J. R. Thomas and A. Ishimaru, “Wave packet incident on negative-index media,” IEEE Trans. Antennas Propag., vol. 53, no. 5, pp. 1591–1599, May 2005. [10] G. Monti and L. Tarricone, “On the propagation of a Gaussian pulse in a double-negative slab,” in Proc. Eur. Microw. Conf., 2005, pp. 1419–1422. [11] H. W. Sorenson and D. L. Alspach, “Recursive Bayesian estimation using Gaussian sums,” Automatica, vol. 7, pp. 465–479, 1971. [12] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, no. 18, pp. 4184–4187, May 2000. [13] C. Caloz and T. Itoh, “Application of the transmission line theory of left-handed (LH) materials to the realization of a microstrip LH line,” in IEEE AP-S Symp., Jun. 2002, pp. 412–415.

2761

[14] A. A. Oliner, “A periodic-structure negative-refractive-index medium without resonant elements,” in IEEE APS/URSI Int. Symp. Dig., Jun. 2002, p. 41. [15] C. Caloz and T. Itoh, “Novel microwave devices and structures based on the transmission line approach of meta-materials,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 1, pp. 195–198. [16] C. Caloz and T. Itoh, “Transmission line approach of left-handed (LH) structures and microstrip realization of a low-loss broadband LH filter,” IEEE Trans. Antennas. Propag., vol. 52, no. 5, pp. 1159–1166, May 2004. [17] A. Sanada, C. Caloz, and T. Itoh, “Characteristics of the composite right/left-handed transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 68–70, Feb. 2004.

Giuseppina Monti was born in Lecce, Italy, in 1975. She received the Laurea degree in telecommunication engineering (with honors) from the University of Bologna, Bologna, Italy, in 2003, and is currently working toward the Ph.D. in telecommunications engineering at the University of Lecce, Lecce, Italy. Her current research is focused on the analysis and applications of left-handed metamaterials and on the design of microwave components.

Luciano Tarricone was born on May 24, 1966, in Galatone Lecce, Italy. He received the Laurea degree in electronic engineering (with honors) and Ph.D. degree from Rome University “La Sapienza,” Rome, Italy, in 1989, and 1994, respectively. In 1990, he was a Visiting Researcher with the Italian National Institute of Health Laboratories in charge of European draft standards for electromagnetic interferences (EMIs) with implanted devices. From 1990 to 1992, he was a Researcher with IBM Rome Scientific Centers, Rome, Italy. From 1992 to 1994, he was with the IBM European Center for Scientific and Engineering Computing, Rome, Italy, where he was involved in supercomputing for several scientific applications. From 1994 to 1997, he was a Researcher of electromagnetic (EM) fields with the Department of Electronic and Information Engineering, University of Perugia. From 1997 to 2001, he was a Senior Researcher and a Professore Incaricato of EM compatibility with the University of Perugia. In 2001, he joined the University of Lecce, Lecce, Italy, where he has been an Associate Professor since 2002. He has authored approximately 170 scientific papers and five books. His main contributions are in the area of the modeling of microscopic interactions of EM fields and biosystems, and in numerical methods for efficient computer-aided design (CAD) of microwave circuits and antennas. He is currently involved in the finite-difference time-domain (FDTD) analysis of human–antenna interaction, graph-theory methods for the enhancement of numerical EM techniques, novel CAD tools and procedures for microwave circuits, EM parallel computing, and metamaterials.

2762

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Single-Wire Transmission Lines at Terahertz Frequencies Tahsin Akalin, Anthony Treizebré, and Bertrand Bocquet

Abstract—In this paper, we report results on an original way to excite surface waves on a single-wire transmission line. Although these waves were proposed many decades ago by Goubau, the novelty of our structures is to achieve a broadband planar excitement. This configuration is very well suited for the terahertz frequency range and allows the investigation of biological entities with high spatial resolution with the use of novel biomicroelectromechanical systems, which include microfluidic functions. From experimental results, we compare different types of transitions from coplanar waveguides, and different substrates are also used. We show that the excitation is highly efficient and broadband for structures on a quartz substrate. Index Terms—Biomicroelectromechanical systems (bioMEMS), coplanar waveguide (CPW) transition, Goubau line, terahertz, transmission line.

I. INTRODUCTION N THE growing field of the biosensors, we present an original one based on a surface wave transmission line. The aim of the developed noninvasive biosensor is to investigate molecular exchanges between a living cell and its surrounding liquid media. This biosensor requires the use of microfluidic structures, which will cross under the transmission line. We have been brought to conceive a very narrow line to reach a high spatial resolution. In a previous study, we examined the transition from coplanar waveguide (CPW)-to-microstrip line [1] in the -band (140–220 GHz). We have narrowed the strip’s width of the microstrip section down to 1 m. We have then noticed that the electromagnetic energy was confined around the strip and that the ground plane placed at 100 m played a minor role in the propagation. This can be explained by the fact that we excite a surface wave along the single wire. This point is of high importance because it opens ways to many applications, as well for the characterization of living entities and for inert materials than for terahertz passive structures (long-distance transmission lines, filters, ). As we will show in this paper, the electromagnetic fields are highly confined to the strip. We have obtained results proving the excitation and propagation of the surface wave. The propagation mode is called a “Goubau mode” and, consequently, the transmission line is called a “G-line” [2]. More detailed studies have been carried out by King and Wiltse [3] in the 1970s and that at much higher frequencies. Very recently, the research group of Mittleman has highlighted the propagation of terahertz waves on a single bare metal wire (with a diameter of

I

Manuscript received October 3, 2005; revised February 8, 2006. The authors are with the Department of Hyperfrequencies and Semiconductors, Institute of Electronic, Microelectronic, and Nanotechnology, Lille University, 59652 Lille, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.874890

Fig. 1. Schematic view of the G-line excitation structure.

0.9 mm). The performances of these lines are very interesting. It is particularly the case for the group velocity close to that of the light and an attenuation coefficient lower than 0.03 cm , which constitutes a record for waveguides in the terahertz range [4]. In this case, the conversion efficiency from the free-space propagating terahertz wave to the metal wire line is quite low. We present in detail in Section II the structures we propose for the excitation of wires much smaller than those proposed in [4] and with a much higher conversion efficiency from a CPW. In Section III, we report measurement results on different types of transitions from the CPW to optimize the efficiency of the excitation of the G-line. We also report results with two different substrates (glass and quartz). II. PLANAR EXCITATION OF THE G-LINE In order to carry out on-wafer measurements by means of a vectorial network analyzer in the -band, we have to design CPW sections. It is represented in section I of Fig. 1. The dimensions used are a central strip width m, ground planes’ widths m, and slots’ size m. The length of Fig. 1’s section I is a key parameter for CPW-to-microstrip transitions [5]. The value of will be given for each kind of transition under study (see Fig. 1’s section III). However, as we do not use a microstrip line with a ground plane, this parameter is not a key parameter any more. For this purpose, we have made electromagnetic simulations with CST Microwave Studio software.1 We have varied from 400 to 1600 m and we have noticed only light changes in the -parameters. Section II is a tapered CPW whose role is the preshaping of the Goubau mode, as we will see it thereafter. We have realized two types of transitions: A-type with a straight linear shape of 1Microwave

0018-9480/$20.00 © 2006 IEEE

Studio, CST GmbH, Darmstadt, Germany.

AKALIN et al.: SINGLE-WIRE TRANSMISSION LINES AT TERAHERTZ FREQUENCIES

Fig. 2. Two CPW-to-G-line transitions. (a) A-type with a G-line width w 1 m. (b) B-type with a G-line width w = 5 m.

=

Fig. 3. (a) Classical excitation of G-line with horn antennas. (b) Proposed planar excitation. Inset: three-dimensional (3-D) view of the planar structure.

CPW ground planes and B-type with a smoother shape for the ground plane and a narrowing of the central strip The Goubauline’s section corresponds to section III. The efficiency of our excitation is directly linked to section II. In fact, this single wire transmission line can support radiating modes as well as propagating ones [2]. If the excitation is a localized source, the radiating modes will be excited and the conversion efficiency between propagating CPW and G-line falls. The originality of this excitation comes from the fact that it is a planar one. Photographs of fabricated structures are shown in Fig. 2. If we remind ourselves (Fig. 3) of the “classical” excitation of the G-mode with horn antennas and a line going out from the central conductor, we can remark that our structure is a slice of it. III. COMPARATIVE STUDY FROM MEASUREMENT AND SIMULATION RESULTS A. Demonstration of High Excitation Efficiency 1) Experimental demonstration: Here, we present some representative simulation and measurement results to prove the propagation of a surface wave along the single wire. In Fig. 4, we have plotted measurement results of transmission and reflection parameters. We can notice a relatively good transmission for a back-to-back configuration and a G-line length m. Taking this into account leads us to think that

2763

Fig. 4. Measurement results of transmission (thick straight line) and reflection (thin straight line) parameters for a B-type structure on quartz substrate with a G-line width of 5 m and a length L = 1:5 mm. The dotted line is the transmission parameter of a 50- -CPW whose length (L = 2:8 mm) is very close to the total length (=2:9 mm) of the back-to-back G-line structure. We can estimate the difference between the two structures. We have used two VNAs, one for the 0–110-GHz band and the second in the 140–220-GHz band.

the propagation is low loss. We have used a crystalline quartz substrate ( –SiO Z-cut), which presents a relative permittivity and . The thickness is m. The metal is composed of 500 Å of titanium and 2500 Å of gold for a total metallization thickness of Å. The edge profile of the line is very straight. The main source of the losses are still the transitions CPW-to-G-line and G-line-to-CPW. An optimization effort has been performed for the realization of these structures. From simulation results, we also expect very broadband transitions with a high cutoff frequency around 500 GHz. Another measurement technique is under consideration for characterization up to 1 THz. Even if it is already the case since we now cover all the -band, we intend to broaden them more. These structures present a flat transmission coefficient at a relatively high level: dB in the (60–220 GHz) frequency band. From this study, we can conclude that the excitation efficiency is high. 2) Direct coupling or not?: We also have to raise the fact that the transmission is not due to a direct coupling between the two launching sections, but to an efficient excitation of the G-line. On this purpose, we have made measurements and simulations to prove this point. a) Removed G-line section: The first verification of this is obtained from measurements performed on a structure with a total cut of the G-line on an A-type structure on a glass substrate. We have only the two launching sections facing each other, but not connected by the G-line, which has been removed (Fig. 5). The distance between the ends of each tapered section is 1 mm. We observe in this case a very low transmission level compared to the same structure with the G-line. This transmission is, in fact, close to the noise floor (typically 50 dB). This measurement constitutes a strong verification of the indirect coupling. b) Curved G-line section: The second verification is achieved from simulation results performed with the CST Microwave Studio software. It consists of a curved G-line section in order to minimize the possibility of face-to-face coupling. The dimensions of the structure are a G-line length of m on each side of the curved section, which has a

2764

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 7. Time-domain results from electromagnetic simulations of a curved G-line structure. The two transitions are placed with an angle of 90 . We observe a relatively high transmission level.

Fig. 5. Experimental results on two launching sections (A-type on glass substrate) facing each other and separated by a distance L = 1 mm without any G-line section. (a) View of the measured topology. (b) Measured S -parameters. We can clearly see that there is no direct coupling.

Fig. 8. S -parameters obtained from simulations of the structure with a 90 curved G-line section.

Fig. 6. Schematic view of the simulated structure with a 90 angle between the two Goubau-mode launching sections in order to avoid direct coupling.

radius of 200 m. We have used a B-type transition on a quartz substrate (Fig. 6). We observe a high level for the transmitted signal in the time domain (Fig. 7) and in the frequency domain (Fig. 8). In these simulations, we have included metallic losses, but not dielectric losses ( ). From all these results obtained with experimental measurements and simulations on specific structures (see Figs 4–8), we can clearly conclude that the transmitted signal is quasi-exclusively due to the propagation along the G-line and not to a direct coupling. The excitation efficiency, which will be estimated in Section III-B, is high if we compare the transmission parameter of a 50- -CPW and a back-to-back structure with the same total length (Fig. 4). B. Study of the Losses on G-Lines In order to estimate the total losses in the G-lines, we have realized structures with exactly the same dimensions and char-

Fig. 9. Experimental results: comparison between two back-to-back structures on quartz substrate with the same transition (B-type) from CPW to G-line and two different G-line lengths: L = 1500 m and L = 2000 m.

acteristics, except for the G-lines lengths . We have plotted in Fig. 9 the -parameters of B-type structures on a quartz substrate with m and m. The transmission parameter is relatively flat in both cases in the -band. Their values are from 5.1 to 6.5 dB when m. For the 2000- m-long G-line, varies from 6.5 to

AKALIN et al.: SINGLE-WIRE TRANSMISSION LINES AT TERAHERTZ FREQUENCIES

2765

Fig. 11. Measurements comparison between two back-to-back structures with the same transition (A-type) from CPW to G-line and the same G-line length (L = 1000 m). We have used structures on glass substrate with " = 5:5 (dotted lines) and on quartz substrate with " = 4:5 (straight lines).

Fig. 10. Measured transmission and reflection coefficients and comparison between the two A and B types of transition for a G-line length L = 2 mm. Structures are fabricated on quartz substrate.

8.5 dB. We can then estimate the total loss along the G-line to 2.8 dB/mm @ GHz and 4 dB/mm @ GHz. We can then estimate the insertion loss introduced by one CPW to G-line transition. For instance, we have 2.8-dB/mm loss @ GHz. The pure G-line section length is . If we look at Fig. 1, we see that there is still ground planes around the central strip (with a distance ). This pure G-line length is then m for and m. Once we know the value of , we calculate the total loss in this pure G-line section: (2.8 dB/mm)*(0.7 mm) dB. As dB @ GHz, we deduce a single transition loss: dB/transition. From similar calculations, we find 1.85 dB/transition @220 GHz where the pure G-line section length is m. This is, to the best of our knowledge, the highest efficiency in the excitation of the Goubau mode at these frequencies. C. Comparison Between the Two Types of Transitions We compare the two types of transitions A and B for the same length of G-line mm (Fig. 10). Both structures are fabricated on quartz substrate with a thickness m. The insertion loss difference between the two structures is 3.5 dB @ GHz and 4.6 dB @220 GHz with a maximum difference of 6 dB around 200 GHz. From this comparison, we can conclude that the B-type transition is more efficient than the A-type one.

Fig. 12. Measurements comparison between two back-to-back structures with the same transition (B-type) from CPW to G-line and the same G-line length (L = 1500 m). We have used structures on glass substrate with " = 5:5 (dotted lines) and on quartz substrate with " = 4:5 (straight lines).

D. Comparison With Different Substrates We have measured structures with exactly the same design, but fabricated on two different substrates. Results on A-type structures with mm are plotted in Fig. 11 and similarly for B-type structures with mm in Fig. 12. The difference between the two substrates is more pronounced for the A-type structure with typically 3-dB higher transmission with quartz substrates and little difference for the B-type structures. The quartz substrate is the best candidate to realize biomicroelectromechanical systems (bioMEMS) at terahertz frequencies because it is transparent (like glass), but it is also a very low-loss dielectric. E. Simulation Results We have represented several electromagnetic fields maps in a previous study [6]. A representative simulation result is obtained by plotting the electric and magnetic fields in a transversal plane (Fig. 13). We observe that the electric field is almost transverse, although the excited mode is actually a TM mode. The magnetic field distribution is quasi-circular around the strip with a rapid decreasing when moving away from the strip. The variation of the electric field versus the distance to the wire is rapidly decreasing. At a distance larger than 100 m, the fields reach low levels as well in the air than in the substrate. The magnetic field turns around the wire once in one direction and once in the other.

2766

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 13. (a) and (c) Electric and (b) and (d) magnetic fields of a Goubau mode around a metal strip on a substrate at different phase ( = 0 and  = 180 ), which also corresponds to a separation of half guided wavelength.

interface. This is the main polarization in this case because of the quasi-radial distribution of the electric field. From the time difference between the two first peaks of the probes, we can deduce the velocity of the wave along the G-line. This difference is ps and the distance m. We then estimate the velocity to be ms . We can also deduce the effective relative permittivity . Time-domain measurements are also shown on prototypes at lower frequencies [7] on Duroid substrates. The velocity of the wave can then be easily estimated. We can also raise the fact that from different simulation tools and approximated analytical expressions [7], [8], we estimate the characteristic impedance of such G-lines to be very high . We have demonstrated and studied the excitation of the Goubau mode as well with measurements than with an electromagnetic simulation tool. In Section IV, we will present some applications such as like bioMEMS including this line and its excitation. IV. CONCLUSION AND POTENTIAL APPLICATIONS: BIOMEMS FOR A SINGLE LIVING CELL INVESTIGATION AT TERAHERTZ FREQUENCIES

Fig. 14. Surface current at f = 200 GHz on a A-type structure. The arrows show the direction of the surface current. This can be linked with the magnetic field in Fig. 13.

Fig. 15. Electric field temporal evolution at two points placed under the G-line at 500-m distance of each other.

The distance between the turning direction changes is equal to a half-wavelength. This can be explained from the surface current on the edges of our structure. which is oriented once in one direction and once in the opposite one, as we can see in Fig. 14, and also with the magnetic field represented in Fig. 13. These results are obtained with CST’s Microwave Studio. We have placed in the simulated structures several probes, which can inform us of the electromagnetic field at specified points. This information corresponds to the level of the fields with polarization discrimination in the frequency and time domains. We have reported the evolution in the time domain in Fig. 15 with two probes placed under the G-line at 5 m inside the glass substrate and separated by a 500- m distance. The chosen polarization is parallel to the normal at the substrate–air

As we have shown in Section III, this G-line presents a relatively weak extension of the electromagnetic field around the strip. Moreover, most of the molecular exchanges between the cell and its surrounding media (physiological fluid) are positioned on the top of the cell. We can explain this because when the cell is clung to the bottom surface, no exchange is possible. From these two reasons, we have decided to place the cell on a lattice of polymer pillars, and in order to correctly position the cell, micropumps will be placed below the lattice. The proposed solution is described in [6]. These bioMEMS present the advantage of being noninvasive, contrary to conventional techniques based on fluorescence. This line can be used for dielectric variations close to the line or conductivity changes around the propagating single wire. We believe that our technique is very sensitive with very small volumes in liquid phase to characterize. This is important because of the absorption of terahertz frequency waves by water. However, there is very interesting information in this frequency band on molecule signatures that we have to detect by means of our developed terahertz bioMEMS. Medical applications of terahertz waves and their interactions with biological tissues are of great importance [9], [10]. Recent studies have also been published on the DNA signature at terahertz frequencies [11]. Our structure can also be used for DNA characterization. In this paper, we have shown that the proposed structure has been efficient to launch surface wave propagation in a planar configuration. We have demonstrated that the transmission was not due to direct coupling between face-to-face launching sections, but rather to the propagation following the Goubau mode of an electromagnetic wave on a single wire. The high confinement of the electromagnetic energy can be used for many kinds of sensors. The biosensor, dedicated to living cell investigation, and using this kind of propagation is under realization. Optimization of these structures, in terms of excitation efficiency, have been studied and realized on two different sub-

AKALIN et al.: SINGLE-WIRE TRANSMISSION LINES AT TERAHERTZ FREQUENCIES

strates (glass and quartz). We have obtained the best results with B-type transition on a quartz substrate. These structures present a flat transmission coefficient at a relatively high level with dB in the (60–220 GHz) frequency band for a structure in a back-to-back configuration and including a 1.5-mm G-line length. We also estimated the losses introduced by a single CPW to G-line transition to be 1.6 dB@ GHz and 1.85 dB@ GHz. These are very promising results, which are at the state-of-the-art, particularly concerning the 3-dB bandwidth. Another measurement technique will be used to determine the high cutoff frequency and also the scattering parameters of the structures up to 1 THz with and without elements to characterize. ACKNOWLEDGMENT The authors wish to acknowledge S. Lepilliet and E. Delos, both with the Institute of Electronic, Microelectronic, and Nanotechnology (IEMN), Lille University, Villeneuve d’Ascq, France, for their precious help for the characterization and J.-F. Lampin, IEMN, for his helpful comments and discussions. REFERENCES [1] T. Akalin, A. Treizebré, N. E. Bourzgui, and B. Bocquet, “THz microfluidic MEMS for cell membrane analysis,” in IEEE 12th Int. Terahertz Electron. Conf., Karlsruhe, Germany, Sep.–Oct. 26–1, 2004, pp. 413–414. [2] G. Goubau, “Open wire lines,” IRE Trans. Microw. Theory Tech., vol. MTT-4, no. 10, pp. 197–200, Oct. 1956. [3] M. J. King and J. C. Wiltse, “Surface-wave propagation on coated or uncoated metal wires at millimeter wavelengths,” IRE Trans. Antennas Propag., vol. AP-10, no. 5, pp. 246–254, May 1962. [4] K. Wang and D. M. Mittleman, “Metal wires for terahertz wave guiding,” Nature, vol. 432, pp. 376–379, Nov. 2004. [5] G. Zheng, J. Papapolymerou, and M. M. Tentzeris, “Wideband coplanar waveguide RF probe pad to microstrip transitions without via holes,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 544–546, Dec. 2003. [6] T. Akalin and A. Treizebré, “High resolution biosensor based on surface wave transmission lines at THz frequencies,” in 35th Eur. Microw. Conf., Paris, France, Oct. 3–7, 2005, pp. 1371–1374. [7] A. Treizebré, T. Akalin, and B. Bocquet, “Planar excitation of Goubau transmission lines for THz bioMEMS,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 886–888, Dec. 2005. [8] M. J. Hagmann, “Isolated carbon nanotubes as high-impedance transmission lines for microwave through terahertz frequencies,” IEEE Trans. Nanotechnol., vol. 4, no. 2, pp. 289–296, Mar. 2005. [9] P. H. Siegel, “Terahertz technology in biology and medicine,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 10, pp. 2438–2447, Oct. 2004.

2767

[10] S. W. Smye, J. M. Chamberlain, A. J. Fitzgerald, and E. Berry, “The interaction between terahertz radiation and biological tissue,” Phys. Med. Biol., vol. 46, no. 9, pp. 101–112, 2001. [11] M. Nagel, F. Richter, P. Haring-Bolivar, and H. Kurz, “A functionalized THz sensor for marker-free DNA analysis,” Phys. Med. Biol., vol. 48, pp. 3625–3636, 2003.

Tahsin Akalin was born in Niˇgde, Turkey, in 1975. He received the M.S. and Ph.D. degrees in electronics from the University of Sciences and Technologies, Lille, France, in 1999 and 2002, respectively. During his thesis, he developed passive structures such as filters based on periodic structures [photonic bandgap (PBG)]. He has also been involved in the study of directive antennas with electromagnetic bandgap (EBG) superstrates. He is also involved in the conception of metamaterials with a negative index of refraction. He is currently an Assistant Professor with the Epiphy Group, Institute of Electronic, Microelectronic and Nanotechnology (IEMN), Lille University, Lille, France. His research activities concern biomicroelectromechanical systems (bioMEMS) for the characterization of living cell and neuronal entities by means of terahertz waves. High-resolution bioMEMS are developed with the use of original transmission lines. He is also involved in terahertz near-field microscopy.

Anthony Treizebré, photograph and biography not available at time of publication.

Bertrand Bocquet was born in La Bassée, France, on 1960. He received the M.Sc. degree in physics, Ph.D. thesis in electronics, and Habilitation à Diriger des Recherches from Lille University, Lille, France, in 1984, 1989, and 1999, respectively. From 1989 to 2000, he was an Assistant Professor with the University of Sciences and Technologies, Lille, France. His research interests have included medical imagery based on near-field microwave radiometry for the detection and characterization of breast cancers and real-time radiometric cameras at millimeter waves for defense and transportation safety. This research involved knowledge on electromagnetic matter interactions, electromagnetic computation, and inverse problems up to the technological transfer. Since 2000, he has been a Professor with the University of Sciences and Technologies, where he has been involved with the interaction between millimeter and submillimeter waves with biological entities by using original microfluidic biosensors. He T) team. currently heads the Microfluidic Microsystem and Terahertz ( He has authored or coauthored over ten international papers and two book chapters. He holds two patents. He currently supervises three doctoral students. His research includes innovative technological realization with new materials, electromagnetic and microfluidic computation by a multiphysics approach, and surface functionalization of polymers. His research has been supported by local and French Ministry Centre National de la Recherche Scientifique (CNRS) grants.

M

2768

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

A Novel Approach for a High-Precision Multitarget-Level Measurement System Based on Time-Domain Reflectometry Michael Gerding, Thomas Musch, and Burkhard Schiek, Member, IEEE

Abstract—A novel approach for a high-precision multitarget time-domain reflectometry (TDR) level measurement system is presented with a greatly improved performance. It fulfills the growing needs of today’s industrial level measurement applications. Starting with the well-known concept of the TDR, a novel concept for frequency stabilization and pulse generation lead to a higher performance compared to conventional industrial systems with respect to their precision, resolution, and dynamic range. This paper focuses on the description of the improved signal generation unit, comprising the generation of two RF signals with a small frequency offset and a good phase-noise performance and the generation of short electromagnetic pulses, optimized for the detection of thin interface layers. To determine the stability, resolution, and dynamic range of the improved TDR system, a prototype system is presented. Index Terms—Differentiating network, frequency synthesizers, interface layer, level measurement system, phase-locked loop (PLL), pulse generator, time-domain reflectometry (TDR).

I. INTRODUCTION IME-DOMAIN reflectometry (TDR) is a well-known concept, which has been used in level measurement systems for years. With the growing needs of today’s industrial level measurement applications, the requirements for level measurement systems become higher. Especially applications like interface measurements and powder measurements point out the need for performance improvements of conventional TDR systems, concerning their reproducibility, resolution, and dynamic range. Since a TDR system normally works according to the sequential sampling principle, two signals with a small frequency difference are necessary to make use of the stroboscopic effect, thus expanding the received time-domain signal. This allows sampling of the signal with standard analog-to-digital converters. A highly stable generation of these two slightly frequency-shifted signals is essential for robust and stable measurements. The conventional way of generating such small frequency differences is to use a phase-locked loop (PLL) with a reference frequency of exactly the difference frequency between the two signals. Major drawbacks of this simple concept are, on the one hand, quite a poor phase-noise performance of the PLL due to the low reference frequency and the resulting high division factors in the loop and, on the other hand, a very long

T

Manuscript received October 17, 2005; revised February 16, 2006. The authors are with the RF and Microwave Engineering Institute, Ruhr University Bochum, 44801 Bochum, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.874891

settling time of the PLL caused by the low reference frequency and the resulting low PLL loop bandwidth. As a major improvement, the proposed concept in Section III offers a low-noise performance together with a fast settling time by using only one PLL. The reference frequency in the proposed system is significantly higher than the difference frequency and, hence, the settling time is noticeably faster than in the conventional approach. With a PLL loop bandwidth of 2 kHz, the rms jitter of the PLL stabilized XCO is in the order of 400 fs, which results in a distance standard deviation of m. A second novelty of the presented system is the generation of short electromagnetic pulses based on RF bipolar transistors (see Section IV). Especially for detecting thin interface layers and for a high resolution, respectively, there is a need for short pulses with a symmetrical pulse shape and preferably a high amplitude for increasing the dynamic range of the measuring system [1]. The use of RF transistors as key components in comb generators provides a new, more comfortable, and more cost-effective way of generating short electrical pulses as compared to step-recovery diodes, which are mostly used in conventional TDR systems. The current gain of the transistor and its three-terminal character are further advantages compared to a passive step-recovery diode. Due to this, low-power input signals lead to a maximum output peak pulse power of nearly 1 W, e.g., 7 V at 50 , depending on the pulse duration. The higher the pulse amplitude, the longer the pulse duration and vice versa. The pulse duration can be adjusted from 90 to 800 ps [2], thus resulting in the detection of interface layers with a layer thickness down to 3 cm. II. FUNCTIONAL DESCRIPTION OF THE PROTOTYPE SETUP The system can be divided into three main parts (see Fig. 1), which are: 1) the time-base; 2) the microwave part including the pulse generators and the sequential sampling unit; and 3) the signal processing unit of the down-converted received signal. The time-base comprises a crystal oscillator (XCO) with its constant frequency MHz and a voltage-controlled crystal oscillator (VXCO) with a slightly variable frequency , which are locked together by a PLL. The PLL provides a small difference frequency between the two XCO signals. For the given example, the frequency shift is approximately 756 Hz. Crystal oscillators have a very good phase noise by themselves so that the main attention has to be paid to the PLL and its phase-noise performance. A very small frequency shift can be obtained by using a special PLL concept (see Section III) while simultaneously using relatively small division ratios within the PLL in order to keep a sufficiently low phase-

0018-9480/$20.00 © 2006 IEEE

GERDING et al.: NOVEL APPROACH FOR HIGH-PRECISION MULTITARGET-LEVEL MEASUREMENT SYSTEM BASED ON TDR

2769

Fig. 1. Functional principle of the TDR prototype system.

noise level and a relatively high reference frequency at the phase frequency detector (PFD). Each of the two sinusoidal signals triggers a comb generator where pulse sequences are generated with a pulse duration of approximately 150 ps. This results in waveforms having a high harmonic content up to a bandwidth of around 4.5 GHz. One of the wideband signals is transmitted in the coaxial probe. At the positions where the pulse encounters a discontinuity of the probe impedance caused, for example, by the liquid’s surface, the pulse will be reflected. The reflected signal travels back to the point of transmission and is separated from the transmitted signal by a resistive coupler and forwarded to a sampling mixer. The sampling mixer is part of the sequential sampling unit where the received signal and the slightly frequency shifted pulse train are mixed. By this technique, the two signals are slightly shifted against each other versus time. The complete waveform can be reconstructed after a complete acquisition cycle with the acquisition time . Thus, the time is expanded by a factor of , while the frequency of the received signal is compressed by the reciprocal factor. The resulting low-frequency signal is subsequently low-pass filtered and passed to a conventional analog-to-digital converter. III. SPECIAL PLL CONCEPT The simplified block diagram of the time-base core including the PLL and the frequency dividers is shown in Fig. 2. The XCO acts both as the reference oscillator in the system and as one of the two output signals. It provides the reference frequency for the PFD after passing the divider. The same signal , divided by , down-converts the signal of the tunable VCXO being divided by . The output signal of the mixer with the frequency is compared with the reference signal in the PFD, thus forming the tuning voltage for the VCXO behind the loop filter . The combination of the mixer and the two dividers and accounts for an additional degree of freedom in the frequency generation. Some fractionality resulting in a low difference frequency can thus be achieved. Additionally, the division factors and are quite low. As the divider is located in the feedback path of the PLL, its division ratio is important for the phase noise of the VCXO.

Fig. 2. Block diagram of the PLL-driven frequency signal generator.

By choosing an appropriate division factor, it is possible to achieve a difference frequency at the output that is much smaller than the reference frequency at the PFD input. The reference frequency finally determines the maximum loop bandwidth , which can be in the order of a tenth of the reference frequency. The frequency conditions within the system can be calculated by analyzing the PLL in detail. Once the PLL is locked, the frequency relations within the PLL can be described starting with the following equation:

(1) and (2) respectively. The algebraic sign in the numerator of the above equation corresponds to the sideband correlations at the mixer. The positive sign is valid if the frequency relation at the mixer is described by the inequality

(3)

2770

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

while the negative sign corresponds to the relation described by

(4) Besides the absolute frequency , the difference frequency is of particular interest. The difference frequency is given by

(5) Firstly, with respect to the boundary conditions that all division factors are positive integer numbers, the difference between and should be as small as possible to minimize the difference frequency and, secondly, to reduce the phase noise level of the PLL, it is useful to choose the division factor to be as low as possible since this division factor is located in the feedback path of the PLL and primarily determines the phase noise level. These arguments lead to the relation between and with the frequency scheme given in (3). Due to this, the difference frequency is given by

Fig. 3. Spectrum of the multiplied VCXO output (multiplication factor 415) measured with a span of 1 kHz and a resolution of 5 Hz. Within the loop bandwidth, a phase noise level of 72.34 dBc/Hz is measured.

0

The second parameter set is (valid if

)

(6) In order to minimize the difference frequency, it is obvious that an absolute value of exactly 1 in the numerator is the smallest nonzero solution with all division factors being positive integer numbers. Therefore, the numerator has the value

(11) With these equations, an analytical method for the determination of the optimum system parameters is described. For the prototype system, the division factors are chosen as

(7) (12)

with the upper sign indicating that is greater than and vice versa. This leads to the relation (8) is an integer, which means and integer as well. The following relation between results:

is a positive and then

Hz. By which provides a frequency difference of using two additional dividers with at the outputs of the oscillators, the frequencies of the trigger signals for the comb generators are given by MHz

(13)

MHz

Hz

(14)

(9) Hz determines whether is greater or The numerator smaller than . If , then , if then . For both cases, the main parameters can be summarized. The first parameter set is (valid if )

(10)

(15)

In order to determine the performance of the time base, a measurement of the phase noise is meaningful. Due to the good phase-noise behavior of the PLL, a direct phase-noise measurement is not possible with our current measurement equipment without frequency multiplication, which increases the phase-noise level according to the frequency-multiplication factor. Fig. 3 shows a plot of the spectrum of the output signal of the VXCO, frequency multiplied by a factor of 415 using an external comb generator. Within the loop bandwidth, the measured phase noise level of dBc/Hz dBc/Hz and, thus, assuming an effective bandwidth of the loop filter of 5 kHz, a resulting time jitter in the order of 400 fs

GERDING et al.: NOVEL APPROACH FOR HIGH-PRECISION MULTITARGET-LEVEL MEASUREMENT SYSTEM BASED ON TDR

Fig. 6. Measured transistor collector–emitter output voltage u bipolar transistor in a common emitter circuit.

Fig. 4. Dual-frequency synthesizer. (1) XCO. (2) PLL-mixer circuit, including the bandpass filter and the low-noise transistor-based amplifiers. (3) VCXO. (4) PFD including the loop filter. (5) Frequency dividers [implemented in a CPLD (XILINX XC2C384 Coolrunner)].

Fig. 5. Functional principle of the transistor-based comb generator.

2771

(t) of an npn

output signal of the driver circuit is a comparably slow square wave pulse with a well-defined width of a few nanoseconds. With the leading edge of the trigger signal, the base–emitter and base–collector diode become forward biased. The transistor is in the saturation mode. The trailing edge of the trigger signal causes a fast depletion of the stored charge in the base–collector junction, which leads to a sudden increase of the base–collector impedance. This causes an abrupt change of the voltage across the collector–emitter junction. The depletion time of the minority carrier charge in the transistor base–collector junction depends, on the one hand, on the internal transistor parameters like the internal collector resistance , the base–collector barrier capacitance , the current gain , and the cutoff frequency and, on the other hand, on the overdrive factor [7], [8], [9]. This relationship can be summarized by the following equations: (16)

is quite good compared to a calculated PLL phase-noise level of only 80 dBc/Hz at the output of the VCXO if only a simple direct PLL approach with a 756.8-Hz reference frequency at the PFD is used. The poor phase-noise level in the direct PLL approach results from the division ratio in the loop of roughly 31713 instead of just 31 (12). With a phase-noise level of the PFD itself of 170 dBc/Hz, the phase noise of the VCXO operating at the frequency in the simple direct PLL approach can be estimated as dBc/Hz dBc/Hz . Furthermore, due to the high reference frequency of 24 kHz at the PFD of the prototype system, the settling time of the PLL is quite fast and in the order of 2 ms. The prototype system, including the XCO, the PLL and the VCXO is shown in Fig. 4. IV. FAST PULSE GENERATORS The two pulse generators shown in Fig. 5 consist of a driver circuit, a slope accelerator or speed-up stage with an npn or a pnp bipolar transistor, and a pulse-shaping network. The key component of the speed-up stage is the RF transistor, which has to be driven into saturation in order to get an output signal with a fast switch-off slope, attributed to the minority carrier charge storage, and the step recovery effect of the transistor. Although common base, common emitter, or common collector circuits are all usable for this operation, this study is concentrated on the common emitter circuit, which is the most useful circuit for this application because of its high current gain. The

and (17) The overdrive factor describes the relation between the collector current of the saturated transistor and the backward bias current . Increasing the overdrive factor by increasing the backward bias current leads to a shorter depletion time according to (16). In this way, the rise time of the output signal of the transistor can practically be shortened (in this example, by a factor of 10) compared to the trailing edge of the input signal. However, this speed-up effect is limited by the given transistor technology. This means that by using fast logic gates for the driving stage of the speed-up network, a resulting rise time of less than 100 ps can be achieved at the output of the transistor (Fig. 6). The polarity of the fast switch-off edge can be influenced by the choice of the transistor circuit and by the transistor technology (Fig. 5). Taking the transient frequency of a transistor as a criteria, npn transistors are generally faster compared to a pnp transistor due to the higher minority carrier mobility in the base of an npn transistor. According to (16), this results in shorter rise times of the switch-off edge and, thus, in shorter pulses. The next element in the signal path is the so-called pulseshaping network. This circuit itself can be divided into two parts, i.e., the differentiating network and the clipping network. In order to achieve pulses from the rectangular output signal of

2772

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 9. Output signal of a transistor-based pulse generator (npn bipolar transistor: Infineon BFP540): pulsewidth 80 ps, amplitude 1.8 V at 50 . Fig. 7. Differentiating networks. (a) Shorted branch line. (b) Open-end coupled line structure. (c) Shorted-end coupled line structure.

Fig. 10. Measurement of an interface layer with a layer thickness of approximately 4 cm (varying the pulsewidth  ).

The second stage of the pulse-shaping network consists of the so-called clipping network. A fast Schottky diode is connected in series with the output of the differentiating stage. Depending on the diode’s polarity, the negative or the positive part of the differentiated input signal is clipped, resulting in a clear and sharp pulse shape of only one polarity (Fig. 9) [2]. V. RESULTS OF EXEMPLARY MEASUREMENTS Fig. 8. Measured phase and magnitude of the transfer function of the two different coupled line structures (solid line: structure b), (dashed line: structure c), dashed–dotted line: resulting phase difference).

the transistor network, the output signal has to be differentiated. In general, the transient response of the differentiating network shows a high-pass filter characteristic. The simplest realization of a planar differentiating network is the use of a branch line shorted to the signal ground [see Fig. 7(a)]. In addition to the simple functionality of this shorted branch-line differentiating stage, coupled line structures, which are illustrated in Fig. 7(b) and (c), offer the further possibility of inverting the polarity of the input signal resulting in an inversion of the output pulse. Comparing the measurement results in Fig. 8 of the two coupled line networks in Fig. 7(b) and (c), the magnitude of the transfer functions is approximately equivalent, while the phase difference of both transfer functions is approximately 180 in the measured frequency range. It can be shown theoretically by the use of coupled line equivalents and the Kuroda identities that the different terminations of the coupled line structures result in the measured phase shift of 180 . In this way, the coupled line structure with shorted lines changes the polarity of the output signal. This offers the possibility of using fast npn transistors in identical speed-up networks for the generation of opposite pulse polarities [4].

To underline the performance of the prototype system in Fig. 1, several exemplary measurements are presented below. The good phase-noise performance of the PLL (Section III) yields a low time jitter of the expanded time signal measured with a digital storage oscilloscope. Connecting an RF cable shorted at the end in a distance of 1 m acting as device-under-test, the jitter of the received pulses is measured. With the pulses traveling with the speed of light back and forth on the delay line, the time jitter results in a distance variation. The distance peak-to-peak variation is below 0.3 mm, while the standard deviation is approximately m. The reproducibility error of the prototype system is smaller by a factor of approximately 4 as compared to conventional TDR systems. To point out the capabilities of the prototype system concerning its resolution and the detection of thin interface layers, respectively, a measurement of a layer of 4 cm of benzine on water is illustrated in Fig. 10. Depending on the effective pulsewidth and the dielectric constant of the material, which is forming the interface layer, the theoretical minimum detectable layer thickness can be calculated as (18) The effective pulsewidth is caused by the sequential sampling technique, which broadens the pulsewidth

GERDING et al.: NOVEL APPROACH FOR HIGH-PRECISION MULTITARGET-LEVEL MEASUREMENT SYSTEM BASED ON TDR

Fig. 11. Measured reflection of an open ended RF cable, attenuated by 62 dB: standard deviation of the noise floor  = 49:547 V.

6

Fig. 12. Measured distance deviation as compared to a high-precision laser reference system.

of the transmitted pulse by a factor of . With a pulsewidth of ps and the dielectric constant of benzine , the minimum detectable layer thickness results in cm. Due to the overall low-noise design of the TDR prototype system, the noise floor of the expanded time signal is quite low ( V). The bandwidth of the expanded time-domain signal is limited to 120 kHz. Taking into account that the maximum detectable output signal amplitude is approximately mV, the dynamic range of the system can be calculated as dB without any averaging. To provide an example of the dynamic range of the system, the measurement of an open-ended RF cable, attenuated by 62 dB, is illustrated in Fig. 11. Additionally, the distance deviation as compared to a high-precision laser reference system is shown in Fig. 12 to underline the overall performance of the PLL and the prototype system, respectively. VI. CONCLUSION The novel approach for a high-precision multitarget level TDR measurement system is based on a low-noise signal generation unit. A single PLL concept, applied for the generation of two highly stable signals with a small frequency offset, is the basis for a stable and robust measurement (distance standard deviation m), while the novel approach to generate short electromagnetic pulses by the use of RF bipolar transistors leads to an improved resolution ( cm) and a high dynamic range ( dB). Altogether, these properties lead to a better performance as compared to existing industrial level measurement TDR systems.

2773

REFERENCES [1] M. Gerding, T. Musch, and B. Schiek, “Precision level measurement based on time-domain reflection (TDR) measurements,” in Advances in Radio Science. Klein Heubach, Germany: Kleinheubacher Berichte, 2002, pp. 27–31. [2] ——, “Generation of short electrical pulses based on bipolar transistors,” in Advances in Radio Science. Miltenberg, Germany: Kleinheubacher Berichte, 2003, pp. 7–12. [3] M. Gerding and B. Schiek, “Realization of broadband matched filter structures based on dual networks,” in Advances in Radio Science. Miltenberg, Germany: Kleinheubacher Berichte, 2004, pp. 69–73. [4] M. Gerding, T. Musch, and B. Schiek, “A novel approach for a high precision multi target level measurement system based on time-domain-reflectometry,” in Eur. Microw. Conf., Paris, France, 2005, pp. 737–740. [5] T. Musch, M. Gerding, and B. Schiek, “A phase-locked-loop concept for the generation of two RF-signals with a small frequency offset,” IEEE Trans. Instrum. Meas. (Special Issue), vol. 54, no. 2, pp. 709–712, Apr. 2005. [6] “Frequenzsynthesizer zur Erzeugung von Signalen mit extrem kleinem Frequenzabstand,” Transl.:T. Musch and B. Schiek Patent DE10 244 348, Apr. 1, 2004. [7] E. Gelder, Der Transistor als Schalter. Stuttgart, Germany: Franckh Verlag, 1969. [8] H. Lueg, 1978, “Grundlegende Systeme, Netzwerke und Schaltungen der Impulstechnik” (Als Manuskript verfielfältigt). [9] R. Paul, 1992, “Elektronische Halbleiterbauelemente,” Teubner Studienskripten. Michael Gerding was born in Herne, Germany, in 1975. He received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from Ruhr-Universität Bochum, Bochum, Germany, in 2000 and 2005, respectively. Since 2000, he has been a Research Assistant with the Arbeitsgruppe Hochfrequenzmesstechnik, RuhrUniversität Bochum. His current fields of research are concerned with frequency synthesis, TDR, and industrial applications of microwaves.

Thomas Musch was born in Mülheim, Germany, in 1968. He received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from Ruhr-Universität Bochum, Bochum, Germany, in 1994 and 1999, respectively. Since 1994, he has been a Research Assistant with the Arbeitsgruppe Hochfrequenzmesstechnik, RuhrUniversität Bochum. His current fields of research are concerned with frequency synthesis, fractional divider techniques, radar systems for microwave range finding, and industrial applications of microwaves.

Burkhard Schiek (M’85) was born in Elbing, Germany, in 1938. He received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the Technische Universität Braunschweig, Braunschweig, Germany, in 1964 and 1966, respectively. From 1964 to 1969, he was an Assistant with the Institut für Hochfrequenztechnik, Technische Universität Braunschweig, where he was involved with frequency multipliers. From 1969 to 1978, he was with the Microwave Application Group, Philips Forschungslaboratorium Hamburg GmbH, Hamburg, Germany, where he was involved with solid-state oscillators, oscillator noise, microwave integration, and microwave systems. Since 1978, he has been a Professor with the Department of Electrical Engineering, Ruhr-Universität Bochum, Bochum, Germany, where he is involved with high-frequency measurement techniques and industrial applications of microwaves.

2774

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

A Novel UWB Rugby-Ball Antenna for Near-Range Microwave Radar System Amnoiy Ruengwaree, Abhijit Ghose, Member, IEEE, and Günter Kompa, Member, IEEE

Abstract—Design and performance of a monostatic ultra-wideband (UWB) microwave radar system is described in this paper. Design details are presented for a novel rugby-ball antenna and UWB resistive duplexer of the radar system. The antenna is fed through the image ground plane and backed by a reflector. Intersection of two circular areas of different radii of curvature makes up the antenna element. Antenna bandwidth is determined by upper and lower radii of curvature of the antenna element. UWB (0.1–20 GHz) voltage standing-wave ratio (percent bandwidth of 198%) was achieved, which is suitable for detection of ultrashort electric pulses. An extended time sampling method was used for the receiver section of the radar system. Analysis and performance results are described for the sampling unit and resistive duplexer. Measurement results are presented for the far-field radiation of the antenna. A comparison of range measurement was performed using the UWB antenna in a monostatic radar system with a standard trapezoidal UWB bi-static configuration. The range measurement error was improved from 3.37% to 1.59% using the present rugby-ball antenna in a monostatic radar configuration. Index Terms—Monopulse radar, position measurement, pulse radar, radar detection, ultra-wideband (UWB) antenna.

I. INTRODUCTION N CASE of ultra-wideband (UWB) pulse-echo radar [1], subnanosecond electromagnetic pulses are transmitted and a portion of the transmitted signal is returned back from the object, which is collected by the receiver. The distance to the object is calculated by measuring the time taken for the pulse to travel to the object and back [2]. In a bi-static configuration of the radar system, the transmitting antenna and receiving antenna are spatially separated, and the object distance is calculated between the object point and vertical center to the antenna plane (shown in Fig. 1). To determine the distance of the object in a bi-static system, a prior knowledge of the object angle is necessary, which is often difficult to determine for the objects buried inside of another material. Details of the bi-static radar system consisting of two wideband (1–5 GHz) trapezoidal antennas can be found in [3] and [4]. In this paper, a monostatic UWB radar system, as shown in Fig. 2, was developed, which consists of a single UWB antenna and a resistive duplexer. The monostatic system is compact in size and can be used for an antenna array. UWB performance is achieved by designing the novel rugby-ball shape of the antenna. A description of the monostatic

I

Manuscript received October 7, 2005; revised January 19, 2006. The authors are with the Department of High Frequency Engineering, University of Kassel, D-34121 Kassel, Germany (e-mail: [email protected]. uni-kassel.de). Digital Object Identifier 10.1109/TMTT.2006.874892

Fig. 1. Bi-static microwave radar configuration.

system will be given, followed by detailed design and measurement results of the duplexer and the antenna below. Improvement in range measurement accuracy was observed using the present monostatic system, equipped with a rugby-ball antenna, compared to the existing bi-static system, consisting of a trapezoidal antenna. II. MONOSTATIC UWB MICROWAVE RADAR SYSTEM Block diagram of the monostatic radar system is shown in Fig. 3. Transmitted pulses are generated by an avalanche pulse generator. The step recovery diode (SRD) pulse sharpener decreases the rise time of the transmitted pulses. Output pulses (rise time: 100 ps, amplitude: 2.5 V) from the SRD pulse sharpener are split through the duplexer into two parts. One part is fed to the antenna for the transmission and the other part is fed to the sampling gate for the reference pulse. Returned pulses from the object are time separated from the transmitted pulses and fed to the sampling gate of the receiver. Received signal is sampled at the sampling gate with an aperture of 50 ps. An extended time-sampling method is used to downconvert the received pulses from the picosecond to millisecond range. Details of the sampling unit consisting of sampling gate, RC generators, and the transimpedance amplifier can be found in [3] and [4]. Pulse repetition frequency (PRF) is controlled by the quartz oscillator, which has a selectable output from 20 kHz to 10 MHz. Output from the transimpedance amplifier is digitized by an analog-to-digital converter and fed to a computer, interfaced with thesystem.Software-baseddigitalsignalprocessingisperformed on the down-converted pulses to display the target returns, and to extract the target-range information.

0018-9480/$20.00 © 2006 IEEE

RUENGWAREE et al.: NOVEL UWB RUGBY-BALL ANTENNA FOR NEAR-RANGE MICROWAVE RADAR SYSTEM

2775

Fig. 2. Monostatic microwave radar configuration.

Fig. 4. (a) Schematic of the potential divider. (b) Model of the resistance with parasitics. TABLE I EXTRACTED VALUES OF RESISTOR PARASITICS

Fig. 3. Block diagram of the monostatic UWB microwave radar system.

A. Broadband Resistive Duplexer Received pulses from the target are isolated from the transmitted signal through a duplexer. The three-port divider contains lossy components to improve simultaneous matching of all ports [5]. The network of this type is shown in Fig. 4(a). The divider consists of symmetrical resistive films deposited on ceramic substrate. The circuit was realized on a 50- planar microstrip transmission line. Models were extracted for the thick-film resistors considering its parasitic inductances and capacitances, as shown in Fig. 4(b). Extracted values are given in Table I. Measurement and simulation results of the reflection and transmission coefficients of the divider are shown in Figs. 5–7. Optimization of the circuit has been performed using an electromagnetic field simulator (Advanced Design System, ADS-Momentum, Agilent Technol. Inc., Palo Alto, CA). In Fig. 5, the transmission coefficient from the generator (port 1) to the antenna (port 3), and the eigenreflection coefficient of port 3 (antenna) are shown. Fig. 6 shows the transmission coefficient from the antenna (port 3) to sampling gate (port 2), and the eigenreflection coefficient of port 2. Fig. 7 gives the transmission coefficient for the reference pulse from port 1 (generator) to port 2 (sampling gate), and the eigenreflection coefficient of the generator.

Reflection and transmission coefficients were measured from dc to 12 GHz using a vector network analyzer (HP8510). A return loss of less than 10 dB was measured for and up to 6 GHz, and beyond that, an increase in reflection coefficients were noticed due to parasitic effects at the microstrip-tocoaxial junctions. Transmission coefficients ( and ) are attenuated by 3 dB due to insertion of resistive components. B. Novel Rugby-Ball Antenna for Pulse Radiation The present antenna pertains to improved bandwidth and a reduced reflected signal for the pulsed microwave radar. Wideband matching of the antenna is needed for radiating electrical pulses of a rise time of 100 ps or less. In addition, it is desired that the fabrication of the antenna is simple and cost effective. An optimized bow-tie antenna was proposed in [6] for wideband applications. However, the bow-tie configuration needs additionally capacitive and resistive loading to reduce unwanted reflections from the drive point and also from the end of the antenna.

2776

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 8. Antenna shape and surface mesh elements in HFSS. Fig. 5. Eigenreflection coefficients the duplexer.

S

and transmission coefficients

S

of

applications and also for ground-penetrating applications. The present antenna has no unwanted signal reflection from its elements. In Fig. 8, the geometry of the antenna is shown, consisting of an intersection of two circular elements of different radii of curvature. Lower cutoff frequency of the antenna is determined by the height of the antenna element (Fig. 8) (1) where

Fig. 6. Eigenreflection coefficients the duplexer.

S

and transmission coefficients

S

of

is the lower cutoff frequency of the antenna and (2)

and are the radii of the upper and lower circles, respectively, and is the distance between the centers of the two circles, as shown in Fig. 8. Impedance matching of the antenna depends on radius . Length determines the radiation property of the antenna, which is related to and by the following equation: (3)

Fig. 7. Eigenreflection coefficients the duplexer.

S

and transmission coefficients

S

of

A planar “trapezoidal” antenna was proposed in [7]. The planar trapezoidal antenna is useful only for bandwidth of 1–5 GHz. Limited bandwidth restricts its application for fast rise-time pulses. In another attempt, a planar inverted cone antenna (PICA) was proposed in [8] for radiating UWB signals. The bandwidth of the PICA is from 1.5 to 20 GHz. In the present approach, a special shape of the antenna element is introduced to overcome the previously mentioned limitations. The antenna element consists of an intersecting area of two circles of different radii of curvature. The antenna is termed as a rugby-ball antenna for the similarity of its shape to a rugby ball [9], which is simulated by a full-wave electromagnetic solver, i.e., the High-Frequency Structure Simulator (HFSS, ver. 10, Ansoft Corporation, Pittsburgh, PA). The new antenna has the increased impedance bandwidth (0.1–20 GHz), which is advantageous for fast rise time ( 100 ps) pulsed

Aluminum plate of 2-mm thickness is used to fabricate the antenna element, reflector, and ground plane. Detailed antenna dimensions are described in [9]. Placement of the feed point have a significant effect on the antenna impedance. The height between the ground plane and antenna element was chosen such that it optimizes the input matching of the antenna. The voltage standing-wave ratio (VSWR) was further optimized using the three-dimensional (3-D) electromagnetic field simulation. The reference plane for the measurements was set at the subminiature A (SMA) connector feed point, which is just underneath the image ground plane. Measurements of the rugby-ball antenna were carried out using a vector network analyzer (HP8510). The VSWR and radiation (gain) pattern of the antenna were simulated with HFSS ver. 10. The measured and simulated VSWR of the antenna is shown in Fig. 9. Percent bandwidth was calculated to be 198% from the measured VSWR (0.1–20 GHz). The measured and simulated radiation (gain) patterns in the azimuth and elevation planes of the antenna are presented at 3.5 GHz in Figs. 10 and 11, respectively. Maximum power is in the direction between (50 5 ) and around 145 ( 5 ) in

RUENGWAREE et al.: NOVEL UWB RUGBY-BALL ANTENNA FOR NEAR-RANGE MICROWAVE RADAR SYSTEM

2777

Fig. 9. Simulated and measured VSWR of antenna.

Fig. 11. Elevation gain pattern of the antenna at 3.5 GHz.

Fig. 10. Azimuth gain pattern of the antenna at 3.5 GHz.

the azimuth plane. In the elevation plane, maximum power is around 55 ( 5 ). Time-domain pulse measurement results can be found in [9]. III. EXPERIMENTAL RESULTS OF THE UWB RADAR The configurations of the measurement setup of the bi-static microwave radar system and the monostatic UWB microwave radar system are shown in Figs. 1 and 2, respectively. A 48 cm 22 cm conducting (aluminum) plate was used as target object for both bi-static and monostatic cases. Fig. 12 shows the measured reference and reflected pulse from the target in the monostatic microwave radar system. The first pulse is the reference pulse and the second pulse is the reflected pulse from the target (Fig. 12). The distance between the antenna and target is calculated from a time-of-flight principle. Measurements were performed for the monostatic configuration equipped with a rugby-ball antenna, and compared with the bi-static configuration [3] with a trapezoidal antenna [7]. Fig. 13 shows the difference of the measured distance from the actual value using the bi-static radar. The average difference is shown together with maximum and minimum differences of measurements.

Fig. 12. Measured reference and reflected pulse of the UWB monostatic microwave radar using the rugby-ball antenna.

In Fig. 14, the measured difference of the distance of the object is plotted in the case of a monostatic configuration using a rugby-ball antenna. Comparison of the average difference of the distance of the target are shown for bi-static and monostatic pulsed radar (Fig. 15). Both the trapezoidal and rugby-ball antennas were excited by a Gaussian voltage pulse of 100-ps rise time. The average error is improved for the monostatic system to 1.59% compared to the bi-static system of 3.37%. For the trapezoidal antenna, the upper bandwidth depends on the height of the feed point over the ground plane and is optimum when [10] (4) where is the height of the feed point from the ground plane, is the base angle of the antenna, and is the radius of the coaxial central conductor. The upper bandwidth of the trapezoidal antenna is limited to 6 GHz for , and the shape of the radiated pulse is changed from the excitation pulse due to dispersion [8], [11]. However, in the case of the rugby-ball

2778

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

IV. CONCLUSION Details of a new UWB rugby-ball antenna have been presented for a monostatic pulsed microwave radar. Low VSWR performance has been achieved for the frequency bandwidth from 0.1 to 20 GHz by optimizing the upper and lower radii of curvature of the antenna element. An antenna is fed through a wideband duplexer. An extended time-sampling method was used to develop the receiver of the monostatic radar system. As signal processing is performed in the low-frequency region, the extended time-sampling method reduces the hardware cost of the system. Range measurements were performed using a monostatic configuration and were compared with the bi-static pulsed radar. Besides the advantages of reduced cost and size of the radar system, the average error in distance measurement has been improved to 1.59% using the UWB rugby-ball antenna in a near-range pulsed microwave radar. Fig. 13. Measurement result of UWB bi-static microwave radar system using trapezoidal antenna.

ACKNOWLEDGMENT The authors wish to acknowledge M. El-Hadidy and R. Yuwono, both with the University of Kassel, Kassel, Germany, for the simulation and experimental support. REFERENCES

Fig. 14. Measurement result of UWB monostatic microwave radar system using rugby-ball antenna.

[1] J. E. Mast, Microwave Pulse-Echo Radar Imaging for the Nondestructive Evaluation of Civil Structures. Urbana, IL: Univ. Illinois at Urbana-Champaign, 1993. [2] S. A. Hovanessian, Introduction to Sensor Systems. Norwood: Artech House, 1988, pp. 55–68. [3] A. Duzdar, “Design and modeling of an UWB antenna for a pulsed microwave radar sensor,” Doctoral thesis, HFT, Univ. Kassel, Kassel, Germany, 2001. [4] S. Abuasakar and G. Kompa, “A high sensitive receiver for baseband pulse microwave radar sensor using hybrid technology,” in Proc. IEEE Radar Conf., Apr. 2002, pp. 121–124. [5] F. van Raay and G. Kompa, “A new active balun reflectometer concept for DC to microwave VNA applications,” in Proc. 28th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 1998, pp. 108–113. [6] K. L. Shlager, G. S. Smith, and J. G. Maloney, “Optimization of bow-tie antennas for pulse addiction,” IEEE Trans. Antennas Propag., vol. 42, no. 7, pp. 975–982, Jul. 1994. [7] A. Duzdar and G. Kompa, “A novel inverted trapezoidal antenna fed by ground image plane and backed by a reflector,” in Proc. 30th Eur. Microw. Conf., Paris, France, Oct. 2000, pp. 1–4. [8] S.-Y. Suh, A Comprehensive Investigation of New Planar Wideband Antennas. Blacksburg, VA: Virginia Polytech. Inst. and State Univ., 2002. [9] A. Ruengwaree, R. Yuwono, and G. Kompa, “A novel rugby-ball antenna for pulse radiation,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1855–1858. [10] J. G. Maloney and G. S. Smith, “Optimization of a conical antenna for pulse radiation: An efficient design using resistive loading,” IEEE Trans. Antennas Propag., vol. 41, no. 7, pp. 940–947, Jul. 1993. [11] J. R. Andrews, “UWB signal sources, antenna and propagation,” Picosecond Pulse Labs., Boulder, CO, AN-14a, 2003.

Fig. 15. Average difference of measured distance using monostatic and bi-static radar.

Amnoiy Ruengwaree was born in Bangkok, Thailand, in 1973. He received the B.Eng. degree in electronics engineering from the Rajamangala Institute of Technology (RIT), Patumtani, Thailand, in 1995, the M.Eng. degree in electrical engineering from King Mongkut University of Technology Thonburi (KMUTT), Bangkok, Thailand, in 2000, and is currently working toward the Ph.D. degree in microwave technology at the University of Kassel, Kassel, Germany. He received the International Certificate in Instrumentation from the Northern Alberta Institute of Technology (NAIT), Edmonton, AB, Canada, in 1998. In 1996, he joined the Department of Electrical Engineering, RIT, as a Lecturer. His research interests are UWB antenna and ultrafast electrical pulse generator design.

antenna, upper bandwidth (20 GHz) is dependent on the lower circular contour of the antenna element, and the dispersion is minimum in the radiated signal, which increases the accuracy in distance measurement.

RUENGWAREE et al.: NOVEL UWB RUGBY-BALL ANTENNA FOR NEAR-RANGE MICROWAVE RADAR SYSTEM

Abhijit Ghose (M’06) was born in West Bengal, India, in 1971. He received the M.Sc. degree in physics (with a specialization in electronics) and M.Tech. degree in microwaves from the University of Burdwan, Burdwan, India, in 1994 and 1997, respectively, and the Ph.D. degree in microwave technology from the University of Kassel, Kassel, Germany, in 2005. In 1997, he joined Astra Microwave Products Ltd., Secundrabad, India, as a Senior Engineer involved with research and development of microwave filters. From 1998 to 1999, he was a Project Scientist with the Central Electronics Engineering Research Institute (CEERI), Pilani, India, where he was involved with the microwave tubes area. His research interests includes device characterization, pulsed laser radar, and large-signal measurement techniques.

2779

Günter Kompa (M’88) received the Dipl.-Ing. and Dr.-Ing. degrees from the Technical University of Aachen (RWTH) Aachen, Germany, in 1970 and 1975, respectively. In 1976, he joined Endress & Hauser, Nesselwang, Germany, where he focused on microwave and laser radar research and development. Since 1984, he has been a Professor heading the Department of Microwave Technology, University of Kassel, Kassel, Germany. From 1990 to 2002, he was a referee for the German Ministry of Research and Technology Bundesministerium für Bildung, Wissenschaft, Forschung und Technologie (BMBF). From 1997 to 2001, he was a referee for the German Academic Exchange Service (DAAD). In 1997, he established the international masters course “Electrical Communication Engineering” (ECE) with the University of Kassel, which belongs to the first established international postgraduate degree courses in Germany. His current research interests mainly cover circuit and system design of UWB microwave and laser radars, multitone characterization and modeling of high-power devices and amplifiers, and power-amplifier linearization. He has authored or coauthored over 150 technical papers. He has filed numerous patents. Dr. Kompa was the recipient of the 1978 Heinrich–Hertz Award presented by the Institute of Radio and Electronic Engineers (IREE), London, U.K.

2780

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

A Time-Domain Modeling for EM Wave Propagation in Bi-Isotropic Media Based on the TLM Method Ana C. L. Cabeceira, Ana Grande, Ismael Barba, and José Represa

Abstract—A transmission-line matrix model suitable to model the propagation of electromagnetic (EM) waves in bi-isotropic media is presented. The main characteristic of the EM response of such complex media is the cross coupling of the EM field vectors in their constitutive relations. In this study, the angle tilt between electric and magnetic field vectors, the intrinsic impedances, the effective parameters of the medium, and the rotation of the polarization plane are computed. The results are in very good agreement with the theoretical solution. Index Terms—Bi-isotropic (BI) media, complex media, electromagnetic (EM) wave propagation, time-domain modeling, transmission-line matrix (TLM) method.

I. INTRODUCTION N CONTRAST to ordinary materials characterized by electric permittivity and magnetic permeability, bi-isotropic (BI) materials contain two additional parameters in their constitutive equations, namely, the Tellegen and the chirality parameters, that relate the electric field with the magnetic induction and the magnetic field with the electric displacement . Electromagnetic (EM) waves in BI media show the following interesting behavior [1]. 1) Nonorthogonality of electric and magnetic field vectors. 2) Dependency of the phase velocity upon the Tellegen and the chirality parameters. 3) Ootical rotatory dispersion (ORD), causing a rotation of polarization plane. 4) Circular dichroism (CD): due to the different absorption coefficients for the right-handed and left-handed circularly polarized wave, the nature of field polarization is modified, making linear polarization of a wave change into an elliptical polarization. This is a particular phenomenon of dispersive BI media, and a time-domain model can be found in [2]. These properties have drawn considerable attention to BI media and may open new potential applications in microwave and millimeter-wave technology [3], [4].

I

Manuscript received October 11, 2005; revised January 30, 2006. This work was supported in part by the Spanish Ministry of Education and Science under Project TIC 2003-09677-C03-02. A. C. L. Cabeceira, I. Barba, and J. Represa are with the Faculty of Sciences, Department of Electricity and Electronics, University of Valladolid, E-47071 Valladolid, Spain (e-mail: [email protected]; [email protected]; jrepresa@ee. uva.es). A. Grande was with the Faculty of Sciences, Department of Electricity and Electronics, University of Valladolid, E-47071 Valladolid, Spain. She is now with the Communications Engineering Department, Escuela Tecnica Superior de Ingenieros Industriales y de Telecomunicacion, University of Cantabria, 39005 Santander, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.874893

Recently, a time-domain modeling of general BI media based on the finite-difference time-domain (FDTD) method have been presented by Grande et al. in [2], where a new BI FDTD cell was developed to center all of the EM field vector components at the same point. The spatial and temporal separations of the field components in the classical FDTD cell create serious difficulties when dealing with BI media because the required simultaneous calculation in time of all of the field vectors to model the magnetoelectric coupling in such media is not possible. However, the transmission-line matrix (TLM) method does not have this restraint, since all of the field components are defined at the same point in space and time. A previous TLM model for BI and bi-anisotropic media can be found in [5], where a very efficient and accurate model in the frequency domain is proposed and applied for guided structures. In [6], a time-domain model based on the TLM method using a -transform technique is employed to describe the propagation of EM waves in complex materials, such as displaying either anisotropy or magnetoelectric coupling, as well as nonlinearity and frequency dependence of the medium constitutive parameters. In others works [7], [8], Paul et al. use these TLM iteration procedures for the simulation of frequency-dependent anisotropic and bi-anisotropic materials. The approaches of these authors led to TLM algorithms that are very elegant, general, flexible, functional, and powerful for the description of general materials, including chiral media. Now, a new extension of the time-domain TLM method to include both chirality and nonreciprocity (i.e., full BI media) is developed with a completely different approach, where the basic TLM network has been modified to include the special relations between the field vectors in BI media. In this way, a TLM model for wave propagation in a Tellegen medium or in a chiral medium has been already developed in [9], [10], but the expansion to a complete model is not obvious, due to the cross terms emerging in Maxwell’s equations, as shown below. Thus, the task is to model these new terms in the field equations. An exhaustive and detailed process with new nonmonochromatic results will be presented below as a much more complete development of our work in [11]. To validate our method, we have computed the characteristic behavior of EM waves traveling through different BI media, including Tellegen and chiral media, and obtained very good agreement with the available analytical results. II. CONSTITUTIVE RELATIONS As is well known, for isotropic or anisotropic media, the constitutive relations link the two electric field vectors and the two magnetic field vectors by either a scalar or a tensor. Such media

0018-9480/$20.00 © 2006 IEEE

CABECEIRA et al.: TIME-DOMAIN MODELING FOR EM WAVE PROPAGATION IN BI MEDIA BASED ON TLM METHOD

become polarized when placed in an electric field and magnetized when placed in a magnetic field [12]. A bi-anisotropic medium provides the cross coupling between the electric and magnetic field vectors in such a way that, when placed in an electric or a magnetic external field, it may become both polarized and magnetized. This effect is known as magnetoelectric coupling. The constitutive relations in the frequency domain for the most general bi-anisotropic linear material can be described as [1]

2781

TABLE I SUBCLASSES OF BI MEDIA

(1) where and are the EM field vectors and and are the medium dyadics. In a general case of a dispersive medium, all of these parameters are frequency dependent. In the BI case, all of the medium parameters are scalar (the permittivity and the permeability ) and pseudoscalar1 (i.e., the magnetoelectric ones, and ). Furthermore, from energy considerations, a lossless condition leads to the relation , so they can be written in the form (2) and are the permittivity and the permeability of where vacuum, respectively. In (2), is the Tellegen parameter which accounts for the nonreciprocity and is the chirality parameter. In addition, again, from energy considerations and always for the case of lossless media, there is another important limiting condition for the medium parameters values discussed in [1] that should be taken into account, which is given as (3) where the symbol denotes the propagation velocity in vacuum, and all of the parameters and must be real. When modeling general media in the time domain, the corresponding time-dependent constitutive equations can be obtained by inverse Laplace transform [2]. Since nondispersive BI media in the time domain (TD) are to be modeled, we have chosen the constitutive relations in the TD proposed by Kong [12]

In particular, a Tellegen material would be a nonchiral and and . Tellegen [14] nonreciprocal medium, i.e., proposed those media for the introduction of the gyrator in circuit theory as a nonreciprocal element, and he formulated the constitutive relations that describe their behavior as (5) A phenomenological model for the Tellegen material consists of permanent electric and magnetic dipoles, parallel or antiparallel to each other, attached by a nonelectromagnetic force. Thus, an applied electric field that aligns the electric dipoles simultaneously aligns the magnetic dipoles; a similar effect happens when an external magnetic field is applied [5]. We do not deal either with their physical existence or with the theoretical problem related to the nonreciprocal parameter [15]. In the Kong’s constitutive equations (4), the chirality property is described by the first-order time derivatives of the electric and magnetic field strengths and the chirality parameter . For a Pasteur or chiral material (see Table I), the magnetoelectric parameters take the values and , and the Kong’s constitutive relations become (6) Before studying the wave propagation, a new quantity its relative value are introduced as

and

(7) that will be required and interpreted in a later section.

(4) Two subclasses of general BI media are Tellegen and chiral media, in which only one of these two parameters is taken into account in the constitutive equations, as defined in Table I [1]. 1As is known, a scalar/vector that reverses its sign under inversion is called  a pseudoscalar/pseudovector [13]. Upon a spatial inversion, the field vectors E  behave as true vectors, while B  and H  are pseudovectors. Nevertherand D  and D  become pseudovectors, while B  and H  less, upon a time inversion, E are vectors. Then, since the magnetoelectric parameters relate a vector and a pseudovector, they have both odd spatial and time reversal symmetry, i.e.,  and & are pseudoscalars.

III. MAXWELL’S EQUATIONS AND WAVE EQUATIONS Maxwell’s equations are the fundamental laws governing the behavior of EM fields. These equations, in differential form, are

(8)

2782

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE II FOUR SETS OF EM FIELD VECTOR EQUATIONS

TABLE III SYMBOLS A; R, AND S IN WAVE EQUATIONS

where and are the EM field vectors, while and are the EM sources. The propagation of plane waves in the xy-plane in a BI medium with permittivity , permeability , chiral parameter , and Tellegen parameter is now considered. When the wave propagation is, for instance, along the -direction, the magnetoelectric coupling between field vectors happens on the yz-plane, because there are no longitudinal components along the propagation direction, that is, the -components equal zero (the existence of a static component in the fields solution is possible, but they do not contribute to wave propagation). A general plane wave inside a BI medium presents cross coupling on the -, -, and - field components on every plane perpendicular to the propagation direction (wavefront plane), which lies now in the xy-plane (bidimensional problem). Note that, although the problem is modeled in two dimensions, the number of unknown field components cannot be reduced to less than twelve. Consequently, the whole EM field is included in our development in the following sections in order to describe this general situation. After a simple manipulation, the Maxwell’s equation (8) can be arranged in four sets of equations, as shown in Table II, in order to be used later in our TLM model. Here, to obtain a simplified representation, the two next vectors, with no special physical meaning, are introduced as

the first pair constituted by and and the second one by and . The need to establish these equations will be clear from the annotations below, in Section III-A, where the new TLM algorithm is introduced. A wave equation describing the propagation can be derived for every set of resulting equations. In all of the cases, the wave equation has the form

(10) where the symbol represents any -component of the EM field vectors, and each symbol or is the -component of a linear combination of and [which were both introduced in (9)], depending on the component , as detailed in Table III. A. Characteristics of the Wave Propagation The first relevant characteristic of the solution of the wave equations is the nonorthogonality of the electric and magnetic field vectors [1]. The angles between the field vectors for a plane wave of general polarization are

(11) where the angle

is defined by

(12) (9) The only mathematical requirement for this strategy is a nonzero value for , but the BI media always verify this condition from the inequality (3). Really, this condition means that any plane-wave solution is associated with a finite value of the phase velocity. In every group of equations in Table II, there are only three components of the electromagnetic field. Moreover, every field vector component appears in only one set. Of course, the sets , and are related by the constitutive relations (4). Then, we can establish two pairs of equations systems, with

is the angle between the and vectors, and The angle is the angle between the and vectors. For a nonreciprocal medium, and these angles (11) are no longer . The expression for the intrinsic impedance of the BI medium is not modified with respect to the isotropic medium with the same permittivity and permeability

(13) regardless of the values of

and .

CABECEIRA et al.: TIME-DOMAIN MODELING FOR EM WAVE PROPAGATION IN BI MEDIA BASED ON TLM METHOD

Furthermore, we may define an equivalent isotropic medium by writing the equations

represents the distance from the source, and where angular frequency of the EM excitation.

2783

is the

IV. TLM MODELING (14) where and denote the field vector magnitudes (in a BI medium, the field vector is no longer parallel to , and the field vector is no longer parallel to ), and and are the effective permittivity and the effective permeability, respectively. It is possible to express these parameters in terms of the original BI medium parameters. Translating the first equation in (4) to the frequency domain in order to simplify the mathematical analysis, we obtain (15) The direction of the magnetic field makes the angle , defined in (11), with that of the electric field , and thus we can write

(16) where the rotation matrix is expressed in the wave plane. Eliminating from (15) and (16), and calculating the ratio of the modulus and , we find the equivalent permittivity

As is well known, the description of every physical event is based on space and time. The TLM method is a numerical technique that solves the wave equation of a propagation phenomenon in time domain. In fact, the TLM method works with interconnected transmission lines along the coordinate axes establishing a network. The points at which the transmission lines intersect are referred to as nodes. Then, space and time are discretized, and voltage and current pulses scatter from point to in a fixed time step [16]. point in space A. TLM Network The starting point to obtain any TLM model is to discretize the EM field equations [either the Maxwell’s equations in Table II or the wave equations (10)] and to compare it with the equations modeled by the TLM algorithm, that is, the equations relating the voltages and currents in the transmission-line network. The terms in the discretized wave equation have no representation in the TLM mesh equations and will be accounted for with new elements added to the network nodes as voltage sources, the value being updated each time iteration. For a parallel connection of the transmission line in the xy plane, the voltages and currents are related by the differential equations

(17) (22) where

is defined as (18)

A similar procedure applies to the corresponding permeability (19) This result also means that the phase velocity for a plane wave in a BI medium depends on the Tellegen parameter and on the chirality parameter in the form

(20) Here, the physical meaning of , which is defined by (18), is now revealed. Finally, the rotation of the angle of the polarization plane depends on the chirality parameter and not on the Tellegen parameter. The angle variation respect to the initial polarization plane at source can be expressed as

(21)

where and represent the inductance and the capacitance per unit length of the transmission lines. In these equations, the voltage and the currents and symbolize the standard electric quantities in the transmission-line network, as is typical in the classic TLM method [16]. Let us return to the propagation of EM waves in a BI medium in the xy-plane. A look at Table II allows us to implement the model of every set of equations with a classical TLM mesh of shunt-connected nodes [16]. Furthermore, the twelve field vector components are required in the new model due to the existing magnetoelectric coupling, even in the bidimensional case. In this way, a single set is not enough, because the four meshes are needed to simulate each of the twelve field components. Hence, four networks coupled through the constitutive relations (4) configure the TLM algorithm. Every TLM network will be labeled or , following their equivalent set of Maxwell’s equations in Table II. Once a multiple network is admitted, to simulate the overall corresponding characteristics of the wave propagation problem, each set of field equations in Table II must be exactly equivalent to one set of TLM equations (22). This leads to a specific analogy between each TLM network electric quantity and its respective EM field vectors component, as shown in Table IV.

2784

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

denotes the vacuum intrinsic impedance. The same where transmission-line characteristics are imposed also for all of the meshes, and, consequently, the TLM meshes impedances are

TABLE IV ANALOGIES BETWEEN TLM MESHES AND EM FIELD VECTORS

(26) In addition, to take into account the propagation velocity [i.e., the same into the four meshes following (10)], a permittivity stub is shunt connected at all of the nodes of the four networks. The normalized admittance of these stubs is calculated as TABLE V DIFFERENTIAL EQUATIONS FOR THE FOUR TLM MESHES

(27)

In Table V, the differential equations relating voltages and currents in the four TLM meshes can be found. Here, the corresponding TLM equivalences of the vectors and , introduced in (9), are (23)

(24) It is good to remember, once again, that these vectors have no physical meaning, but they are mathematically useful. Note that, regardless of the relationship between the field components and TLM variables, the wave properties of the mesh, which are discussed and developed next, remain the same for the four networks – . Moreover, these networks have the characteristics for wave propagation of the classic TLM network, which has been well studied in the literature [16]. Therefore, the numerical dispersion and, consequently, the velocity error of the proposed BI TLM network are well known, and, for frequencies well below the cutoff frequency, the propagation velocity approximates to of the free-space velocity.

The admittance of the other four branches of all of the nodes everywhere is normalized to unity. Notice the fact that propagation velocities into the TLM networks depend on in (7), and the real velocity in the modeled medium (20) depends upon [defined as monochromatic signals in (18)], which is different from when dealing with general BI media . Finally, as stated earlier, a new circuit element is needed at every node in all of the meshes in order to incorporate the terms of the equations in Table II with no direct equivalence in Table IV. The technique developed in [17] to model the propagation in complex media, based on the voltage source connection, has been used for this purpose. It is important to remark that, since these voltage sources introduce the adequate signal correction at any time iteration, we obtain a TLM algorithm that models the correct propagation velocity (20). C. TLM Algorithm The simulation starts with the excitation of one or several field vectors components, depending on the problem (e.g., symmetry, boundaries, or output). Regarding the equivalences in Table IV, voltage impulses are initially introduced into the system according to the desired field excitation. Outputs can be taken where and when they are needed during the simulation. At each time iteration , voltage pulses are incident upon the node from each of the link lines, i.e., from each of the five branches constituting the node. According to the transmissionline theory, these pulses are scattered to produce a set of reflected pulses which become incident on adjacent nodes at the next time step . For the th mesh, the scattering process can be described as (28) where the indices and indicate incident and scattered pulse, respectively, the index denotes the branch number of the node, and is the sum of the voltage pulses at the node at iteration (29)

B. TLM Network Characterization For this general purpose, the basic ideas of the classical TLM method are applied now, and the transmission-line impedances have been chosen as (25)

This equation gives us the total voltage at the node for the th network. When the algorithm continues with the next iteration , to calculate the new incident voltage pulses for a generic point

CABECEIRA et al.: TIME-DOMAIN MODELING FOR EM WAVE PROPAGATION IN BI MEDIA BASED ON TLM METHOD

of Cartesian coordinates inside one of the meshes, we use the following relations at the node interconnections:

2785

example, the wave equation for the field becomes for the mesh {1}

component of the electric

(36) (30) expressed in terms of reflected voltage pulses at adjacent nodes. 1) Network Coupling: In our new BI two-dimensional (2-D) TLM model, the algorithm is not yet completed, since at every time iteration the voltages at each node must be transferred from a pair of networks to the other pair, that is, if we deal with and , i.e., the component of electric and the pair of sets magnetic field strengths are simulated by the total voltage at the nodes, then the discretized form of the constitutive relations (4) is used to update the voltage pulses in as

The value of the four sources at every time iteration can be obtained directly from these TLM wave equations. The resulting expressions for each TLM mesh are (37) (38)

(39)

(31) (32) Here, the symbol represents the th-order time variation of the pulses. On the other hand, from the networks and , i.e., for the -component of electric displacement and magnetic induction, the constitutive relations are used as follows:

(33) (34) and . where 2) Voltage Sources: Updating: As it was said, all the terms in the equations in Table II with no equivalence in the TLM algorithm introduced below are modeled by the connection of the voltage sources at each node in all of the meshes. From the equations of voltages and currents at the TLM node in Table V, we can write an equation for the total voltage in function of voltage at neighboring nodes and the time variation of the source [17] as

(35) In this way, the values of the new elements to be connected at the TLM node equivalent circuit are obtained from the knowledge of the electromagnetic phenomenon through a relationship that can be obtained by comparing (35) with the discretized form of wave equation (10). The TLM method deals with the total voltage at node, so it is more useful to write the wave equations in terms of such voltages, in order to get the sources values. For

(40) where the symbols

and

indicate (41) (42)

Thus, the third- and fourth-order time variations of the indicated pulses in (41) and (42) are included in the updating of voltage sources (37)–(40). In fact, and can be associated with the vectors defined in (9), i.e., with the terms in Table II with no equivalence in Table IV. The sources are introduced into the algorithm by adding it to the voltage impulses, before the scattering process, as follows:

(43) Equation (29) is no longer valid. In Fig. 1, a circuit schema of this connection is shown. 3) Absorbing Boundaries: When modeling the propagation in an unbounded medium, an artificial absorbing boundary condition in the numerical limits of the simulation domain is required. In the literature, techniques more or less efficient in signal absorption and memory requirements can be found. In the classical TLM method, this is achieved by introducing, in the limiting nodes, a reflection coefficient that matches the transmission-line admittance (25) that is different from the network admittance (26). For the modeling of an unlimited BI medium or an open BI structure, the calculation of these reflection coefficients at the nodes follow the same general sketch as for the classic TLM modeling (44)

2786

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE VI ANGLES, IMPEDANCES, AND EFFECTIVE PARAMETERS. TELLEGEN MEDIUM: " = 5:2 " ;  = 1:4  , AND  = 1:7

Fig. 1. Thèvenin equivalent circuit for the shunt 2-D TLM node with five branches of different normalized admittances and series-connected voltage source.

Of course, this coefficient reduces to the value for the case of an isotropic medium [15], with ( and ). This simple procedure has provided us good results in our simulations. D. Output of EM Field Values In Table IV, the information to get out any field vector component, at any point of the network and at any time , may be found. The voltage at the node represents the total voltage [see (43)], and the currents and are proportional to the voltage pulses difference. For example, the electric field strength components are given by

(45)

These electric components are expressed in terms of the incident voltage pulses upon the node. Finally, all of these steps [i.e., pulse scattering (28), node connection (30), signal transfer between meshes (31)–(34), voltage sources updating (37)–(40), voltage impulses summation (41), and output of the fields (44)] are repeated as many times as required.

Because the numerical dispersion in the periodic structure limits the size of the basic cell that could be used, the spatial discretization needs some special attention. Therefore, to avoid the velocity error, the space step has been chosen to be always under a tenth of the wavelength in the medium. If much greater values are taken into account, no valid results may be obtained, while much smaller values, though producing better results, lead to slower algorithms. As will be shown below, very good results have been obtained with our criteria, which are very common in the TLM method. A. Tellegen Medium The values of the parameters of the medium are relative permittivity , relative permeability , and a nonreciprocity parameter . The computational space domain is nodes and nodes along the - and -axes, respectively, with a space step mm. The number of iterations is 1000. A monochromatic excitation at a frequency of 3.00 GHz is applied at the plane with a circular polarization of the electric field strength. This polarization is achieved by introducing the electric field strength components

(46) Referring to (41), the following voltage pulses are modified:

V. RESULTS To validate our bidimensional TLM model, the plane-wave propagation in BI media with different values of and are computed. Then, we compared them with known theoretical results [1]. In order to simulate a plane wave propagating along the -direction, the mesh boundaries are modeled with absorbing conditions (44) for limits in the -direction to avoid undesired reflections and magnetic walls for the -direction. It is to be noted that, in the four meshes (see Table IV), the total voltage at the node is either equivalent to an electric component ({1} and {3}) or to a reversed-sign magnetic component ({2} and {4}) of the EM field, and, thus, the same boundary conditions in the -direction have been modeled at all of the meshes.

(47) as the first step of any time iteration . In Table VI, the results for the angle tilt between the electric and magnetic vectors, the relative permittivity and permeability of the equivalent isotropic medium, and the normalized wave impedances are shown [9]. All of these numerical measures have been realized in terms of the field components’ output at a point far from the source. The equivalent isotropic permittivity (17) and permeability (19) are calculated as

(48)

CABECEIRA et al.: TIME-DOMAIN MODELING FOR EM WAVE PROPAGATION IN BI MEDIA BASED ON TLM METHOD

TABLE VII POLARIZATION PLANE ROTATION. CHIRAL MEDIUM:

"

2787

TABLE VIII ANGLES, IMPEDANCES, AND EFFECTIVE PARAMETERS. BI MEDIUM:

= 5:0;  = 1:5;  = 00:011t

"

= 5:0;  = 1:5;  = 1:2;  = 00:0421t

where, for instance, represents the maximum value of the electric field strength magnitude. Similarly, we carry out the evaluation of the wave impedances in the following manner:

(49)

TABLE IX POLARIZATION PLANE ROTATION. BI MEDIUM:

"

= 5:0;  = 1:5;  = 1:2;  = 00:0421t

where both must be equal to the characteristic impedance of the medium (13). The angles between the electric and magnetic field vectors (11) may be formally defined as the ratios

(50)

B. Chiral Medium with relative The propagation in a chiral medium permittivity and permeability and , and a chirality parameter ps is computed. In the simulation, the computational space domain is nodes along the -axis and nodes along the -axis, with a space step mm. Ten thousand time iterations of the algorithm with ps have been computed. A monochromatic excitation at a frequency of 8.00 GHz is applied at the plane . The excitation is introduced with linear polarization in the -component of the electric field strength for

(51)

The rotation of the angle of the polarization plane is calculated as the ratio of the components of vector

(52) which is shown in Table VII at different distances from the source [10]. The respective theoretical values are also included. C. BI Media 1) Example 1: To validate the complete TLM model [11], the propagation of a plane-wave propagation in a BI medium (both and ) with relative permittivity and permeability and , nonreciprocity parameter , and chirality parameter ps is now computed.

In the simulation, the computational space domain is nodes and nodes along the - and -axes, respectively, with a space step mm. A monochromatic excitation of frequency 4.00 GHz is applied at the plane . The excitation is introduced with linear polarization in the -direction of the electric field strength. In Table VIII, the angle tilt between electric and magnetic field vectors, the intrinsic impedances, and the effective relative permittivity and permeability are shown after ten thousand time iterations ( ps) of the algorithm. In Table IX, the rotation of the angle of the polarization plane at different distances from the source is presented. The respective theoretical values and relative errors are included also in these two tables of results. 2) Example 2: In the above examples, we have tested the capability and accuracy of the proposed algorithm by comparing simulation results for monochromatic waves with known frequency-domain theoretical behavior of waves in BI media. In order to demonstrate the full transient capability of this time-domain approach, we have computed the propagation of high-frequency pulses in a BI medium. The BI medium chosen has relative permittivity , relative permeability , nonreciprocity parameter , and chirality parameter ps. In this numerical experiment, the computational space domain is nodes and nodes along the and -axes, respectively, with a space step mm. At the points in , we inject a group of band-limited pulseswithacenterfrequencyof10.00GHz(see Fig.2),whichare linearly polarized in the -direction of the electric field strength.

2788

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

dotted line is used to denote TLM results, and solid line denotes theoretical values (21) as indicated. The average relative error is under 0.3%. It must be noted also how the angle increases if the wave propagates to the right from the input point, and it decreases when the propagation is toward the left. As is known, this effect is caused by the negative value of the chirality parameter . VI. CONCLUSION

Fig.2. Inputsignalcontainsasequenceof10.00-GHzmodulatedGaussianpulses (width t and 800 time steps apart).

= 150 1

A novel time-domain model of wave propagation in BI media based on the TLM method has been presented. The validity and accuracy of the proposed algorithm have been tested in numerical experiments of plane-wave propagation, and the characteristic behavior of waves in such media has been successfully simulated, even in the two possible subclasses of BI media. These results are in good agreement with the theoretical values, with relative errors of less than 0.3%. We may observe how not only monochromatic sources have been included in the numerical experiments, but also transient waves propagating in general BI media have been simulated, where the special effects due to the chirality have been confirmed. Thus the ability of our time-domain approach based on the TLM method when modeling such complex media is then achieved, because it leads to robust and efficient algorithms. REFERENCES

1

Fig. 3. Polarization of the electric field at 4000 t along the x-axis. This figure shows how the polarization plane rotates as the pulses propagate through the medium, depending upon the direction of propagation.

Fig. 4. Rotation of the polarization of a wave propagating in the BI medium.

We extract the fields at instant 4000 , and we find how the polarization plane rotates as expected, as shown in Fig. 3. Fig. 4 illustrates the rotation angle of the polarization plane along the -direction, at the line . In this figure, the

[1] I. V. Lindell, A. H. Sihvola, S. A. Tretyakov, and A. J. Viitanen, Electromagnetic Waves on Chiral and Bi-Isotropic Media. Boston, MA: Artech House, 1994. [2] A. Grande, I. Barba, A. C. L. Cabeceira, J. Represa, P. P. M. So, and W. J. R. Hoefer, “FDTD modeling of transient microwave signals in dispersive and lossy bi-isotropic media,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 773–784, Mar. 2004. [3] I. V. Lindell, S. A. Tretyakov, and M. I. Oksanen, “Conductor—Backed tellegen slab as twist polarizer,” Electron. Lett., vol. 28, pp. 281–282, 1992. [4] A. J. Viitanen and I. V. Lindell, “Chiral slab polarization transformer for aperture antennas,” IEEE Trans. Antennas Propag., vol. 46, no. 9, pp. 1395–1397, Sep. 1998. [5] J. Huang and K. Wu, “Modeling and analysis of guided—Wave structures involving both bi-isotropic and bi-anisotropic media,” in IEEE MTT-S Int. Microw. Symp. Dig., 1996, vol. 3, pp. 1795–1798. [6] J. Paul, “Modeling of general electromagnetic material properties in TLM,” Ph.D. dissertation, Dept. Elect. Electron. Eng., Univ. Nottingham, Nottingham, U.K., 1998 [Online]. Available: http://www.nottingham.ac.uk/ggiemr/publications/jdp_thesis.htm [7] J. Paul, C. Christopoulos, and D. W. P. Thomas, “Generalized material models in TLM—Part I: Materials with frequency dependent properties,” IEEE Trans. Antennas Propag., vol. 47, no. 10, pp. 1528–1534, Oct. 1999. [8] ——, “Generalized material models in TLM—Part II: Materials with anisotropic properties,” IEEE Trans. Antennas Propag., vol. 47, no. 10, pp. 1535–1542, Oct. 1999. [9] A. C. L. Cabeceira, I. Barba, A. Grande, and J. Represa, “A 2D-TLM model for electromagnetic wave propagation in tellegen media,” Microw. Opt. Technol. Lett., vol. 40, no. 5, pp. 438–441, Mar. 2004. [10] ——, “A 2D-TLM model for electromagnetic wave propagation in chiral media,” Microw. Opt. Technol. Lett., vol. 46, no. 2, pp. 180–182, Jul. 2005. [11] A. C. L. Cabeceira, I. Barba, A. Grande, and J. Represa, “A 2D-TLM model for propagation in bi-isotropic media,” presented at the 35th Eur. Microw. Conf., Paris, France, 2005. [12] J. A. Kong, Electromagnetic Wave Theory. New York, NY: Wiley, 1990. [13] J. D. Jackson, Classical Electrodynamics. New York, NY: Wiley, 1999, ch. 6. [14] B. D. H. Tellegen, “The gyrator, a new electric network element,” Philips Res. Rep., vol. 3, pp. 81–101, 1948. [15] A. Lakhtakia, “The tellegen medium is ‘a Boojum, you see’,” Int. J. Infrared Millim. Waves, vol. 15, no. 10, pp. 1625–1630, Oct. 1994.

CABECEIRA et al.: TIME-DOMAIN MODELING FOR EM WAVE PROPAGATION IN BI MEDIA BASED ON TLM METHOD

[16] W. J. R. Hoefer, “The transmission-line matrix method—Theory and applications,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 10, pp. 882–893, Oct. 1985. [17] I. Barba, A. C. L. Cabeceira, J. Represa, and M. Panizo, “Modeling dispersive dielectrics for HSCN TLM method,” Int. J. Numer. Modeling, vol. 14, no. 1, pp. 15–30, 1996. Ana C. L. Cabeceira was born in Pontevedra, Spain, in 1969. She received the Licenciada degree in physics and Ph.D. degree in electricity and electronics from the University of Valladolid, Valladolid, Spain, in 1992 and 1996, respectively. In 1992, she joined the Department of Electricity and Electronics, University of Valladolid, as an Assistant Professor. In 1998, she became a Professor of electromagnetics. Her research interests involve analytical and numerical studies of electromagnetic fields and waves with a particular emphasis on propagation in complex media.

Ana Grande was born in Lugo, Spain, in 1976. She received the Licenciada degree and Ph.D. degree from the University of Valladolid, Valladolid, Spain, in 2000 and 2005, respectively, both in physics. She is currently a Post-Doctoral Researcher with the University of Cantabria, Santander, Spain. Her research concerns numerical methods for electromagnetics and characterization of electromagnetic properties of materials.

2789

Ismael Barba was born in Palencia, Spain, in 1970. He received the Licenciado degree in physics, M.A. degree in electronic engineering, and Ph.D. degree in physics from the University of Valladolid, Valladolid, Spain, in 1993, 1995, and 1997, respectively. From 1994 to 1999, he was an Assistant Professor, and since 1999, he has been Profesor Titular of electromagnetics with the University of Valladolid. His main research interest includes time-domain numerical methods in electromagnetics and characterization of electromagnetic properties of materials.

José Represa was born in Valladolid, Spain, in 1953. He received the Licenciado degree in physics and Ph.D. degree from the University of Valladolid, Valladolid, Spain, in 1976 and 1984, respectively. From 1976 to 1985, he was an Assistant Professor and, since 1985, he has been a Professor of electromagnetics with the University of Valladolid. His current research interest includes numerical methods in electromagnetics, characterization of electromagnetic properties of materials, and microwave devices.

2790

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Compact Fixed and Tune-All Bandpass Filters Based on Coupled Slow-Wave Resonators Emmanuel Pistono, Mathieu Robert, Lionel Duvillaret, Jean-Marc Duchamp, Anne Vilcot, Member, IEEE, and Philippe Ferrari

Abstract—A compact topology for bandpass filters based on coupled slow-wave resonators is demonstrated. A study of fixed bandpass filters leads to design rules and equations. Measurements on a 0.7-GHz fixed bandpass filter, consisting of three coupled slowwave resonators, demonstrate the validity of the proposed topology and validate the theory, since the agreement between simulations and measurements is very good. Designed for a -factor of 5, this of approximately 5.2. At the center frequency, filter shows a insertion loss is 0.6 dB and return loss is greater than 20 dB. A 0.7-GHz tune-all bandpass filter is also designed and tested. The performance of this electronically tuned filter, which incorporates semiconductor varactors, is promising in terms of wide continuous center-frequency and bandwidth tunings. For a center-frequency tuning of 18% around 0.7 GHz, the 3-dB bandwidth can be simultaneously tuned between 50 and 78 MHz, with an insertion loss smaller than 5 dB and a return loss greater than 13 dB at the center frequency. The surface areas of the fixed and tunable 0.7-GHz filters are, respectively, 16 and 20 cm2 . Index Terms—Microwave bandpass filter, slow-wave structures, tunable filter, varactors.

I. INTRODUCTION HE DESIGN of compact and efficient bandpass filters constitutes a great challenge [1]. The integration of microwave systems necessitates size reduction of each elementary function (e.g., antenna, filters, and amplifier). In the case of multiband microwave receivers, the use of multiple filters consumes a large surface area and is therefore unacceptable. Thus, tunable bandpass filters showing tunability of both the center frequency and bandwidth, called tune-all bandpass filters, constitute an interesting way to solve this problem, since they can perform over all operating bands. The great number of recent publications demonstrating tunable microwave filters [2]–[17] confirms their importance, in particular, publications concerning tune-all microwave bandpass filters [14]–[17]. In [15] and [16], wide tunability around 0.7 GHz was achieved. However, spurious peaks appeared in the filter behavior, either at low frequencies [15] or at high frequencies [16]. Furthermore, filter sizes at 0.7 GHz were as large as 32 cm [15] or even 105 cm [16].

T

Manuscript received October 3, 2005; revised February 17, 2006. E. Pistono and M. Robert are with the Laboratoire d’Hyperfréquences et de Caractérisation, Université de Savoie, 73376 Le Bourget-du-lac, France (e-mail: [email protected]; [email protected]). L. Duvillaret, J.-M. Duchamp, A. Vilcot, and P. Ferrari are with the Institute of Microelectronics Electromagnetism and Photonics, Unité Mixte de Recherche 5130 Centre National de la Recherche Scientifique–Institut National Polytechnique de Grenoble–Université Joseph Fourier, 38016 Grenoble, France (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.874894

To reduce filter size, various slow-wave structures can be used (see, e.g., [9]–[14] and [18]–[21]). Among these, capacitively coupled distributed microelectromechanical-systems (MEMS) transmission lines [9]–[14] constitute an interesting way to obtain not only reduced size (by periodically loading resonators with shunt capacitors to obtain slow-wave behavior) but also filter tunability (by using tuned MEMS varactors instead of fixed capacitors). In such filters, tuning of the bandwidth was either uncontrolled or not well controlled [12], leading to an unwanted shift of the bandwidth. Based on series-coupled half-wavelength resonators [22], the compact tunable bandpass filter topology presented in this paper utilizes capacitively coupled varactor transmission lines, using semiconductor varactors instead of MEMS varactors [9]–[14]. Here, tunability of both the center frequency and bandwidth is achieved since both shunt and series capacitors are replaced by bias-tuned semiconductor varactors. Also, straightforward design equations are obtained. This paper is organized as follows. Section II introduces the filter topology based on coupled slow-wave resonators. Design rules and equations are worked out for filters with fixed bandwidth and working frequency. In Section III, results for two fabricated filters are presented. First, a fixed bandpass filter is designed and measured, showing a good agreement between simulation and measurement, thereby validating the design equations. Next, measured and simulated results for a 0.7-GHz optimized tune-all bandpass filter [17] demonstrate promising continuous tuning of both center frequency and bandwidth, and a comparison with previous works is proposed. Wideband measurements show a large attenuation bandwidth up to eight times the center frequency. Finally, the conclusion summarizes the performance of fabricated filters and suggests future improvements. II. BACKGROUND THEORY Here, we consider ideal lossless transmission lines in order to find equations from which straightforward design principles can be deduced. These design equations provide a first approximation to the desired filter performance as a function of center frequency, bandwidth, and attenuation slopes. As will be shown in Section II-B, the return loss of the calculated filter is inadequate, particularly in filters consisting of more than two coupled resonators. Improvement of the passband return loss and other characteristics requires an optimization. A. Description of the Coupled Slow-Wave Resonator Filters The filters exhibited in this paper consist of series-coupled slow-wave resonators.

0018-9480/$20.00 © 2006 IEEE

PISTONO et al.: COMPACT FIXED AND TUNE-ALL BANDPASS FILTERS BASED ON COUPLED SLOW-WAVE RESONATORS

2791

Fig. 1. Topology of a single-coupled slow-wave resonator loaded at the near and far ends with series capacitors C .

Fig. 1 shows the topology of a single-coupled slow-wave resonator. This consists of a slow-wave resonator loaded at its near and far ends with series capacitors . The resonator itself is made up of a transmission line of characteristic impedance and electrical length , periodically loaded by shunt capacitors . In this study, only the two-shunt-capacitor case is considered. The electrical length of the unloaded transmission line is defined at frequency as

(1) is the effective relative permittivity, is the physical where length of the unloaded line, and is the vacuum light velocity. At the center frequency , the electrical length is . B. Design Rules By using (cascade) matrices, the equivalent characteristic impedance of a coupled slow-wave resonator can be easily extracted. Let us notice that the coupled slow-wave resonator considered is symmetrical and reciprocal. The characteristic impedance of a single-coupled slowwave resonator (assuming that the characteristic impedance of the unloaded transmission line is ) is shown in Fig. 2 for three different values (5, 10, and 20) of the resonator-loaded quality factors . This is defined as

(2) where and are the limits of the first frequency band in which is purely real, and . As explained in [23], by using the Bloch-wave method for a periodic structure consisting of an infinite number of coupled slow-wave resonators, we can say that the Bloch-wave characteristic impedance is . Thus, frequency passbands and stopbands can be deduced. They correspond to frequency bands for which unattenuated propagation can take place, separated by frequency bands in which the wave is attenuated. Also, these frequency bands correspond, respectively, to frequency bands where is either purely real or purely imaginary (assuming lossless circuits). In reality, is not infinite, and the load and source impedances of the pseudoperiodic structure are made 50 . Therefore, to obtain a matched filter, must equal or be close to 50 . This condition can be fulfilled only for , as seen in Fig. 2(d), and (e). Depending on the value of , the frequency ranges for which is purely real give a good estimate of the location of the

Fig. 2. Calculated characteristic impedance Z of single-coupled slow-wave resonators for Z = 170 : (a) Q = 5, (b) Q = 10, and (c) Q = 20. jS j of (d) single-, and (e) two-coupled-slow-wave-resonator filters for Z = 170 , and Q = 5; 10; and 20. C ; C , and  are calculated with the formulas developed below.

passbands. In fact, a good agreement is seen (even when ) between the passbands [see Fig. 2(e)] and the bands that lead

2792

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

to real values of [see Fig. 2(a)–(c)]. For , these considerations do not apply, since then the structure is not periodic; hence, no spurious peak appears above in Fig. 2(d). The larger the -factor is, the narrower and higher in frequency the second passband is. This behavior makes wide attenuation bandwidths, free from a spurious peak, possible. Furthermore, these spurious peaks are very narrow. Thus, in practice, even for low values of , the peak spurious levels will be weak due to transmission-line and varactor losses (see Section III-A). Having presented the principle of these filters, we now derive design rules and relations for the calculation of the capacitors and . To this end, we solve the two equations corresponding to the first passband, that is, at and at . The solution for leads to two solutions for , with opposite signs, with the positive solution being

Fig. 3. Electrical length  of the slow-wave resonator (calculated for Q = = ratio demonstrates the slow-wave phenomenon.

10 and Z = 170 ). The  (3) at . Equation (3) shows that depends where only on and not on . Similarly, the solution for at leads to two solutions for . The unique positive solution is

(4) where at . Equation (4) shows that is linked to both and in a nontrivial way. Fig. 3 shows the slow-wave phenomenon of a typical slowwave resonator (here, and ), where is the electrical length of the slow-wave resonator loaded by shunt capacitors (without considering the near- and far-end series capacitor loads ). Fig. 3 shows that the electrical length of the slow-wave resonator is at . Thus, these slow-wave resonators are halfwavelength resonators coupled at their near and far ends by series capacitors. The slow-wave phenomenon is clearly demonstrated, since the ratio between the electrical length of the slow-wave resonator and that of the unloaded transmission line is about 15 at the center frequency . This means that the total physical length of a filter composed of such slow-wave resonators is about 15 times smaller than the equivalent filter based on unloaded half-wavelength resonators [22]. For slow-wave resonators with ’s of 5 and 20, (assuming ), the ratios are about 8 and 28, respec-

tively. Consequently, this filter topology is well suited for realizing compact and high- filters. We next derive simple relations for and that depend only on the resonator loaded quality factor , the center frequency , the electrical length (at ), and the unloaded characteristic impedance of the transmission line. Thus, from (2)–(4), we find new expressions for the capacitors and , given as

(5) and (6), shown at the bottom of this page. The next step is to find an expression for . A suitable method is to calculate the power series expansion of about , to first order, as given in (7), shown at the bottom of the following page. Such an expansion is reasonable in practice since (i.e., ). Moreover, the higher the , the better this assumption is. By imposing the condition at the working frequency , which corresponds to the matching condition, the following straightforward expression for is found:

(8) Here again, one sees that, to minimize the electrical length of the slow-wave resonator, the characteristic impedance of the unloaded transmission line must be as large as possible. The higher the value of is, the smaller the value of is that fulfils the matching condition.

(6)

PISTONO et al.: COMPACT FIXED AND TUNE-ALL BANDPASS FILTERS BASED ON COUPLED SLOW-WAVE RESONATORS

Fig. 4. Dependencies of: (a) 2f C and (b) 2f C on the electrical length  of the unloaded slow-wave resonator at f .

We now discuss how the design parameters and depend on , and . Fig. 4 shows how and vary with the electrical length (at ) of the unloaded slow-wave resonator for ’s varying between 5 and 20, and varying between 110–170 . Fig. 4(a) and (b) shows that and both have the same type of dependency on . For a given , the smaller is, the higher and must be to obtain coupled slow-wave resonators at . For a given , the higher is, the smaller must be to obtain a coupled slow-wave resonator matched to 50 at . Finally, as explained above, the higher the is, the smaller will be.

2793

Fig. 5. Calculated: (a) jS j and (b) jS j for filters consisting of a number n of coupled slow-wave resonators (with Z = 170 ; Q = 10), where n varies from 1 to 5.

Fig. 5 shows and for these filters. These results were obtained by considering a number of coupled slow-wave resonators (calculated with and ), when is varied from 1 to 5. As seen in Fig. 5, as the number of coupled slow-wave resonators increases, the attenuation slope of the filter transmission increases, and the closer to becomes the loaded quality factor of the calculated filter. This is defined as: (9)

(7)

2794

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 7. 0.7-GHz fixed three-coupled-slow-wave-resonator bandpass filter.

III. RESULTS FOR TWO FABRICATED 0.7-GHZ BANDPASS FILTERS

Fig. 6. jAttenuation slopej of the coupled slow-wave filters for = 170 . from 5 to 20, with

Z

Q

’s varying

where is the filter center frequency, and is the 3-dB bandwidth. So, to a first approximation, to calculate the initial filter parameters, one can approximate . On the other hand, when increases, the matching in the passband degrades (for example, for , the return loss is 5 dB). To improve the matching of the calculated filter in the passband, a simple optimization is required. This can be done with aid of microwave software such as ADS [24] or Ansoft Designer [25]. For this purpose, it is sufficient to slightly modify the near- and far-end resonators and, in particular, the values of each coupling capacitor . Fig. 6 shows the attenuation slope of the filter, calculated from 3 to 30 dB, versus the number of coupled slow-wave resonators constituting the filter, for and 20, with . For a given coupled slow-wave filter, Fig. 6 shows that the attenuation slopes below the low cutoff frequency and above the high cutoff frequency of the bandpass filter are very similar. C. Design Method and Optimization The design of the fixed filters involves two steps. First, by knowing the desired filter behavior (i.e., the attenuation slopes below and above , the , and the center frequency ) and the maximum realizable characteristic impedance in a given technology, one can determine the following: • required number of coupled slow-wave resonators (see Fig. 6); • electrical length of the slow-wave resonators [see (8)]; • capacitor values and [see (5) and (6)]. Second, to improve the return loss in the passband, the parameters , and of the near- and far-end coupled slow-wave resonators must be modified, as we demonstrated previously for low-pass filters [26]. This can be done by using the optimization tools in typical CAD software. In this design step, transmission line losses are taken into account and accurate models must be used for the capacitors. The design method for tunable filters is similar, except that capacitors are replaced by varactors.

Both fixed and tunable bandpass filters were designed in coplanar waveguide (CPW) technology. The fixed filter was fabricated on a Rogers RO4003 substrate with relative permittivity , dielectric loss , height mm, and copper thickness m. The tunable filter was fabricated on an RT-Duroid 5880 substrate having relative permittivity , dielectric loss , height mm, and copper thickness m. A. 0.7-GHz Fixed Bandpass Filter An initial three-coupled-slow-wave-resonator bandpass filter was designed and optimized with ADS for a fixed 0.7-GHz center frequency and a of 5. The aim of this first circuit was to demonstrate that the predicted results are not critically dependent on the accuracy of the fixed capacitors loading the resonators. The 110- characteristic impedance leads to a center conductor width mm, a gap width mm, a ground plane width mm, and at 0.7 GHz. American Technical Ceramics capacitors type ATC 600-S were used; these have a low series resistance of 0.15 and a series inductance of 0.8 nH. The capacitance tolerance was between 3.5%– 5% for the values used in this design. A photograph of this filter is shown in Fig. 7. To avoid odd-mode propagation, shunt capacitors must be soldered on each side of the CPW center conductor to maintain symmetry. This means that the value of each shunt capacitor must be . The electrical lengths corresponding to the physical lengths and are 32.4 and 30.9 , respectively. The surface area of this fixed bandpass filter is 16 cm , that is, 14 10 , where is the guided wavelength at the center frequency . A sensitivity study was carried out by means of a Monte Carlo analysis. Fig. 8 shows simulated -parameters of the realized fixed bandpass filter, assuming a 5% accuracy on the capacitance values. One observes that the filter behavior is not critically dependent on the available capacitor tolerance. Indeed, a tolerance of 5% of the capacitance values induces a 3% center-frequency variation and a 3% bandwidth variation. Moreover, at the center frequency, the return loss remains always better than 24 dB. Then, measurements were done using a Wiltron 360 Vector Network Analyzer and the open-short-through-load (OSTL) calibration procedure. Fig. 9 compares the measured and simulated -parameters of the realized fixed bandpass filter. The narrowband results of Fig. 9(a) show that the measurements agree very well with the simulations. Insertion loss and return loss are, respectively, 0.6 and 24 dB at . The measured

PISTONO et al.: COMPACT FIXED AND TUNE-ALL BANDPASS FILTERS BASED ON COUPLED SLOW-WAVE RESONATORS

2795

Fig. 10. Topology of the 0.7-GHz tune-all two-coupled-slow-wave-resonator bandpass filter.

Fig. 8. Monte Carlo analysis of the proposed fixed bandpass filter: simulated jS j and jS j assuming a 5% accuracy on the capacitance values.

6

peak appears at about 1.8 times the center frequency . The 20-dB bandwidth of this spurious peak is 10 MHz, and it has a maximal transmission modulus of 16.7 dB at 1.24 GHz. This spurious peak corresponds to the second passband where is real [see Fig. 2(a)]. This peak occurs at a lower frequency than expected theoretically. This is due to the parasitic series inductance of actual capacitors. The wideband measurements of Fig. 9(b) show a second spurious peak in the attenuation bandwidth with a maximal of 14.6 dB at 7.15 GHz. B. 0.7-GHz Tune-All Bandpass Filter

Fig. 9. 0.7-GHz fixed three-coupled-slow-wave-resonator bandpass filter. (a) Comparison between narrowband measured and simulated S and S . (b) Wideband measured S .

j j

j j

j j

is 5.15, which is very close to the expected value. Low and high attenuation slopes are 211 and 360 dB/decade, respectively. This filter shows a wide 15-dB attenuation bandwidth extending to 7 GHz [see Fig. 9(b)]. However, a narrow spurious

Fig. 10 shows the topology of the optimized tune-all bandpass filter. This compact tune-all bandpass filter consists of two coupled slow-wave resonators. Near- and far-end high impedance transmission line segments called “tapering sections” are used. The characteristic impedance of these tapering sections is , as for the slow-wave resonators, but their electrical length is optimized to improve the filter return loss in the passband. To obtain a tunable filter, capacitors are replaced by varactors. As a first approximation, we consider that center-frequency tunability is obtained by tuning the shunt varactors, since then the loaded electrical length of the slow-wave resonators is modified, leading to resonator-frequency tuning. Bandwidth control is obtained by tuning the series varactors . Simulations and optimizations for this tunable filter were carried out using Ansoft Designer. Commercially available reverse-biased Schottky diodes M/A-Com MA46H071 and MA4ST1240 were used to realize series varactors , and shunt varactors . Here again, shunt capacitors of values must be soldered on each side of the CPW center conductor to maintain symmetry. The characteristic impedance is 170 , leading to a center conductor width mm, a gap width mm, a ground plane width mm, and at 0.7 GHz. Physical lengths (electrical lengths) of the slow-wave resonators and the tapering sections are, respectively, mm (24.7 ) and mm (22.7 ). This leads to a filter length of and a surface area of . Measurements were done using a Wiltron 360 Vector Network Analyzer and the through-reflect-line (TRL) calibration procedure. Fig. 11 compares the measured and simulated -parameters of the realized tune-all bandpass filter for the two bandwidths of 50 and 78 MHz. Shunt and series varactor bias voltages and are indicated in Fig. 11. They vary from 0 to 2 V and from 0 to 5.9 V, respectively. Fig. 11 shows that the simulated and measured insertion losses are in good agreement. These simulations were carried out for the following:

2796

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 12. Loss contribution of the series resistance of the shunt and series varactors. Simulated S of the bandpass filter for the typical case corresponding to a 78-MHz bandwidth at f =0.57 GHz. Biases are V (C ) = 0:5 V and V (C ) = 0 V.



j j

Fig. 11. Simulated and measured jS j and jS j for the tune-all bandpass filter. (a) Center-frequency tuning for a 50-MHz bandwidth. (b) Center-frequency tuning for a 78-MHz bandwidth.





• shunt varactors with junction capacitance (V) varying from 3.2 to 8.8 pF, series resistance , series inductance nH, and case capacitance pF; • series varactors with junction capacitance (V) varying from 0.7 to 2.5 pF, nH, and pF. The measured return loss is better than expected from the simulation and is always better than 13 dB at . The center-frequency tunability of this tune-all bandpass filter reaches 24% for a 50-MHz bandwidth, with insertion loss 5 dB at , and 18% for a 78-MHz bandwidth, with insertion loss 3.8 dB at . Simulations demonstrating the major contribution of the varactor series resistance to the total insertion loss at are shown in Fig. 12. These simulations correspond to the filter behavior measured for V and V and are presented in Fig. 11(b). In Fig. 11(b), the return loss is better than 20 dB at , and thus we deduce that insertion loss is due only to CPW losses and varactor losses. For the actual varactors, which have and , insertion loss at is 3.6 dB. The simulations reveal

Fig. 13. Measured bandwidth tuning of the filter for a fixed shunt-varactor bias V (C ) = 1:5 V and a variable series-varactor bias V (C ) in the range 0.33–3.9 V.

that insertion loss at the center frequency is mainly due to the series resistance of the varactors, and especially to that of the shunt varactors. For ideal varactors with no series resistance, insertion loss would be 0.3 dB. This corresponds to the CPW losses since the device is well matched in this configuration, with dB. Furthermore, the simulation for and suggests that the series resistance of the series varactors has a minor impact on the insertion loss at . For the case , corresponding to the series resistance of the MA46H071 varactors, the insertion loss (1.85 dB) would be half the actual value. Fig. 13 shows the measured tunable bandwidth of the filter for a fixed bias V for the shunt varactors and a variable series-varactor bias in the range 0.33–3.9 V. For a mean center frequency (around 0.7 GHz) and maintaining insertion loss below 5 dB, the bandwidth can be tuned between 50 MHz ( -factor of 14.5) and 150 MHz ( -factor

PISTONO et al.: COMPACT FIXED AND TUNE-ALL BANDPASS FILTERS BASED ON COUPLED SLOW-WAVE RESONATORS

2797

TABLE I PERFORMANCE OF PRIOR TUNABLE FILTERS BASED ON CAPACITIVELY COUPLED VARACTOR TRANSMISSION LINES

Fig. 14. Wideband measured jS j of the filter when the shunt and series varactors biases are set to V (C ) = 0 V and V (C ) = 0 V.

of 4.7). Insertion loss is minimal (2.55 dB) at when the bandwidth is maximal ( 150 MHz). In this example, bandwidth control is obtained by varying only the series-varactor bias . The center frequency remains almost unchanged during the bandwidth tuning. In fact, while tuning the over its full range, the relative center-frequency tuning shift has an upper bound of only 4%. Fig. 14 depicts the measured wideband insertion loss of the filter when the shunt and series varactors biases are set to V and V. Fig. 14 shows that there is no spurious peak (greater than 30 dB) in the filter response for frequencies below ten times the 0.7-GHz working frequency. C. Brief Comparison of Tunable Filters Based on Capacitively Coupled Varactor Transmission Lines To show the improvements of our design, Table I summarizes performance of up to now tunable filters based on capacitively coupled varactor transmission lines. Filters are compared in terms of: 1) loaded factor; 2) bandwidth fluctuation (the achievement of a wide center-frequency tuning generally induces unwanted variations of the bandwidth over the tuning range); 3) center-frequency tuning; 4) maximal insertion loss, and 5) surface area. To compare the surface area, we consider the surface factor , where is the surface of the filter (in m ). Thus, the more compact the device is, the higher this factor is. The effective relative permittivity is not considered in this surface factor, for two reasons, which are: 1) only a few papers give this parameter and 2) the technological constraints may forbid the transfer of a filter topology to another technology. The unwanted bandwidth fluctuation is defined as the ratio between the minimal and maximal 3-dB bandwidths of the center-frequency tunable filter over its whole tuning range. Filters presented in [12] and [13] show very interesting results in terms of center-frequency tuning range. However, achievement of these wide center-frequency tuning ranges induces wide bandwidth fluctuations for these two filters. Our design allows obtaining wider center-frequency tuning ranges than in [12] and

[13] for similar loaded factors, with insertion loss comparable to that in [12] or better than that in [13]. Moreover, our topology of tune-all bandpass filter allows a very good control of bandwidth fluctuations over the whole tuning range. Finally, this design exhibits a much higher surface factor than previous tunable filters based on capacitively coupled varactor transmission lines. IV. CONCLUSION A topology for compact bandpass filter has been demonstrated. Design rules and equations have been derived to provide straightforward tools for the designer. First, a fixed three-coupled-slow-wave-resonator bandpass filter showing a -factor of 5 was used to validate the theory. Measurements and simulations are in very good agreement. At the center frequency, insertion loss is 0.6 dB and return loss is 24 dB. This filter is very compact with a surface area of 14 10 . Second, a tune-all bandpass filter has been designed, showing very promising performance in terms of wide continuous tuning of both bandwidth and center frequency. The center-frequency tuning is achieved by varying the electrical length of the slow-wave resonators by means of the shunt varactors. Bandwidth tuning is obtained by coupling varactors in series with the resonators. The relative center-frequency tuning of the fabricated filter is 18% around 0.7 GHz for a bandwidth variation from 50 to 78 MHz and an insertion loss below 5 dB. Narrower bandwidths can be obtained, but at the cost of increased insertion loss. This insertion loss is mainly due to the series resistances of the shunt varactors. It is apparent that the use of MEMS varactors, which have a low series resistance, would improve the performance of this filter topology, especially at the higher working frequencies. The compactness of these circuits will allow designers to integrate such filters on high-resistivity substrates for higher frequency operation. ACKNOWLEDGMENT The authors would like to thank Prof. R. G. Harrison, Department of Electronics, Carleton University, Ottawa, ON, Canada, for his advice and discussions. REFERENCES [1] K. Hettak, N. Did, A.-F. Sheta, and S. Toutain, “A class of novel uniplanar series resonators and their implementation in original applications,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 9, pp. 1270–1276, Sep. 1998.

2798

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[2] C. Rauscher, “Reconfigurable bandpass filter with a three-to-one switchable passband width,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 573–577, Feb. 2003. [3] B. W. Kim and S. W. Yun, “Varactor-tuned combline bandpass filter using step-impedance microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1279–1283, Apr. 2004. [4] A. Pothier, J.-C. Orlianges, G. Zheng, C. Champeaux, A. Catherinot, D. Cros, P. Blondy, and J. Papapolymerou, “Low-loss 2-bit tunable bandpass filters using MEMS DC contact switches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 354–360, Jan. 2005. [5] C. D. Nordquist, C. L. Goldsmith, C. W. Dyck, G. M. Kraus, P. S. Finnegan, F. Austin IV, and C. T. Sullivan, “ -band RF MEMS tuned combline filter,” Electron. Lett., vol. 41, pp. 76–77, Jan. 2005. [6] K. Entesari and G. M. Rebeiz, “A differential 4-bit 6.5–0-GHz RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1103–1110, Mar. 2005. [7] E. Pistono, P. Ferrari, L. Duvillaret, J.-M. Duchamp, and R. G. Harrison, “Hybrid narrow-band tunable bandpass filter based on varactors loaded electromagnetic-bandgap coplanar waveguides,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2506–2514, Aug. 2005. [8] C. Siegel, V. Ziegler, U. Prechtel, B. Schönlinner, and H. Schumacher, “Very low complexity RF-MEMS technology for wide range tunable microwave filters,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 637–640. [9] Y. Liu, A. Borgioli, A. S. Nagra, and R. A. York, “Distributed MEMS transmission lines for tunable filter applications,” Int. J. RF Microw. Comput.-Aided Eng., vol. 11, no. 5, pp. 254–260, Sep. 2001. [10] A. Abbaspour-Tamijani, L. Dussopt, and G. M. Rebeiz, “A millimeterwave tunable filter using MEMS capacitors,” in Proc. 32nd Eur. Microw. Conf., Milan, Italy, Sep. 2002, pp. 813–815. [11] ——, “Miniature and tunable filters using MEMS capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1878–1885, Jul. 2003. [12] G. M. Kraus, C. L. Goldsmith, C. D. Nordquist, C. W. Dyck, P. S. Finnegan, F. Austin IV, A. Muyshondt, and C. T. Sullivan, “A widely tunable RF MEMS end-coupled filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 2, pp. 429–432. [13] K. Entesari and G. M. Rebeiz, “A 12–8-GHz three-pole RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2566–2571, Aug. 2005. [14] D. Mercier, J.-C. Orlianges, T. Delage, C. Champeaux, A. Catherinot, D. Cros, and P. Blondy, “Millimeter-wave tune-all bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1175–1181, Apr. 2004. [15] M. Sanchez-Renedo, R. Gomez-Garcia, J. I. Alonso, and C. Briso-Rodriguez, “Tunable combline filter with continuous control of center frequency and bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 191–199, Jan. 2005. [16] B. E. Carey-Smith, P. A. Warr, M. A. Beach, and T. Nesimoglu, “Wide tuning-range planar filters using lumped-distributed coupled resonators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 777–785, Feb. 2005. [17] E. Pistono, P. Ferrari, L. Duvillaret, J.-M. Duchamp, and A. Vilcot, “A compact tune-all bandpass filter based on coupled slow-wave resonators,” in Proc. 35th Eur. Microwave Conf., Paris, France, Oct. 2005, pp. 1243–1246. [18] L.-H. Hsieh and K. Chang, “Slow-wave bandpass filters using ring or stepped-impedance hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1795–1800, Jul. 2002. [19] C. K. Wu, H. S. Wu, and C. K. Tzuang, “Electric–magnetic–electric slow-wave microstrip line and bandpass filter of compressed size,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1996–2004, Aug. 2002. [20] Y.-K. Kuo, C.-H. Wang, and C. H. Chen, “Novel reduced-size coplanar-waveguide bandpass filters,” IEEE Microw. Wireless Compon. Lett, vol. 11, no. 2, pp. 65–67, Feb. 2001. [21] J. Sor, Y. Qian, and T. Itoh, “Miniature low-loss CPW periodic structures for filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2336–2341, Dec. 2001. [22] D. F. Williams and S. E. Schwarz, “Design and performance of coplanar waveguide bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 7, pp. 558–566, Jul. 1983. [23] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1992. [24] Advanced Design System (ADS). ver. 2004A, Agilent Technol., Palo Alto, CA, 2004. [25] Ansoft Designer. ver. 2.0, Ansoft Corporation, Pittsburgh, PA, 2004.

[26] D. Kaddour, E. Pistono, J.-M. Duchamp, L. Duvillaret, A. Jrad, and P. Ferrari, “Compact and selective low pass filter with spurious suppression,” Electron. Lett., vol. 40, pp. 1344–1345, Oct. 2004.

Emmanuel Pistono was born in Gap, France, in 1978. He received the Electronics and Microwaves Engineer degree and M.Sc. degree from the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, in 2002 and 2003, respectively, and is currently working toward the Ph.D. degree University of Savoie, Le bourget-du-lac, France. He is currently with the Laboratoire d’Hyperfréquences et de Caractérization (LAHC), Université de Savoie. His research interest is the design and realization of hybrid fixed and tunable microwave

X

filters.

Mathieu Robert was born in Chambéry, France, in 1982. He received the Radiofrequency and Microwaves M.Sc. degree from the University of Lille 1, Lille, France, in 2005. He is currently with the Université de Savoie, Le Bourget-du-Lac, France.

Lionel Duvillaret was born in Thonon-les-bains, France, in 1966. He received the Ph.D. degree in physics from the University of Paris XI-Orsay, Paris, France, in 1994. From 1990 to 1994, he was involved in research on electrooptic sampling with the Institute of Fundamental Electronics (IEF), Orsay, France. In October 1993, he joined the Laboratoire d’Hyperfréquences et de Caractérization (LAHC), Université de Savoie, Le-Bourget-du-Lac, France, where he was an Assistant Professor of physics. Since October 2005, he has been an Associate Professor with the National Polytechnical Institute of Grenoble (INPG), Grenoble, France, where he continues his research with the Institute of Microelectronics, Electromagnetism and Photonics, INPG. His current research interests include terahertz time-domain spectroscopy, electrooptic characterization of electric fields, and electromagnetic bandgap materials. He has authored or coauthored over 75 journal papers and international conference proceedings.

Jean-Marc Duchamp was born in Lyon, France, on April 10, 1965. He received the M.Sc. degree from the University of Orsay, Orsay, France, in 1988, the Engineer degree from Ecole Supérieur d’Electricité (ESE), Gif/Yvette, France, in 1990, and the Ph.D. degree from the Université de Savoie, Le Bourget-dulac, France, in 2004. From 1991 to 1996, he was a Research Engineer with Techmeta, Pringy, France. He is currently with the Laboratoire d’Hyperfréquences et de Caractérization (LAHC), Université de Savoie, where he teaches electronics and computer sciences. His current research interests include nonlinear microwave and millimeter-wave circuits analysis and design like nonlinear transmission lines, periodic structures, and tunable impedance transformers.

PISTONO et al.: COMPACT FIXED AND TUNE-ALL BANDPASS FILTERS BASED ON COUPLED SLOW-WAVE RESONATORS

Anne Vilcot (M’90) received the Engineer grade in electronics from the National High School in Electronics and Radioelectricity of Grenoble of the National Polytechnical Intitute of Grenoble (INPG), Grenoble, France, in 1989, and the Ph.D. degree in microwaves from the Laboratory of Electromagnetism Microwaves and Optoelectronics (LEMO), Grenoble, France, in 1992 In 1989, she joined the LEMO. Since then, she has been involved with the optical control of microwave devices. She is currently a Professor with the INPG and the Vice-Director of the Institute of Microelectronics, Electromagnetism and Photonics, Grenoble, France.

2799

Philippe Ferrari was born in Ugine, France, in 1966. He received the B.Sc. degree in electrical engineering and Ph.D. degree from the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, in 1988 and 1992, respectively. In 1992, he joined the Laboratory of Microwaves and Characterization, Université de Savoie, Le Bourget-du-Lac, France, as an Assistant Professor of electrical engineering. From 1998 to 2004, he was the Head of the laboratory project on nonlinear transmission lines and tunable devices. Since September, 2004, he has been an Associate Professor with the University Joseph Fourier, Grenoble, France, and he continues his research with the Institute of Microelectronics Electromagnetism and Photonic (IMEP), INPG. His main research interest is the conception and realization of tunable devices such as filters, phase shifters, and power dividers, and also new circuits based on periodic structures such as filters and phase shifters. He is also involved in the development of time-domain techniques for the measurement of passive microwave devices and the moisture content of soil.

2800

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Wideband Planar Monopole Antennas With Dual Band-Notched Characteristics Wang-Sang Lee, Dong-Zo Kim, Ki-Jin Kim, and Jong-Won Yu, Member, IEEE Abstract—Wideband planar monopole antennas with dual bandnotched characteristics are presented. The proposed antenna consists of a wideband planar monopole antenna and the multiple -, -, and inverted L-shaped slots, producing band-notched characteristics. In order to generate dual band-notched characteristic, we propose nine types of planar monopole antennas, which have two or three ( or inverted L)-shaped slots in the radiator. This technique is suitable for creating ultra-wideband antenna with narrow frequency notches or for creating multiband antennas. Index Terms—Frequency-notched antenna, multiband antenna, planar monopole antenna.

I. INTRODUCTION ODERN AND future wireless systems are placing greater demands on antenna designs. Many systems now operate in two or more frequency bands, requiring dual- or triple-band operation of fundamentally narrowband antennas. A variety of techniques have been used to create multiband antennas. A typical technique is to create a single antenna that is responsive to multiple bands of interest. Such an antenna is a composite structure of narrowband resonant sections that can couple to the corresponding narrow bands of interest. Although narrowband resonant structures may individually be quite responsive to their particular narrowband resonant frequencies of interest, when combined together to form a composite multiband antenna, the performance of narrowband resonance components will inevitably suffer. In particular, mutual coupling is introduced between narrowband resonant components. This coupling can lead to spurious and undesired modes of operation, as well as limit the performance of the desired modes. Such an antenna performs the complex process case by case about the required frequency band. Another technique for a multiband antenna design is to create a wideband antenna with frequency notch filters [1]. Such a frequency notched wideband antenna is shown in Fig. 1. A typical system comprises a wideband antenna element, connected via a transmission line to a frequency filter shown in Fig. 1(a). A wideband antenna element has a frequency response sensitive across a wideband range of frequencies from to . A frequency notch filter passes a wideband range of frequencies from to with the exception of those frequencies

M

Manuscript received September 29, 2005; revised December 23, 2005. This work was supported in part by the Brain Korea 21 Project and by the Samsung Electro-Mechanics Company Ltd. The authors are with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology, Taejon 305-701, Korea (e-mail: [email protected]; [email protected]; [email protected]; drjwyu@ee. kaist.ac.kr). Digital Object Identifier 10.1109/TMTT.2006.874895

Fig. 1. (a) RF front end with wideband antenna and two notch filters. (b) Proposed dual band-notched antenna.

in the vicinity of and . The resulting spectral response of a frequency notched wideband antenna system is sensitive to a wideband range of frequencies from to with the exception of those frequencies in the vicinity of and . Such a dual band-notched antenna may be created following the method shown in Fig. 1(b). Narrowband resonant structures are incorporated in a wideband antenna element so as to create a frequency notched wideband antenna element. It has been recently demonstrated that by etching a proper slot (such as a -shaped slot [2], [3], a -shaped slot [4], or a bent slot [5]) or inserting proper slits (such as a pair of narrow slits [6]) in the interior of the radiating element, a single notched or rejected band within a wide operating bandwidth can be obtained. This band-reject operation is achieved when the length of the embedded slot is approximately one-half wave length of the desired notch frequency. In this case, destructive interference for the excited surface currents in the antenna will occur, which causes the antenna to be nonresponsive at that frequency. This paper studies the characteristics of wideband planar monopole antennas with dual band-notched characteristics. A variety of implementations are possible, eight of which are shown in Fig. 2. One is a wideband planar monopole with three -shaped slots proposed in [7] and [8] and the other seven are derived from two or three ( or inverted L)-shaped slots. II. ANTENNA DESIGN The planar monopole has recently been investigated as an antenna with wideband properties [9]–[13]. These antennas exhibit good impedance matching and high efficiency.

0018-9480/$20.00 © 2006 IEEE

LEE et al.: WIDEBAND PLANAR MONOPOLE ANTENNAS WITH DUAL BAND-NOTCHED CHARACTERISTICS

2801

Fig. 4. Simulated return loss versus frequency of single band-notched planar monopole antenna.

Fig. 2. Geometry of the proposed dual band-notched planar monopole antennas. (a) Ant. A. (b) Ant. B. (c) Ant. C. (d) Ant. D. (e) Ant. E. (f) Ant. F. (g) Ant. G. (h) Ant. H.

Fig. 3. Geometry of the proposed single band-notched planar monopole antennas with: (a) [, (b) \, (c) [[, (d) \\, and (e) inverted-L shaped slot.

Fig. 2 shows the proposed dual band-notched antennas, which consists of a wideband planar monopole antenna and multiple -( -or inverted-L) shaped slots. Prototype antennas were fabricated and mounted on a circular finite ground plane with a radius of 75 mm. A 50- subminiature A (SMA) connector, centrally mounted from the back of the ground plane, was used to excite the antenna. A copper planar element of thickness 0.2 mm, size 20 27 mm , and beveling angle 12 is vertically mounted with a spacing of 1 mm (G) over the circular ground plane. To implement dual band-notched antennas, the -, -, and inverted-L shaped slots are arranged to be symmetric to the center line of the planar element. The - (or -, inverted-L) shaped slots are etched using the parameters: 1) for the width of the th slot; 2) for the half length of the th slot; 3) for the coordinates of the upper/lower vertex of the th slot; and 4) for the distance between the two arms of the th slot.

Fig. 5. Measured and simulated return loss versus frequency of Ant. F.: (a) without slot (reference antenna), (b) with \-shaped slot, (c) with [-shaped slots, and (d) with \[-shaped slots.

By adjusting the slot parameters, the lower and upper edge frequencies of the notched band within the antenna’s operating bandwidth can be controlled. The effects of varying the slot lengths on the notched frequency band will be discussed in more detail in Section III. It should also be noted that the high- notched operation can be achieved by adjusting the slot parameters. The detailed effects of the distances on the band-notched operation will be analyzed in Section III. III. SIMULATION AND EXPERIMENT RESULTS Fig. 3 shows the proposed single band-notched planar monopole antennas. It is found that, simply by embedding -, -, -, -, and inverted-L shaped slot in the planar monopole, single band-notched characteristic for the wideband planar monopole antenna can be achieved as shown in Fig. 4. The -, -, -, -, and inverted-L shaped slot is placed symmetrically with respect to the center line of the planar monopole.

2802

Fig. 6. Simulated return loss for Ant. F as a function of (t; X

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

;Z

;W

).

Fig. 8. Conceptual equivalent-circuit model for Ant. F with [- and \-shaped slots in (a), at the passband in (b), at the first notch frequency in (c), at the second notch frequency in (d).

Fig. 7. Normalized surface current of Ant. F. (a) Surface current at the passband, 2.4 GHz. (b) Surface current at the first notch frequency, 2.96 GHz. (c) Surface current at the second notch frequency, 4.81 GHz.

Fig. 5 shows the measured and simulated return loss for the proposed antenna F (Ant. F. of Fig. 2). The antenna dimensional parameters are (mm) and (mm), respectively. For the reference antenna, as shown in Fig. 5(a), the impedance bandwidth defined by 10-dB return loss is approximately 3.7 GHz (from 2.0 to 5.7 GHz). From the measured return loss, we can observe that the upper -shaped slot makes one notch band at 2.96 GHz and the other -shaped slot make the other notch band at 4.77 GHz. Note that the effect of mutual coupling between notch bands is little. It is also noted that good agreement between the measured data and simulated results, which are obtained using a time-domain finite integration technique (CST Microwave Studio) is observed. It was found that for the - or -shaped band-notching feature, the center frequency of the notch band, i.e., , can be predicted

Fig. 9. Measured and simulated return loss versus frequency. (a) Ant. A. (b) Ant. B. (c) Ant. C. (d) Ant. D. (e) Ant. E. (f) Ant. F. (g) Ant. G. (h) Ant. H.

accurately using the equation (1) where

is the speed of the light.

LEE et al.: WIDEBAND PLANAR MONOPOLE ANTENNAS WITH DUAL BAND-NOTCHED CHARACTERISTICS

2803

TABLE I MEASUREMENTS VERSUS THEORETICAL PREDICTION FOR DUAL BAND-NOTCHED ANTENNAS

Fig. 10. Normalized surface current of Ant. H. (a) Surface current at the passband, 2.4 GHz. (b) Surface current at the first notch frequency, 3.02 GHz. (c) Surface current at the second notch frequency, 4.75 GHz.

Fig. 12. Return losses with various \; [ and inverted-L shaped slot lengths L and L .

Fig. 11. Conceptual equivalent-circuit model for Ant. H with two inverted-L shaped slots in (a), at the passband frequency in (b), at the first notch frequency in (c), and at the second notch frequency in (d).

Fig. 6 shows the simulated return loss for the proposed antenna F as a function of . We can also see that the notch bandwidth and frequency can be controlled by the slot position and the distance between the two arms. The etched feature

becomes resonant at the frequency where the length is the quarter-wavelength. Fig. 7 shows the normalized surface current distribution at each band for Ant. F. In Fig. 7(a), there are more current distributions near the feeding point at the passband frequency. In Fig. 7(a) and (c), we can see more and stronger current distributions near the edge of a (or )-shaped slot at the notch band frequency. As shown in Fig. 7(b), at the notch frequency at 2.96 GHz, current is concentrated around the bottom edge of the -shaped slot and is oppositely directed between the interior and exterior of the slot. This causes the antenna to operate in a transmission-line-like mode, which transforms the

2804

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 13. Measured radiation patterns: (a) at 2.4-GHz passband frequency, (b) at 5.2-GHz passband frequency, (c) at first notch band frequency, and (d) at second notch band frequency.

nearly high impedance (open circuit) at the top of the slot to nearly zero impedance (short circuit) at the antenna feeding. This zero impedance at the feeding point leads to the desired high attenuation and impedance mismatching near the notch frequency. Also, as shown in Fig. 7(c), at the notch frequency at 4.81 GHz, current is concentrated around the top edge of the -shaped slot and is oppositely directed between the interior and exterior of the slot. This causes the antenna to operate in a transmission-line-like mode, which transforms the nearly zero impedance at the top of the slot to nearly high impedance at the antenna feeding . This, in turn, leads to the desired high attenuation near the notch frequency. Fig. 8 shows the conceptual equivalent-circuit model for Ant. F, which have a series stub, a shunt stub, and antenna resistance . The stubs are a short-circuit stub with mm and an open-circuit stub with mm. When is equal to in Fig. 8(c), GHz, the input impedance at the feeding point is zero (short circuit). Also, when is equal to in Fig. 8(d), GHz, the input impedance at the feeding point is high (open circuit) due

to the quarter-wave transformer. In these cases, destructive interference for the excited surface currents in the antenna will occur, which causes the antenna to be nonresponsive at those frequencies. Fig. 9 shows the measured and simulated return losses for the proposed antennas. From the measured return loss, we can observe that dual band-notched characteristics are created by etched ( or inverted L)-shaped slots. The slot creates the second notch frequency and the slot creates the first notch frequency. The inverted-L shaped slot, as shown in Fig. 9(g) and (h), creates a frequency notch where the corner length [see Fig. 9(g) and (h)] and the notch length add up and form a resonant structure (2) path is a half-wavelength at a particular freWhen the quency, a destructive interference takes place, causing the antenna to be nonresponsive at that frequency. Measurements are compared to theoretical predictions in Table I.

LEE et al.: WIDEBAND PLANAR MONOPOLE ANTENNAS WITH DUAL BAND-NOTCHED CHARACTERISTICS

2805

wideband antenna, a tri-band antenna or wideband antenna with two notch filters can be obtained. The ability to integrate with filter in the antenna can significantly relax the requirements imposed upon the filtering electronics within the wireless device, such as ultra-wideband (UWB) systems and software-defined radio (SDR) systems. REFERENCES

Fig. 14. Measured transmission loss (S ) between the reference antenna and Ant. E and F.

Fig. 10 shows the normalized surface current distribution at each band for Ant. H. Fig. 11 shows the conceptual equivalentcircuit model for Ant. H, which have two shunt stubs. When is equal to a half-wavelength at 3.04 GHz in Fig. 11(c), the input impedance at the feeding point is zero (short circuit). Also, when is equal to a half-wavelength at 4.75 GHz in Fig. 11(d), the input impedance at the feeding point is zero (short circuit). Fig. 12 shows the simulated results for the proposed antenna with various values of and . It can be concluded that the notch bands for the proposed antenna are indeed controlled by the length of the -, -, and inverted-L shaped slots. The radiation characteristics of proposed antennas E and F were also studied. The radiation patterns at the passband frequency are about the same as those of the reference antenna, i.e., the antenna without a slot. In the case of the notch band frequency, as shown in Fig. 13(c) and (d), it is noted that the antenna radiation gain reduction due to the slots is more than approximately 10–15 dB in the direction of maximum gain. Fig. 14 shows the measured transmission loss of proposed antennas E and F. A sharp decrease of antenna gain in the notch bands at 2.9–3.0 and 4.6–4.8 GHz is shown. For other passband frequencies outside the notch bands, the antenna gains with a notch filter are similar to those without it. IV. CONCLUSION In this paper, wideband planar monopole antennas with dual band-notched characteristics have been proposed. We showed that by etching the proper slots (such as inverted-L, and two inverted-L) in the interior of the

[1] H. G. Schantz, G. Wolenec, and E. M. Myszka, “Frequency notched UWB antennas,” in Proc. IEEE Ultra Wideband Syst. Technol. Conf., Reston, VA, Nov. 2003, pp. 214–218. [2] S. W. Su, K. L. Wong, and C. L. Tang, “Band-notched ultra-wideband planar monopole antenna,” Microw. Opt. Technol. Lett., vol. 44, pp. 217–219, 2005. [3] A. Kerkhoff and H. Ling, “A parametric study of band-notched UWB planar monopole antenna,” in IEEE AP-S Symp., Monterey, CA, 2004, pp. 830–833. [4] W. S. Lee, K. J. Kim, D. Z. Kim, and J. W. Yu, “Compact frequencynotched wideband planar monopole antenna with a L-shaped ground plane,” Microw. Opt. Technol. Lett., vol. 46, pp. 563–566, Sep. 2005. [5] Y. Kim and D. H. Kwon, “CPW-fed planar ultra wideband antenna having a frequency band notch function,” Electron. Lett., vol. 40, no. 7, pp. 403–405, Apr. 2004. [6] H. Yoon, H. Kim, K. Chang, Y. J. Yoon, and Y. H. Kim, “A study on the UWB antenna with band-rejection characteristic,” in IEEE AP-S Symp., Monterey, CA, 2004, pp. 1784–1787. [7] W. S. Lee, D. Z. Kim, K. J. Kim, and J. W. Yu, “Multiple frequency notched planar monopole antenna for multi-band wireless system,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1935–1937. [8] W. S. Lee, W. G. Lim, and J. W. Yu, “Multiple band-notched planar monopole antenna for multiband wireless system,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 9, pp. 576–578, 2005. [9] N. P. Agrawall, G. Kumar, and K. P. Ray, “Wide-band planar monopole antennas,” IEEE Trans. Antennas Propag., vol. 46, no. 2, pp. 294–295, Feb. 1998. [10] E. Lee, P. S. Hall, and P. Gardner, “Compact wideband planar monopole antenna,” Electron. Lett., vol. 35, no. 25, pp. 2157–2158, Dec. 1999. [11] M. J. Ammann, “Square planar monopole antenna,” in Proc. IEE Nat. Antennas Propag. Conf, York, U.K., 1999, pp. 37–40. [12] ——, “Control of the impedance bandwidth of wideband planar monopole antennas using a beveling technique,” Microw. Opt. Technol. Lett., vol. 30, no. 4, pp. 229–232, 2001. [13] S. W. Su, K. L. Wong, and C. L. Tang, “Ultra-wideband square planar monopole antenna for IEEE 802.16a operation in the 2–11 GHz band,” Microw. Opt. Technol. Lett., vol. 42, no. 6, pp. 463–466, 2004.

Wang-Sang Lee received the B.S. degree in electrical engineering from Soong-Sil University, Seoul, Korea, in 2004, and the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Taejon, Korea, in 2006. He is currently with KAIST. His research interests include the UWB antennas with filter and RF systems.

Dong-Zo Kim received the B.S. degree in electrical engineering from Han-Yang University, Seoul, Korea, in 2004, and the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Taejon, Korea, in 2006. He is currently with KAIST. His research interests include active antennas and two-port network systems.

2806

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Ki-Jin Kim received the B.S. degree in electrical engineering from the Kook-Min University, Seoul, Korea, in 2004 and the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Taejon, Korea, in 2006. He is currently with KAIST. His research interests include beam control and mutual coupling rejection of multiantennas.

Jong-Won Yu (M’05) was born in Yeosu, Korea, in 1970. He received the B.S., M.S., and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Taejon, Korea, in 1992, 1994, and 1998, respectively. In 1998, he joined the Code Division Multiple Access (CDMA) Division, System Large Scale Integration (LSI) Business, Samsung Electronics Company Ltd., where he was a Senior Engineer with the Field Application Group. In 2002, he joined the RF Team, Telson U.S.A. Company, where he was a Senior Engineer with the RF Hardware (H/W) Group involved with research on CDMA/personal communication system (PCS)/advanced mobile phone service (AMPS)/global positioning system (GPS) transceivers. He is currently an Associate Professor with KAIST. His current research areas include RF/microwave transceivers, antennas, wave propagation analysis, and electromagnetic interference (EMI)/electromagnetic compatibility (EMC).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2807

Unconditionally Stable Crank–Nicolson Nearly PML Algorithm for Truncating Linear Lorentz Dispersive FDTD Domains Omar Ramadan, Member, IEEE

Abstract—In this paper, unconditionally stable formulations of the nearly perfectly matched layer are presented for truncating linear dispersive finite-difference time-domain (FDTD) grids. In the proposed formulations, the Crank–Nicolson and bilinear frequency-approximation techniques are used to obtain the update equations for the field components in linear dispersive media. A numerical example carried out in a one-dimensional Lorentz dispersive FDTD domain is included and it has been observed that the proposed formulations not only give accurate results, but also completely remove the stability limit of the conventional FDTD algorithm. Index Terms—Bilinear transformation, Crank–Nicolson (CN), dispersive, finite difference time domain (FDTD), Lorentz, perfectly matched layer (PML).

I. INTRODUCTION HE PERFECTLY matched layer (PML), introduced by Berenger [1], has been shown to be one of the most effective finite-difference time-domain (FDTD) [2] absorbing boundary conditions (ABCs). This type of ABC was first introduced for lossless FDTD domains and was based on splitting each field component into two subcomponents. Recently, different PML formulations based on the stretched coordinate perfectly matched layer (SC-PML) [3], the anisotropic perfectly matched layer (APML) [4], and the nearly perfectly matched layer (NPML) [5] have been introduced for truncating general FDTD domains. Nevertheless, the stability of these formulations is limited by the Courant–Friedrichs–Lewy (CFL) limit. As the CFL limit is determined by the smallest cell size in the domain, the FDTD analysis of fine geometric structures requires a large number of time iterations. Hence, the elimination of the CFL stability limit is one of the latest challenges in the FDTD research. Recently, unconditionally stable PML formulations based on the alternating direction implicit finite-difference time-domain (ADI-FDTD) algorithm [6], have been introduced for truncating lossless or lossy [7], and linear dispersive FDTD domains [8]. These formulations, however, are based on splitting each time step into two sub-steps, which are: 1) and 2) . In addition, it has been observed that the accuracy of these formulations decreases as the time step

T

increases due to the increase of the numerical dispersion error of the ADI-FDTD scheme [9]. Very recently, more accurate and unconditionally stable formulations of the PML, based on incorporating the Crank–Nicolson (CN) scheme into the SC-PML [3], have been introduced for truncating lossy nondispersive FDTD domains [10]. The main advantage of these formulations is that it allows updating the field components from the to time step in a single iteration rather that the two sub-iterations needed in the ADI-FDTD method. In this paper, alternative and unconditionally stable PML formulations are introduced for truncating linear dispersive FDTD domains. The contributions made in the proposed formulations, which are called the CN-NPML, is threefold. First, the CN scheme was incorporated into the FDTD implementations of the NPML rather than the SC-PML as the FDTD implementations of the NPML was found to be more simpler than that of the SC-PML. Second, the bilinear frequency approximation technique [11] was used to model the frequency dependence of the dispersive materials in the FDTD algorithm, and this will allow direct FDTD discretizations of the field equations in the media of interest. Finally, and similar to the formulations of [10], the proposed formulations allow updating the field components in a single time iteration rather that the two sub-iterations needed in the ADI-FDTD method. Numerical example carried out in one-dimensional (1-D) linear Lorentz dispersive FDTD domain show that the proposed formulations are both accurate and unconditionally stable. This paper is organized in the following manner. In Section II, the formulations of the proposed scheme are presented. Sections III and IV include, respectively, the stability and numerical dispersion analysis of the proposed formulations. In Section V, the results of a numerical test are included to show the effectiveness of the proposed method and, finally, a summary and conclusions are included in Section VI. II. FORMULATION For the sake of simplicity, consider an -directed and -polarized TEM wave propagating in a one-dimensional (1-D) sourcefree homogenous, isotropic, and electrically dispersive medium. The corresponding Maxwell’s equations can then be written in the frequency domain as

Manuscript received September 28, 2005; revised February 22, 2006. The author is with the Computer Engineering Department, Eastern Mediterranean University, Gazi Magusa, Mersin 10, Turkey (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.874896 0018-9480/$20.00 © 2006 IEEE

(1) (2)

2808

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

where and are, respectively, the Fourier transform of the and fields and is the speed of light. In (1), the field is related to field through the relation

For a linear dispersive medium, main as

can be written in the -do-

(14) (3) is the medium complex relative permittivity. Using where the NPML formulation [5], (1) and (2) can be written in the PML regions at the domain boundaries as

and are the coefficients of the where rational polynomial and is the maximum order of the dispersive medium. Using the bilinear frequency approximation technique [11],

(4) (5)

(15) Equation (14) can be written in the

-domain as

is the PML conductivity profile defined as a function where of the distance from the PML/computational domain interface. Using the inverse Fourier transform relation , (4) and (5) can be written in the time domain as

(6)

(16) and are related where the coefficients to and , and the time step . Taking the -transform of (3) and using (16), can be written in the discrete time domain by using the -transform relation [11] as

(7) (17) where

and

are given by the following relation: where (8) (18)

with discretized as

or

. Using the CN scheme, (6)–(8) can be Substituting (17) into (9), the following can be obtained:

(9) (19)

(10) where

is the time step, , and

is the space cell size,

where

(20) (11)

(12)

cannot be updated directly as it It is clear from (19) that depends on . Substituting (12), (10), and (11) into (19), an implicit update for can be obtained as

with

and (13)

(21)

RAMADAN: UNCONDITIONALLY STABLE CN-NPML ALGORITHM FOR TRUNCATING LINEAR LORENTZ DISPERSIVE FDTD DOMAINS

where

2809

with and

(27)

and (22)

III. STABILITY ANALYSIS

(23)

Consider a plane wave propagating in the inner FDTD domain along the -direction with field components expressed in the following form:

and

(28)

with (24) It is clear that the left-hand side of (21) forms a tri-diagonal matrix and the associated linear system of equations can be , solved easily [6]. After computing and can be updated explicitly from (17), (11), (10), and (12), respectively. From the above formulations, it is important to note the following. First, the field components are defined at the and time steps and, therefore, the fields are updated from to in a single iteration. Second, the storage of the values of the field components at the time step, which are needed to update (9) and (10), can be avoided by means of temporary storage elements, as introduced in [12]. Therefore, and similar to the results of [13], the proposed formulations require less CPU time and memory storage than the ADI-PML formulations where the fields are updated in two sub-iterations as they are defined at the and time steps. On the other hand, it must be mentioned that the extension of the above formulations to the two-dimensional (2-D) and three-dimensional (3-D) domains necessitates solving non tri-diagonal linear system of equations at each time step, which requires large computational time and storage requirements as compared with the classical ADI-NPML. Techniques similar to those reported in [14]–[17] can be incorporated with the proposed formulations to reduce the resultant increase in the computational time and memory storage requirements. It must be noted that the above formulations are applied in the PML regions at the domain boundaries. In non-PML regions, it is only required to set the coefficients and defined in (13) to unity. Furthermore, it should be noted that the coefficients used in (16) depend on the relative complex permittivity of the domain of interest. In this paper, the computational domain was assumed to have second-order frequency dispersion, i.e., a linear Lorentz-type medium. In this case, can be written as

is the field component amplitude where and is the numerical wavenumber. Substituting (28) into (9) and (10), and imposing the -transform relation , the following can be obtained:

(29) and (30) where is the courant number defined as tions (29) and (30) can be combined as

. Equa-

(31) where can be written in the media as

. Using a similar procedure, (17) -domain for linear Lorentz dispersive

(32) Substituting (32) into (31), the following stability polynomial can be obtained:

(33) To ensure that the proposed scheme is stable, the roots of must lie inside or on the unit circle of the -plane. Alternatively, the stability of the proposed scheme can be studied by using the Routh–Hurwitz criterion [18] by transforming (33) into the -plane by using the relation

(25) (34) where

is the static permittivity, is the infinite permittivity, is the resonance radial frequency, and is the damping constant. Transforming (25) into the -domain by using (15), the coefficients of (16) can be obtained as

Hence, (33) can be written in the -plane as

(35) and

(26)

In this transformation, the exterior of the unit circle in the -plane is mapped onto the right half of the -plane. Therefore,

2810

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

if has no roots in the right half of the -plane, then will not have any root outside the unit circle of the -plane. It must be noted that the polynomial will not have roots in the right half of the -plane if all entries of the first column of the Routh table, which can be constructed from , are nonnegative [18]. To this end, the Routh table can be constructed from (35) [18] as

(36)

Forcing all entries of the first column of the Routh table to be nonnegative quantities, the following conditions can be obtained:

Fig 1. Numerical dispersion error as a function of the mesh density ferent values of the courant number.

Hence, the numerical phase velocity

N for dif-

can be computed as

(37) It is clear from (37) that there is no restriction imposed on the time step for the stability of the proposed formulations, hence, the formulations introduced in this paper are always stable. IV. NUMERICAL DISPERSION The numerical dispersion of the proposed scheme can be easily found by evaluating the stability polynomial on the unit circle of the -plane, i.e., by letting in (33) [18]. By doing this and after some manipulations, the following can be obtained: (38)

(42)

where is the mesh density defined as the number of cells per wavelength, i.e., . Fig. 1 shows the relative error in the numerical wave velocity as a function of for different values of . In this test, the space cell size was taken as 1 10 m and the Lorentz domain dielectric parameters are chosen similar to those used in [19]. As can be seen from Fig. 1, the error decreases as increases and also increases as increases. At and for , the wave velocity receives approximately 0.01% error. When is increased to 4, the error will be 0.13% at the same mesh density. Similarly, when is further increased to 8, the error will be increased to 0.53%.

where is the numerical permittivity defined as V. SIMULATION STUDY

(39)

Solving for

from (38), the following can be obtained:

(40) Using (40), the numerical wavelength is

(41)

To validate the proposed formulations, a -polarized Gaussian pulse was excited at the center of the 1-D linear Lorentz medium with the parameters of rad/s, and [19]. The computational domain extends in the -direction and is assumed to be where m. Both ends of the computational domain were terminated by eight additional PML layers with the parameters of PML[ %], as defined in [1]. The simulation was carried out for the first 32 768 time steps. To measure the PML reflection error, a reference solution is needed. In this study, a larger domain with the size of and truncated by 32 additional PML layers with the parameters of PML[ %] was used. Fig. 2 shows the frequency spectrum of the reflection coefficient of the proposed PML formulations (CN-NPML) along with the excitation source used in

RAMADAN: UNCONDITIONALLY STABLE CN-NPML ALGORITHM FOR TRUNCATING LINEAR LORENTZ DISPERSIVE FDTD DOMAINS

Fig 2. Reflection coefficient spectrum for Lorentz medium as obtained with the FDTD-NPML, ADI-NPML, and CN-NPML formulations.

2811

Fig 3. Reflection coefficient spectrum for Lorentz medium as obtained with the proposed CN-NPML formulations for different NPML layers.

this test. The reflection coefficient was computed one cell away from the PML/computational domain interface as

dB

(43)

is the Fourier transform operation, is the where field computed using the test domain, and is the reference field computed using the larger domain. For the purpose of comparison, the results obtained using the conventional FDTD implementations of the NPML (FDTD-NPML) [5] and for the ADI-FDTD implementations of the NPML (ADI-NPML) [8] were also shown in Fig. 2. In this study, it must be noted that the reference field was computed for each value of the courant number in order to isolate the PML reflection error from the numerical dispersion error of the discrete FDTD schemes [7]. As can be seen from Fig. 2, it is apparent that the proposed CN-NPML formulations do not only remain stable for , but are also in good agreement with the conventional FDTDNPML. On the other hand, the accuracy of the ADI-NPML formulations decreases as the value of the courant number increases. It must also be pointed out that there is a sharp increase in the reflection coefficient near the resonance frequency Hz. However, this is not important because this frequency range is strongly attenuated for the chosen dielectric parameters. The performance of the proposed formulations was also measured as a function of the number of NPML layers. Fig. 3 shows the reflection error of the CN-NPML for different NPML layers and with a courant number . As expected, the reflection errors decreases as the number of NPML layers increases. It must be noted that the performance of the proposed formulations can be improved by optimizing the NPML parameters, as introduced in [4]. In the above simulations, the reference field solution was computed for each courant number. As mentioned in [20] and [21], this only measures the NPML reflections errors. However, it is necessary to measure both the NPML reflection errors and dispersion errors of the ADI scheme. In this case, the reference field must be the traditional FDTD-NPML solution [5] with a courant number . Fig. 4 shows the actual performance

Fig 4. Actual error of the CN-NPML and ADI-NPML for different courant numbers.

of the CN-NPML and the ADI-NPML for and with NPML[ %], as observed one cell away from the NPML/computational domain interface. The reflection error as a function of time was computed as

(44) is the reference field computed using the larger where domain with the conventional FDTD-NPML [5] for . Similar to the results reported in [13], it is apparent from Fig. 4 that the proposed CN-NPML formulations perform better than the ADI-NPML. VI. CONCLUSION In this paper, unconditionally stable formulations of the NPML have been presented for truncating linear dispersive FDTD domains. In the proposed formulations, the CN and bilinear frequency approximation techniques have been used to obtain the update equations of the field components in the dispersive medium. It has been shown through numerical example carried out in the 1-D Lorentz dispersive FDTD domain

2812

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

that the proposed CN-NPML scheme is unconditionally stable and maintains the accuracy of the conventional FDTD-NPML formulations. Hence, the simulation time can be decreased by increasing the time step. The formulations can be extended to the 2-D and the 3-D cases in a similar manner. In these cases, solution of a linear system of equations at each time step is needed. Different techniques similar to those reported in [14]–[17], which are found to be unconditionally stable, can be incorporated with the proposed formulations to reduce the resultant increase in the computational time and the memory storage requirements. REFERENCES [1] J.-P. Berenger, “A perfectly matched layer for the absorption of electromagnetic waves,” J. Comput. Phys., vol. 114, pp. 185–200, 1994. [2] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 2nd ed. Boston, MA: Artech House, 2000. [3] W. C. Chew and W. H. Weedon, “A 3-D perfectly matched medium from modified Maxwell’s equation with stretched coordinates,” Microw. Opt. Technol. Lett., vol. 7, no. 13, pp. 599–604, 1994. [4] S.D. Gedney, “An anisotropic perfectly-matched layer-absorbing medium for the truncation of FD-TD lattices,” IEEE Trans. Antennas Propag., vol. 44, no. 12, pp. 1630–1639, Dec. 1996. [5] S. A. Cummer, “A simple, nearly perfectly matched layer for general electromagnetic media,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 3, pp. 128–130, Mar. 2003. [6] T. Namiki, “A new FDTD algorithm based on alternating-direction implicit method,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2003–2007, Oct. 1999. [7] S. D. Gedney, G. Liu, J. A. Roden, and A. Zhu, “Perfectly matched layer media with CFS for an unconditionally stable ADI-FDTD method,” IEEE Trans. Antennas Propag., vol. 49, no. 11, pp. 1554–1559, Nov. 2001. [8] O. Ramadan, “Unconditionally stable nearly PML algorithm for linear dispersive media,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 7, pp. 490–492, Jul. 2005. [9] S. G. Carcia, T. W. Lee, and S. C. Hagness, “On the accuracy of the ADI-FDTD method,” IEEE Antennas Wireless Propag. Lett., vol. 1, pp. 31–34, 2002. [10] O. Ramadan, “Generalized unconditionally stable Crank–Nicolson PML formulations for truncating FDTD domains,” in 35th Eur. Microw. Conf., Paris, France, Oct. 4–6, 2005, vol. 2, pp. 877–880. [11] J. G. Proakis and D. G. Manolakis, Digital Signal Processing: Principles, Algorithms and Applications, 3rd ed. Upper Saddle River, NJ: Prentice-Hall, 1995.

[12] D. F. Kelley and R. J. Luebbers, “Piecewise linear recursive convolution for dispersive media using FDTD,” IEEE Trans. Antennas Propag., vol. 44, no. 6, pp. 792–797, Jun. 1996. [13] A. Zhao, “More accurate and efficient unconditionally stable FDTD method,” Electron. Lett., vol. 38, no. 16, pp. 863–864, Aug. 2002. [14] ——, “A novel implementation for two-dimensional unconditionally stable FDTD method,” Microw. Opt. Technol. Lett., vol. 38, no. 6, pp. 457–462, 2003. [15] G. Sun and C. W. Trueman, “Unconditionally stable Crank–Nicolson scheme for solving two-dimensional Maxwell’s equations,” Electron. Lett., vol. 39, no. 7, pp. 595–597, 2003. [16] ——, “Approximate Crank–Nicolson schemes for the 2-D finite-difference time-domain method for TE waves,” IEEE Trans. Antennas Propag., vol. 52, no. 11, pp. 2963–2972, Nov. 2004. [17] ——, “Unconditionally-stable FDTD method based on Crank–Nicolson scheme for solving three-dimensional Maxwell equations,” Electron. Lett., vol. 40, no. 10, pp. 589–590, 2004. [18] J. A. Pereda, L. A. Vielva, A. Vegas, and A. Prieto, “Analyzing the stability of the FDTD technique by combining the Von Neumann method with Routh–Hurwitz criterion,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 377–381, Feb. 2001. [19] R. M. Joseph, S. C. Hagness, and A. Taflove, “Direct time integration of Maxwell’s equations in linear dispersive media with absorption for scattering and propagation of femtosecond electromagnetic pulses,” Opt. Lett., vol. 16, no. 18, pp. 1412–1414, 1991. [20] A. Zhao, “Uniaxial perfectly matched layer media for an unconditionally stable 3-D ADI–FD–TD method,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 12, pp. 497–499, Dec. 2002. [21] A. Zhao, “Comments on ’An efficient PML implementation for the ADI-FDTD method’,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 248–249, May 2004. Omar Ramadan (S’92–M’98) received the B.Sc., M.Sc., and Ph.D. degrees in the electrical and electronic engineering from Eastern Mediterranean University (EMU), Gazimagusa, Mersin, Turkey, in 1992, 1994, and 1999, respectively. From 1992 to 1999, he was a Research and Teaching Assistant with the Electrical and Electronic Engineering Department, EMU. In 1999, he joined the Computer Engineering Department, EMU, as an Assistant Professor, and then became an Associate Professor in 2003. He has authored or coauthored over 24 scientific journal papers and over ten international conference papers. He has supervised over 20 undergraduate projects, two masters theses, and currently supervises one doctoral thesis. His research interests include computational electromagnetic and digital signal processing. Dr. Ramadan is a reviewer for the IEEE MICROWAVE AND WIRELESS COMPONENT LETTERS.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2813

A Block-Based Predistortion for High Power-Amplifier Linearization Nima Safari, Student Member, IEEE, Joar Petter Tanem, and Terje Røste, Member, IEEE Abstract—A predistortion (PD) technique has been proposed to linearize the power amplifier (PA) and improve the PA efficiency for nonconstant envelope modulation schemes. In this paper, a new PD scheme based on block estimation suitable for burst-type communications is described. The purpose of the PD is to increase the efficiency of the PA while fulfilling the error vector magnitude and spectrum mask system requirements. Piecewise (i.e., segmented) regression with continuity constraints is applied to estimate the AM/AM and AM/PM distortions. Analytical results using th-order regression within each segment is presented. With this method, the training period is minimized. Further, the method do not suffer from bad performance during training. Simulation results with 16 quadrature amplitude modulation (QAM) show significant reduction in adjacent channel interference and considerable improvement in constellation quality for a typical class-AB high PA. The method is implemented on a harware platform containing a digital signal processor, a field programmable gate array, a modulator, and a 1.6-GHz class-AB PA. Experimental results with a 16-QAM modulated signal and a symbol rate of 151.2 kHz are presented. Index Terms—Adaptive predistortion (PD), mobile satellite terminal, power amplifier (PA), time division multiple access (TDMA).

I. INTRODUCTION HE efficiency of power amplifiers (PAs) may be improved by using predistortion (PD). In this paper, we propose a scheme where the PD functions (AM/AM and AM/PM) are estimated based on a block of transmitted samples. As an application of this PD scheme, we focus on the need for efficient PAs in terminals for mobile satellite communications where the distance to the satellite is 36 000 km and the output power has to be high. We will use the Inmarsat Broadband Global Area Network (BGAN) system to illustrate our results. This system uses time division multiple access (TDMA), in the return link from the user terminal, and the output power of the PA is up to 7 W for some terminal classes. With this application, linearization of the PA is a powerful scheme to increase the efficiency of the PA and thereby lowering PA cost and risk for overtemperature. The BGAN system utilizes adaptive modulation and coding where the most demanding modulation scheme is 16 quadrature amplitude modulation (QAM). Linearization gives

T

Manuscript received October 10, 2005; revised February 3, 2006. This work was supported in part by the European Space Agency under a contract within the Advanced Research in Telecommunication Systems 3 Program and in part by the Norwegian Research Council under the Wideband Wireless Communications II Project. N. Safari is with the Institute of Electronics and Telecommunications, Norwegian University of Science and Technology, N-7491 Trondheim, Norway (e-mail: [email protected]). J. P. Tanem and T. Røste are with the Nera SatCom AS Research Group, N-1375 Billingstad, Norway. Digital Object Identifier 10.1109/TMTT.2006.874897

the above-mentioned benefits while fulfilling output spectral mask requirements according to the European Telecommunications Standards Institute (ETSI) and signal quality requirements like the Error Vector Magnitude (EVM) for the BGAN system.12 Digital PD schemes using either lookup tables (LUTs) or a parametric model based on polynomials have been widely studied [1]–[4]. These schemes are based on a sample-by-sample updating of the LUT or polynomial parameters. The sample-bysample update method suffers from bad performance during the training period that may be long, and sending of symbols during that period may violate the system requirements. This is due to the fact that the algorithm may initially, when few samples are available, model the PA erroneously and the performance may temporarily be worse than without PD. Further, the method is not easily implemented in a digital signal processor (DSP) for high symbol rates. Here, we propose a block-based updating scheme where the piecewise representation of the PA’s characteristics (AM/AM and AM/PM nonlinearities) is estimated directly based on a block of samples from the transmitted signal before and after the PA. The method is suitable for low-cost software implementation. It has been shown that an acceptable estimate of the PD LUT is achieved using block lengths down to 25 symbols (200 samples with 8 oversampling). The block method is well suited for TDMA as the frequency, and output power level may change from one block to another asking for new entries of the PA LUT. The block-based PD is computationally more efficient than the sample-by-sample updating adaptive PDs. We then avoid real-time signal processing and may estimate the PD function every block or every th block using a DSP or a general-purpose CPU with a powerful repertoire of algorithmic operations. Although the block-based PD it suitable for all kind of transmissions, we found it particularly advantageous for TDMA/burst-type communications since we may calculate the PD LUT when the system is not in the transmit mode between bursts. This paper is organized as follows. In Section II, we describe the block-based PD scheme. In Sections III and IV, the analytical and simulation results are presented. In Section V, we present the platform used to implement the algorithm, and in Section VI, the experimental results with a 2-W class-A and class-AB biased PA for Inmarsat BGAN mobile satellite communication are given. A conclusion is given in Section VII. II. BLOCK-BASED PD In the block-based approach [5], signal samples of the transmitted signal are collected, and the algorithm finds the block 1ETSI EN 301 681 V 1.3.2 (2002–10) Satellite Earth Station Systems (SESs) in 1.5/1.6 GHz. 2ETSI EN 301 444 V1.1.1 (2000–05) Satellite Earth Stations and Systems harmonized EN for Land Mobile Earth Stations (LMESs) operating in the 1.5and the 1.6-GHz bands.

0018-9480/$20.00 © 2006 IEEE

2814

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 1. Baseband model of the PD.

least square solution of the inverse AM/AM and AM/PM characteristics of the PA. Fig. 1 shows a baseband model of the adaptive block-based PD. The samples are amplitude and phase distorted to compensate for the AM/AM and AM/PM nonlinearities of the PA, respectively. Consider an input sample with . The output of the PD, i.e., polar representation in Fig. 1, is then given by (1) where (2) (3) In the above set of equations, is the amplitude PD and is the phase PD. The and functions may either be represented as LUTs or finite-order polynomials. We have found it most useful and efficient to use LUTs instead of polynomials because a LUT has fewer restrictions on the modeling of a PA nonlinearity, and leads to an easy implementation. The predistorted signal is then amplified by a nonlinear PA. In the feedback path, blocks of samples at the input and output of the PA are used to estimate the memoryless PA characteristics. In Fig. 2, we show the normalized measured amplitude of the output signal of a real PA versus the amplitude of the input signal after timing alignment of the two signals and . The normalized amplitude range is divided into segments, and the estimation of the AM/AM and AM/PM characteristics of the PA is performed using the input and measured output signal samples. For signal samples belonging to each segment, regression is applied to estimate the parameters of the optimum curve within each segment for both the AM/AM and AM/PM characteristics. The order of regression is an interesting parameter. One problem with the segmentation is the edge continuity requirements of the and functions. With first-order or linear regression, this continuity is not a problem as the estimated midpoint of each segment straight line is used. The PA model is then a piecewise linear approximation where straight lines are drawn between these midpoints. With higher order regression, the continuity problem is solved as described in Section III. Defining and as the AM/AM and AM/PM characteristics of

Fig. 2. Amplitude of the output samples versus input samples.

a memoryless PA, respectively, the output sample matically expressed as

is mathe-

(4) The objective of the PD is to approximate a linear total characteristics, i.e., linear amplitude and constant phase, up to a maximum amplitude for for

(5) (6)

is the desired linear gain and is the input where sample to the PD multiplier (see Fig. 1). This overall objective is obtained if the following equations are fulfilled: (7) (8) is a constant phase offset. The regression analysis where gives the estimated PA functions and . The phase and amplitude PD LUTs are then calculated as (9) (10) An iterative process based on the Banach fixed-point theorem [6], [7] is used to get the inverse function of the PA AM/AM characteristics. To avoid consuming a large memory, we uniformly [8] sample the functions and over the whole amplitude range to establish the phase and amplitude PD tables. The PA characteristics may change due to temperature variations, aging, and retuning of the transmitted radio frequency. An inter-segment updating algorithm is proposed using a sliding window of the previous estimates. Assuming that is

SAFARI et al.: BLOCK-BASED PD FOR HIGH PA LINEARIZATION

2815

the amplitude correction function estimated for block i, then the amplitude LUT is calculated by averaging over previous estimates as follows:

(11) is the length of the sliding window and are the coefficients weighting the previous and current estimates. The same updating algorithm is used for the phase LUT. By giving more weight to the recent estimates. we increase the adaptation speed. However, equal weighting results in a lower variance and, therefore, a more reliable estimation. In a typical application, the measurement noise is rather low and one block of data may yield the required accuracy of estimates. However, it is important to have a block length that is long enough to represent the modulated signal adequately, i.e., to cover the outcome of the transmitted signal according to its amplitude probability density function (PDF).

where

Fig. 3. Regression in k th segment.

multiplier to derive the th-order regression with a constraint on a point at one end of the segment. This point meet the requirement of the continuity between the two segments. Assume that is the estimated AM/AM or AM/PM function for the th segment

III. ANALYTICAL RESULTS The performance of the PD presented above depends on the quality of the estimates of the AM/AM and AM/PM characteristics of the PA. The order of regression in each segment, the number of segments, and the length of each block affect the estimation based on a noisy received signal. Assuming a fixed length for each block of the signal, then increasing the order of regression, and thereby reducing the number of segments, we get a higher number of samples within each segment. Hence, the accuracy of the estimation of parameters increases. In practice, using high oversampling, it is not a problem to obtain a close to perfect delay between the samples and (see Fig. 1). In the analysis and simulations to follow, we assume a close to perfect timing alignment and also assume a memoryless PA characteristics.

(12) is the amplitude of the transmit samples belonging where to the th segment. For simplicity, we have skipped the subscript of and have focused on one segment and drop the index . Using a polynomial approximation to describe the estimated function , we have

(13) The edge continuity (see Fig. 3) as a constraint for our estimation may be formulated as

A. Regression Analysis Definition: th-order regression is the least square solution to fit a th-order polynomial to the noisy observation points within a segment. The accuracy of regression for different segments depends on the probability distribution function of the predistorted amplitude . More accurate estimation is obtained in the segments containing more samples. Using higher order regression, the number of segments and, hence, the variance of the estimates, can be reduced because more signal samples will then occur in each segment. This will be at the expense of a higher computational load. One of the main issues regarding the piecewise approximation to the AM/AM and AM/PM characteristics of the PA is continuity requirement between neighboring segments. Measurements show that the AM/AM and AM/PM curves of the PAs are smooth. Using this a priori information, we may force the estimation algorithm to fulfill the continuity (edge continuity) requirement between neighboring segments. We use a Lagrange

(14) The mean squared error (MSE) between the estimated function and the measured samples is given by

(15) Using a Lagrange multiplier for each coefficient , we have

(16)

2816

where

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

is defined as

(17) Differentiating with respect to the ting to zero, we have

th coefficient

and set-

(18) Repeating

the differentiating for all the coefficients and solving the equations, we find

(19)

Fig. 4. Measured PA characteristics.

where

.. .

..

.

.. .

(20)

(21)

(26)

(22)

is the power of the receive signal and is the where variance of the measurement noise. The variance of the estimates are depending on the number of segments and the length of each block. Hence, low SNR requires a large number of samples in each block for an acceptable estimation accuracy of parameters. The effect of these parameters on the output spectrum and the constellation quality is found by simulation results shown in Section IV.

The minimization is assumed to fulfill the constraint in (14), which may be rewritten as

(23) Using (18) and (22), the Lagrange multiplier lows:

filtering, the standard deviation is . For the received samples, we will define the signal-to-noise ratio (SNR) as follows:

is found as fol-

IV. SIMULATION RESULTS

(24) Finally, the coefficients of the regression polynomial with the one-point constraint is obtained as

(25) Having done the estimation for one segment, it provides the edge constraint for the neighboring segment. This procedure is continued until all the segments are covered. B. Measurement Noise In the absence of measurement noise, a low number of samples in each segment would be enough to almost perfectly characterize the memoryless PA. Due to some active and passive devices in the forward and the feedback paths, independent noise is added to the receive samples. The noise is assumed to be additive white Gaussian noise (AWGN) with zero mean and, after

Simulations have been carried out for 16-QAM modulation. A relatively long (30 symbols) finite impulse response (FIR) square root raised cosine (SRRC) filter with a rolloff factor of 25% is used for pulse shaping. The oversampling rate is 8 the symbol rate. The samples are then passed through a memoryless amplifier model with characteristics shown in Fig. 4. The model is based on measurement of a 2-W class-A amplifier. In the feedback, the amplitude range is divided into segments and the AM/AM and AM/PM characteristics of the PA is estimated based on the algorithm described in Section III. The measurement noise level is around 30 dB below the level of the received signal. In all cases (i.e., first-, second-, and third-order regressions) linear interpolation is used to cover the points between two entries of the LUTs, and both amplitude and phase PD tables contain 128 entries. We consider two criteria for performance evaluation, namely, adjacent channel interference (ACI) and EVM. A. Linearity Criteria ACI is defined as the amount of power leaked to the adjacent channels divided by the power of the main channel. In the base-

SAFARI et al.: BLOCK-BASED PD FOR HIGH PA LINEARIZATION

2817

TABLE I LINEAR REGRESSION, BLOCK-LENGTH = 200 SYMBOLS, OBO = 5:5 dB, SNR = 30 dB

TABLE II SECOND-ORDER REGRESSION, BLOCK-LENGTH = 200 SYMBOLS, OBO = 5:5 dB, SNR = 30 dB

Fig. 5. Envelope distribution of modulated signal.

TABLE III THIRD-ORDER REGRESSION, BLOCK-LENGTH = 200 SYMBOLS, OBO = 5:5 dB, SNR = 30 dB

band, ACI is mathematically expressed as (27), shown at the bottom of this page, where and represents the symbol rate and rolloff of the SRRC filter, respectively. EVM squared is defined as

(28) are the magnitude errors in the received symwhere and bols at the output of an ideal linear matched filter. is the number of symbols in the measurement block, and is the mean squared magnitude of the symbols. B. Effect of Number of Segments on the PD Tables I–III show the effect of number of segments on the ACI and EVM for the first block and for different orders of regression. In our simulations, we assumed an output backoff

(OBO)3 of 5.5 dB and SNR of approximately 30 dB. It is seen that increasing the number of segments results in better approximation of the PA functions and, hence, reduces the ACI and EVM values. However, due to a constant length of the block (200 symbols at 8 oversampling), increasing the number of segments means reducing the number of observations in each segment. Hence, the variance of the estimates in each segment increases with increasing the number of segments. Therefore, an optimum point for the ACI and the EVM appears in the vicinity of and for first-, second-, and third-order regression and for the given PA characteristics and dB. It has been observed that, by using a random signal, there is a possibility of having very few samples (even no samples) in a segment, which leads to an incorrect estimation. This is more often if we increase the number of segments, e.g., when linear regression is used. In that case, we interpolate the empty segment using the neighboring segments. C. Effect of PDF-Optimized Estimation on the PD Fig. 5 shows the PDF of a 16-QAM modulated signal after SRRC filtering and 25% rolloff factor. Since the modulated signal has a nonuniform distribution in the amplitude range, the estimation accuracy may vary from segment to segment. The segments with a small number of observed samples will lead to a high estimation variance. Clearly a bad estimation of one segment may affect the adjacent segment, especially when we apply the edge continuity constraint in our estimations. To have a more 3Here, OBO is defined as the distance in decibels between the actual power of the signal at the output of the PA and the saturation level of the PA.

(27)

2818

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 7. Hardware setup. Fig. 6. Output spectrum: (a) without PD, (b) with non PDF-optimized PD after 25 symbols, (c) with PDF-optimized PD, after 25 Symbols, and (d) with PD after 500 symbols. In all cases, OBO is 6 dB from the saturation.

reliable estimation at the beginning, we start with a segment where the PDF of the signal is highest. For instance, in Fig. 5, we start with the third segment and apply the edge-continuity constraints for adjacent segments. In Fig. 6, the spectra named (a)–(c) show the output spectra without PD, with non PDF-optimized PD, and with PDF-optimized PD, respectively. The result are obtained by a short block (25 symbols). The PA characterizing is based on second-order regression. As we can see, the PDF-optimized PD shows approximately 3-dB improvement in the ACI level. This is particularly useful for fast estimation of the PD characteristics at the very beginning of a transmission with as few samples as possible. It should be pointed out that, using only 25 symbols to initialize the PD, the estimates contain inaccuracies, leading to a substantial increase of higher order nonlinearity increasing the noise floor. This effect can be observed in Fig. 6 as the spectrum of the signal after short-block initialization of the PD is even worse than having no PD in higher channels. By increasing the length of the block to 500 symbols, we can suppress the level of ACI up to 25 dB, as shown in Fig. 6(d). V. DESCRIPTION OF THE MEASUREMENT SETUP AND IMPLEMENTATION A system description of the transmitter side of the mobile satellite terminal is given in the block diagram of Fig. 7. This scheme is also implemented on a test breadboard. The transmitter has a burst signal formatter including 16-QAM modulated data, carrier wave, and unique word according to the Inmarsat BGAN system specifications. The burst length is either 5 or 20 ms. The maximum symbol rate of the BGAN system is 151.2 kHz, corresponding to a bandwidth of 190 kHz with a rolloff factor of 25%. After up-sampling/filtering, the PD unit performs the PD according to the block-based algorithms presented above. The PA is developed for the BGAN pocket user terminal (PUT) for the -band, i.e., 1626.5–1660.5 MHz with the need for 2-W output power. The biasing may be varied so that the same PA is run both as a class-A and class-AB amplifier.

A coupler at the output feeds the transmitted signal back through a receiver chain. The signal in the PA feedback is down-converted to an IF at around 110 MHz and subsampled in an ADC at 26 MHz. The PA feedback signal is further frequency shifted to complex baseband, low-pass filtered, and decimated to 1.0-MHz sampling rate. Accurate timing alignment between the transmit samples and the subsampled receive signal is necessary for PA characterizing. The first-order regression algorithms are implemented in a dual ALU/MAC DSP (ADSP-BF533) denoted as “PA Char. Est.” on the block diagram of Fig. 7. The sample rate of and in Fig. 1 is 1.0 Ms/s and the core processing clock of the DSP is 500 MHz. For piecewise linear regression parameter estimation of contiguous symbol blocks, approximately 100-million DSP clock cycles/s is required. In addition, in order to calculate and update the PD LUT entries, around 37.5- clock cycles are needed when programmed in C . These algorithms provide the content of the LUTs for amplitude and phase to be used in the PD unit of the transmitter. The PD unit is implemented in a field-programmable gate array (FPGA). VI. EXPERIMENTAL RESULTS The PD unit of Fig. 7 is implemented using two 32-entry tables. One table for amplitude and the other for phase PD. The entries are uniformly sampled over the amplitude range. To cover the points between two entries of the table, linear interpolation is applied. Analysis of the received samples in the feedback path show that the measurement noise is more than 30 dB below the signal level. The measured output spectrum with and without the PD are shown in Fig. 8 for class-AB biasing of the PA. The output power is 33.0 dBm, which is in approximately 3.0-dB backoff from the saturation level of the PA. By comparing Figs. 8 and 6 where the OBO is 6.0 dB, we observe that improvement of the ACI level is reduced when approaching the saturation point of the PA. When the OBO is less than the peak-to-average power ratio of the modulated signal, high amplitude samples are clipped by the amplifier, and thereby the performance of PD is degraded. In other words, by reducing the OBO, we achieve higher efficiency and lose in the linearity. As we are interested in both linearity and efficiency, we reduce the backoff as long as the given spectrum mask requirements

SAFARI et al.: BLOCK-BASED PD FOR HIGH PA LINEARIZATION

Fig. 8. Measured spectrum of 16-QAM signal at the output of the class-AB PA: (a) without PD and (b) with PD. Output power: 33.0 dBm, OBO = 3:0 dB from saturation.

2819

Fig. 10. Measured scatter plot after a class-AB amplification with PD. Output power: 33.0 dBm, EVM% = 0:30%. TABLE IV MEASURED EFFICIENCIES, IN ALL CASES, THE EVM AND SPECTRUM MASK REQUIREMENTS OF THE BGAN SYSTEM IS MET

31.4% and still have a reasonable margin to and spectrum mask requirements. By driving the PA hard toward the limit of the spectrum mask requirements, we increase the drain efficiency to 35.5% and we still have some margin toward the requirement. VII. CONCLUSION

Fig. 9. Measured scatter plot after a class-AB amplification without PD. Output power: 33.0 dBm EVM% = 0:90%.

are fulfilled. In our application, the spectrum mask requires that the power leaked to the adjacent channel must be approximately 25–30 dB below the in-band power. Figs. 9 and 10 show the scatter plot of 16-QAM received symbols with and without the PD in the same situation as in Fig. 8. To calculate the EVM defined in (28), the samples are passed through a squared root raised cosine matched filter. It is shown in these figures that at OBO of 3.0 dB from saturation, % is reduced from 0.9% to 0.3% by applying the PD. In Table IV, we present efficiency measurement results. All measurements are made with the same PA, i.e., the 2-W BGAN PUT PA. However, we have varied the output power and the class of PA operation by changing the biasing. From Table IV, we see that with no PD we have to resort to a class-A amplifier with a drain efficiency of only 15.0%. Employing class-AB biasing and PD, we increase the efficiency to

In this paper, a new adaptive PD scheme for memoryless amplifiers suitable for TDMA or burst-type mobile satellite communications has been presented. The algorithm uses a block of the PA output samples to estimate a piecewise least square solution of the PA characteristics. This scheme has been simulated and implemented in real-time on hardware using a 16-QAM modulated signal. A system setup for the implementation of the PD algorithm including the feedback path has been presented. The HW platform contains a nonlinear high PA for mobile satellite communications at the -band. Both simulation and measurement results of the proposed PD scheme for a real PA are presented. The results are presented using the ACI and EVM performance criteria. ACKNOWLEDGMENT The authors would like to thank Prof. N. Holte, Institute of Electronics and Telecommunications, Norwegian University of Science and Technology (NTNU), Trondheim, Norway, for his valuable comments and suggestions for improvements of this paper.

2820

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

REFERENCES [1] J. K. Cavers, “Amplifier linearization using a digital pre distortion with fast adaptation and low memory requirements,” IEEE Trans. Veh. Technol., vol. 39, no. 4, pp. 374–382, Nov. 1990. [2] M. Faulkner and M. Johansson, “Adaptive linearization using predistortion—Experimental results,” IEEE Trans. Veh. Technol., vol. 43, no. 2, pp. 323–332, May 1994. [3] A. S. Wright and W. G. Durtler, “Experimental performance of an adaptive digital linearized power amplifier,” IEEE Trans. Veh. Technol., vol. 41, no. 4, pp. 395–400, Nov. 1992. [4] H. Bebes and T. Le-Ngoc, “A fast adaptive predistorter for nonlinearly -QAM signals,” in Proc. IEEE Global Commun. Conf., amplified Nov.–Dec. 2000, vol. 1, pp. 108–112. [5] N. Safari, J. P. Tanem, and T. Røste, “Block based predistortion for amplifier linearization in burst type mobile satellite communications,” in 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1783–1786. [6] J. W. Wustenberg, H. J. Xing, and J. R. Cruz, “Complex gain and fixedpoint digital predistorters for CDMA power amplifier,” IEEE Trans. Veh. Technol., vol. 53, no. 2, pp. 469–478, Mar. 2004. [7] M. C. Kim, Y. Shin, and S. Im, “Compensation of nonlinear distortion using a predistorter based on the fixed point approach in OFDM systems,” in Proc. Veh. Technol. Conf., May 1998, vol. 3, pp. 2145–2149. [8] J. K. Cavers, “Optimum table spacing in predistorting amplifier linearizers,” IEEE Trans. Veh. Technol., vol. 48, no. 5, pp. 1699–1705, Sep. 1999.

Joar Petter Tanem was born in Steinkjer, Norway, on Mars 2, 1963. He received the M.S. degree in electrical engineering from the Norwegian University of Science and Technology (NTNU), Trondheim, Norway, in 1988. Since 1999, he has been with the Research Department, Nera Satcom, Billingstad, Norway. His research interests are signal processing for digital communication, radio architecture, and communication systems.

M

Nima Safari (S’04) received the B.S. degree in electrical engineering from Sharif University of Technology, Tehran, Iran, in 2002, and the M.S. degree in digital communication systems from Chalmers University of Technology, Göteborg, Sweden, in 2003, and is currently working toward the Ph.D. degree in PA linearization techniques for wireless communications at the Norwegian University of Science and Technology, Trondheim, Norway (in cooperation with the Nera SatCom Research Group). In January 2004, he joined the Nera Telecommunication Research Group, where he was involved in DSP implementation of different adaptive algorithms and schemes for amplifier linearization.

Terje Røste (M’91) was born in Modum, Norway, in 1941. He received the M.S. and Ph.D. degrees in electrical engineering from the Norwegian Institute of Technology, Trondheim, Norway, in 1966 and 1970, respectively. In 1972, he joined the Sintef Research Institute, where he participated in research activities within pulse code modulation (PCM) transmission on paired and coaxial cables. He also performed research activities within digital conversion between frequency-division multiplexing (FDM) and time-division multiplexing (TDM) systems using DSP based on the discrete Fourier transform (DFT) and polyphase digital filter techniques. In 1983, he joined the Department Nera Telecommunications, Elektrisk Bureau Ltd. (now Nera Telecommunications Ltd.), where he was involved in the development of ISDN subscriber line equipment, adaptive equalizers for radio relay equipment, and development of terminals for mobile satellite communications for the Inmarsat systems. While with the Nera SatCom AS Research Group, Nera Telecommunications Ltd., Billingstad, Norway, he has held the position of Research and Development Director and is currently a Senior Research Engineer. In 1998, he became a Part-Time Professor with the Department of Electronics and Telecommunications, Norwegian University of Science and Technology, Trondheim, Norway. From 1997 to 2002, he was the leader of the Norwegian Research Council’s Basic Research Within Telecommunications Research Program. His current research interest is within adaptive coding and modulations for applications within fixed and mobile satellite communications, and adaptive algorithms for PA efficiency enhancement like adaptive PD techniques and associated DSP algorithms and schemes.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2821

Eigencurrent Analysis of Resonant Behavior in Finite Antenna Arrays Dave J. Bekers, Member, IEEE, Stephanus J. L. van Eijndhoven, Alphons A. F. van de Ven, Peter-Paul Borsboom, and Anton G. Tijhuis, Member, IEEE

Abstract—Resonant behavior in a finite array that appears as (modulated) impedance or current-amplitude oscillations may limit the array bandwidth substantially. Therefore, simulations should predict such behavior. Recently, a new approach has been developed, called the eigencurrent approach, which can predict resonant behavior in finite arrays. A study of line arrays of either - or -plane-oriented strips and rings in free space and in half-spaces confirms our conclusion in earlier research that resonant behavior is caused by the excitation of one of the eigencurrents. The eigenvalue (or characteristic impedance) of this eigencurrent becomes small in comparison to the eigenvalues of the other eigencurrents that can exist on the array geometry. We demonstrate that the excitation of this eigencurrent results in an edge-diffracted wave propagating along the surface of the array, which may turn into a standing wave. In that case, the amplitudes and phases of the element impedances show the same standing-wave pattern as those of the excited eigencurrent. We demonstrate that the phase velocity of this wave is approximately equal to or slightly larger than the free-space velocity of light. Finally, we throw light on the relation between the excitation of eigencurrents with a small eigenvalue and the behavior of super directive arrays. Index Terms—Antenna standing wave.

arrays,

eigencurrent,

resonance,

I. INTRODUCTION ESIGNS of antenna arrays are often based on simulations of infinite periodic arrays, where symmetry is used to restrict the analysis to a single element. Such simulations are much less computationally expensive than the brute-force numerical approaches applied to a complete array. Since all element currents are the same, the simulations do not reveal resonant array behavior that appears as impedance or current-amplitude oscillations along the elements of a finite array [1]–[3]. Such behavior may reduce the performance of an array considerably and therewith its bandwidth [4]. Consequently, an accurate prediction of resonant behavior is necessary. Numerous approaches were developed to efficiently compute the electromagnetic behavior of finite arrays [5]–[9][10]. These

D

Manuscript received October 3, 2005; revised February 24, 2006. This work was supported by Thales Nederland and by the Stan Ackermans Institute, Technische Universiteit Eindhoven. D. J. Bekers is with TNO Defence, Security, and Safety, 2509 JG Den Haag, The Netherlands (e-mail: [email protected]). S. J. L. van Eijndhoven and A. A. F. van de Ven are with the Faculty of Mathematics and Computer Science, Technische Universiteit Eindhoven, 5600 MB Eindhoven, The Netherlands. P.-P. Borsboom is with SARA Computing and Networking Services, 1090 GP Amsterdam, The Netherlands. A. G. Tijhuis is with the Faculty of Electrical Engineering, Technische Universiteit Eindhoven, 5600 MB Eindhoven, The Netherlands. Digital Object Identifier 10.1109/TMTT.2006.875446

approaches, however, do not provide a means to trace resonant behavior. Moreover, most of the approaches are based on assumptions that exclude (large) variations of element impedances and currents along the array. For example, in [6], the assumption of slow variation of the element impedances is used and in [5, Sec. VIII], the currents on elements that are typically at least three or four elements away from the edges of the array are computed by the infinite-array approach. Only a few approaches were developed to trace resonant behavior. In [3], resonant behavior due to traveling waves along the surface of an array is studied by decomposing the element currents into Floquet currents (on the corresponding infinite array), currents associated with surface waves, and residual currents. Note that surface-wave behavior has been found experimentally in [11]. The resonant behavior in [3] occurs at frequencies 10%–20% below the frequency for which the array exhibits a “resonant broadside embedded impedance,” for which the element reactances are, i.e., the frequency on average, zero. In this sense, the behavior differs from the resonant behavior described in [1] and [2], which occurs near . Recently, source-free solutions of Maxwell’s equations that represent traveling waves on infinite arrays have been explored to find an explanation for resonances that occur in finite axial arrays of closely spaced loop antennas [12]. These solutions, or modes, are determined from a homogeneous matrix equation that results from collocation applied to eigenfunction expansions of the electromagnetic field. It is suggested that the lowest order mode on the infinite array is the dominant mechanism of a finite array resonance observed in a specific finite axial array of loop antennas. In this respect, we note that the traveling wave represented by this mode turns into a standing wave on the finite array and, thus, causes the mentioned impedance and current-amplitude oscillations. In [13] and [14], we proposed an approach to simulate the behavior of finite arrays. The approach, which we called the eigencurrent approach, leads to rapidly executable simulations and can predict resonant behavior in finite arrays [4], [14, Ch. 6]. In this paper, we first present an outline of the eigencurrent approach. Subsequently, we study resonant behavior in planar uniform line arrays of ring-shaped microstrips (shortly rings) and of parallel rectangular microstrips (shortly strips) in two different ways. The first way is by application of the eigencurrent analysis [4], [14, Ch. 6]. The second way is by an investigation of the phases of the current distributions on line arrays of which only one of the outer elements is excited. Finally, we comment on parameter dependence and sensitivity of the resonant behavior.

0018-9480/$20.00 © 2006 IEEE

2822

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

II. EIGENCURRENT APPROACH In the eigencurrent approach, the behavior of a finite array is described by its eigenvibrations or eigencurrents. These eigencurrents are the eigenfunctions of the impedance operator that relates the current on the array to its excitation field. From a physical point-of-view, the eigencurrents are standing waves of the array. The corresponding eigenvalues represent the characteristic impedances of the eigencurrents. The larger the characteristic impedance of an eigencurrent, the less this eigencurrent contributes to the current. The concept of eigencurrent turns out to be extremely suitable for the design of arrays because the design characteristics and the excitation of specific eigencurrents are one-to-one related. Analysis of the spectrum of the impedance operator, as described in [14], reveals that eigencurrents and corresponding eigenvalues are one-to-one related to sum patterns, to difference patterns, to grating lobes, to modulated impedance oscillations, to impedance variations attributed to surface waves, and to many other properties of the array. The first step of the approach is the determination of the spectrum of a single element. Element eigenvalues and element eigencurrents are computed from a “normalized” moment matrix related to chosen expansion functions for the current on the element. For a ring element, the element eigencurrents are known analytically: , where is the circumferential angle. Subsequently, an inner product is determined for which the element eigencurrents are orthonormal, yielding a diagonal moment matrix. In the second step, the spectrum of the array is determined. On each element, the array eigencurrents are described by linear expansions of the element eigencurrents. The expansion coefficients of the array eigencurrents and their eigenvalues are the eigenvectors and eigenvalues of a moment matrix for the array. In the computation of this matrix, the expansion and test functions are the element eigencurrents, and the inner product is the composition of the element inner products determined in the first step. The array eigencurrents and their eigenvalues are divided into groups, where each group corresponds to a single element eigencurrent. This eigencurrent describes the dominant behavior of the array eigencurrents in the group. The corresponding array eigenvalues evolve from the eigenvalue of the dominant element eigencurrent. The numerical effort in the eigencurrent approach is significantly reduced by computing the moment matrix for the array with only those element eigencurrents that contribute to mutual coupling. The groups of array eigencurrents and array eigenvalues corresponding to these element eigencurrents are thus computed from a reduced moment matrix. The groups of array eigenvalues and array eigencurrents corresponding to the other element eigencurrents follow without numerical computation. For details, we refer to [14, Ch. 5]. By the eigencurrent approach, the current on the elements of a finite array is found as

(1)

Fig. 1. Line array of rings and a line array of strips. The rings and strips are excited by voltage gaps. For the (H -plane-oriented) strips, the positions of these gaps are indicated by black rectangles. For H -plane-oriented rings, the positions are similarly indicated, while for E -plane-oriented rings, they are indicated by black circles.

Here, and are the groups of array eigenvalues and array eigencurrents, is the tangential excitation field, is the number of elements, and the inner orthonormalizes the array eigencurrents. Moreproduct over, is the number of element eigencurrents, which depends on the number of expansion functions used. From (1), it is clear that a group of eigenvalues corresponding to a larger element eigenvalue, in general, contributes less to the current than a group corresponding to a smaller one. The ring and strip elements considered in this paper are typically designed to excite a single element eigencurrent. Consequently, the element currents are predominantly described by the first group of eigenvalues , where we index the groups according to increasing element eigenvalues. We note that the rings and strips are chosen as array elements because the element eigencurrents of a single ring are known analytically and the strip is one of the simplest element geometries for which the element eigencurrents must be determined by computational methods. III. RESONANT BEHAVIOR IN ARRAYS Fig. 1 shows the geometry of the line arrays of rings and of strips. The line arrays are either in free space or in a homogeneous half-space at a distance above an infinitely wide perfectly conducting ground plane. The surfaces of the elements are parallel to the plane and they are modeled as perfectly conducting and infinitely thin. Moreover, the width of the elements is much smaller than the wavelength, while the spacing , ring radius , and strip length are of the same order of magnitude as the wavelength. The elements are excited by voltage gaps with equal phase and amplitude, as indicated in Fig. 1. As in [14], the currents on the rings are averaged with respect to their widths. Consequently, these currents are described by integro-differential equations with logarithmically singular kernels. In [14, Fig. 2], we demonstrated resonant behavior in a line array of 40 -plane-oriented rings in free space and in two halfspaces. In free space, this behavior occurs for the frequencies with and , where is the wavenumber. Since corresponds to , the resonant behavior occurs 12.6% and 15.2% below this frequency. For the two half-spaces, these percentages reduce to approximately 2.8%. Here, we first consider the same array, but the rings are -plane oriented and the array is positioned in a half-space with .

BEKERS et al.: EIGENCURRENT ANALYSIS OF RESONANT BEHAVIOR IN FINITE ANTENNA ARRAYS

2823

Fig. 2. Normalized ring impedances for a line array of 40 E -plane-oriented rings in a half-space excited by voltage gaps of 1 V at the frequencies with: (a) ka = 1:0786, (b) ka = 1:0441, and (c) ka = 1:0378. (d) Phases for ka = 1:0378. Impedances computed by the moment method (3) and by the eigencurrent approach (). Normalization: for each frequency, the corresponding absolute impedance of a single ring. Parameter values: d=a = 3 (d = =2 at ka = 1:047), b=a = 0:06; h=a = 1:5.

In Fig. 2, we depict the normalized absolute impedances for the frequencies with and , computed by the moment method and by the eigencurrent approach. For , the (absolute) impedance pattern is almost uniform, while for the other two frequencies, the pattern exhibits large modulated oscillations of the same shape as in [4, Fig. 2] for -plane-oriented rings in free space. The phase pattern in Fig. 2(d) reveals that the phase distribution along the array is, on average, approximately zero at . The same is valid for , which indicates that corresponds to approximately . Hence, while the resonant behavior for the -plane-oriented rings in free space and in two half-spaces occurs below , the resonant behavior for the -plane-oriented rings in a half-space occurs at . The moment-method result in Fig. 2 is generated with eight cosine/sine expansion functions prescribed on each ring. These functions are the element eigencurrents, and are used as such in the eigencurrent approach. Hence, in (1). The reduced moment matrix is computed with only two element eigencurrents. The other six element eigencurrents are assumed not to contribute to the mutual coupling. The match between the results of the moment method and the eigencurrent approach illustrate the validity of this assumption. For a verification of our moment-method code by comparison with results in the literature, we refer to [14, Sec. 2.5].

Similar modulated oscillations are observed in a line array of 40 parallel, or -plane-oriented, strips in free space for and (modulation with a sine of 1 and 2 peand . riods, respectively). Note that corresponds to , the resonant behavior Since occurs 13.6% and 14.4% below this frequency. These percentages are comparable to those mentioned at the beginning of this section for the line array of 40 -plane-oriented rings in free space in [4]. For the same array of strips, but positioned in a corresponds to , half-space with and while the modulated oscillations appear at , i.e., 5.6%, and 6.2% below . Moreover, tests for the same array as in Fig. 2, but positioned in free space re, but this behavior is veal that resonant behavior occurs at not nearly as pronounced as in a half-space. These results suggest that for -plane-oriented elements, the ground plane shifts the frequencies at which the resonant behavior occurs towards , while for -plane-oriented elements, the ground plane is essential for the occurrence of this behavior. Finally, we note that in [1] and [2], resonant behavior is obfor line arrays of -plane-oriented, or collinear, served at strips in a half-space. The behavior is explained by a traveling wave between the array and ground plane. Our results show that the presence of a ground plane is not essential for the occurrence of the behavior.

2824

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 3. (a) Normalized absolute coefficients in the finite expansion (1) of the current and (b) normalized eigenvalues of the first group for the line array in Fig. 2 for the frequencies with ka = 1:0378(4); ka = 1:0441(); ka = 1:0786(3). The eigenvalues describe curves in the complex plane of which the 1st and 40th eigenvalue are indicated. Normalization: for each frequency, (a) the maximum absolute coefficient and (b) the corresponding absolute element eigenvalue.

IV. EXPLANATION OF RESONANT BEHAVIOR BY EIGENCURRENT ANALYSIS As demonstrated in [4], the resonant behavior occurs because the eigenvalue of one of the array eigencurrents, called the resonant eigencurrent, becomes small with respect to the eigenvalues of the other array eigencurrents. Therefore, the contribution of the corresponding array eigencurrent in (1) is larger than that of other eigencurrents, although its phase distribution does not “fit” at all to the uniform phase distribution of the excitation. Figs. 3 and 4 illustrate these conclusions for the line array in Fig. 3. Fig. 3 shows the normalized absolute expansion coefficients in (1) for the first group of array eigencurrents, i.e., . Note that the behavior of the coefficients is similar to the behavior, at different frequencies, of those for the line array of -plane-oriented rings shown in [4, Fig. 3]. For , the coefficients with even indices are zero, while those with odd indices form a monotonically decreasing sequence. The coefficients with even indices are zero because the corresponding array eigencurrents are antisymmetric along the array. Moreover, the first array eigencurrent is excited most because its coefficients of the dominant element eigencurrents exhibit a uniform phase pattern, which “fits” to the uniform phase pattern of the excitation [14]. For

Fig. 4. (a) Normalized absolute values and (b) phases of the coefficients of the dominant element eigencurrents in the 37th eigencurrent of the first group for the line array in Fig. 2 for the frequencies with ka = 1:0378(4); ka = 1:0441(); ka = 1:0786(3). Normalization: maximum absolute coefficient.

and , the coefficients show the same behavior as the coefficients for , but for , the 39th array eigencurrent has a larger coefficient, while for , both the 37th and 39th array eigencurrent have a larger coefficient. Fig. 3(b) confirms that the corresponding eigenvalues become small with respect to the other eigenvalues. For the same array, but positioned in free space, the eigenvalues follow approximately the same curves as in Fig. 3(b), but none of the eigenvalues becomes really small with respect to the other eigenvalues. Therefore, the resonant behavior is not nearly as pronounced as in a half-space. Fig. 4 shows the distribution of the coefficients of the dominant element eigencurrents in the 37th array eigencurrent. For the -plane-oriented rings, the dominant element eigencurrent is with being the angle with respect to the axis of the line array and being the position of the voltage gaps. Recall that for the -plane-oriented rings in [4], the dominant element eigencurrent is with being the position of the voltage gaps. Fig. 4 confirms the statement in [14] and [4] that the array eigencurrents depend negligibly on the frequency; not only the coefficients, but also the dominant element eigencurrents are the same for the three frequencies under consideration. Since the array eigencurrents also depend negligibly on the element shape, the 39th array eigencurrent for this case is the same as the 39th array eigencurrent in [4, Fig. 4] for the line array of -plane-oriented rings. Fig. 4 and [4, Fig. 4] confirm

BEKERS et al.: EIGENCURRENT ANALYSIS OF RESONANT BEHAVIOR IN FINITE ANTENNA ARRAYS

2825

that the phase distributions of the 37th and 39th array eigencurrent do not “fit” to the uniform phase distribution of the excitation. Moreover, the absolute sine-like amplitude patterns of one and two periods of the 39th and 37th array eigencurrent, respectively, can be clearly observed in the impedance patterns of Fig. 2(b) and (c). V. EXPLANATION OF RESONANT BEHAVIOR IN TERMS OF SURFACE-WAVE EXCITATION In [2], the modulations of the impedance are explained by an edge diffracted wave propagating along the array somewhat faster than the free-space phase velocity. To estimate this phase velocity for line arrays of wires, only one of the outer wires is excited. The resulting currents in the middle of the wires are written as

(2) is the amplitude of the current, and is where is small, the wire index. Next, the phases of these currents are divided by the free-space phase factor to estimate the factor . Following [2] for the line array of -plane-oriented rings in a half-space in Section III, we excite only the first ring by a voltage gap of 1 V. In the original positions of the excitations, we then obtain similar amplitude and phase distributions for the current as in [2]. For the modulations at , these distributions are shown in Fig. 5. The current amplitudes decrease almost monotonically, while the phases, after division by , increase linearly. Since the slope of the curve in Fig. 5 is approximately 0.212 rad, we obtain . According to [2], the period of the modulation of the impedance is estimated by , which is a reasonable approximation of the period estimated from Fig. 2(c), i.e., 27. Next, we consider the same line array, but with -plane-oriented rings (as in [4]), instead of , and . The array shows a similar modulated (absolute) impedance pattern as in Fig. 2(b) and (c); (see [4, Fig. 6]). The results of Fig. 6 show that the amplitudes of the current have the same behavior as in Fig. 5, but the phases do not increase linearly at all. A closer look at the different array geometries reveals that, for the array with -plane-oriented rings and for the line arrays of collinear strips in [2], the spacing between the elements equals or . Hence, the current is divided by . Dividing the current on the array with -plane-oriented rings by as well, we obtain the same linear phase pattern as obtained for -plane-oriented rings, see Fig. 7(a). This is not surprising since, for both arrays, the 37th array eigencurrent is excited and the coefficient distribution of the dominant element eigencurrent is the same for both arrays. Dividing the coefficients of the 37th array eigencurrent by , we obtain the phase pattern in Fig. 7(b). Combining this phase pattern with the amplitude pattern in Fig. 4(a), we observe that the eigencurrent coefficients follow a standing-wave pattern, i.e., a sine of two periods. The total phase shift of this pattern equals , which is larger than

Fig. 5. (a) Current amplitudes and (b) corresponding phases (after division by exp( j (n 1)kd)) in the excitation positions of the line array in Fig. 2. Only the first ring is excited by a voltage gap of 1 V at the frequency with ka = 1:0378. Currents computed by the moment method ( ) and by the eigencurrent approach ( ). Normalization: the corresponding current amplitude of a single ring.

0

0



3

the total phase shift of approximately of the linear phase progression in Figs. 5(b) and 7(a). The reason is that in case only the first ring of the array is excited, the 38th eigencurrent is as much as excited as the 37th eigencurrent. The coefficients of the dominant element eigencurrents in the 38th array eigencurrent, divided by , constitute a sine pattern of 1.5 periods with a total phase shift of . Consequently, the linear phase progression of the current “fits” to the block-like phase distributions of both the 37th and 38th eigencurrent. The linear phase progressions shown in Figs. 5(b) and 7(a) suggest that a wave is propagating along the array surface with phase increment . Its phase velocity equals , where is the free-space velocity of light. For a half-wavelength spacing, this phase velocity equals the phase velocity predicted in [2], i.e., . Hence, for the line array of -plane-oriented rings, the phase velocity is slightly larger than : . For the line array of -plane-oriented rings, the phase velocity is since and . In the same way, we computed the phase velocities of the waves along the arrays in free space. For example, for the line array of -plane-oriented rings in free space in [4, Fig. 2], the phase velocity corresponding to the impedance pattern modulated by the 37th eigencurrent is since and .

2826

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

0

0

= 1:2, and the

Fig. 7. (a) As Fig. 6(b), but the currents are divided by exp( j (n 1) ) instead of exp( j (n 1)kd). (b) As Fig. 4(b), but the coefficients are divided by exp( j (n 1) ).

We also investigated impedance patterns modulated by the 39th eigencurrent as, for example, shown in Fig. 2(b) for -plane-oriented rings. Here, we show results for the array of -plane-oriented rings in Figs. 6 and 7 for . Fig. 8 shows the amplitudes and phases of the currents in the original excitation positions, where only the first ring is excited by a voltage gap. Instead of a monotonically decreasing amplitude, we observe clearly an absolute sine-like pattern. Moreover, the phase does not increase linearly, but shows a kind of step pattern. Hence, in this case, the edge-diffracted wave propagating along the array turns into a standing wave. We observed that the 39th eigenvalue is close to zero in comparison to the other eigenvalues. Moreover, the amplitudes and phases of the current approximate the amplitudes and phases of the eigencurrent coefficients of the dominant element eigencurrent in the 39th array eigencurrent. Those coefficients, divided by , show a sine pattern of one period. For the frequency range in which the impedances are modulated by the 37th eigencurrent, we did not find a frequency at which the propagating wave turns into a standing wave. Apparently, the 37th eigenvalue does not become small enough. Increasing the line-array size from 40 to 100 rings, we did find such a frequency, as illustrated by Fig. 9(a) and (b). Fig. 9(a) shows the modulated (absolute) impedance for the uniformly excited array at . If only the first ring is excited by a voltage gap, we obtain the standing-wave pattern in Fig. 9(b) for the current in the original excitation positions of the line array.

The relative differences in Fig. 9(a) between the normalized peak impedances computed by the eigencurrent approach and those computed by the moment method are larger than the corresponding relative differences in Fig. 2. The eigenvalue corresponding to the resonant behavior in the first figure is closer to zero than the one corresponding to the second figure and, hence, the numerical approximation of the current is more sensitive to computational errors. However, this observation does not completely explain the larger relative differences since, in Fig. 9(a), the normalized impedances computed by the eigencurrent approach and those computed by the moment method match perfectly for magnitudes smaller than three. To compute the impedances of the elements, we invert the current values in the positions of the voltage gaps. The current values corresponding to the normalized peak impedances in Fig. 9(a) are closer to zero than those in Fig. 2 and, hence, may have larger relative approximation errors. Consequently, the relative differences between the normalized peak impedances computed by the eigencurrent approach and those computed by the moment method may be larger. The remaining question is why a certain eigencurrent becomes resonant for a certain array geometry and frequency or why an edge-diffracted wave is launched along an array. For line arrays of -plane-oriented strips or rings in free space or in a half-space, we found different frequencies at which resonant behavior occurs. This suggests that the occurrence of resonant behavior depends on the element shape and the spacing in

Fig. 6. As Fig. 5, but the rings are frequency is such that ka = 0:990.

H -plane oriented, h=a

0

0 0

0

BEKERS et al.: EIGENCURRENT ANALYSIS OF RESONANT BEHAVIOR IN FINITE ANTENNA ARRAYS

Fig. 8. As Fig. 5, but the frequency is such that ka = 0:995 and the phases of the current are divided by exp( j (n 1) ) instead of exp( j (n 1)kd).

0

0

0

0

the array and, consequently, on the near-field characteristics of the element in the array. We also observed that the frequencies at which standing-wave behavior occurs vary slightly with the array size, but the frequency range in which resonant behavior occurs does not. This suggests that the occurrence of resonant behavior depends little on the array length. The resonant behavior studied here corresponds to the behavior of super directive arrays, as studied theoretically in [15] and [16] and experimentally in [17]. As stated in [15], such arrays are characterized by the elements, or sections of elements, being excited out of phase, where the spacing is less than . The eigencurrents that cause the resonant behavior we describe exactly show such a phase distribution [see, e.g., the distribution in Fig. 4(b)]. The magnitudes of the corresponding eigenvalues, located near the origin of the complex plane, vary rapidly as a function of the frequency [see Fig. 3(b)]. This result suggests a rapid increase and decrease of the magnitude of the current and, therewith, a high- resonance, as in super-directive arrays. In [16], amplitude and phase distributions are shown for high directive circular arrays, which are driven by a single element only. These distributions show the same patterns as the distributions shown in Figs. 8 and 9(b). Moreover, comparing the distributions in [16] with the amplitude and phase distributions of eigencurrents, as described in detail in [14, Ch. 5], we observe that the elements in the array are grouped in the same way. These comparisons demonstrate that high and super-directive behavior is obtained by the excitation of a single eigencur-

2827

Fig. 9. Normalized absolute ring impedances for a line array of 100 H -planeoriented rings in a half-space. The frequency is such that ka = 0:995. (a) All rings excited by voltage gaps of 1 V. (b) Only the outer left ring is excited. Currents computed by the moment method ( ) and by the eigencurrent approach ( ). Parameter values: d=a = 3; b=a = 0:06; h=a = 1:2.



3

rent with a small eigenvalue. Finally, as observed in [4], the behavior of the eigenvalues in the complex plane can be used to predict resonant behavior. Since the deformation and translation of the eigenvalue curve in the complex plane is gradual, only two or three simulations may indicate for which frequency resonant behavior can be expected. This feature of the eigencurrent approach may facilitate not only the prediction of resonant behavior, but also the design of super directive arrays. Further research in this direction is necessary. VI. PARAMETER DEPENDENCE AND SENSITIVITY In [1] and [2], it is demonstrated that the period of modulation of the element impedances depends on the wire radius. We found such a dependence as well, but not for all array geometries and frequencies. The presence and absence of such a dependence is due to the presence of a relatively small eigenvalue, by which the current distribution is sensitive to small parameter variations. This observation raises the question what happens to the modulated impedance behavior when the array geometry is randomly perturbed, as in practice. We consider the line array in Fig. 2 excited at . The impedances of the rings then show the modulated pattern in the lower two pictures of Fig. 2. As an example, we consider the following parameter choice for a design at 1 GHz: mm, mm, mm, and mm. Let us assume that the microstrip structures are

2828

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

wave. In that case, the amplitudes and phases of the element impedances show the same standing-wave pattern as those of the excited eigencurrent. We have demonstrated that the phase velocity of the wave is approximately equal to or slightly larger than the free-space velocity of light. Such a demonstration is described in the literature, but our demonstration is valid for a wider range of arrays. Finally, we have demonstrated that the resonant behavior we observe corresponds to the behavior of super-directive arrays demonstrates, which exhibit resonant behavior of high . In particular, super-directive behavior is obtained by exciting a single eigencurrent with a relatively small characteristic impedance. We have arrived at the conclusions stated above by investigating resonant behavior in planar line arrays of rings and strips in free space and half-spaces, which appears as modulated oscillations of the element impedances. In free space, the frequency at which this behavior occurs is 10%–20% below the frequency for which the array exhibits a “resonant broadside embedded impedance,” while in a half-space, this behavior occurs just below or at . Moreover, for -plane-oriented elements, the presence of a ground plane seems to be essential for the occurrence of resonant behavior, while for -plane-oriented elements, the behavior occurs in free space as well as in half-spaces. ACKNOWLEDGMENT

Fig. 10. Normalized absolute ring impedances for a line array of 40 E -planeoriented rings in a half-space excited by voltage gaps of 1 V at: (a) ka = 1:0378 and (b) ka = 1:0786. The array geometry is either uniform (3) or perturbed () with a small random perturbation on radii, widths, and centers. Normalization: for each frequency, the corresponding absolute impedance of a single ring. Parameter values: d=a = 3; b=a = 0:06; h=a = 1:5.

produced with an accuracy of 0.1 mm. The radius , width , and positions of the centers of the rings then exhibit a random error of, at most, 0.1 mm. We assume that the heights of the rings above the ground plane are not perturbed. Fig. 10(a) shows the normalized absolute impedances of the rings for both the uniform array and randomly perturbed array. We observe that the resonant behavior persists and, hence, that the eigenvalue of the resonant eigencurrent is not so much affected that it is no longer small with respect to the other eigenvalues. For comparison, Fig. 10(b) shows the normalized absolute impedances for the same array, but at . The absolute impedances of the uniform line array are only slightly perturbed. VII. CONCLUSION In this paper, we have demonstrated that resonant behavior in finite arrays is caused by the excitation of one of the eigencurrents of the array. The characteristic impedance, or eigenvalue, of this eigencurrent becomes small in comparison to the characteristic impedances of the other eigencurrents that can exist on the array geometry. We have demonstrated that the excitation of this eigencurrent results in an edge-diffracted wave along the surface of the array. This wave may turn into a standing

A portion of this research was carried out while author D. J. Bekers was with the Technische Universiteit Eindhoven, Eindhoven, The Netherlands. REFERENCES [1] R. C. Hansen, “anomalous edge effects in finite arrays,” IEEE Trans. Antennas Propag., vol. 47, no. 3, pp. 549–554, Feb. 1999. [2] C. Craeye and M. Arts, “Modulated oscillations appearing in the scan impedance of a finite phased array,” IEEE Trans. Antennas Propag., vol. 51, no. 9, pp. 2504–2506, Sep. 2003. [3] B. A. Munk, Finite Antenna Arrays and FSS. New York: Wiley, 2003. [4] D. J. Bekers, S. J. L. van Eijndhoven, A. A. F. van de Ven, P.-P. Borsboom, and A. G. Tijhuis, “Analysis of resonant behavior in planar line arrays of rings by the eigencurrent approach,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 333–336. [5] R. Mittra, C. H. Chan, and T. Cwik, “Techniques for analyzing frequency selective surfaces—A review,” Proc. IEEE, vol. 76, no. 12, pp. 1593–1614, Dec. 1988. [6] A. K. Skrivervik and J. R. Mosig, “Analysis of finite phase arrays of microstrip patches,” IEEE Trans. Antennas Propag., vol. 41, no. 8, pp. 1105–1114, Aug. 1993. [7] G. A. E. Vandenbosch and F. J. Demuynk, “The expansion wave concept—Part II: A new way to model mutual coupling in microstrip arrays,” IEEE Trans. Antennas Propag., vol. 46, no. 3, pp. 407–413, Mar. 1998. [8] A. Neto, S. Maci, G. Vecchi, and M. Sabbadini, “A truncated Floquet wave diffraction method for the full wave analysis of large phased arrays—Part I: Basic principles and 2D cases,” IEEE Trans. Antennas Propag., vol. 48, no. 3, pp. 594–600, Mar. 2000. [9] O. AydinÇivi, P. H. Pathak, H.-T. Chou, and P. Nepa, “A hybrid uniform geometrical theory of diffraction—Moment method for efficient analysis of electromagnetic radiation/scattering from large finite planar arrays,” Radio Sci., vol. 35, no. 2, pp. 607–620, Mar.–Apr. 2000. [10] C. Craeye, A. G. Tijhuis, and D. H. Schaubert, “An efficient MoM formulation for finite-by-infinite arrays of two-dimensional antennas arranged in a three-dimensional structure,” IEEE Trans. Antennas Propag., vol. 52, no. 1, pp. 271–281, Jan. 2004. [11] H. W. Ehrenspeck and H. Poehler, “A new method for obtaining maximum gain from Yagi antennas,” IRE Trans. Antennas Propag., vol. AP-7, no. 4, pp. 379–386, Oct. 1959.

BEKERS et al.: EIGENCURRENT ANALYSIS OF RESONANT BEHAVIOR IN FINITE ANTENNA ARRAYS

[12] S. Li and R. W. Scharstein, “Periodic structure eigenmodes for simple linear arrays,” in Proc. IEEE AP-S Int. Symp./USNC/URSI Nat. Radio Sci. Meeting, Washington, DC, July 2005, p. 170. [13] D. J. Bekers, S. J. L. van Eijndhoven, A. A. F. van de Ven, P.-P. Borsboom, and A. G. Tijhuis, “Modeling and analysis of finite phased arrays of microstrip antennas—An eigenvector approach,” in Proc. Int. Electromagn. Adv. Applicat. Conf., Turin, Italy, Sep. 2003, pp. 561–564. [14] D. J. Bekers, Finite Antenna Arrays: An Eigencurrent Approach. Eindhoven, The Netherlands: Tech. Univ. Eindhoven. [Online]. Available: http://alexandria.tue.nl/extra2/200411410.pdf [15] V. Veremey, “Superdirective antennas with passive reflectors,” IEEE Antennas Propag. Mag., vol. 37, no. 2, pp. 16–27, Apr. 1995. [16] G. Fikioris, R. W. P. King, and T. T. Wu, “The resonant array of electrically short elements,” J. Appl. Phys., vol. 68, no. 2, pp. 431–439, July 1990. [17] J. Shefer, “Periodic cylinder arrays as transmission lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-11, no. 1, pp. 55–61, Jan. 1963. Dave J. Bekers (M’06) was born in Breda, The Netherlands, in 1974. He received the M.Sc. degree in mathematics, P.Deng. degree, and Ph.D. degree from the Technische Universiteit Eindhoven, Eindhoven, The Netherlands, in 1999, 2001, and 2004, respectively. He carried out his final project for the postgraduate program “Mathematics for Industry” and his Ph.D. project with Thales Nederland, Hengelo, The Netherlands, in the field of array antennas. Since November 2004, he has been with TNO Defence, Security, and Safety, Den Haag, The Netherlands.

Stephanus J. L. van Eijndhoven was born in SintOedenrode, The Netherlands, in 1956. He received the M.Sc. degree in mathematics and Ph.D. degree from the Technische Universiteit Eindhoven, Eindhoven, The Netherlands, in 1979 and 1983, respectively. Since 1983, he has been involved in the field of functional analysis. He is currently the Director of the postgraduate program “Mathematics for Industry” with the Technische Universiteit Eindhoven.

Alphons A. F. van de Ven received the M.Sc. degree in mechanical engineering and Ph.D. degree from the Technische Universiteit Eindhoven, Eindhoven, The Netherlands, in 1965 and 1975, respectively. Since 1985, he has been an Associate Professor with the Centre for Analysis, Scientific Computing, and Applications (CASA) Group, Department of Mathematics and Computer Science, Technische Universiteit Eindhoven, where he has specialized in continuum mechanics and industrial mathematics. He teaches in theoretical mechanics and continuum

2829

mechanics, and he performs research in continuum mechanics, inclusive of interactions in thermal and electromagnetic fields. One of his current fields of interest is the flow behavior of polymer melts and blends. He is the coordinator of the T-stroom (orientation towards technical applications) of the postmaster programme “Mathematics for Industry.” As such, he presents a course on mathematical physics/industrial mathematics entitled “Modeling and Mathematical Solution Techniques” and he supervises modeling projects and final projects. He is a member of the research school Engineering Mechanics (EM) and member of the Editorial Board of the Journal of Engineering Mathematics. He has supervised a series of masters projects, and has been involved, either as co-promotor or committee member in several doctoral projects.

Peter-Paul Borsboom was born in Rijswijk (Z-H), The Netherlands, in 1966. He received the M.Sc. degree in applied physics and Ph.D. degree from Delft University of Technology, Delft, The Netherlands, in 1989 and 1994, respectively. His thesis was entitled “Field Analysis of Integrated Optical Gratings.” From 1994 to 1997 , he was involved with the modeling of the scattering of radio waves by two-dimensional objects as a Higher Scientific Officer with the Rutherford Appleton Laboratory, Chilton, U.K. From 1997 to 2005, he participated in the research and development of phased-array systems with Thales Nederland BV, by modeling array antennas in their complex environments, and as member of the antenna and system engineering teams. In 2005, he became a consultant with SARA Computing and Networking Services, Amsterdam, The Netherlands. He is currently involved with a solution to manage and store massive data streams generated by the LOFAR radio telescope currently being developed in The Netherlands.

Anton G. Tijhuis (M’88) was born in Oosterhout N.B., The Netherlands, in 1952. He received the M.Sc. degree in theoretical physics from Utrecht University, Utrecht, The Netherlands, in 1976, and the Ph.D. degree (cum laude) from the Delft University of Technology, Delft, The Netherlands, in 1987. From 1976 to 1986 and 1986 to 1993, he was an Assistant and Associate Professor with the Laboratory of Electromagnetic Research, Faculty of Electrical Engineering, Delft University of Technology. In 1993, he became a Full Professor of electromagnetics with the Faculty of Electrical Engineering, Eindhoven University of Technology, Eindhoven, The Netherlands. He has been a Visiting Scientist with the University of Colorado at Boulder, the University of Granada, Granada, Spain, the University of Tel Aviv, Tel Aviv, Israel, and with McDonnell Douglas Research Laboratories, St. Louis, MO. Since 1996, he has been a Consultant with TNO Defence, Security, and Safety, The Hague, The Netherlands. His research interests are the analytical, numerical, and physical aspects of the theory of electromagnetic waves. In particular, he is involved with efficient techniques for the computational modeling of electromagnetic fields and their application to detection and synthesis problems from several areas of electrical engineering.

2830

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Planar Antennas in LTCC Technology With Transceiver Integration Capability for Ultra-Wideband Applications Grzegorz Brzezina, Student Member, IEEE, Langis Roy, Member, IEEE, and Leonard MacEachern, Member, IEEE

Abstract—We present two novel ultra-wideband (UWB) antennas embedded in a low-temperature co-fired ceramic (LTCC) package designed to house the UWB transceiver chip. Given their planar topology, circuit integration possibilities, and compact size, a partial ground-plane triangular monopole antenna (PGP-TM) and an antipodal Vivaldi antenna (AVA) are fully characterized. The performance in both the frequency and time domain are presented. The PGP-TM employs parasitic elements for tuning of the antenna’s return loss. The PGP-TM antenna’s measured 3.5–6.5-GHz bandwidth and omnidirectional pattern with 0-dB gain is suitable for the direct-sequence UWB (DS-UWB) lower subband, while the AVA’s measured bandwidth of 3.35 GHz from 6.65 to 10 GHz and 5-dB gain make it suitable for the DS-UWB upper subband. The complete LTCC module containing the PGP-TM measures only 30 mm 25 mm 1.2 mm, while the AVA module measures 50 mm 25 mm 1.2 mm. Both LTCC modules can accommodate transceiver electronics because of a specially designed circuit feature. The effects of path loss can be canceled by combining these antennas in a transmission system. These are believed to be the first demonstrations of system-in-package technology for UWB applications. Index Terms—Antipodal Vivaldi antenna (AVA), low-temperature co-fired ceramic (LTCC), path loss, system-in-package (SIP), ultra-wideband (UWB) antennas.

I. INTRODUCTION HE development of ultra-wideband (UWB) technology for short-range high-speed wireless communication is progressing rapidly. A UWB antenna should be effective in transmitting very short and low-power pulses in the 3.1–10.6-GHz range. Ideally, the UWB antenna should be compact, planar, low-cost, and reliable. Compatibility and ease of integration with electronics is also desirable. Recently, UWB antenna designs have been presented that achieve very broad impedance bandwidths without evaluating the response in the time domain to a pulse excitation [1], [2]. Other work has shown a UWB slot antenna realized in low-temperature co-fired ceramic (LTCC) technology [3]. However, this design does not show any method for integrating transceiver electronics. The development of compact modules that intimately combine the antenna and transceiver electronics is receiving increasing interest, although the LTCC receiver presented in [4] does not contain the antenna. Advances in circuit miniaturization and packaging technology have made the goals of

T

Manuscript received October 17, 2005; revised January 26, 2006. The authors are with the Department of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6 (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875448

Fig. 1. PGP-TM in LTCC (angled view).

smaller size and lighter weight attainable for a general packet radio service (GPRS) mobile application [5]. In this paper, we build upon the results presented in [6] and propose a second system-in-package (SIP) for UWB using LTCC technology. A novel partial ground-plane triangular monopole (PGP-TM) antenna having parasitic elements and a compact antipodal Vivaldi antenna (AVA) are employed. Both antennas have transceiver circuit integration capabilities. Time- and frequency-domain performances are investigated for a pair of identical and mixed antennas. Path loss causes a roll-off in received power with frequency [7], but the results for the latter case demonstrate effective path-loss compensation. II. LTCC PGP-TM ANTENNA DESIGN Recently, a simple antenna topology that incorporates a microstrip feed and a partial ground plane has been used to design broadband antennas [2]. This topology makes it possible to combine the antenna with integrated RF electronics and passive lumped components. Also, there is no need for a costly and performance-limiting balun or a large matching network, as in [8]. The PGP-TM employed here consists of a top metal layer, which differs from that in [1] by its triangular shape and the addition of adjacent parasitic elements. Fig. 1 shows the configuration of the proposed UWB antenna. The PGP-TM was designed to operate in the lower frequency band as defined by the direct-sequence UWB (DS-UWB) group [9]. Table I displays the performance and material specifications. The topology of the PGP-TM mimics a bow-tie shape to facilitate a wide impedance bandwidth. The microstrip feed line diverges linearly at an angle of 40 to make the bow-tie-shaped section. The partial ground plane terminates when the top layer metallization begins to diverge to form the

0018-9480/$20.00 © 2006 IEEE

BRZEZINA et al.: PLANAR ANTENNAS IN LTCC TECHNOLOGY WITH TRANSCEIVER INTEGRATION CAPABILITY FOR UWB APPLICATIONS

2831

TABLE I LTCC-BASED PGP-TM SPECIFICATIONS

TABLE II PGP-TM DIMENSIONS

Fig. 2. PGP-TM antenna topology (without circuit feature).

triangular-monopole-shaped radiating element. A rectangular metal area forms the rest of the main section of the antenna. Two novel triangular parasitic elements on either side of the bow-tie section serve as capacitive loads. Capacitive loading reduces the input impedance variation with frequency of the antenna while maintaining its efficiency. The capacitance can be adjusted by varying the separation between the parasitic elements and the main part of the antenna. This feature provides another important parameter that can be used to change the performance of the antenna. The effect of parasitic element separation was explored using HFSS and is shown in Section V. The microstrip feed section was designed for a characteristic impedance of 50 , resulting in a microstrip width of 1.8 mm. A parametric analysis in HFSS was used to vary the other dimensions of the antenna. The final optimized dimensions of the LTCC-based PGP-TM antenna are presented in Table II. The area requirements for this design are less than 25% of those for the LTCC UWB antenna in [3]. The descriptions of the variables in Table II are as follows: and represent the lengths of the substrate, partial ground plane, and monopole section, respectively. and represent the widths of the substrate, microstrip feed, and monopole section, respectively. Fig. 2 shows a frontal view of the PGP-TM antenna with all the dimensions labeled accordingly.

Fig. 3. LTCC circuit feature for UWB transceiver chip (top).

The circuit feature is placed 3.25 mm away from the adjacent microstrip feed line of the antenna to minimize interference. The circuit feature consists of a cavity with a grounded floor. The cavity has a footprint of 3 mm 4 mm to ensure that even large microchip dies can be used. Metal traces were drawn along one edge of the cavity. In this way, a daughter board could be easily attached to the LTCC substrate to feed ground and power to the microchip. A second 50- microstrip line was added to serve as an alternate output port. The microchip itself would be placed inside the cavity and bonded to the ground plane with conductive epoxy. Bond wires would be used to connect the microchip to the output of the antenna. Bond wires add inductance (sometimes advantageously) to the circuit, and any chip insertion or accompanying measurements must take them into account.

III. PLANAR CIRCUIT INTEGRATION The LTCC substrate was not only selected for its superior microwave properties (low loss and dispersion) that are not necessarily found in “standard technology,” such as FR-4, but to take advantage of its integration capabilities. As a demonstration of SIP design, the PGP-TM antenna required the multilayer aspects of LTCC to integrate a circuit feature that could accommodate a microchip die. The choice of 12 layers was made to obtain the correct substrate height and to permit the realization of the circuit cavity, which is eight layers deep, without compromising structural integrity. Importantly, the antenna can operate with or without a functional microchip die. Fig. 3 shows the circuit of the chip in detail.

IV. MEASUREMENT SETUP Radiation pattern measurements were performed inside an anechoic chamber. An Agilent 8720ES vector network analyzer and a computer workstation running FR959 far-field measurement software was used. Pattern cuts in the two principal planes (i.e., azimuth and elevation) were taken at 4, 6, and 8 GHz. The azimuthal plane measurement constitutes rotation in the plane, which is the plane of the antenna. An elevation plane measurement is performed in the orthogonal plane. Scattering parameter measurements were performed within a Faraday cage to minimize environmental interference. First, the return loss of each antenna was obtained to verify broad-

2832

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 5. LTCC-based PGP-TM S

measurement.

Fig. 4. Effect of parasitic element separation.

band impedance matching. Then, the transmission coefficient or of a two-antenna system (using identical antennas under test) was measured to assess linear phase and flat amplitude response. Measurements were performed at 20 and 75 cm to confirm the results. Dotted lines of best fit are included to capture the essential behavior and remove effects due to the nonideal environment. These lines are generated by MATLAB’s curve fitting function and show the best prediction for the variation of and group delay with frequency. Time-domain measurements were performed with a burst CW UWB pulse generator, Agilent 86100A wide-bandwidth oscilloscope, and a computer workstation. An ideal base line for the measurements was created by directly connecting two ports of the oscilloscope. V. PGP-TM SIMULATED AND MEASURED RESULTS As discussed earlier, the parasitic elements on either side of the main body of the PGP-TM have a large impact on its performance. Fig. 4 shows the effect of changing the separation distance between the elements and the antenna body on the return loss. Increasing the distance of the elements has the effect of reducing the of the antenna. At a distance of 1.5 mm, the match is very good, while at 3.0 mm the match is poorer but the impedance bandwidth has increased by almost 1 GHz. A distance of 2.0 mm was chosen to be optimum. It provides a good compromise between a high and broad bandwidth. Frequency domain -parameter and radiation pattern measurements along with time-domain pulse responses were obtained to fully characterize the antenna. As shown in Fig. 5, a total impedance bandwidth of 2.96 GHz from 3.57 to 6.53 GHz was measured. The agreement with the simulated results is good. The transmission coefficient of a two-antenna system, or , is an important frequency-domain indicator of the time-domain performance of a UWB antenna [10], [11]. For good performance, the magnitude of should be flat over the same bandwidth that the voltage standing wave ratio (VSWR) is low. All of the frequency components in the frequency range where

Fig. 6. Measured S

for LTCC-based PGP-TM.

is near constant will be transmitted equally. Verifying that the phase of is linear over the bandwidth should not be omitted. A linear phase represents the application of a constant time delay to all of the frequency components of the transmitted signal. Achieving these two aspects of the transmission coefficient over the bandwidth of the UWB signal guarantees that distortion will be minimized. Fig. 6 displays the magnitude and phase of along with group delay. These measurements were obtained from a two-antenna system using identical PGP-TM antennas in a Faraday cage. The measurements were performed at two different distances to average out variations. The dashed lines show the best prediction for the variation of and group delay with frequency. In the antenna’s operating band, the magnitude of shows a variation of 7 dB when a line of best fit, with the equation , is applied. The trend with frequency is decreasing at 18 dB per decade. The phase of is linear beyond 4.5 GHz. Even with some initial instability before 4 GHz, the group delay

BRZEZINA et al.: PLANAR ANTENNAS IN LTCC TECHNOLOGY WITH TRANSCEIVER INTEGRATION CAPABILITY FOR UWB APPLICATIONS

Fig. 7. Measured azimuthal patterns for LTCC PGP-TM at 4 GHz.

2833

Fig. 8. Measured elevation patterns for LTCC PGP-TM at 4 GHz.

stays within a variation of 1.8 ns in the operating band of the antenna when a seventh-degree polynomial is fitted to the data. can be The decreasing trend of 20 dB per decade for readily explained by using the Friis transmission equation [12]

(1) is dependent on The requirement to maintain a flat system the ability to achieve a constant product that is close to the regulatory limit. However, a property of antennas called path loss has a frequency dependence that is described as and is given by

(2)

path loss

Fig. 9. Measured azimuthal patterns for LTCC PGP-TM at 6 GHz.

In decibels, the free-space path-loss dependency from GHz to GHz is given by

dB/dec

(3)

Antenna gain in general also has a frequency dependence. However, in this case, it is virtually constant due to the monopole being considerably less than over all frequencies. Therefore, omnidirectional or constant gain antennas cause the received power to decrease as (or 20 dB per decade) because of the path loss[7]. Radiation pattern cuts at 4 and 6 GHz in the two principal planes are shown in Figs. 7–10. The azimuthal cut corresponds to the plane of the antenna, while the elevation cut corresponds to an orthogonal plane. They indicate monopole-like patterns with omnidirectional radiation in elevation and gain of approximately 0 dB. At 6 GHz, the cross-polarized levels are, in general, below 15 dB, but are noticeably higher at 4 GHz due to

inadequate shielding of the antenna test fixture. The radiation performance of this antenna is stable over the entire band with decreased ripples at higher frequencies. Time-domain measurements were performed with identical PGP-TM antennas mounted on plastic columns and placed 50 cm apart. To create a near-ideal benchmark case, a cable was used to directly connect the pulse generator to the oscilloscope. A burst CW UWB pulse centered at 6 GHz and having a bandwidth of 2 GHz was applied to the antenna to obtain the time-domain response [13]. The spectral content (normalized to peak power) of the burst CW pulse satisfies the UWB spectrum mask as defined by the FCC. Fig. 11 shows the response in the time domain for this antenna system superimposed on the pulse of the benchmark case with normalized amplitudes. The antenna produces a pulse shape that is very well maintained with little dispersion or distortion evident in the received pulse. The correlation coefficient is calculated to be 94.56%.

2834

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE III LTCC AVA SPECIFICATIONS

Fig. 10. Measured elevation patterns for LTCC PGP-TM at 6 GHz.

Fig. 11. LTCC-based PGP-TM response in the time domain.

VI. COMPACT ANTIPODAL VIVALDI DESIGN utilizing two PGP-TM antennas To achieve a flat system or, in general, any two omnidirectional radiators with sizes less than would require a method to increase the gain of the system at higher frequencies. As shown in Section V, in the band from 1 to 10 GHz, path loss increases linearly with a slope of 20 dB/dec. Therefore, to maintain a flat , we would need an amplifier, the gain of which increases at the same rate to cancel the path loss. However, this adds to system complexity and cost. Another more elegant solution exists that takes advantage of the fact that the gain of most directional antennas increases at the same rate with frequency as path loss so that they naturally cancel each other. This can be seen when antenna gain is defined in terms of antenna effective aperture as

(4)

Conversely to omnidirectional antennas, the effective aperture of most directional antennas increases as , thus causing the radiation pattern to narrow and for gain to increase. Therefore, a link that includes one omnidirectional and one directional antenna would result in a flatter system while keeping complexity unchanged [7]. A directional antenna was designed based on a Vivaldi topology. Planar Vivaldi antennas have been used in radar-like communications since 1979 [14]. They are a form of tapered slot radiators that support traveling waves. Ground-penetrating radar applications [15] and UWB communications studies [16] have shown that this antenna can preserve the shape of transmitted UWB pulses. The performance of this antenna is limited by the need for a wideband balun. Considerable effort is required to give broadband performance since the feed structure is so complicated. Traditionally, a Marchand-type stripline to slot feed transition is used [17]. More recently, a type of Vivaldi antenna (known as the antipodal Vivaldi antenna) has been developed that overcame these problems by enabling a simple microstrip feed method [18], [19]. The AVA is a two-layer design that uses a microstrip feed. While making the feed simpler, the AVA also inherits the good time-domain performance of the original tapered slot antenna. The AVA is a dual-layer structure composed primarily of three different features: a microstrip feed, a paired-strip middle section, and a radiating section. The smooth transition from microstrip to radiating section allows for broadband performance. The transition region is responsible for connecting the highly capacitive feed structure to the inductive radiating section [20]. The radiating section is formed by the metallizations on either side of the substrate that flare in opposite directions, forming a tapered slot. Given the promising characteristics of the AVA, a compact version of this antenna, measuring only 50 mm 25 mm, was designed to operate in the upper frequency band as defined by the DS-UWB group. The upper band occupies the spectrum from 6.2 to 9.7 GHz. The complete design specifications are provided in Table III. The physical characteristics of the Vivaldi antenna that affect its operation are the mathematical description of the radiating tapers, length, and width of the transition region and the groundplane patterning. Fig. 12 labels these critical dimensions. The first step in the design process is to design the microstrip feed for a - characteristic impedance. If represents the width of the microstrip line and represents the height of the dielectric substrate, then the required ratio is [21] (5)

BRZEZINA et al.: PLANAR ANTENNAS IN LTCC TECHNOLOGY WITH TRANSCEIVER INTEGRATION CAPABILITY FOR UWB APPLICATIONS

2835

TABLE IV LTCC AVA DESIGN PARAMETERS (mm)

Fig. 12. AVA schematic.

where

Fig. 13. LTCC-based AVA.

The variable substitutions were made based on the material information provided in Table III, resulting in a microstrip width of 1.8 mm. The ground plane slowly tapers along the AVA to transform the microstrip structure to a paired strip transmission line. The equation of this taper is circular with a radius calculated from

is circular in shape and has the same radius defined by (6). The exterior edge of the flare is elliptical in shape and is defined by (9) (10)

mm

(6)

is made to be on the edge of the The center of the circle substrate and a distance defined by (7) away from the feed point

mm

(7)

Using [22], the width of the paired strip line to maintain is

(8) is the width of the paired line, is the thickness where of the dielectric substrate, and This resulted in a paired strip width of 1.92 mm. The paired strip width deviates more from the width of a simple microstrip line when the ratio of the microstrip width to substrate thickness is larger. An empirical analysis established the optimum length of the paired strip to be 1 mm. At the end of the transition region, the paired strip metallization on either side of the substrate widens in opposite directions to become the radiating section of the antenna. The shape of this flare is critical to the performance of the AVA and should be as smooth as possible to improve high-frequency performance. The interior of the flare

is the location of the center of the ellipse along where the edge of the AVA and, after optimizations, was selected to be 15 mm away from the feed plane, and and are the length and width of the AVA, respectively. The length of the Vivaldi antenna is approximately one wavelength at the lowest frequency of operation, while the width is approximately half of one wavelength. The elliptical equations were developed to improve low-frequency performance by maximizing the width of the slot aperture. Here, the lowest frequency of operation is 6.2 GHz, which corresponds to a length and width of 48 and 24 mm, respectively. After optimizations, the final dimensions of the AVA were found to be 50 mm in length and 25 mm in width. Table IV lists all of the dimensions of the AVA calculated from (5)–(10). VII. COMPACT ANTIPODAL VIVALDI SIMULATED AND MEASURED RESULTS Fig. 13 shows the AVA antenna discussed in the previous section. As with the PGP-TM antenna, the AVA includes a circuit feature that can accommodate a microchip die. The return loss results of Fig. 14 show an impedance bandwidth from 6.65 to 10 GHz with good agreement between HFSS and the measured values. The measured results, which are shown in Fig. 15, of a two-AVA system show a very stable magnitude variation. The variation is within 5 dB in the operating band of the antenna when a line of best fit, whose equation is , is used. As expected, the magnitude shows an increasing trend with frequency of almost 20 dB per decade in the range from

2836

Fig. 14. Measured jS

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

j

of LTCC-based AVA.

Fig. 16. Measured azimuthal patterns for LTCC AVA at 6 GHz.

Fig. 17. Measured elevation patterns for LTCC AVA at 6 GHz. Fig. 15. Measured S

of LTCC-based AVA.

1 to 10 GHz. The phase is very linear in this band. This leads to a very stable group delay that has a 0.5-ns variation when a seventh-degree polynomial is fitted to the data. Radiation pattern cuts at 6 and 8 GHz in the two principal planes are shown in Figs. 16–19. In all cases, the agreement between the simulated and measured radiation patterns is very good. The radiation pattern is stable and shows no degradation at 8 GHz. The plots indicate a boresight gain of 2.76 dB at 6 GHz and 5.1 dB at 8 GHz. At 6 GHz, the cross-polarized levels are 20 dB below the copolarized levels in both principle planes. These results show that, along with the small increase in gain, the LTCC substrate provides good high-frequency radiation performance. A summary of the simulated and measured radiation parameters is provided in Table V. The excellent results for this antenna would indicate good performance in the time domain. Fig. 20 confirms this supposition. The response in the time domain is very well matched to the ideal case and has a correlation coefficient of 93.54%. This confirms that frequency-domain parameters such as linear phase

Fig. 18. Measured azimuthal patterns for LTCC AVA at 8 GHz.

and a small variation in the group delay indicate good time-domain performance. This design shows that compact Vivaldi antennas can be made to operate at relatively low frequencies and be combined with planar integrated circuits.

BRZEZINA et al.: PLANAR ANTENNAS IN LTCC TECHNOLOGY WITH TRANSCEIVER INTEGRATION CAPABILITY FOR UWB APPLICATIONS

2837

TABLE VI GAIN AND LINK PERFORMANCE FOR ELECTRICALLY SMALL ANTENNAS

Fig. 19. Measured elevation patterns for LTCC AVA at 8 GHz.

TABLE V LTCC-BASED AVA RADIATION PATTERN RESULTS COMPARISON

Fig. 21. Measured plied).

Fig. 20. Measured LTCC-based AVA time-domain response.

VIII. MEASURED RESULTS FOR A MIXED ANTENNA SYSTEM As discussed in Section VI, a communications link employing an omnidirectional and a directional antenna will minimize the effects of path loss on . For comparison, four distinct combinations of electrically small transmit and receive antennas are listed in Table VI along with the relationship between gain and received power.

j

S

j

for mixed-antenna system (with lines of best fit ap-

Case 1 refers to a communications link consisting of omnidirectional transmit and receive antennas; case 2 consists of an omnidirectional transmitter and directional receiver; cases 3 and 4 both consist of directional transmit and receive antennas but have decreasing or flat transmit power spectrums, respectively. In case 1, the constant gain antennas cause the received power to decrease as (or 20 dB per decade) because of path loss. This shortcoming must be compensated for by the transmitter designer. Case 2 does not have this shortcoming since the increasing gain of the receive antenna cancels the increasing path loss with respect to frequency. This is also true in case 3, however, a transmit power that decreases as is used to achieve a flat spectrum. In case 4, a flat transmit power results in a spectrum that increases as (or 20 dB per decade). In cases 2 and 3, the received power will be higher relative to case 1 [7]. The combination measured here corresponds to case 2. A link consisting of a PGP-TM and an AVA was tested, and the results are shown in Fig. 21. The curve for this configuration is compared to those previously obtained for two PGP-TMs and two AVAs. As expected, the response in the range from 1 to 10 GHz is flatter. The trend is increasing with a variation of 8 dB per decade when a line of best fit, with equation , is used. The curve would be even flatter if the operating frequencies for these antennas were to overlap more. A comparison of the time-domain response with the ideal case is shown in Fig. 22. The plot indicates very little distortion or dispersion. The flatter curve leads to a better time-

2838

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Near distortion-less transmission can be achieved by combining these antennas in a transmit–receive system. The response in the time domain showed the smallest amount of distortion of the three cases studied here. This study shows that a compact SIP for UWB communications can be achieved using LTCC. ACKNOWLEDGMENT The authors would like to thank K. Kautio along with the micromodules group at VTT Technical Research Center of Finland that helped make this work possible. REFERENCES

Fig. 22. Measured time-domain response for a mixed-antenna system.

domain response that has a correlation coefficient of 96.59%, which is 2.03% better than the case with two PGP-TMs and 3.05% better than the case with two AVAs. IX. CONCLUSION The feasibility of designing and fabricating small, versatile, UWB-compliant antennas has been investigated. The DS-UWB implementation was selected as the target application. Requirements and practical design guidelines for UWB antennas were formulated before the design and full characterization of two novel antennas was undertaken. The most important requirements are that a candidate UWB antenna have sufficient impedance bandwidth and a system that has a flat magnitude and linear phase. These requirements are most likely met by antennas that support traveling waves or that have a low . Most often, these antennas incorporate tapers or rounded edges to give surface currents a smooth path to follow. A practical LTCC UWB antenna with a simple feed structure and special circuit feature useful for UWB transceiver chip integration has been demonstrated. A return loss below 10 dB from 3.57 to 6.53 GHz was obtained for the PGP-TM. This antenna has the advantages of compact size, omnidirectional radiation pattern and simple implementation. Good agreement was obtained between the measurements and simulations generated by HFSS. Radiation patterns were measured in an anechoic chamber at 4 and 6 GHz. The response in the time domain of the antenna to a burst CW signal was measured and exhibits low distortion. A compact AVA that also incorporates a novel circuit feature has been demonstrated. The antenna shows an increasing system slope of 19 dB per decade—making this antenna effective at path-loss cancellation. The impedance bandwidth was 3.35 GHz, from 6.65 to 10 GHz. This makes it suitable for use in the upper band of the DS-UWB implementation. Gain was measured to be 5 dB at 8 GHz. A UWB pulse was transmitted and received with very little added distortion in an antenna system utilizing these AVAs.

[1] S. H. Choi, J. K. Park, S. K. Kim, and J. Y. Park, “A new ultra-wideband antenna for UWB applications,” Microw. Opt. Technol. Lett., vol. 40, no. 5, pp. 399–401, 2004. [2] J. Liang, C. Chiau, X. Chen, and C. Parini, “Printed circular disc monopole antenna for ultra-wideband applications,” Electron. Lett., vol. 40, pp. 1246–1247, Sept. 2005. [3] C. Ying and Y. Zhang, “Integration of ultra-wideband slot antenna on LTCC substrate,” Electron. Lett., vol. 40, pp. 645–646, May 2004. [4] L. Pergola, R. Vahldieck, U. Gobel, and P. Nuchter, “An LTCC-based 5–6 GHz receiver with integrated antenna,” in Proc. 7th Eur. Conf. Wireless Technol., Oct. 2002, pp. 165–168. [5] Y. Lin, C. Liu, K. Li, and C. Chen, “Design of an LTCC tri-band transceiver module for GPRS mobile applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2718–2724, Dec. 2004. [6] G. Brzezina, L. Roy, and L. MacEchern, “LTCC ultra-wideband antenna with transceiver integration capability,” in Proc. 35th Eur. Microw. Conf., Oct. 2005, pp. 2011–2014. [7] H. G. Schantz, “Introduction to ultra-wideband antennas,” in Proc. IEEE Conf. Ultra Wideband Syst. Technol., Nov. 16–19, 2003, pp. 1–9. [8] A. Saitou, T. Iwaki, K. Honjo, K. Sato, T. Koyama, and K. Watanabe, “Practical realization of self-complementary broadband antenna on low-loss resin substrate for UWB applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 2, pp. 1265–1268. [9] R. Fisher, R. Kohno, M. McLaughlin, and M. Welborn, DS-UWB Physical Layer Submission to 802.15 Task Group 3a Jul. 2004. [10] Q. Ye, “Time domain response of ultra wideband dipole antennas,” in Proc. Antem/URSI Conf. Antenna Technol. Appl. Electromagn., Ottawa, ON, Canada, Jul. 2004, pp. 661–664. [11] G. M. Brzezina, “Planar antennas in LTCC technology for ultra-wideband applications,” M.S. thesis, Dept. Electron., Carleton Univ., Ottawa, ON, Canada, 2005. [12] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005, pp. 636–665. [13] D. Ball, P. Charlebois, and W. Lauber, “Ultra-wideband signal sources for interference measurements,” in Proc. IEEE Int. Conf. Ultra-Wideband, Sep. 2005, pp. 621–626. [14] P. Gibson, “The Vivaldi aerial,” in Proc. 9th Eur. Microw. Conf., 1979, pp. 101–105. [15] V. Mikhnev and P. Vainikainen, “Wideband tapered-slot antenna with corrugated edges for GPR applications,” in Proc. 33rd Eur. Microw. Conf., 2003, vol. 2, pp. 727–729. [16] W. Sorgel, C. Waldschmidt, and W. Wiesbeck, “Transient responses of a vivaldi antenna and logarithmic periodic dipole array for UWB communication,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., 2003, vol. 3, pp. 592–595. [17] J. Shin and D. H. Schaubert, “A parameter study of stripline-fed vivaldi notch-antenna arrays,” IEEE Trans. Antennas Propag., vol. 47, no. 5, pp. 879–886, May 1999. [18] E. Gazit, “Improved design of the Vivaldi antenna,” Proc. IEE Microw., Antennas Propag., vol. 135, no. 2, pp. 89–92, 1988. [19] J. Langley, P. Hall, and P. Newman, “Balanced antipodal Vivaldi antenna for wide bandwidth phased arrays,” in Proc. IEE Microw., Antennas Propag., 1996, vol. 143, pp. 97–102. [20] J. Noronha, T. Bielwa, C. Anderson, D. Sweeney, S. Licul, and W. Davis, “Designing antennas for UWB systems,” Microw. RF Mag., pp. 53–61, 2003. [21] A. Petosa, Antennas and Arrays Course Notes. Ottawa, ON, Canada: Carleton Univ., 2002, pp. 1–30. [22] B. Wadell, Transmission Line Design Handbook. Norwell, MA: Artech House, 1991.

BRZEZINA et al.: PLANAR ANTENNAS IN LTCC TECHNOLOGY WITH TRANSCEIVER INTEGRATION CAPABILITY FOR UWB APPLICATIONS

Grzegorz Brzezina (S’05) received the B.Eng. and M.A.Sc. degrees in electrical engineering from Carleton University, Ottawa, ON, Canada, in 2002 and 2005, respectively, and is currently working toward the Ph.D. degree at Carleton University. He has been involved with the design of ultra-wideband antennas and electromagnetic simulators at the Communications Research Center, Ottawa, Canada. His research interests include compact antennas for ultra-wideband, low-temperature co-fired ceramic packaging, miniaturized microwave filters, electromagnetics modeling, and high-performance RFICs.

Langis Roy (M’93) received the B.A.Sc. degree in electrical engineering from the University of Waterloo, Waterloo, ON, Canada, in 1987, and the M.Eng and Ph.D. degrees from Carleton University, Ottawa, ON, Canada, in 1989 and 1993, respectively. After a research fellowship with Matra Marconi Space France in 1993, he joined the Department of Electrical Engineering, University of Ottawa. Ottawa, ON, Canada, as an Assistant Professor. Since 1999, he has been an Associate Professor with the Department of Electronics, Carleton University,

2839

and, since 2003, the Department Chair. His research interests are in GaAs monolithic integrated circuits, high-performance microwave and optoelectronic circuit packaging, integrated active antennas, and numerical techniques in electromagnetics. Dr. Roy is a licensed Professional Engineer in the Province of Ontario.

Leonard MacEachern (S’92–M’98) received the B.Sc. degree from Acadia University, Wolfville, NS, Canada, in 1990, the B.Eng. and M.A.Sc. degrees from the Technical University of Nova Scotia, Halifax, NS, Canada, in 1993 and 1996, respectively, and the Ph.D. degree from the University of Waterloo, Waterloo, ON, Canada. He is currently an Assistant Professor with the Department of Electronics, Carleton University, Ottawa, ON, Canada. His current research is focused on electrooptical interfaces, laser modeling, laser predistortion techniques, and RFICs.

2840

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Novel U-Slot and V-Slot DGSs for Bandstop Filter With Improved Q Factor Duk-Jae Woo, Taek-Kyung Lee, Member, IEEE, Jae-Wook Lee, Member, IEEE, Cheol-Sig Pyo, and Won-Kyu Choi

Abstract—Novel slot-shaped defected ground structures (DGSs) on the microstrip line are presented to provide a band-rejection factor. Two different geometries property with an improved (U-slot and V-slot) are investigated. U-slot and V-slot DGSs have simple shapes compared to the conventional DGSs, however, they factor of the provide more steep rejection characteristics. The band-rejection property for the U-slot DGS increases when the distance between two slots in the U-shape decreases. Similarly, characteristic when the slot the V-slot DGS provides a higher angle is reduced. Two bandstop filters are designed and fabricated employing three cascaded U-slot DGSs and V-slot DGSs, respectively. Experimental result shows that the high- band-rejection of 38.6. A fabricated filter with three U-slot DGSs provides filter with three cascaded V-slot DGSs also rejects the signals at the frequencies from 3.5 to 4.3 GHz with more than 20-dB suppression. Index Terms— V-slot DGS.

Fig. 1. Microstrip line with U-slot DGS on the ground plane.

factor, U-slot defected group structure (DGS),

I. INTRODUCTION ECENTLY, there has been an increasing interest in using electronic bandgap (EBG) and defected ground structure (DGS) in microwave and millimeter-wave applications. The EBG has a periodic structure and it provides band-rejection property due to the bandgap effect [1], [2]. The DGS in the microstrip line utilizes an artificial defect on the ground and it provides a band-rejection characteristic from the resonance property. The DGS structure is advantageous in the design of microwave and millimeter-wave circuits since it can be modeled by simple resonant circuits and the parameter extraction is simple. The applications of the DGS are developed in divider, filter, and amplifier circuits [3]–[15]. The defect of the conventional DGS is with the dumbbell or spiral shape. The band-rejection filters are developed by using DGS for the suppression of unwanted signals. The asymmetric defected ground structure (ADGS) is employed on the quarter-wave branches of a Wilkinson power divider to suppress second and third harmonics simultaneously [13], [14]. To suppress an unwanted signal, which is closely located from the desired signal in the spectrum, it is necessary to use a high- filter. The characteristics of the conventional DGSs with a dumbbell- and

R

Manuscript received October 3, 2005; revised January 26, 2006. D.-J. Woo, T.-K. Lee, and J.-W. Lee are with the School of Electronics, Telecommunication, and Computer Engineering, Hankuk Aviation University, 412-791 Goyang, Korea (e-mail: [email protected]). C.-S. Pyo and W.-K. Choi are with the RF Identification/Ubiquitous Sensor Network Research Group, Electronics and Telecommunications Research Institute, 305-700 Taejon, Korea. Digital Object Identifier 10.1109/TMTT.2006.875450

spiral-shaped defect are not satisfactory in the applications of narrowband rejection. The spiral DGS can provide more steep rejection property than the dumbbell-shaped DGS. However, the factor of the spiral DGS is usually smaller than 10. In this paper, we propose novel DGS units, which have a Uand V-shaped slot on the ground plane to provide improved factors. The transfer characteristics of the two slot-shaped DGSs are calculated with the change in the parameters of each defect structure. The proposed U- and V-slot DGS can provide a higher factor compared to the conventional DGSs. The proposed DGSs also show flat and low-loss properties in the passband characteristic.

II. HIGH-

U-SLOT DGS AND CASCADED FILTER

The configuration of the proposed U-slot DGS on the ground plane of the microstrip line is shown in Fig. 1. On the ground plane, there are two slots of width along with the transmission line and those slots are connected at one end with a slot of the width . The lengths of two slots are the same and denoted by . The distance between two slots is . For the dimensions mm, mm, and mm, the transfer characteristics of the U-slot DGS are calculated. The characteristic impedance of the microstrip line is assumed to be 50 and the simulation is performed by using IE3D. The substrate with the thickness of 1.27 mm and a dielectric constant of 10.2 was used for all simulation. In Fig. 2, and are plotted as functions of signal frequency. The designed U-slot DGS provides the band-rejection property of 27 dB at 3.36 GHz (3-dB bandwidth of 0.203 GHz). The wavelength at the resonance frequency in the transmission line is 33.64 mm. It can also be seen that the factor of the proposed U-slot DGS is 16.5 and it is higher than

0018-9480/$20.00 © 2006 IEEE

WOO et al.: NOVEL U-SLOT AND V-SLOT DGSs FOR BANDSTOP FILTER WITH IMPROVED

Fig. 2. Transfer characteristics of U-slot DGS (l = 9:0 mm, c = 0:2 mm, g = 0:2 mm, d = 1:0 mm, " of substrate = 10:2, thickness of substrate = 1:27 mm).

Fig. 3. Equivalent circuit of the proposed U-slot DGS.

those of the dumbbell-shaped and spiral DGS, which are usually lower than 10. The frequency characteristic of the DGS can be modeled by a parallel resonance circuit in the transmission line to block the signal transfer at the resonance frequency. The equivalent circuit of the U-slot DGS is shown in Fig. 3, where the circuit parameters are nH, pF, and k for the structure in Fig. 1. The circuit parameters of the equivalent circuit are extracted from the simulated scattering parameters as [3]

(1) (2) (3)

Here, is the angular resonance frequency, is the 3-dB cutoff angular frequency, and is the characteristic impedance of the microstrip line. The characteristics of the U-slot DGS such as the resonance frequency, rejection bandwidth, and factor are dependent on the structural parameters of the defect. The dimensions of slot

FACTOR

2841

Fig. 4. Transfer characteristics for changes in slot length (l) (c = 0:2 mm, g = 0:2 mm, d = 1:0 mm).

length, slot width, and distance between two slots are the parameters changing those transfer characteristics of the DGS. In Fig. 4, the simulated transfer characteristics for the U-slot DGS are plotted as functions of slot length . The dimensions of the U-slot DGS are mm and mm. As the slot length increases, the rejection bandwidth and the resonance frequency decreases. As the slot length increases, both the equivalent capacitance and equivalent inductance increase. In the parallel resonance circuit, the factor is proportional to the susceptance slope parameter . From the calculated data in Table I, it can be seen that both the equivalent capacitance and equivalent inductance extracted by using (1) and (2), respectively, increase with the increase of slot length. The change in the calculated factor is observed to be very small as the slot length varies. The simulated transfer characteristics for various slot width are shown in Fig. 5. The dimensions of the U-slot DGS are mm, mm, and mm. As the slot width grows, the equivalent capacitance decreases and the equivalent inductance increases; the resonance frequency slowly decreases due to the inductance increase. In Table II, it is confirmed that the calculated factor increases as the slot width decreases. When the slot width decreases, the increase in the capacitance causes the increase of factor. Fig. 6 shows the simulated transfer characteristics as functions of distance between two slots , and the extracted equivalent-circuit parameters and calculated factors are given in Table III. The key feature is the rapid increase in the factor with the decrease of the distance between two slots. From Table III, one may clearly observe that the decrease in the distance between two slots causes rapid increase in the effective capacitance. To compare the transfer characteristics of the U-slot DGS with that of the conventional DGS, the spiral-shaped DGS and U-slot DGS are designed to provide the same resonance frequency. Fig. 7 illustrates the geometry of the spiral-shaped and U-slot DGS with the resonance frequency of 2.92 GHz. The slot widths in two DGS configurations are selected as narrow as possible to provide high- factors. For both structures, the slot widths are 0.2 mm and the distance between two slots of

2842

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE I CALCULATED Q FACTORS AND EQUIVALENT-CIRCUIT PARAMETERS FOR CHANGES IN SLOT LENGTH (L) (c = 0:2 mm, g = 0:2 mm, d = 1:0 mm)

TABLE II CALCULATED Q FACTORS AND EQUIVALENT-CIRCUIT PARAMETERS FOR CHANGES IN SLOT WIDTH (G) (c = 0:2 mm, d = 1:0 mm, l = 9:0 mm)

CALCULATED

Q

TABLE III FACTORS AND EQUIVALENT-CIRCUIT PARAMETERS FOR VARIOUS DISTANCES BETWEEN TWO SLOTS (d) (c = 0:2 mm, g = 0:2 mm, l = 9:0 mm)

Fig. 5. Transfer characteristics for various slot widths (g ) (l = 9:0 mm, c = 0:2 mm, d = 1:0 mm).

9:0 mm,

the U-slot DGS is 0.4 mm. Fig. 8 compares two transfer characteristics; the U-slot DGS shows a higher characteristic compared to the spiral DGS. The calculated factor of the spiral-

shaped DGS is 7.478 (3-dB band width of 0.39 GHz), while the proposed U-slot DGS provides a high- factor of 36.05 (3-dB bandwidth is 0.081 GHz).

Fig. 6. Transfer characteristics for various distances between two slots (d) (l = c = 0:2 mm, g = 0:2 mm).

WOO et al.: NOVEL U-SLOT AND V-SLOT DGSs FOR BANDSTOP FILTER WITH IMPROVED

FACTOR

2843

Fig. 7. Geometry of: (a) the spiral-shaped DGS (a = b = 3:0 mm, c = 0:2 mm, g = 0:2 mm, w = 1:2 mm, " of substrate = 10:2; h = 1:27 mm) and (b) U-slot DGS (l = 10:7 mm, c = 0:2 mm, g = 0:2 mm, d = 0:4 mm, " of substrate = 10:2; h = 1:27 mm) to provide resonance frequency at 2.92 GHz.

Fig. 10. Simulated S -parameters of the three cascaded U-slot DGSs. (a) S . (b) S

Fig. 8. Comparison results between the transfer characteristic of the spiralshape and U-slot DGS in Fig. 7.

Fig. 9. Three-dimensional view of the three cascaded U-slot DGSs (l = 9:0 mm, c = d = g = 0:2 mm, a = 0:4 mm, w = 1:2 mm, " of substrate = 10:2; h = 1:27 mm).

For a design of a filter satisfying the required bandwidth, as well as the high- factor, multiple DGS units are cascaded

along with the transmission line. Fig. 9 illustrates the configuration of the high- bandstop filter with three cascaded U-slot DGSs. The dimensions for the U-slot are mm, mm for each DGS and the characteristic impedance of the line is 50 . The distance between the slots are fixed as mm. In Fig. 10(a), the simulated is shown and the return loss is under 18 dB over the passband. As shown in Fig. 10(b), the proposed band-rejection filter with three cascaded U-slot DGSs provides a steep rejection characteristic and a high- factor of 26.7. Fig. 11 shows the top and bottom views of the fabricated high- bandstop filter with three cascaded U-slot DGS units. The substrate is an RO3010 circuit board with a thickness of 1.27 mm and a dielectric constant of 10.2. In the measurement, the substrate is located more than 5 cm above the metal plate and the effect of the metal wall below the defected ground is negligible [14]. In Fig. 12(a), the measured is shown and the return loss is under 15 dB over the passband. The deviations of the measurements from the computations occurring in the passband are expected mainly due to the reflections from the connectors and the finite substrate. The measured is plotted in Fig. 12(b) as a function of frequency. The suppression for the resonance frequency (3.475 GHz) is approximately 36 dB. The

2844

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 13. Microstrip line with V-slot DGS on the ground plane.

Fig. 11. Fabricated high-Q bandstop filter with three cascaded U-slot DGSs. (a) Top view. (b) Bottom view.

Fig. 14. Characteristics of V-slot DGS (l = 7:0 mm, g = 0:2 mm,  = 30 ; " of substrate = 10:2; h = 1:27 mm).

Fig. 12. Measured S -parameters of the fabricated high-Q bandstop filter with three cascaded U-slot DGSs. (a) S . (b) S .

Fig. 15. Simulated transfer characteristics for various slot interior angles () (l = 7:0 mm, g = 0:2 mm, " of substrate = 10:2; h = 1:27 mm).

III. V-SLOT DGS factor of the fabricated three cascaded U-slot DGS filter is 38.6. The measured transfer characteristic of the fabricated filter with the proposed structure shows steep band-rejection property and the filter provides a high- factor.

For the application of the band-rejection filter in the limited area, it is necessary to make the whole size of the filter very small. The cascaded U-slot filter provides a high- characteristic and the whole length of the filter increases as the number

WOO et al.: NOVEL U-SLOT AND V-SLOT DGSs FOR BANDSTOP FILTER WITH IMPROVED

FACTOR

2845

TABLE IV CALCULATED Q FACTORS AND EQUIVALENT-CIRCUIT PARAMETERS FOR VARIOUS SLOT INTERIOR ANGLES () (l = 7:0 mm, g = 0:2 mm)

Fig. 16. Three-dimensional view of the three cascaded V-slot DGSs (w = 1:2 mm,  = 30 ; l = 9:0 mm, g = 0:2 mm, s = 6:0 mm " of substrate = 10:2; h = 1:27 mm).

of cascaded DGS units increases. To develop a cascaded DGS filter with the reduced whole length, as well as the high- factor, we propose a V-slot defect on the ground plane. Fig. 13 illustrates the configuration of V-slot DGS on the ground plane of microstrip line. For the dimensions mm, mm, and and are plotted as functions of signal frequency. The designed V-slot DGS provides the band-rejection property of 30 dB at approximately 5 GHz, as shown in Fig. 14. It can also be seen that the factor of the proposed V-slot DGS is 27.6. It is of interest to see how the characteristic of the V-slot DGS changes as the interior angle changes. From Fig. 15, it can be observed that the resonance frequency does not change due to the increase of the slot interior angle, while the bandwidth decreases. Table IV shows the equivalent-circuit parameters and calculated factors for various slot interior angles. The dimensions of the V-slot DGS are mm and mm. As the slot interior angle increases, the factor increases, while the resonance frequency does not changes. The changes in resonance frequency and the factor due to the slot width and slot length show similar behaviors as in the calculated results for the U-slot DGS in Section II. The filters with a high- factor for the stopband can be designed by cascading the U-slot DGS, as well as the V-slot DGS. The bandwidth can also be controlled by adjusting the parameters of the DGS units and the distance between the units. The V-slot DGS is advantageous in cascading the units since the whole length along the transmission line is reduced by putting one V slot into another V slot, as shown in Fig. 16, where the

Fig. 17. Comparative results between simulation and measurement on the fabricated bandstop filter with three cascaded V-slot DGSs.

configuration of the bandstop filter with three cascaded V-slot DGSs are illustrated. When the neighboring V slots are sufficiently separated, the cascaded V-slot DGS filter has nearly the same bandwidth with the single V-slot DGS, but the stopband attenuation is higher. If the distance between the V-slot DGS units is reduced, the cascaded V-slot DGS filter provides wider bandwidth, maintaining the sharp transition characteristic. Fig. 17 shows the comparative results between simulation and measurement on the fabricated three cascaded V-slot DGSs. The dimensions for the V slot are mm, mm, and for each DGS, and is fixed to be 6.0 mm. Measurements agree well with simulation results in the rejection band, as shown in Fig. 16. It is shown that the fabricated filter with three cascaded V-slot DGSs rejects the signals at the frequencies from 3.5 to 4.3 GHz with more than 20-dB suppression. The deviation in bandwidth of the measured result from the computational result is due to the inaccuracy of the slot width in fabrication. The wideband-rejection filter with a steep rejection characteristic is achieved by using V-slot DGSs. The transfer characteristic in the passband shows low loss and flatness as a function of the frequency. The whole length of the filter is 22 mm. Fig. 18 shows the top and bottom views of the fabricated three cascaded

2846

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[6] C. S. Kim, J. S. Park, D. Ahn, and J. B. Lim, “A novel 1-D periodic defected ground structure for planar circuits,” IEEE Microw. Guide Wave Lett., vol. 10, no. 4, pp. 131–133, Apr. 2000. [7] J. S. Lim, Y. C. Jeong, D. Ahn, and S. W. Nam, “A technique reducing the size of microwave amplifiers using spiral-shaped defected ground structure,” J. Korea Electromagn. Eng, vol. 14, no. 9, pp. 904–911, Sep. 2003. [8] J. S. Lim, J. S. Park, Y. T. Lee, D. Ahn, and S. W. Nam, “Application of defected ground structure in reducing the size of amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 7, pp. 261–263, Jul. 2002. [9] D. Ahn, J. S. Park, C. S. Kim, J. N. Kim, Y. Qian, and T. Itoh, “A design of the low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 86–93, Jan. 2001. [10] K. H. Yi and B. K. Kang, “Modified Wilkinson power divider for th harmonic suppression,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 5, pp. 178–180, May 2003. [11] J. S. Lim, S. W. Lee, C. S. Kim, J. S. Park, D. Ahn, and S. Nam, “A 4 : 1 unequal Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 3, pp. 124–126, Mar. 2001. [12] J. S. Park and M. S. Jung, “A novel defected ground structure for an active device mounting an its application to a microwave oscillator,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 198–200, May 2004. [13] D. J. Woo and T. K. Lee, “Suppression of harmonics in Wilkinson power divider by using asymmetric spiral DGS,” in 34th Eur. Microw. Conf. Dig, Oct. 2004, vol. 1, pp. 467–470. [14] D. J. Woo and T. K. Lee, “Suppression of harmonics in Wilkinson power divider using dual-band rejection by asymmetric DGS,” IEEE Trans. Microw. Theory Tech, vol. 53, no. 6, pp. 2139–2144, Jun. 2005. [15] J. S. Lim, C. S. Kim, D. Ahn, and Y. C. Jeong, “Design of low-pass filter using defected ground structure,” IEEE Trans. Microw. Theory Tech, vol. 53, no. 8, pp. 2539–2545, Aug. 2005. [16] D. J. Woo, T. K. Lee, C. S. Pyo, and W. K. Choi, “High- band rejection filter by using U-slot DGS,” in Proc. 35th Eur. Microw. Conf., Paris, France, 2005, pp. 1279–1282. [17] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998.

n

Fig. 18. Fabricated bandstop filter with three cascaded V-slot DGSs. (a) Top view. (b) Bottom view.

V-slot DGSs. The substrate is an RO3010 circuit board with a thickness of 1.27 mm and a dielectric constant of 10.2. Experimental results show good agreements with electromagnetic (EM) simulations. IV. CONCLUSION Novel U-slot and V-slot DGSs with an improved factor of the band-rejection characteristic have been proposed in this paper. The transfer characteristics have been calculated with the change in the parameters of the U- and V-slot DGS, respectively. The band-rejection filter with cascaded U- or V-slot DGS can provide a high- factor. The V-slot DGS has a wide control range of rejection bandwidth and a steep rejection characteristic. The fabricated band-rejection filter with three cascaded U-slot DGSs provides a high factor for 38.6 in the stopband, and exhibits a flat and lossless passband. The fabricated filter with three cascaded V-slot DGSs also rejects the signals at the frequencies from 3.5 to 4.3 GHz with more than 20-dB suppression. The proposed structures may have wide applications in the design of microwave components and antenna arrays. REFERENCES [1] V. Radisic, Y. Qian, and T. Itoh, “Broadband power amplifier using dielectric photonic bandgap structure,” IEEE Microw. Guided Wave Lett., vol. 8, no. 1, pp. 13–14, Jan. 1998. [2] V. Radisic, Y. Qian, R. Coccioli, and T. Itoh, “Novel 2-D photonic bandgap structure for microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 69–71, Feb. 1998. [3] Q. Xue, K. M. Shum, and C. H. Chan, “Novel 1-D microstrip PBG cell,” IEEE Microw. Guided Wave Lett., vol. 10, no. 10, pp. 403–405, Oct. 2000. [4] C. S. Kim, J. S. Lim, K. Y. Kang, J. I. Park, G. Y. Kim, and D. Ahn, “The equivalent circuit modeling of defected ground structure with spiral shape,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 3, pp. 2125–2128. [5] J. S. Park, H. S. Yun, and D. Ahn, “A design of the novel coupled line bandpass filter using defected ground structure with wide stopband performance,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2037–2043, Sep. 2002.

Q

Duk-Jae Woo was born in Ansung, Korea, on October 1, 1974. He received the B.S. and M.S. degrees in electronic engineering from Hankuk Aviation University, Goyang, Korea, in 2002 and 2005, respectively, and is currently working toward the Ph.D. degree at Hankuk Aviation University. His main research interests are antennas and microwave circuit components.

Taek-Kyung Lee (S’83–M’90) was born in Gyeongbuk, Korea, on January 11, 1958. He received the B.S. degree in electronic engineering from Korea University, Seoul, Korea, in 1983, and the M.S. and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology, Seoul, Korea, in 1985 and 1990, respectively. From May 1990 to April 1991, he was a Post-Doctoral Fellow with the University of Texas at Austin (under a grant from the Korea Science and Engineering Foundation). From August 1991 to February 1992, he was with the Korea Advanced Institute of Science and Technology. In March 1992, he joined the faculty of Hankuk Aviation University, Goyang, Korea, where he is currently a Professor with the School of Electronics, Telecommunication, and Computer Engineering. From July 2001 to July 2002, he was an Associate Visiting Research Professor with the University of Illinois at Urbana-Champaign. His research interests include computational electromagnetics, antennas, analysis and design of microwave passive circuits, and geophysical scattering.

WOO et al.: NOVEL U-SLOT AND V-SLOT DGSs FOR BANDSTOP FILTER WITH IMPROVED

Jae-Wook Lee (S’92–M’98) received the B.S. degree in electronic engineering from Hanyang University, Seoul, Korea, in 1992, and the M.S. and Ph.D. degrees in electrical engineering (with an emphasis in electromagnetics) from the Korea Advanced Institute of Science and Technology (KAIST), Taejon, Korea, in 1994 and 1998, respectively. From 1998 to 2004, he was a Senior Member with the Advanced Radio Technology Department, Radio and Broadcasting Research Laboratory, Electronics and Telecommunications Research Institute (ETRI), Taejon, Korea. He then joined the School of Electronics, Telecommunications, and Computer Engineering, Hankuk Aviation University, Goyang, Korea, where he is currently an Assistant Professor. His research interests include high poweramplifier design, computational electromagnetics, electromagnetic interference (EMI)/electromagnetic compatibility (EMC) analysis on printed circuit boards (PCBs), and component design in microwaves and millimeter waves.

FACTOR

2847

Cheol-Sig Pyo received the B.S. degree in electronic engineering from Yonsei University, Seoul, Korea, in 1991, and the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Taejon, Korea, in 1999. He has been a Senior Engineer with the Electronics and Telecommunications Research Institute (ETRI), Taejon, Korea, where he is currently a Group Leader with the RF identification (RFID)/Ubiquitous Sensor Network (USN) Research Group. His research interests include antenna, RFID, and USN systems.

Won-Kyu Choi received the B.S. and M.S. degrees in radio engineering from Kyunghee University, Suwon, Korea, in 1999 and 2001, respectively. In 2001, he joined the Electronics and Telecommunications Research Institute (ETRI), Daejon, Korea, where he is currently involved with research on RFID and sensor tag antennas. His research interests include antennas and RF components.

2848

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

A 45-dB Variable-Gain Low-Noise MMIC Amplifier M. Anowar Masud, Herbert Zirath, Member, IEEE, and Matthew Kelly

Abstract—A variable-gain amplifier (VGA) operating at 2.5 GHz based on single-ended topology has been designed and characterized. Three such stages were cascaded and preceded by a singlestage low-noise amplifier. A source follower was used at the output in order to achieve excellent output match. The variation gain is 45 dB with a maximum gain of 47 dB. The 3-dB bandwidth is 0.8 GHz. Minimum noise figure of 0.81 dB is obtained in the highest gain mode. The highest output power, corresponding to 1-dB compression point is 7.2 dBm and the corresponding output thirdorder intercept point is 2 dBm. The circuit is implemented in a GaAs 0.15- m pseudomorphic high electron-mobility transistor technology. The combined area occupied by the multistage lownoise VGA and the single-ended VGA is 3.5 mm 3 mm.

+

Index Terms—Input third-order intercept point (IIP3), lownoise amplifier (LNA), noise figure (NF), output third-order intercept point (OIP3), power compression, pseudomorphic high electron-mobility transistor (pHEMT), scattering parameters, variable-gain amplifier (VGA).

I. INTRODUCTION ARIABLE-GAIN amplifiers (VGAs) are used in radio communication systems in order to control the RF/IF power to an optimum level. Both transmitted and received signals can have a large range of amplitude variations depending on the instantaneous signal path and other obstructions. The dynamic range of a receiver is limited at the low signal-level end by its noise-figure performance and at the high signal-level end by its linearity. In cellular communication systems, VGAs are used [1], [2] in the handset to ensure constant power level received at the base station. Necessity of regulating transmitted power arises due to sharing the same carrier frequency by multiple users. Some other interesting applications of VGAs are: 1) temperature compensation in satellite communication systems [3]; 2) improvement of sidelobe level in phased-array radar system operating in the frequency range of the third atmospheric window around 94 GHz [4]; and 3) development of active phase shifters [5], [6], etc.

V

Manuscript received October 11, 2005; revised March 3, 2006. This work was supported by the Swedish Foundation for Strategic Research through the High Speed Electronics and Photonics Strategic Research Center. M. A. Masud is with the Microwave Electronic Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, Göteborg 41296, Sweden (e-mail: [email protected]). H. Zirath is with the Microwave Electronic Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, Göteborg 41296, Sweden, and also with the Ericsson Microwave and High Speed Electronics Center, SE-431 84 Mölndal, Sweden (e-mail: [email protected]). M. Kelly was with the Microwave Electronic Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, Göteborg 41296, Sweden. He is now with Epitactix, Sydney 2122, Australia (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875453

Fig. 1. Simplified receiver unit with the VGA in the IF block.

With the gradual downscaling of existing technologies, development of systems in and around the millimeter-wave frequency becomes more promising for high data-rate transmission. Successful implementation of a demonstrator operating in the -band has already been reported [7]. In such microwave systems, a VGA, at the IF block, plays an essential role of providing the ADC with constant IF signal strength for efficient sampling. Fig. 1 shows the simplified schematic of an IF sampling receiver architecture where the variable gain is applied to the IF frequencies to effectively adjust the sensitivity of the ADC and the overall detection limit of the receiver chain. Controlling the gain enables the IF signal to remain in an optimal level for digital demodulation. In such an architecture, noise figure (NF) and linearity are the two important optimizable parameters for successful operation of a VGA. The available dynamic range is a function of input linearity and sensitivity of the receiver. An equation of spuriousfree dynamic range (SFDR) [8] is given by dB (1) where the input third-order intercept point (IIP3) is expressed is the thermal in dBm, the NF is expressed in decibels, noise power expressed in dBm ( 174 dBm/Hz), and the noise bandwidth (BW) of the system is expressed in hertz. This demand on the NF and third-order intercept point (IP3) becomes even more pronounced with the number of stages cascaded depending on the requirement of the system where a higher range of gain variation is required. Necessary measures are, therefore, required to be taken to minimize these two effects with regard to gain variation. II. ACHIEVING GAIN VARIATION: CHOICE OF TOPOLOGY VGAs can be designed using a variety of circuit topologies. Some architectures are better suited for receiver designs based on noise and linearity tradeoffs versus gain setting. One of the most common ways of achieving gain variation is to control the transconductance of the active device. This can be implemented by employing either a dual- gate field-effect transistor (FET) [9], [10] or a cascade configuration [11]. In a dualgate arrangement, the control signal is applied to one of the

0018-9480/$20.00 © 2006 IEEE

MASUD et al.: 45-dB VARIABLE-GAIN LOW-NOISE MMIC AMPLIFIER

2849

gates. In the cascade configuration, on the other hand, the gate of the cascode FET is governed by a control signal [12], [13] to achieve the gain variation. Successful implementation of a monolithic microwave integrated circuit (MMIC) VGA up to the -band based on dual-gate topology has already been reported [14]–[17]. The main disadvantage of this topology is the poor linearity under the compressed gain condition since the FET linis decreased. The VGAs also require conearity degrades as siderable gain compression at a high input power level while the linearity of an FET becomes worse under such a large-signal condition. In another arrangement, an attenuator followed by an amplifier can serve the purpose of achieving gain variation to a reasonable degree. Attenuators based on FETs [18]–[20] to implement a VGA have already been investigated [21]–[23]. VGAs implemented in this manner circumvent the limitation of linearity to some extent. The insertion loss of the attenuator, however, degrades the NF, which ultimately gives rise to a lower dynamic range. The stability issue also becomes a major concern for a multistage implementation. A balanced VGA based on Gilbert cell topology is also common, particularly with CMOS/BiCMOS technology [24]. The disadvantages are higher power consumption and layout complications compared to the single-ended topology. Added with this is the matching network or baluns, which, if implemented with passive elements, can result in layout enlargement. Although active baluns help save the die area, they impose additional dc power constraint to the system. Controlling the gate voltage of a zero-biased FET connected in the feedback configuration is another popular way of achieving gain variation. In the shunt feedback topology, the controlling element is usually connected across the drain–gate terminal of a common-source amplifier [25], whereas in the source feedback topology, the controlling FET is connected across the source resistor [26], [27]. Multiple-stage VGAs obtained by the aforementioned techniques achieve a considerable dynamic range. Limitations on stability, NF, and IP3 are some of the crucial issues that are to be taken care of. Dependence of IP3 on gain variation can, however, be circumvented by using an active feedback configuration [28]. This paper deals with the implementation of a source-feedback VGA based on pseudomorphic high electron-mobility transistor (pHEMT). To keep the noise to the minimum possible value, the VGA is preceded by a low-noise amplifier (LNA). III. THEORETICAL INVESTIGATION In a typical source feedback amplifier, the gain can be varied by controlling the equivalent source resistance. Fig. 2 shows an arrangement of a source feedback VGA with the corresponding simplified small-signal model. To keep the drain current independent of the gain variation, a coupling capacitor is used to connect with the controlling FET . This capacitor of high value acts as a short for the RF signal. The small-signal voltage gain at low frequency is given by

(2)

Fig. 2. VGA with the series feedback topology. (a) Circuit schematic. (b) Equivalent small-signal model (simplified).

where is the transconductance of the transistor, is the drain resistor, is the source resistor, and is the equivalent source resistance. For a high value of , the foregoing equation reduces to

(3) The gain is, therefore, inversely proportional to the equivalent source resistance . The maximum gain is governed by the control voltage , whereas , the bias resistance at the source, determines the lower limit. We use a tunable active resistor as a source feedback element in the proposed VGA. The gate–source voltage of the control transistor is used to tune the equivalent source resistance . At the operating frequency of the amplifier described in this paper, the source network is more accurately described by the impedance (see Fig. 3). can be expressed as

(4)

2850

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 3. Equivalent model for the source network including the control transistor. TABLE I SUMMARY OF THE SIZE OF TRANSISTORS, AS WELL AS THE VALUES OF THE MAJOR COMPONENTS

Fig. 5. Simulated plot of R (solid triangle) and real value of Z x (star) versus the control voltage, V (X –axis). Vendor model of 4 50 pHEMT has been used in the simulation. The measured R (square) is superimposed on the plot. The drain voltage was set at 0.05 V during the measurement.

2

Fig. 6. Simulated gain (A ) with V based on the circuit setup of Fig. 2(a). Components used in the simulation are tabulated in Table I. The output was loaded with R = 150 .

Fig. 4. Plot of 0.1-V steps.

Zx

in a Smith chart for

V

= 01 2 V to 00.5 V in :

where is the source resistance, is the coupling capacitor, is drain-to-source resistance of the control transistor, and is the equivalent capacitor across the source and drain. If the control transistor is driven from a low-impedance source, is approximately equal to the sum of and . and are dependent on the gate-to-source voltage, although the latter two can be approximated to be constant for this application. with values of and according to Table I is plotted in a Smith chart in Fig. 4 for a 4 50 m gatewidth pHEMT using the foundry model. was swept from 1.2 to 0.5 V

. The frequency with 0.1-V steps to illustrate the change of was also swept from 2 to 3 GHz. This plot shows that is not purely resistive and, for this frequency range, the capacitive part also needs to be considered. The gain variation of this amplifier relies on the variation of so it is interesting to investigate versus . In Fig. 5, versus is plotted together with the real part of . for a 2 50 m pHEMT was measured with an HP 4145A parameter analyzer. In Fig. 5, the measured data is scaled to a 4 50 m device assuming that is inversely proportional to the total gatewidth. At a low value, close to pinchoff for the control transistor, the influence of is small, and (to some extent, also ) determines the minimum gain. At higher values, the control transistor is conducting and the gain is increasing. The gain is now mainly determined by and . should be sufficiently large in order not to significantly influence the maximum gain. Fig. 6 shows the simulated variation of gain according to the circuit setup of Fig. 2(a).

MASUD et al.: 45-dB VARIABLE-GAIN LOW-NOISE MMIC AMPLIFIER

2851

Fig. 7. Schematic of the multistage low-noise VGA.

Fig. 8. Simulated dependence of gain and noise resistance (R ) on gatewidth of 0.15-m pHEMT at 2.5 GHz for a given bias (V = 0:85 V, V = 0:65 V) based on foundry model. (a) Gain versus gatewidth. (b) R versus gatewidth (left: Y scale), dc power (in milliwatts) versus gatewidth (right: Y scale).

0

IV. CIRCUIT IMPLEMENTATION The circuit is based on a double delta-doped double-recessed 0.15- m pHEMT process [29] utilizing a pHEMT to achieve high current density with high breakdown voltage (10 V). The extrinsic transconductance of the device is 495 mS/mm with a maximum drain current of 650 mA/mm. The mean is 85 GHz. Models of active and passive components were provided by the foundry. The simplified schematic of the multistage low-noise VGA is shown in Fig. 7. Table I summarizes the size of the transistors, as well as the values of the major components. Deterioration of the NF in the source feedback VGA with its large variations of gain dictates the VGA to be preceded by an LNA. In many applications, like an IF amplifier following a receiver mixer, the low NF is of utmost importance. For the designed LNA, the NF is optimized by tradeoff with the input matching for a given device size, associated gain, and bias. Extensive investigations about LNA designs on other technologies mostly on CMOS can be found in [30]–[33]. We used the source degeneration technique for simultaneous input match and noise optimization [34]. Fig. 8 explains the dependence of gain, noise resistance , and dc power consumption on device gatewidth ( ) for a low-noise bias at 2.5 GHz. The NF of a two-port system is linearly proportional to the noise resistance and, therefore, has an inverse relationship with the

Fig. 9. Microphotograph of a three-stage VGA (enclosed by the dotted line) coupled with an LNA. To save the die area, two circuits are placed on the same chip.

gatewidth [see Fig. 8(b)]. Thus, for a given NF and dc power consumption, a 400- m (4 100) pHEMT was chosen for the LNA. We used a 4 50 m pHEMT as the active component and the control transistor of the VGA unit to maximize the gain, as well as the range of gain variation with a good stability margin. Use of an active load ( m) helps in minimizing the layout area. Three such stages are cascaded with the output taken from a source follower (SF). The SF is designed in constant current source self-bias mode. The main aim of using a SF at the output is to achieve active load match by proper scaling of the transistors. In addition, the SF prevents the output from loading the drain of the last amplifier stage, thanks

2852

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 10. Measured gain of a three-stage VGA with the control voltage as parameter. Thin lines represent simulation.

Fig. 12. Measured input reflection coefficient (jS j) versus sweeping frequency for various control voltage. Solid lines represent simulation.

Fig. 11. Measured variation of gain with the control voltage at 2.5 GHz. Thin line represents simulation.

Fig. 13. Measured output reflection coefficient (jS j) versus sweeping frequency for various control voltage. Dotted line represents simulation.

to the impedance transformation achieved by the emitter follower. Fig. 9 shows the photograph of the chip containing the proposed multistage VGA circumscribed by the dotted line. V. RESULTS AND ANALYSIS Scattering parameters, NFs, power compression, and IP3 are the most interesting parameters to measure. An Agilent PNA (E8361 A) has been used to measure the -parameters, while the NF was measured with an Agilent 8974 A with an HP 346 C noise source. To measure the power compression and IP3, an Agilent 4419 B power meter and Agilent 8565 E spectrum analyzer have been used. Fig. 10 shows the measured gain with the control voltage as a parameter. The 3-dB bandwidth is approximately 800 MHz. The simulated based on the foundry model is superimposed on the measured plots (dotted lines) and can be seen to have good correspondence with the measured results. Fig. 11 shows the gain variation (at 2.5 GHz) plotted against the control voltage . The gain variation is more than 45 dB with a maximum value of 47 dB at 2.5 GHz for V. Reflection coefficients in the high- and low-gain modes are plotted in Figs. 12 and 13, respectively. and at the

operating frequency (2.5 GHz) remain below 5 and 10 dB, respectively. Bandwidth for , though satisfactory, is rather small for . This actually is the tradeoff for the low NF of the overall circuit. Some variations for can also be noticed, particularly a shift in frequency. Variation of the control voltage causes the equivalent source feedback [ in Fig. 2(a)] to vary, which gives rise to a variation of the impedance at the drain node of the first transistor. This has a simultaneous affect on both the NF and input reflection coefficient . One way of minimizing this problem is to use another stage of the LNA preceding the VGA. This will, however, add further constraints to the system’s dc power consumption and occupied area. Another interesting parameter is the gain control sensitivity, i.e., how much does the gain change with an incremental change of . This is studied by plotting versus (see Fig. 14). The maximum gain control sensitivity is approximately 150 dB/V around 1 V. The NF is plotted both as a function of frequency [see Fig. 15] and the control voltage (at 2.5 GHz) [see Fig. 16] with as a parameter in the former. The value remains lower than 1 dB as long as the control voltage is higher than 1 V. A minimum value of 0.81 dB is obtained in the highest gain mode measured with V.

MASUD et al.: 45-dB VARIABLE-GAIN LOW-NOISE MMIC AMPLIFIER

Fig. 14. Measured rate of change of gain with respect to the control voltage V . Dotted line represents simulation.

Fig. 15. Measured NF versus frequency with V

Fig. 16. Measured NF against the control voltage quency of 2.5 GHz.

as a parameter.

V

at the operating fre-

From the compression characteristics of Fig. 17, the 1-dB compression point and the output third-order intercept point (OIP3) are found to be 7.2 and 2 dBm, respectively. The

2853

Fig. 17. Measured power compression in the highest gain mode with V = 0 V.

Fig. 18. The measured input and output 1-dB compression point versus control voltage V .

Fig. 19. Measured IIP3 and OIP3 versus the control voltage V

.

1-dB compression as a function on the control voltage is shown in Fig. 18. Fig. 19 shows the dependence of the IP3 on the control voltage.

2854

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE II SUMMARY OF REPORTED STUDIES ON VGAS PUBLISHED IN THE LITERATURE

VI. DISCUSSION AND CONCLUSION A VGA based on variable source feedback has been proposed, analyzed, and demonstrated. Table II summarizes some of the reported studies on VGA published in the literature. With regards to gain, range of gain variation, and NF, the presented VGA gives superior performance. The gain is controlled by a source feedback resistor, realized by the source–drain resistance of a pHEMT biased in the resistive region. The VGA block is preceded by an LNA to keep the NF to a minimum value. The total dc power consumption is 285 mW of which the LNA block consumes the most. The dc-power dissipation can further be reduced by using metamorphic high electron-mobility transistor (mHEMT) technology and research is underway to demonstrate this. The results of the pHEMT-based VGA show a gain variation range of 45 dB with a maximum gain of 47 dB and an NF (highest gain mode) of 0.81 dB. Although the dependence of the IP3 and NF on gain variation affects the linearity to some extent, particularly for below 1.0 V, high value of maximum gain, as well as the high range of gain variation with a lower NF, makes the VGA suitable for use in the IF block of high data-rate receivers such as 60-GHz radio links. REFERENCES [1] F. Carrara and G. Palmisano, “High-dynamic-range VGA with temper ature compensation and linear-in-dB gain control,” IEEE J. Solid-State Circuits, vol. 40, no. 10, pp. 2019–2024, Oct. 2005. [2] S. Aggarwal, A. Khosrowbeygi, and A. Daanen, “A single-stage variable gain amplifier with 70-dB dynamic range for CDMA2000 transmit applications,” IEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 911–917, Jun. 2003. [3] S. E. Rosenbaum, C. Baringer, and L. E. Larson, “Design of a high dynamic-range variable-gain-amplifier for a DBS tuner front-end,” in Proc. 1st Annu. UCSD Wireless Commun. Conf., San Diego, CA, Mar. 1998, pp. 83–89. [4] B. J. Edward, D. R. Helms, R. S. Webb, and S. Weinreb, “W -band active transmit and receive phased array antennas,” in IEEE MTT-S Int. Microw. Symp. Dig., Orlando, FL, May 1995, vol. 3, pp. 1095–1098. [5] S. A. Mitilineos, G. K. Mitropoulos, and C. N. Capsalis, “A new active RF phase shifter using variable gain, drain voltage controlled pHEMTs: A 2.4-GHz ISM implementation,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 7, pp. 454–456, Jul. 2005. [6] P.-Y. Chen, T.-W. Huang, H. Wang, Y.-C. Wang, C.-H. Chen, and P.-C. Chao, “K -band HBT and HEMT monolithic active phase shifters using vector sum method,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1414–1424, May 2004. [7] H. Zirath, T. Masuda, R. Kozhuharov, and M. Ferndahl, “Development of 60-GHz front-end circuits for a high-data-rate communication system,” IEEE J. Solid-State Circuits, vol. 39, no. 10, pp. 1640–1649, Oct. 2004. [8] E. Newman and S. Bonadio, “Variable gain amplifiers enable cost effective IF sampling receiver designs,” Microw. Prod. Dig., pp. 46–56, Oct. 2003.

[9] M. Maeda and Y. Minai, “Application of dual-gate GaAs FET to microwave variable-gain amplifier,” J. Appl. Phys., pp. 351–353, Jun. 1974. [10] C. A. Liechti, “Performance of dual-gate GaAs MESFET’s as gain-controlled low-noise amplifiers and high-speed modulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 6, pp. 461–469, Jun. 1975. [11] Y. S. Wang and L.-H. Lu, “5.7 GHz low-power variable-gain LNA in 0.18 m CMOS,” Electron. Lett., vol. 41, no. 2, pp. 66–68, Jan. 2005. [12] Q. Chaudhry, R. Alidio, G. Sakamoto, and T. Cisco, “An SiGe MMIC variable gain cascode amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 11, pp. 424–425, Nov. 2002. [13] F. Ellinger, U. Lott, and W. Bachtold, “A 5.2 GHz variable gain LNA MMIC for adaptive antenna combining,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, Jun. 1999, vol. 2, pp. 501–504. [14] A. Tessmann, W. H. Haydl, T. Krems, M. Neumann, H. Massler, L. Verweyen, A. Hiilsmann, and M. Schlechtweg, “A compact coplanar W - and variable gain amplifier MMIC with wide control range using dual-gate HEMTs,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 1998, vol. 2, pp. 685–688. [15] M. Schefer, H.-P. Meier, B.-U. Klepser, W. Patrick, and W. Bachtold, “Integrated coplanar MM-wave amplifier with gain control using a dual-gate InP HEMT,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2379–2383, Dec. 1996. [16] T. Kashiwa, T. Katoh, N. Yoshida, H. Minami, T. Kitano, M. Komaru, N. Tanino, T. Takagi, and O. Ishihara, “Q-band high gain, low noise variable gain amplifier using dual gate AlGaAs/InGaAs pseudomorphic HEMTs,” IEICE Trans. Electron., vol. E79-C, no. 4, pp. 573–579, Apr. 1996. [17] T. Kashiwa, T. Katoh, T. Ishida, Y. Kojima, and Y. Mitsui, “Highperformance Ka-band monolithic variable-gain amplifier using dualgate HEMT’s,” IEEE Microw. Guided Wave Lett., vol. 7, no. 8, pp. 251–252, Aug. 1997. [18] B. Maoz, “A novel, linear voltage variable MMIC attenuator,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 11, pp. 1675–1683, Nov. 1990. [19] H. Kondoh, “DC–50 GHz MMIC variable attenuator with a 30 dB dynamic range,” in IEEE MTT-S Int. Microwave Symp. Dig., New York, NY, May 1988, vol. 1, pp. 499–502. [20] L. Boglione and R. Pavio, “Temperature and process insensitive circuit design of a voltage variable attenuator IC for cellular band applications,” IEEE Microw. Guided Wave Lett., vol. 10, no. 7, pp. 279–281, Jul. 2000. [21] B. Lefebvre, A. Bessemoulin, H. Amara, R. Sevin, and P. Quentin, “High dynamic range, triple gate-based compact DC–40 GHz variable attenuator MMIC for Ka-band variable gain amplifier ICs,” in GaAs Integr. Circuits Symp./25th Annu. Tech. Dig., San Diego, CA, Nov. 2003, pp. 135–138. [22] M. Detratti, J. P. Pascual, M. L. De-La-Fuente, J. Cabo, and J. L. Garcia, “A GaAs monolithic linear-in-dB wide-dynamic-range variable- gain amplifier with matching compensation for 1.95-GHz applications,” Microw. Opt. Technol. Lett., vol. 44, no. 3, pp. 251–257, Feb. 2005. [23] K. R. Nary and R. L. Van-Tuyl, “An MMIC amplifier for automatic level control applications,” in IEEE Microw. Millimeter-Wave Monolithic Circuits Symp. Dig., Dallas, TX, May 1990, pp. 73–76. [24] H. D. Lee, C.-H. Kim, and S. Hong, “A SiGe BiCMOS transmitter module for IMT2000 applications,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 8, pp. 371–373, Aug. 2004. [25] M. Masahiro and A. Masayyoshi, “A linear limiter: A 11-GHz monolithic low distortion variable gain amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 1991, vol. 2, pp. 525–528. [26] M. A. Masud, H. Zirath, and M. Kelly, “A 45 dB variable gain low noise MMIC amplifier,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1615–1618. [27] M. A. Masud, M. Ferndahl, and H. Zirath, “A variable gain MMIC amplifier,” in Proc. 11th GaAs Symp., Munich, Germany, Oct. 2003, pp. 169–172. [28] K. Nishikawa and T. Tokumitsu, “An MMIC low-distortion variablegain amplifier using active feedback,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2812–2816, Dec. 1995. [29] M. Chertouk, D. W. Tu, P. Meng, C. G. Yuan, W. D. Chang, C. Y. Kuo, C. C. Chang, A. Chang, H. H. Chen, C. H. Chen, and P. C. Chao, “Manufacturable 0.15 m pHEMT process for high volume and low GaAs substrates: The first 0.15 m pHEMT 6 GaAs cost on 6 foundry fab,” in Proc. GaAs MANTECH Conf., San Diego, CA, Apr. 2002, pp. 138–141.

MASUD et al.: 45-dB VARIABLE-GAIN LOW-NOISE MMIC AMPLIFIER

[30] T.-K. Nguyen, C.-H. Kim, G.-J. Ihm, M.-S. Yang, and S.-G. Lee, “CMOS low-noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1433–1442, May 2004. [31] P. Andreani and H. Sjoland, “Noise optimization of an inductively degenerated CMOS low noise amplifier,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 48, no. 9, pp. 835–841, Sep. 2001. [32] D. K. Shaeffer and T. H. Lee, “1.5-V, 1.5-GHz CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 745–759, May 1997. [33] D. K. Shaeffer and T. H. Lee, “Erratum: 1.5-V, 1.5-GHz CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 40, no. 6, pp. 1397–1398, Jun. 2005. [34] J. S. Yuk, B. G. Choi, and C. S. Park, “Device and circuit optimization of PHEMT MMIC LNA for low power consumption,” in Proc. Asia–Pacific Microw. Conf., Taipei, Taiwan, R.O.C., Dec. 2001, vol. 1, pp. 260–263. [35] K. W. Kobayashi, K. T. Ip, A. K. Oki, D. K. Umemoto, S. Claxton, M. Pope, and J. Wiltz, “GaAs HBT 0.75-5 GHz multifunctional microwave-analog variable gain amplifier,” IEEE J. Solid-State Circuits, vol. 29, no. 10, pp. 1257–1261, Oct. 1994. [36] M.-D. Tsai, R.-C. Liu, C.-S. Lin, and H. Wang, “A low-voltage fully-integrated 4.5-6-GHz CMOS variable gain low noise amplifier,” in Proc. 33th Eur. Microw. Conf., Munich, Germany, Oct. 2003, pp. 13–16.

M. Anowar Masud received the B.S. degree in electrical engineering from the Bangladesh University of Engineering and Technology (BUET), Dhaka City, Bangladesh, in 1997, and the M.S. degree in electrical engineering from the University of Gävle, Gävle, Sweden in 2001, and is currently working toward the Ph.D. degree at Chalmers University of Technology, Göteborg, Sweden. He is currently with the MMIC Group, Microwave Electronics Laboratory (MEL), Department of Microtechnology and Nanoscience, Chalmers University of Technology.

2855

Herbert Zirath (S’84–M’86) was born in Göteborg, Sweden, on March 20, 1955. He received the M.Sc. and Ph.D. degrees from the Chalmers University of Technology, Göteborg, Sweden, in 1980 and 1986, respectively. He is currently a Professor of high-speed electronics with the Department of Microtechnology and Nanoscience, Chalmers University. He became the Head of the Microwave Electronics Laboratory during 2001, which currently has 70 researchers. He currently leads a group of approximately 30 researchers in the area of high-frequency semiconductor devices and circuits. He is also currently with Ericsson AB, Mölndal, Sweden, as a Microwave Circuit Expert on a part-time basis. He has authored or coauthored over 220 papers in international journals and conference proceedings and one book. He holds four patents. His main research interests include InP-HEMT devices and circuits, SiC- and GaN-based transistors for high-power applications, device modeling including noise and large-signal models for FET and bipolar devices, and foundry-related MMICs for millimeter-wave applications based on both III–V and silicon devices.

Matthew Kelly received the M.S. degree in communication engineering from the Royal Melbourne Institute of Technology (RMIT) University, Melbourne, Australia, in 1997. He was with the MMIC Design Group, Microwave Electronics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, Göteborg, Sweden, where his research with the Chalmers University of Technology mainly included noise characterization of III–V devices and MMIC design of LNA. He is currently with Epitactix, Sydney, Australia.

2856

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Reconfigurable Circularly Polarized Antenna for Short-Range Communication Systems Hakim Aïssat, Laurent Cirio, Marjorie Grzeskowiak, Jean-Marc Laheurte, and Odile Picon, Member, IEEE

Abstract—This paper describes the design rules of a compact microstrip patch antenna with polarization reconfigurable features (right-handed circular polarization (CP)/left-handed CP). The basic antenna is a circular coplanar-waveguide (CPW)-fed microstrip antenna excited by a diagonal slot and the CPW open end. This device is developed for short-range communications or contactless identification systems requiring polarization reconfigurability to optimize the link reliability. First, experimental and simulated results are presented for the passive version of the antenna excited by an asymmetric slot. A reconfigurable antenna using beam-lead p-i-n diodes to switch the polarization sense is then simulated with an electrical modeling of the diodes. Finally, the efficiency reduction resulting from the diode losses is discussed. Index Terms—Antenna diversity, circular polarization (CP), coplanar-waveguide (CPW) transitions, polarization switching.

I. INTRODUCTION TREMENDOUS growth of the wireless RF identification (RFID) market is currently observed in the UHF and microwave bands. Dedicated frequency bandwidths in the UHF band are 865.6–867.6 MHz in the European Union, 902–928 MHz in the U.S., and 950–956 MHz in Japan. The microwave range is also considered at 5.8 GHz for electronic toll collection and more generally wireless road-to-vehicle communication systems. Simultaneously, there is a great interest in mobile ad hoc networks in which the routers are free to move randomly and organize themselves arbitrarily to form a self-configuring wireless network. In short-range communications or contactless identification systems, antennas are key components, which must be small, low profile, and with minimal processing costs [1]. To cope with the unknown relative positions of the antennas in the ad hoc network or the RFID scenario, the antenna should also include some degree of radiation reconfigurability. More generally, diversity features can reduce the detrimental fading loss caused by multipath effects [2], [3]. This paper specifically focuses on polarization reconfigurability to optimize the link reliability. In [2] and [3], a reconfigurable antenna was built from a dualpolarized aperture-coupled antenna, which used a 3-dB hybrid branch-line coupler as a polarizer to obtain circular polarization (CP). Boti et al. [4] proposed a compact single-feed switchable antenna with four beam-lead p-i-n diodes inserted directly on coupling slots, but with three metallization levels. To switch the polarization sense, Yang and Rahmat-Samii [5] used only two

A

Manuscript received October 10, 2005; revised January 17, 2006. The authors are with the Equipe Systèmes de Communication et Microsystèmes Laboratory, Université de Marne-la-Vallée, 77454 Marne-la-Vallée Cedex 2, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875454

p-i-n diodes directly mounted on two orthogonal slots incorporated on the probe-fed patch antenna. In [6], the switching between right-handed circular polarization (RHCP) and lefthanded circular polarization (LHCP) is obtained by turning ON/ OFF two pairs of beam-lead p-i-n diodes soldered near an annular slot ring. With the same radiating structure, Ho et al. [7] used two p-i-n diodes on a uniplanar antenna where both the radiating element and feedline circuit were on the same layer. We propose here an original and compact reconfigurable coplanar-waveguide (CPW) single-fed antenna, with only two metallization levels, dedicated to 5.8-GHz applications. No structure combining a CPW feeding and switching capabilities has been proposed yet for reconfigurable antennas. The CP sense is selected by four beam-lead p-i-n diodes directly inserted in the coupling cross slot below the radiating patch. By turning the pair of diodes ON or OFF, either RHCP or LHCP can be obtained with the same feeding line. Both passive and active structures are designed with a commercial code based on the finite-element method (Ansoft HFSS). To obtain accurate simulated results, the switching p-i-n diodes are modelled by an equivalent circuit where the electrical parameters (lumped resistor, inductor, and capacitor) are directly deduced from data provided by Agilent Technologies, Palo Alto, CA. This paper is structured as follows. In Section II, the operation principle of the passive device is confirmed by CP measurements. In Section III, the reconfigurable device is numerically analyzed, taking into account the fine structure geometry and the accurate diode model. A set of relevant experiments are presented and discussed in relation to the structure details. II. CP PASSIVE STRUCTURE In single-feed printed antennas, CP is generally obtained from two degenerate orthogonal linear polarizations with equal amplitude and 90 out-of-phase. For aperture-coupled patch antennas, the excitation can be provided by a coupling slot in the ground plane [8] or a modified cross slot and a bent tuning stub [9]. However, these structures need three metallization levels and increase the global height of the antenna. In [10], a tuning stub has been used on a compact circular radiating patch fed by a microstrip line. Chen et al. [11] have used circularly polarized printed shorted annular and square ring-slot antennas with a proximity coupling through a microstrip-line feed. CPW feedings can also be used with similar performance with the attractive advantage of only two metallization layers and an easier integration of active devices. For instance, an asymmetrical CPW coupled slot with a slotted square patch is used in [12] and a CPW inset tuning stub in [13]. In all these antennas, an asymmetrical excitation mechanism is used to obtain CP.

0018-9480/$20.00 © 2006 IEEE

AÏSSAT et al.: RECONFIGURABLE CIRCULARLY POLARIZED ANTENNA FOR SHORT-RANGE COMMUNICATION SYSTEMS

2857

Fig. 2. Distribution of electric field for a CPW line with a perpendicular slot for various frequencies (no patch).

Fig. 1. CP antenna excited by a CPW feedline. h = 1:524 mm, " = 4:5, h = 3:175 mm, " = 2:2, L = 30:4 mm, L = 5:3 mm, L = 17:6 mm, a = 9:2 mm, w = 1:8 mm, s = 0:3 mm.

The CPW-fed structure proposed in this paper is also fed asymmetrically, but is much simpler (without a stub or slit on the radiating patch antenna) because of the use of a circular patch [14]. A. Antenna Design In the circular-patch antenna proposed in Fig. 1 (where optimized dimensions have been determined after a parametric study described in Section II-D), CP can be achieved by combining two nonorthogonal linear polarized fields independently excited by an inclined slot and the open termination end of the CPW feed line [15]. Let us demonstrate this point by considering the field densities directed along in the stub and oriented along in the diagonal slot. and expressions are given by

(1)

Fig. 3. Distribution of electric field for a CPW line with a 45 inclined slot for various frequencies.

We conclude that a 45 inclination of the coupling slot combined with a 180 45 phase difference and equal amplitude between the excited modes results in CP radiation (135 for RHCP, 225 for LHCP). Conversely, it can be easily shown that a 45 inclination of the coupling slot combined with a 45 phase difference and equal amplitude between the excited modes also results in CP radiation ( 45 for RHCP, 45 for LHCP). Using the cavity model formulation [16], [17], a circular patch radius mm is required for a 5.8-GHz resonant frequency using an RT/Duroid 5880 upper substrate ( and mm). In the multilayer CPW design, closed-form expressions [18] and [19] resulting from a quasi-static analysis are used to determine frequency-independent values of the effective dielectric constant and characteristic impedance. The dimensions ( mm and mm) are calculated to obtain a 50- characteristic impedance with a TMM4 lower substrate ( and mm).

(2) B. Feeding System and CP Characterization The total field is

(3) Applying the CP conditions deduce from (3) that CP is obtained for

and

, one can easily

(4)

and the final field expressions are given by

(5) (6)

The field distribution in the open-ended CPW line is first plotted for various frequencies with a perpendicular or a diagonal slot, but without a patch. Line dimensions are given in Fig. 1. In Fig. 2, a perpendicular slot is used. Due to the slot symmetry with respect to the line, an identical field distribution is observed in both slot lines, i.e., only the odd mode can propagate. Depending on the frequency, the field is not necessarily maximum in the open stub when the dimensions of the perpendicular slot are resonant. At 5.56 GHz, the perpendicular slot essentially shorts the open stub and deviates the energy. In Fig. 3, a 45 inclined slot loads the open-ended CPW line. The field distribution is no longer symmetrical in the line because of the excitation of the even mode and its combination with the odd mode. In this configuration, the field distribution and return loss depend on the line length between the symmetrical excitation and diagonal slot. As a matter of fact, this symmetrical excitation (for instance, coaxial probe) acts as a short

2858

Fig. 4. Real part of the input impedance given in Fig. 1).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Za versus frequency (dimensions

Fig. 7. Simulated and measured return loss and AR.

Fig. 5. Distribution of electric field in the CPW line and electric current on the patch (viewed from the backside). Fig. 8. Simulated radiation pattern at 5.8 GHz.

Fig. 6. Distribution of electric field in the CPW line and electric current on the patch at different time at 5.78 GHz (viewed from the backside).

circuit for the even mode. Therefore, the line length must also be taken into account in the antenna design. In addition, the frequency dependence of the field distribution in the open stub and the diagonal slot remains essentially the same as in Fig. 2. We now consider the antenna of Fig. 1 including the circular patch and its feeding structure with a 45 inclined slot. The real part of the antenna input impedance is plotted on Fig. 4 in the 5–6.5-GHz band. Three peaks are observed at 5.22, 5.78, and 6.21 GHz. The distributions of electric field in the feeding structure and electric current on the patch are plotted in Figs. 5 and 6. At 5.22 GHz [see Fig. 5(a)], the electrical field is linearly polarized in the -direction. The maximum energy is localized in the stub. The field does not see the diagonal slot. Therefore, the fields are symmetric in the feeding lines. At 6.21 GHz [see Fig. 5(b)], there is no energy in the stub. Due to the combination of odd and even modes propagating with different velocities, an asymmetrical field distribution is observed in the feeding line. The diagonal slot is excited and a linear polarization is obtained

at 45 . At both frequencies, the linear polarization can be controlled by visualizing the time evolution of the electric current on the patch. In Fig. 6, the current distribution is sequentially observed at different times at 5.78 GHz. A rotation of the current distribution is obtained with a maximum field located alternatively in the stub and slots. The polarization is RHCP, a 45 inclined slot should be used for LHCP. Making use of Fig. 4, it appears that the resonances at 5.22 and 6.21 GHz are associated with two degenerate linear polarizations, while the coupling between these yields a mode at an intermediate frequency (5.78 GHz) showing CP characteristics. In Section II-D, it will be shown that the length of the stub is an important parameter to adjust the 180 45 phase difference and obtain CP. C. Simulated and Measured Results Fig. 7 describes the simulated and measured return loss and axial ratio (AR) at boresight. The minimum AR occurs at the same frequency (5.8 GHz) for simulation and measurements. The measured AR is 1 dB, while the predicted AR was 0.3 dB. The measured AR and return-loss bandwidths are approximately 1.8% ( dB) and 18% ( dB), respectively. The simulated and measured normalized radiation patterns for the minimum AR are, respectively, represented on Figs. 8 and 9. The measured passive antenna gain is 6.9 dB. A good agreement is observed between simulated and measured results for the passive structure with similar and levels around boresight.

AÏSSAT et al.: RECONFIGURABLE CIRCULARLY POLARIZED ANTENNA FOR SHORT-RANGE COMMUNICATION SYSTEMS

2859

Fig. 9. Measured radiation pattern at 5.8 GHz.

Fig. 11. Effect of the CPW feedline length.

Fig. 10. Effect of the CPW open stub length.

The dimensions of the final prototype given in Fig. 1 have been optimized with the parametric study (essentially the length of the coplanar line and open stub) described in Section II-D. D. Parametric Study We first consider the effect of the CPW open stub length on the antenna performance (Fig. 1). The CPW length and slot length are, respectively, set to a constant of 30.4 and 17.6 mm. is chosen to have five different values, i.e., 4.9, 5.1, 5.3, 5.5, and 5.7 mm. The effects of on and AR are shown on Fig. 10. These curves indicate that the stub length controls the phase difference between the linear polarizations. A minimum AR (0.28 dB) appears at 5.8 GHz for mm and it rapidly degrades around this value (1.2 and 1.54 dB, respectively, for and mm). The axial ratio bandwidth (ARBW) increases as decreases (1.89% and 2.15%, respectively, for and mm)

allowing an optimization of the ARBW to the detriment of the minimum AR level. The return loss shows two specific minimum corresponding to the linear polarizations above and below the CP frequency of interest. Both resonant frequencies are shifted as varies and affect the impedance matching at the frequency corresponding to the minimum AR. However, the antenna remains always matched for the values chosen here ( dB). The variation of and AR levels versus CPW feedline length is depicted in Fig. 11 for five values ( and are, respectively, set to 5.3 and 17.6 mm). As decreases (from 31.2 to 29.6 mm), the frequency corresponding to the minimum AR increases (from 5.76 to 5.84 GHz) maintaining a good CP purity ( dB) and a constant ARBW (1.9%). This is due to the constant shift of the linear polarization resonant frequencies around the minimum AR, which are moved to higher frequencies as decreases. The return loss remains below 10 dB at the minimum AR frequency while both minimum locations are not significantly altered by . Other parametric studies on the slot length and the radius have also been used in the antenna design. III. ANTENNA WITH SWITCHABLE POLARIZATION SENSE A. Topology of the Reconfigurable Antenna The antenna with polarization diversity is derived from the passive structure by adding a symmetrical slot along the second diagonal [20], as represented in Fig. 12. Slots, stubs, and patch dimensions are kept identical. Each slot can be short circuited by means of a pair of beam-lead p-i-n diodes (HPND-4028 Agilent Technologies, Palo Alto, CA) located near the intersection with the feeding line and directly

2860

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 13. Position of the ideal short circuit in the slots.

Fig. 12. Circularly polarized reconfigurable structure.

soldered in the coupling slots. By switching on a pair of diodes while the other is OFF, the antenna can switch between the RHCP and LHCP states with a single feeding port. In Fig. 12, shorting diodes 1 and 3 (2 and 4, respectively) produces RHCP (LHCP, respectively). The dc-bias voltage is supplied through a divided ground plane, separated into five parts using four thin slits (130 m). As a biasing circuit must not affect the RF behavior of the antenna, large capacitors are built over the slits by stacking copper strips and adhesive tapes (upper layer on Fig. 12). The slits are first covered by an isolating adhesive layer (approximately 130- m thickness), which insures a dc isolation maintaining RF continuity. The adhesive layer is then topped with four copper tapes to shield the slits at RF frequencies. The effect of the second slot and the influence of the diode positions are studied in Section III-B. A second study is performed in Section III-C to assess the capacity value due to the thin air gap layer. In Section III-D, simulations of the reconfigurable antenna are performed with ideal models of the diodes. Comparisons with the measured switchable prototype are presented and the validity of the ideal model is discussed. In Section III-E, an equivalent circuit of the diode is implemented in the High Frequency Structure Simulator (HFSS) software with electrical parameters directly deduced from data provided by Agilent Technologies. Finally, in Section III-F, the efficiency reduction resulting from the integration of the diodes is discussed. B. Effect of the Diode Position Here, the switch position inside the coupling slots is modified to control its effect on and AR. This study is useful to estimate the tolerance of the switchable antenna toward inaccurate soldering and placement of the diodes. To perform the parametric study, an ideal model of the diode is implemented. As 110 m is the average width of the beamlead p-i-n diode, a 110- m-wide metallic strip is used to model the p-i-n diode in the forward state (Fig. 13). An infinite resistance models the diode in the reverse state. In Fig. 14, the simulated and AR are reported for the antenna with a single inclined slot (passive antenna described in Section II). A second simulation is performed with two perpendicular slots and a short

Fig. 14. Influence of the second slot including ideal short circuits.

TABLE I AR VERSUS SWITCH POSITION

circuit located at a distance mm from the CPW feeding line (Fig. 13). A 1.5% frequency shift of the minimum AR (5.72 GHz instead of 5.81 GHz for the single slot) and similar matching levels ( 15 and 17 dB, respectively) are observed in Fig. 14. The AR level is not significantly altered by the presence of the second slot and the switch (0.43 dB instead of 0.2 dB). In Table I, the minimum AR level and the associated frequency are given for different diode positions. From mm to mm, the AR level is correct (below 0.5 dB), but degrades with larger distances reaching only 1.84 dB for mm. We conclude that the switching diodes must be soldered as close as possible to the CPW feedline with a maximum tolerance mm. C. Air-Gap Influence Due to the isolating adhesive layer, a 130- m-thick air gap is introduced between the ground plane and the RT/Duroid 5880 substrate. To evaluate the influence of this third dielectric layer, simulated results obtained with two antenna configurations have been plotted in Fig. 15. One is the antenna simulated in Fig. 14 (dotted line) with two perpendicular slots and an ideal short circuit. The other antenna is identical to the previous one, but includes the dc-bias circuit (divided ground plane with four thin slits, 130- m air gap layer and four copper tapes).

AÏSSAT et al.: RECONFIGURABLE CIRCULARLY POLARIZED ANTENNA FOR SHORT-RANGE COMMUNICATION SYSTEMS

2861

TABLE II TYPICAL S -PARAMETERS AT 6 GHz (AGILENT TECHNOLOGIES DATA)

Fig. 15. Influence of the dc isolation circuit.

Fig. 17.

 electrical network.

The discrepancy between experimental and simulated results is attributed to the parasitic reactance and losses of the diode, which are not included in the simulation. The improvement of the diode modeling is covered in Section III-E.

Fig. 16. Measured and simulated modeling.

S

E. Simulation Using Equivalent Circuits of the Diode and AR results with an ideal diode

In Fig. 15, the CP purity is nearly identical for both simulations (minimum and dB with dc isolation circuit) with moderate level differences ( 14 and 11.3 dB with dc isolation). However, an important frequency shift of the minimum AR is observed (from 5.72 to 5.88 GHz). The same decay is observed on on the second minimum location. We conclude that the relative thinness of the air gap layer (130 m) compared to the RT/Duroid 5880 thickness (3.175 mm) cannot be neglected and must be modeled as a third layer inserted between both dielectric layers. D. Simulation Based on Ideal Diodes—Comparison With Measurements The reconfigurable antenna including two pairs of diodes has been designed and fabricated. Experimental results are reported in Fig. 16 and compared with simulated results including the biasing circuit and obtained with ideal diodes. In the HFSS software, the diodes in the ON state are modeled by a 110- m-wide metallic strip and the diodes in the OFF state are modeled by an open circuit. In the simulation and measurements, diodes 1 and 3 are in the ON state, while diodes 2 and 4 are in the OFF state (RHCP pattern). The minima AR are 0.57 dB at 5.88 GHz (simulation) and 2 dB at 5.79 GHz (measurement), respectively. The corresponding return losses are 11.3 dB (simulation) and 17.9 dB (measurement), respectively. The frequency shift and level degradation of AR minima result in a 1.43-dB difference of the minimum AR level and a 1.5% frequency shift. As the antenna under consideration is characterized by a narrow 1% ARBW for an AR 3-dB criterion, the prediction does not comply to design purposes.

Here, an electrical model of the HPND-4028 beam-lead diode is integrated into the HFSS simulator. One simulator , inductor constraint is that only parallel circuits (resistor , and capacitor ) can be included. For both forward and reverse states, the values of the lumped elements ( and ) have been extracted from the -parameters provided by Agilent Technologies at 6 GHz and given in Table II for different dc-bias values. The beam-lead p-i-n diodes require a forward bias current in the forward state (ON) and a reverse voltage in the reverse state (OFF). Using Table II, the biasing current mA and reverse voltage V have been selected. The -parameters have been measured in a series configuration with microstrip ports [21]. The equivalent electrical circuit ( electrical network) depicted in Fig. 17 is particularly suitable , while the here. The open-end effects are modeled by diode behavior is included in . Using -toconversion tables for the two-port network, and are identified at 6 GHz. As the diode environment is different in the Agilent Technologies’ setup (microstrip ports) and the reconfigurable antenna (soldered in a slot), only was taken into account to determine the equivalent parallel circuit ( and ). In Table III, the real and imaginary parts of are given with the lumped elements of the equivalent parallel circuit. A simple capacitor is needed in the OFF state, while two lumped elements ( and ) are required to model the switch in the ON state. In Fig. 18, simulated and measured and AR are plotted versus frequency with the RHCP configuration. An excellent agreement with measurements is observed in AR simulations. The simulated minimum dB is obtained at 5.78 GHz with dB and %. Measurements indicate a minimum dB obtained at 5.79 GHz with dB and %.

2862

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

TABLE III LUMPED ELEMENTS OF THE EQUIVALENT PARALLEL CIRCUIT AT 6 GHz

Fig. 18. Results of S

and AR with an electrical model of the diode.

Fig. 19. Measured radiation pattern at 5.79 GHz.

A comparison can be made with results of Fig. 15 obtained with an ideal model of the diode. A sensible improvement is clearly obtained with an electrical model of the switch. The difference between the simulation and measurements drops from 1.43 to 0.89 dB for the AR level and from 1.5% to 0.2% for the frequency shift. The measured far-field patterns obtained at 5.79 GHz is displayed in Fig. 19 for the RHCP state. As revealed by the graph, the radiation patterns are very close to the patterns of the passive patch antenna (Fig. 9). Similar curves (not shown here) were obtained for LHCP. These results clearly show a significant improvement of the simulated results when an electrical model of the diode is used. On the other hand, the parasitic radiation of the diode is not taken into account in the electrical model, which probably causes an AR degradation. Moreover, some limitations of the switch components must be taken into account. For example, the losses and power limitations of the diodes inserted in the reconfigurable antenna require some consideration. F. Diode Effects on the Radiation Efficiency The measured gain of the reconfigurable antenna is 6.02 dB. This decrease, comparative to the 6.9 dB of the passive antenna,

TABLE IV AR AND GAIN OF THE RECONFIGURABLE ANTENNA VERSUS INPUT POWER

is significant and cannot be related to the lower reflection coefficient ( 17.8 dB versus 20.4 dB). Assuming identical directivities for the passive and active reconfigurable antennas, the dB difference corresponds to a drop of antenna efficiency. Even though the efficiency of the passive antenna has not been measured (typically around 90%), the previous comparison indicates that the efficiency decrease % results from the diodes integration. This decrease is not only attributed to the losses in the diode, but also to the excitation of CP cross-polarization observed through the AR degradation in the reconfigurable antenna. Extra measurements have been done to emphasize the influence of the nonlinearity of the diodes for several power levels in a transmitter configuration. In these measurements, the reconfigurable antenna is used as a transmitter antenna and fed by a power generator, of which output power level ranges from 16 to 26 dBm. Results are given in Table IV. No significant variations of the gain and AR values are observed for power levels lower than 22 dBm. For higher power levels, both gain and AR performances degrade. This degradation is attributed to the nonlinearities of the diodes, which alters the phase and amplitude conditions to obtain the CP operation of the antenna. IV. CONCLUSION A reconfigurable CPW-single fed antenna has been developed for short-range communication systems requiring CP diversity or modulation. To obtain a compact structure, switchable devices (four p-i-n diodes) have been directly integrated below the radiating element on the CPW feeding line. The resulting reconfigurable antenna is compact with a biasing circuit and components located inside the radiating parts of the structure. After a detailed study of the passive structure, we have demonstrated that a great improvement of the AR prediction is obtained with an equivalent circuit of the diode. For antennas showing narrow ARBW, simple shorts or opens are not sufficient for the diode modeling. The switchable polarization sense has been clearly demonstrated in a 5.8-GHz prototype and its potential integration in RFID systems has been highlighted. ACKNOWLEDGMENT The authors would like to thank D. Delcroix, Equipe Systèmes de Communication et Microsystèmes (ESYCOM) Laboratory, Marne-la-Vallée, France, and D. Vandermoere, Institut d’Electronique de Microélectronique et de Nanotechnologie (IEMN), Lille, France, for the antennas realizations.

AÏSSAT et al.: RECONFIGURABLE CIRCULARLY POLARIZED ANTENNA FOR SHORT-RANGE COMMUNICATION SYSTEMS

REFERENCES [1] M. Hirvonen, P. Pursula, K. Jaakkola, and K. Laukkanen, “Planar inverted-F antenna for radio frequency identification,” Electron. Lett., vol. 40, no. 14, pp. 848–850, Jul. 2004. [2] M. Kossel, H. Benedickter, W. Bächtold, R. Küng, and J. Hansen, “Circularly polarized, aperture-coupled patch antennas for a 2.4 GHz RF-ID system,” Microw. J., vol. 42, no. 11, pp. 20–44, Nov. 1999. [3] M. Kossel, R. Küng, H. Benedickter, and W. Bächtold, “An active tagging system using circular-polarization modulation,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2242–2248, Dec. 1999. [4] M. Boti, L. Dussopt, and J.-M. Laheurte, “Circularly polarised antenna with switchable polarisation sense,” Electron. Lett., vol. 36, no. 18, pp. 1518–1519, Aug. 2000. [5] F. Yang and Y. Rahmat-Samii, “A reconfigurable patch antenna using switchable slots for circular polarization diversity,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 3, pp. 96–98, Mar. 2002. [6] M. K. Fries, M. Gräni, and R. Vahldieck, “A reconfigurable slot antenna with switchable polarization,” IEEE Microw. Compon. Lett., vol. 13, no. 11, pp. 490–492, Nov. 2003. [7] M.-H. Ho, M.-T. Wu, and C.-I. G. Hsu, “An RHCP/LHCP switchable slotline-fed slot-ring antenna,” Microw. Opt. Technol. Lett., vol. 46, no. 1, pp. 30–33, Jul. 2005. [8] C.-Y. Huang, J.-Y. Wu, and K.-L. Wong, “Slot-coupled microstrip antenna for broadband circular polarisation,” Electron. Lett., vol. 34, no. 9, pp. 835–836, Apr. 1998. [9] K.-L. Wong and M.-H. Chen, “Small slot-coupled circularly-polarised microstrip antenna with modified cross-slot and bent tuning stub,” Electron. Lett., vol. 34, no. 16, pp. 1542–1543, Aug. 1998. [10] K.-L. Wong and Y.-F. Lin, “Circularly polarised microstrip antenna with a tuning stub,” Electron. Lett., vol. 34, no. 9, pp. 831–832, Apr. 1998. [11] W.-S. Chen, C.-C. Huang, and K.-L. Wong, “Microstrip-line-fed printed shorted ring-slot antennas for circular polarization,” Microw. Opt. Technol. Lett., vol. 31, no. 2, pp. 137–140, Oct. 2001. [12] C.-Y. Huang and K.-L. Wong, “Coplanar waveguide-fed circularly polarized microstrip antenna,” IEEE Trans. Antennas Propag., vol. 48, no. 2, pp. 328–329, Feb. 2000. [13] C.-Y. Huang, “A circularly polarized microstrip antenna using a coplanar-waveguide feed with an inset tuning stub,” Microw. Opt. Technol. Lett., vol. 28, no. 5, pp. 311–312, Mar. 2001. [14] H. Aïssat, L. Cirio, M. Grzeskowiak, and O. Picon, “Circularly polarized microstrip antenna coupled on an asymmetrical cross coplanar slot,” in Proc. 20th Int. Antennas Symp., Nice, France, Nov. 12–14, 2002, pp. 137–140. [15] H. Aïssat, L. Cirio, M. Grzeskowiak, J.-M. Laheurte, and O. Picon, “Circularly polarized antenna excited by coplanar waveguide feedline,” Electron. Lett., vol. 40, no. 7, pp. 402–403, Apr. 2004. [16] L. C. Shen, S. A. Long, M. Allerding, and M. Walton, “Resonant frequency of a circular disc, printed-circuit antenna,” IEEE Trans. Antennas Propag., vol. 25, no. 4, pp. 595–596, Jul. 1977. [17] C. A. Balanis, Advanced Engineering Electromagnetic. New York: Wiley, 1989, pp. 492–499. [18] W. Hilberg, “From approximation to exact relations for characteristic impedances,” IEEE Trans. Microw. Theory Tech., vol. 17, no. 5, pp. 259–265, May 1969. [19] S. S. Bedair and I. Wolff, “Fast, accurate and simple approximate formulas for calculating the parameters of supported coplanar waveguides for (M)MICs,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 1, pp. 41–48, Jan. 1992. [20] H. Aïssat, L. Cirio, M. Grzeskowiak, J.-M. Laheurte, and O. Picon, “CPW-fed patch antenna with switchable polarization sense,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 4–6, 2005, CD ROM, Abstract p. 104. [21] “Beam lead PIN diodes for phased arrays and switches,” Agilent Technol., Palo Alto, CA, HPND-4028, HPND-4038, Data sheet, 1999 [Online]. Available: http://www.agilent.com Hakim Aïssat was born in Le Blanc-Mesnil, France, in 1976. He received the M.Sc. degree in electrical engineering from the Université de Marne-la-Vallée, Marne-la-Vallée, France, in 2000, and is currently working toward the Ph.D. degree in electrical engineering at the Université de Marne-la-Vallée. His research interests include electromagnetic numerical modeling and antenna design and measurement.

2863

Laurent Cirio was born in Nogent-sur-Marne, France, on May 1966. He received the Ph.D. degree in electrical engineering from the Laboratoire d’Electronique, Antennes et Télécommunications (LEAT), University of Nice-Sophia Antipolis, NiceSophia Antipolis, France, in 1994. His doctoral thesis concerned the modeling of microstrip antenna with polarization switching capability using the transmission line matrix (TLM) method and parallel computer. In 1996, he joined the Equipe Systèmes de Communication et Microsystèmes (ESYCOM) Laboratory, University of Marne-laVallée, Marne-la-Vallée, France, as an Assistant Professor. His research focuses on planar antennas with diversity capabilities, printed lines on silicon substrates, and temporal numerical methods applied on microwave structures. He is also involved with experimental characterization and antenna measurement.

Marjorie Grzeskowiak was born in Douai, France, in 1973. She received the Ph.D. degree in electrical engineering from the University of Science and Technology of Lille (USTL), Lille, France, in 1999. Since 2000, she has been an Assistant Professor with the Equipe Systèmes de Communication et Microsystèmes (ESYCOM) Laboratory, University of Marne-la-Vallée, Marne-la-Vallée, France. Her subjects of interest concern the conception of RF and millimeter antennas for RF identification (RFID) applications, ultra-wideband (UWB) systems, and electromagnetic energy conversion with active components [diodes or microelectromechanical systems (MEMs)].

Jean-Marc Laheurte received the M.Sc. and Ph.D. degrees in electrical engineering and Habilitation à Diriger les Recherches degree from the University of Nice, Nice, France, in 1989 and 1992, and 1997, respectively. In 1989 and 1990, he was a Research Assistant with the Ecole Polytechnique Fédérale of Lausanne, Lausanne, Switzerland. From 1992 to 1993, he was a Post-Doctoral Researcher with The University of Michigan at Ann Arbor. From 1993 to 2002, he was an Assistant Professor with the University of Nice. In 2002, he joined the Equipe Systèmes de Communication et Microsystèmes (ESYCOM) Laboratory, University of Marne-la-Vallée, Marne-la-Vallée, France, where he is currently a Professor of electrical engineering in charge of the development of antenna activities. In 2005, he was a Visiting Professor with the Laboratoire de Physique de la Matière Condensée (UNSA). He has authored or coauthored over 40 technical papers and 50 conference papers. His research interests include numerical modeling of microstrip structures, design of active antennas, antenna diversity, and electromagnetic-bandgap (EBG)- and microelectromechanical systems (MEMS)-based antenna concepts. Dr. Laheurte was the recipient of the 1991 Jeunes et Antennes Award from the Société des Electriciens et Electroniciens (SEE). He was also the recipient of a 1993 Lavoisier Fellowship.

Odile Picon (M’86) was born in Paris, France. She received the Agregation de Physique degree from the Ecole Normale Supérieur, Fontenay aux Roses, France, in 1976, the Doctor degree in external geophysics from the University of Orsay, Orsay, France, in 1980, and the Doctor in physics degree from the University of Rennes, Rennes, France, in 1988. From 1976 to 1982, she was a Teacher. From 1982 to 1991, she was a Research Engineer with the Space and Radioelectric Transmission Division, Centre National d’études des télécommunications. From 1991 to 1993, she was a Professor of electrical engineering with Paris7 University. Since 1994, she has been a Professor or electrical engineering with the University of Marne-la-Vallée, Marne-la-Vallée, France, where she heads the Equipe Systèmes de Communication et Microsystèmes (ESYCOM) Laboratory. Her research deals with electromagnetic theory and numerical methods for solving field problems and design of millimeter wave passive devices.

2864

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

3-D Low-Loss Coplanar Waveguide Transmission Lines in Multilayer MMICs Van Tuyen Vo, Lokesh Krishnamurthy, Student Member, IEEE, Qing Sun, Student Member, IEEE, and Ali A. Rezazadeh, Member, IEEE

Abstract—Newly developed transmission-line structures using the great flexibility of three-dimensional multilayer technology have been designed and fabricated. In this paper, we demonstrate that monolithic microwave integrated circuit (MMIC) coplanar waveguide transmission lines with a wide range of characteristic impedances can easily be designed using the multilayer technique. Furthermore, this implementation can avoid the well-known current crowding effects on the conductor edges minimizing dissipation loss. The system of three layers of metals and two layers of sandwich polyimide as dielectrics was employed. The fabricated transmission lines have been characterized providing a wide range of impedances from 10 to 70 . In addition, the effects of unintentional horizontal and vertical coupling in multilayer MMICs have been investigated. The results indicated that an optimum separation of 75 m is necessary for negligible coupling 30 dB). (



Index Terms—Coplanar waveguide (CPW), coupling, monolithic microwave integrated circuits (MMICs), transmission lines.

I. INTRODUCTION HERE HAS been an increasing demand in microwave integrated-circuit technologies to lower the dissipation loss of transmission lines in order to improve the noise figure and efficiency performance of such circuits like low-noise and power amplifiers. Low-loss transmission lines are also desirable to create low-loss passive components such as filters, baluns, power divider/combiners, and couplers. The coplanar waveguide (CPW) is an alternate to microstrip concept [1], [2], [7], [8]. In CPW design, via-holes are not necessary and fragile semiconductors do not need to be excessively thin. In addition, the individual component sizes are unlimited. These factors can greatly reduce the processing costs. CPW concept is well suited for use with field-effect transistors such as pseudomorphic high electron-mobility transistors (pHEMTs), where RF grounding must be close to the device [1]. CPW transmission lines are also less lossy than microstrip ones [2], [7]. For several applications, such as reduced-size couplers and nonlinear transmission lines, it is desirable to use high-impedance lines [3]. To increase the characteristic impedance of CPWs, the gap must be widened and the center conductor reduced, which has the effect of increasing the conductivity loss. Elevation of the

T

Manuscript received October 10, 2005; revised February 20, 2006. This work was supported by the Electro-Magnetic Remote Sensing Defence Technology Centre, established by the U.K. Ministry of Defence and run by a consortium of BAE Systems Avionics, Thales Defence, Roke Manor Research, and Filtronic. The authors are with the School of Electrical and Electronic Engineering, University of Manchester, Manchester M60 1QD, U.K. (e-mail: tuyen.vo@ manchester.ac.uk) Digital Object Identifier 10.1109/TMTT.2006.875458

Fig. 1. Cross-sectional view of a 3-D MMIC incorporating passive CPW multilayer and GaAs pHEMT technologies.

center conductor, however, can increase the impedance without reducing the center conductor width [9], [10], [13]. On the other hand, ultra-low impedance transmission lines are needed in matching networks where low-impedance devices such as power field-effect transistors (FETs) or photodiodes are used. However, the range of the characteristic impedance of conventional CPWs is limited. The maximum impedance is limited by the practical size of the slot and the width of the center line, while a practical low limit is imposed by fabrication of the very narrow slot, and the high losses resulting from current crowding at the conductors edges. The conductor gapwidth limitation and high current crowding are overcome by employing a V-shaped center conductor [12]. This structure can eliminate the current concentration at the edge of the conductor. The center conductor can also be extended under the ground planes, resulting in higher capacitance per unit length and, thus, lowering the characteristic impedance. One problem associated with the CPW is that the ground must be on either side of the signal lines, which increases the complexity of the circuit designs. A potential solution to this is to utilize a multilayer technique in which several metal layers are sandwiched by insulators. This approach gives microwave engineers the flexibility in designing multilayer structures with improved circuit performance. The recent interest in highly integrated monolithic microwave integrated circuit (MMIC) for wireless application has been driven by the expansion of the market for wireless communications and sensors. Recently, the thin-film multilayer technology demonstrates that it can be very effective in realization of miniaturization and high-level integration, which results in reduction of chip size and, thus, low cost [11], [13]. A threedimensional (3-D) MMIC, shown in Fig. 1, is a promising technology for flexible design and cost-effective fabrication of millimeter-wave MMICs. In this structure, active devices such as pHEMT resistors are formed on a semi-insulating

0018-9480/$20.00 © 2006 IEEE

VO et al.: 3-D LOW-LOSS CPW TRANSMISSION LINES IN MULTILAYER MMICs

GaAs substrate, which carries multilayer of conductors and sandwich dielectrics. CPW transmission-line interconnects, passive components such as capacitors, inductors, coupler, baluns, and matching circuits are built into these multilayers. Such technology reduces the size of devices like inductors by approximately 75%, and significantly improves electrical performance while reducing cost. This technology also offers an effective separation of the application circuit process from the semiconductor active device process, resulting in a much shorter turnaround time. However, in order to keep the MMIC chip area small, the separation between adjoining CPW transmission lines should be as small as possible, which may create parasitic coupling between the transmission lines. It can also be a problem if there is unintentional vertical coupling between CPW transmission lines and conductor elements of different metal layers [14]–[16]. In this paper, we present a complete set of low-loss 3-D CPW transmission lines with characteristic impedance ranging from as low as 10–50 to 70 [17], which are ready for integration with other passive and active components. The impedance can be extended up to 100 easily by changing dimension of transmission lines. Fig. 2 shows the cross-sectional view of various structures of CPW lines using the great flexibility of 3-D multilayer technology. These structures do not require special fabrication techniques and any combination of them can be created on any substrate and also incorporated with any active device technology. In this study, several multilayer CPW transmission lines have been fabricated and characterized on semi-insulating GaAs substrates. The results show that a significant improvement can be achieved using multilayer structures compared with the conventional microstrip MMIC concept. Furthermore, the discussion is extended to include the effects of unintentional coupling both vertically and horizontally in multilayer MMICs. An evaluation of the unintentional coupling between CPW transmission lines and other conductor elements including metal contacts of active devices that are embedded in polyimide layers or built on semi-insulating GaAs is presented for the first time. Simulations and experimental measurements are used for this evaluation. The results suggest an optimum separation between adjoining CPW transmission lines where electromagnetic coupling can be neglected, i.e., lower than 30 dB. Simulation of the vertical coupling effect on characteristics of CPW transmission was also carried out and the results have been discussed. II. 3-D CPW TRANSMISSION LINES The multilayer CPW transmission lines in this study have been fabricated using three layers of metals and two layers of sandwich dielectrics. In realizing these multilayer structures, several processing aspects have been studied including polyimide spin, curing, etching, and metal contact formation. In these structures, different layers need to be interconnected properly through the etched windows of the polyimide insulating layers. The thickness of Au layers (M1, M2, and M3) was approximately 0.8 m. The isolating polyimide layers between metal layers was 2.5- m thick, and the semi-insulating GaAs substrate was approximately 600 m. The polyimide used in this

2865

study has a dielectric constant of approximately 3.7. A conventional planar CPW transmission line with the center conductor width m and slot width m was chosen as a basic structure, which is a compromise between the loss and compactness. The top center conductor of low-impedance transmission lines was made wider ( m) in order to reduce the impedance and also compensate increased dielectric loss due to the increased electric field in the overlapping area where the distance between the center line and ground becomes very close, only a few micrometers. All the transmission lines are 2-mm long. The polyimide interconnection windows were formed by oxygen plasma reactive ion etching (RIE) through a photoresist protecting layer patterned using the lithography process. In order to optimize the polyimide etching process, different polyimide etching conditions have been tried including varying plasma power, chamber pressure, and gas flow rate. The fabricated multilayer CPW transmission lines were characterized at microwave frequencies using a Cascade Microtech on-wafer probe station and an HP 8510B vector network analyzer. The frequency dependence of transmission-line parameters such as characteristic impedance, effective dielectric constant, and dissipation loss are calculated from the measured -parameters [4]–[8]. Based on the solution of the classical Telegrapher’s transmission-line equation, the characteristic impedance can be expressed as [4]

(1) where is the system impedance. The effective dielectric constant can be calculated from the imaginary part of the propagation constant [4]

(2) where is the speed of light in space. It is useful to plot the variation of dissipation loss factor with frequency for these multilayer structures. It is very common to consider the use of a two-port network where the transmitted power to the device is . The output power can be approximated as . Therefore, the dissipation loss can be approximated by

Loss

(3)

The geometry and dimension of transmission lines in this study were analyzed and optimized with the help of the two-and-one-half-dimensional (2.5-D) electromagnetic simulator Momentum, which is a part of the ADS 2004A software. A. 50-

Transmission Lines

In conventional MMICs, the transmission lines, which have a characteristic impedance of 50 , are widely used, and low-

2866

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 2. Cross-sectional view of various CPW transmission-line structures with three characteristic impedances. (a1) Planar. (a2) V-shaped. (b1) Planar on polyimide. (b2) Elevation. (c1) Overlap with 2 metal layers. (c2) V-shaped with overlap.

Fig. 3. Micrographs of fabricated: (a) planar and (b) V-shaped CPW transmission lines.

loss compact transmission lines are desirable. However, conventional planar CPW transmission lines are lossy due to high signal loss at the edge of the conductor, which is caused by current crowding effects. Here, we demonstrate that by utilizing multilayer technology, low-loss V-shaped CPW transmission lines can be easily constructed. The cross-sectional view of a conventional and V-shaped CPW transmission line are shown in Fig. 2(a1) and (a2). Three CPW transmission lines were designed to have a of 50 . The first one ( m, m) is a conventional planar CPW transmission line on GaAs, while the two others are multilayer V-shaped CPWs with the width of the top center line as and m [see Figs. 2(a1) and Fig. 2(a2)]. All the transmission lines are 2-mm long. Fig. 3 shows micrographs of fabricated conventional and V-shaped CPW transmission lines. The effective dielectric constant of the V-shaped transmission line are less than that of the planar one, as shown in Fig. 4(a). This is because the V-shaped design allows more electric flux lines in the low-permittivity polyimide layer and the air, which also even reduces the dissipation loss. A comparison of dissipation loss between the fabricated conventional

Fig. 4. Simulated and measured results for: (a) effective dielectric constant " and (b) dissipation loss of a planar (W = 20m, G = 15 m) and two V-shaped (W12: W = 12 m, G = 15 m and W8: W = 8 m, G = 10 m) CPW transmission lines with Z = 50 .

and V-shaped CPW transmission lines is shown in Fig. 4(b). It can be seen that both of the V-shaped CPW transmission lines are less lossy than the conventional planar version, in spite of having a thinner center conductor width. This was achieved by

VO et al.: 3-D LOW-LOSS CPW TRANSMISSION LINES IN MULTILAYER MMICs

2867

Fig. 5. Micrograph of a fabricated CPW transmission line with the center conductor elevated by 5-m dielectric layer, which is formed using metal 1 and metal 3 layers [see Fig. 2(b2)].

a proper design of the V-shaped transmission lines, which effectively disperse the current within the conductors, thus eliminating the current crowding effect. B. High-Impedance

Transmission Lines

The characteristic impedance of CPW transmission lines can be increased simply by lifting (elevating) entire CPW lines with a low-permittivity polyimide layer, as shown in Fig. 2(b1). Elevation of the center line is also another simple design to increase due to the reduction of its capacitance to the ground (see Fig. 2(b2) and Fig. 5). Here, we demonstrate how the described technique is effective. The dimension of the transmission lines shown in Fig. 2(b1) and (b2) were chosen as that of the conventional 50- CPW transmission lines formed on the GaAs substrate ( m and m). The transmission lines are 2-mm long. The results in Fig. 6 show that the characteristic impedance increases from 50 to 65 , i.e., by 30%. Even higher impedance can be realized easily by widening the gap between the center conductor and ground planes or by increasing the thickness of the polyimide layer. Comparing the planar structure on the GaAs substrate (Fig. 2(a1), ) with the same structure on top of the polyimide layer (Fig. 2(b1), ), most of the electric flux lines remain in a low-permittivity polyimide and the air resulting in low effective dielectric constant, as shown in Fig. 6(b). This also reduces the dissipation loss. At 10 GHz, the structure on the polyimide gives 0.5-dB/cm lower loss than that on GaAs [see Figs. 4(b) and 6(c)]. C. Low-Impedance

Transmission Lines

For the MMICs, low-impedance transmission lines have been shown to be useful components, especially in matching networks. In conventional MMICs, the transmission lines typically have a characteristic impedance of 40–100 , while the microwave device themselves have a much lower input impedance. This imposes a requirement on the transmission-line design since low-impedance lines cannot readily be used. To design a coplanar transmission line with extra low impedance requires a very narrow slot between the conductors. However, at high frequency, the signal loss at the edge of the conductor can be high due to the current crowding effects. Various methods to overcome this problem and to realize low-loss lines and small compact microstrip lines have been proposed. In this study, we demonstrate that by employing multilayer structures, a low-impedance low-loss transmission line can easily be constructed. This was achieved by proper design of the transmission-line structure in which the bottom part of

Fig. 6. Simulated and measured results for: (a) characteristic impedance, (b) effective dielectric constant, and (c) dissipation loss of a planar CPW line on a polyimide and a CPW line with the center conductor elevated as shown in Fig. 2(b1) and 2(b2) (W = 20 m and G = 15 m for both of the lines).

Fig. 7. Micrograph of a fabricated low-impedance V-shaped CPW transmission line.

the center line is overlapping the ground planes, increasing the capacitance to the ground, thus reducing the characteristic

2868

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 8. (a) Cross-sectional view of a low-impedance CPW transmission line using two metal layers and simulated and measured results for its: (b) characteristic impedance, (c) effective dielectric constant, and (d) dissipation loss (W = 40 m, G = 15 m and the overlap size as 1, 10, and 20 m).

Fig. 9. (a) Cross-sectional view of a low-impedance CPW transmission line using three metal layers and simulated and measured results for its: (b) characteristic impedance, (c) effective dielectric constant, and (d) dissipation loss (W = 40 m, G = 15 m and the overlap size as 1, 10, and 20 m).

impedance. V-shaped structures also allow the current to be effectively dispersed within the conductor, thus eliminating the current crowding effect. The structures that have been considered are shown in Fig. 2(c1) and (c2). Fig. 7 shows a fabricated low-impedance transmission line. All the transmission lines are 2-mm long.

Fig. 8 shows the cross-sectional view of low-impedance transmission lines using two metal layers and their measured parameters, and Fig. 9 shows transmission lines realized by three metal layers. The results clearly show that with appropriate overlap design of transmission lines, various low impedance can be achieved for circuit matching. For example, a

VO et al.: 3-D LOW-LOSS CPW TRANSMISSION LINES IN MULTILAYER MMICs

2869

Fig. 10. Cross-sectional view of two adjoining transmission lines separated by the conductor having a width of S (W = 20 m, G = 15 m).

Fig. 13. Top view of metal contacts of a pHEMT used in the simulations for investigation of vertical coupling in multilayer MMICs (see Fig. 14).

Fig. 11. Top view of two adjoining transmission lines separated by the conductor having a width of S (W = 20 m, G = 15 m).

Fig. 12. Measured coupling between two adjoining transmission lines having various separation of S .

20- impedance was achieved with a 1- m overlap at 10 GHz. This can be even reduced to 10 by simply increasing the overlap to 20 m, as shown in Fig. 8(b). Comparing the data given in Figs. 8(d) and 9(d), it clearly demonstrates that, for the same impedance, the additional metal layer of the structure shown in Fig. 9(a) provides a 4-dB lower dissipation loss compared with the two metal-layer structure design given in Fig. 8(a). It is because the current is more effectively dispersed within the three metal layers, thus eliminating the current crowding effect. On the other hand, the electric field at the overlapping area is lower due to thicker polyimide resulting in lower dielectric loss. III. EFFECT OF UNINTENTIONAL HORIZONTAL COUPLING In order to investigate the effect of unintentional horizontal coupling, a set of two adjoining transmission lines was designed to investigate the effect of horizontal coupling on their parameters in order to identify an optimum separation where electromagnetic coupling can be neglected. Fig. 10 shows a crosssectional view of two adjoining transmission lines on top of a 5- m-thick polyimide layer stacked on the semi-insulating GaAs substrate. The transmission lines are conventional planar CPW transmission lines with the center conductor width m and the slot width m and mm long. The sep-

Fig. 14. Cross-sectional view of two sets of test structures for investigation of vertical coupling. Set (a) one with a pHEMT under the signal conductor and one without. Set (b), as in set (a), but with an addition of a 5-m-thick polyimide layer.

aration ( ) varies from 15 m ( ) to 90 m ( ) (see Fig. 11). In order to study this effect, six pairs of transmission lines with different spacing were designed, fabricated, and measured. Fig. 12 shows measured isolation between the two adjoining transmission lines as a function of separation ( ). It can be clearly seen that the isolation can be improved by widening the distance between two transmission lines. However, the isolation is not improved with the spacing wider than 75 m ( ), where 30-dB isolation is achieved. This isolation is sufficient for most applications. IV. EFFECT OF VERTICAL COUPLING Referring to the design of the 3-D CPW multilayer MMIC, it is necessary to investigate the vertical coupling between various

2870

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

electromagnetic simulator Momentum. From the results shown in Fig. 15, it can be seen that set (a) shows approximately 10% decrease in characteristic impedance and 10% rise in the dissipation loss at 10 GHz. The reduction of the characteristic impedance is due to the increase of the capacitance of the line, which is caused by additional metal contacts of the pHEMT. The extra loss is due to the fact that some of the power is coupled to the metal contacts (pHEMT) located underneath the transmission line. However, if one covers the substrate with a 5- m-thick polyimide layer, the impedance changes very slightly and the loss increases only by 3%. This effect can be understood since the thick polyimide layer prevents electric flux penetration to conductors on the GaAs substrate. This thick polyimide also provides a good isolation of the structure. These results clearly demonstrate that a 5- m-thick polyimide layer can provide sufficient isolation so that transmission lines of the top layer can cross the area above the pHEMT device without significant change of its parameters. Careful design of the integration is also necessary to ensure minimum signal loss. V. CONCLUSION

Fig. 15. Simulated results of: (a) characteristic impedance and (b) dissipation loss of two set of transmission lines given in Fig. 14.

components. This is because of the possibility of over laying of transmission lines with other conductors, which can be metal contacts of active devices such as pHEMTs. In order to keep the MMIC chip area small, the separation between transmission lines and conductor elements of different layers should be as small as possible. However, this should be a problem if there is a strong unintentional vertical coupling between them, degrading the isolation between components within the MMIC chip. The effect of this coupling on the characteristic impedance and dissipation loss of the transmission line that crosses a pHEMT have been investigated, as has how a 5- m-thick polyimide layer can provide sufficient isolation in order to minimize the effect of vertical coupling. In this study, a CPW transmission line is formed on the top metal layer and metal contacts of a pHEMT are formed by the bottom metal layer directly under a CPW transmission line. Fig. 13 shows the top view of a pHEMT employed for this investigation. Two sets of test structures were designed to investigate the effect of vertical coupling, which are shown in Fig. 14. The test structures of set (a) is comprised of a semi-insulating GaAs substrate, pHEMT devices, silicon nitrite passivation layer, and a CPW transmission line on the top level, while set (b) has two extra polyimide layers with a total thickness of 5 m above an Si N layer. The CPW transmission line has a 20- m-wide center line, 15- m gap to ground conductors, and is 1-mm long. Simulations and characterization of the two sets of transmission lines were carried out with the help of the 2.5-D

Newly developed complete tools for low-loss 3-D CPW transmission lines on semi-insulating GaAs substrates have been designed, fabricated, and characterized. The transmission lines have been fabricated using three layers of metals and two layers of sandwich polyimide. The results have shown that a variety of low-loss CPW transmission lines for MMICs, which provide good performance to meet various circuit requirements, can easily be constructed by utilizing multilayer technique. This approach gives microwave engineers the flexibility in designing multilayer circuits with improved performance. Furthermore, the unintentional coupling between CPW transmission lines both horizontally and vertically with other conductor elements embedded in the layout needs careful consideration for optimum design integration. ACKNOWLEDGMENT The authors would like to thank K. Williams, University of Manchester, Manchester, U.K., for his assistance in the RF measurements. REFERENCES [1] M. Riaziat, I. Zubeck, S. Bandy, and G. Zdasiuk, “Coplanar waveguides used in 2–18 GHz distributed amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1986, pp. 337–338. [2] R. W. Jackson, “Considerations in the use of coplanar waveguide for millimeter-wave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 12, pp. 1450–1456, Dec. 1986. [3] T. Hirota, A. Minakawa, and M. Muraguchi, “Reduced size branchline and rat race hybrids for uniplanar MMIC’s,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 3, pp. 270–275, Mar. 1990. [4] K. C. Gupta, R. Grag, and R. Chada, Computer Aided Design of Microwave Circuits. Dedham, MA: Artech House, 1981, pp. 25–43. [5] T. Shibata and E. Sano, “Characterization of MIS structure coplanar transmission lines for investigation of signal propagation in integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 7, pp. 881–890, Jul. 1990. [6] W. R. Eisenstadt and Y. Eo, “S -parameter-based IC-interconnect transmission line characterization,” IEEE Trans. Compon., Hybrid, Manuf. Technol., vol. 15, no. 4, pp. 483–490, Aug. 1992.

VO et al.: 3-D LOW-LOSS CPW TRANSMISSION LINES IN MULTILAYER MMICs

[7] W. Durr, U. Erben, A. Schuppen, H. Dietrich, and H. Schumacher, “Investigation of microstrip and coplanar transmission lines on lossy silicon substrates without backside metallization,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 712–715, May 1998. [8] W. Heinrich, J. Gerdes, F. J. Schmuckle, C. Rheifelder, and K. Strohm, “Coplanar passive elements on Si substrate for frequencies up to 110 GHz,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 709–712, May 1998. [9] F. Schnieder, R. Doerner, and W. Heinrich, “High-impedance coplanar waveguides with low attenuation,” IEEE Microw. Guided Wave Lett., vol. 6, no. 3, pp. 117–119, Mar. 1996. [10] S.-H. Jeong, S.-J. Yoon, J.-G. Yook, S.-G. Lee, and Y.-J. Kim, “Elevated-CPW for high-speed digital interconnects,” IEEE AP-S Int. Symp., pp. 541–544, 2001. [11] H. Ogawa, T. Hasegawa, S. Banba, and H. Nakamoto, “MMIC transmission lines for multi-layered MMICs,” in IEEE MTT-S Int. Microwave Symp. Dig., 1991, pp. 1067–1069. [12] D. Budimir, Q. H. Wang, A. A. Rezazadeh, and I. D. Robertson, “V-shape CPW transmission lines for multilayer MMICs,” Electron. Lett., vol. 31, pp. 1928–1929, Oct. 1995. [13] C. Warns, W. Menzel, and H. Schumacher, “Transmission lines and passive elements for multilayer coplanar circuits on silicon,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 616–622, May 1998. [14] J.-P. Raskin, G. Gauthier, L. P. Katehi, and G. M. Rebeiz, “ -band single-layer vertical transitions,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 1, pp. 161–164, Jan. 2000. [15] Z. Lei and W. Menzel, “Broad-band microstrip-to-CPW transition via frequency-dependent electromagnetic coupling,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1517–1522, May 2004. [16] J. Papapolymerou, G. E. Ponchak, E. Dalton, A. Bacon, and M. M. Tentzeris, “Crosstalk between finite ground coplanar waveguides over polyimide layers for 3-D MMIC on Si substrates,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1292–1301, Apr. 2004. [17] V. T. Vo, L. Krishnamurthy, Q. Sun, A. A. Rezazadeh, and R. Sloan, “3-D low-loss coplanar waveguide transmission line structures with wide range of characteristic impedance for MMICs,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 4–7.

W

Van Tuyen Vo was born in Go Cong, Vietnam. He received the Diploma degree in electrical and electronic engineering from the Technical University of Budapest, Budapest, Hungary, in 1988, and the Ph.D. degree from the Hungarian Academy of Sciences, Budapest, Hungary, in 1995. In 1988, he joined the Research Institute for Technical Physics, Hungarian Academy of Sciences. He had been involved in research and development on microwave GaAs devices and applications. In 2001, he joined the Department of Electronic Engineering, King’s College, London, U.K., where he was engaged in research and development of zero-bias Si and GaAs planar doped barrier diodes for millimeterwave/microwave detectors/sensors. In 2003, he joined the School of Electrical and Electronic Engineering, University of Manchester, Manchester, U.K. He recent activities focus on 3-D MMIC design and technology.

2871

Lokesh Krishnamurthy (S’01) was born in Bangalore, India. He received the Diploma and B.Eng. degree in electronics and communication from Bangalore University, Bangalore, India, the M.Sc. degree in system-level integration from the University of Edinburgh, Edinburgh, U.K., and is currently working toward Ph.D. degree at the University of Manchester, Manchester, U.K. He is currently with the Electromagnetics Centre, University of Manchester. His field of research is comprised of design, characterization, optimization, and integration of multilayer passive components for advanced MMIC technologies. He is also currently involved in the design and development of multilayer low-noise amplifiers based on pHEMTs. His other research interests include developing new methodologies for parameter extraction and characterization of both active and multilayer passive components. Mr. Krishnamurthy was a recipient of the Overseas Research Scholarship in the U.K. and the Graduate Research Award presented at the European Microwave Week 2005, Paris, France.

Qing Sun (S’04) was born in Taiyuan, Shanxi, China, in 1981. He received the B.S. degree in electronic engineering from Taiyuan University of Technology, Taiyuan, Shanxi, China, in 2002, the M.Sc. degree in communication engineering from the University of Manchester Institute of Science and Technology (UMIST), Manchester, U.K., in 2004, and is currently working toward the Ph.D. degree in microwave engineering at the University of Manchester, Manchester, U.K. He is currently with the Electromagnetics Centre, University of Manchester. His research topic is novel MMIC based on multilayer CPW technology.

Ali A. Rezazadeh (M’90) is currently a Professor of microwave engineering with the School of Electrical and Electronic Engineering, University of Manchester, Manchester, U.K. He is also the Director of the Electromagnetics Research Centre, University of Manchester. From 1990 to July 2002, he was a Reader of microwaves and photonics and the Head of the Microwave Circuits and Devices Research Group, Department of Electronic Engineering, King’s College, University of London, London, U.K. From 1983 to 1990, he was a Research Scientist with the GEC-Marconi Hirst Research Centre and became the Group Leader responsible for research and development into advanced heterojunction devices and circuits for high-speed and digital applications. He has taught many courses on engineering physics, microwave and photonics devices and circuits, technology and components for MMICs and a short course on fundamentals of microwave power-amplifier designs annually held at the European Microwave Week Conference. He has authored several book chapters and conference proceedings and has authored or coauthored over 180 refereed journal and conference papers. His current research interests are in the area of design and technology of III–V circuits and devices including multilayer circuits for 3-D MMIC applications. Prof. Rezazadeh is the chairman of the IEEE UKRI [Microwave Theory and Techniques (MTT)/Electron Device (ED)/Antennas and Propagation (AP)/Lasers and Electro-Optics (LEO)] joint Chapter. In 1993 he founded the IEEE International Symposium on High Performance Electron Devices for Microwave and Optoelectronic applications (EDMO). He was the recipient of numerous awards an in 2000, received the IEEE Third Millennium Medal.

2872

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Substrate Integrated Image Guide (SIIG)—A Planar Dielectric Waveguide Technology for Millimeter-Wave Applications Andreas Patrovsky, Student Member, IEEE, and Ke Wu, Fellow, IEEE

Abstract—A synthesized version of the standard dielectric image guide, called the substrate integrated image guide (SIIG), is proposed and presented. It provides numerous advantages related to fabrication precision, design flexibility, and assembly cost, whereas it still retains the low-loss properties of its conventional counterpart in the millimeter-wave range. This is achieved by artificially lowering the effective dielectric permittivity around a guiding channel through cutting a periodic pattern of air holes in a single high-permittivity substrate. In this way, entire circuits including millimeter-wave components can be fabricated and assembled subsequently by a lamination process. Details on the propagation properties of the SIIG and design rules are presented. Two different simulation approaches, which have proven useful for analysis are described, and theoretical results are validated by measurements of fabricated prototypes. It is shown that guide attenuation values as low as 35 dB/m or 0.07 dB/ at 94 GHz can be obtained in practice with high-resistivity silicon as a substrate material. Index Terms—Dielectric waveguides, millimeter-wave integrated circuits, periodic structures, substrate integrated image guide (SIIG).

I. INTRODUCTION ILLIMETER-WAVE integrated circuits have gained much attention and great popularity due to the need for increased wireless data transmission bandwidth in mobile multiuser systems, as well as for high-resolution radar and imaging systems. However, the range of application for well-established conventional printed circuit board (PCB) technologies is rather limited at these high frequencies since high conductor losses and manufacturing tolerance problems appear. Reasons for this limitation are related to the increasing influence of skin effect and shrinking guide cross sections towards higher frequencies, necessary to suppress higher order modes and potential leakage or radiation. Both circumstances cause high current densities, thus yielding significant conductor losses. PCB transmission lines, with microstrip and coplanar waveguide (CPW) as the most important representatives, are only used if performance requirements are low. In other cases, expensive and bulky technologies like metallic waveguide are used thus far.

M

Manuscript received September 29, 2005; revised January 19, 2006. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada. The authors are with the Poly-Grames Research Center, Département de Génie Électrique, École Polytechnique de Montréal, Montreal, QC, Canada H3T 1J4 (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875461

Fig. 1. (a) Insulated image guide and (b) exploded view of substrate integrated (insulated) image guide (SIIG).

Attempts were made decades ago to develop microwave and millimeter-wave circuits based on dielectric waveguides. The simplest and most widespread form of planar dielectric guiding structures is the image guide, in earlier years, a half-cylindrical dielectric rod [1], and later, a rectangular dielectric strip on a metallic ground plane [2], [3], as shown in Fig. 1(a). In contrast to PCB planar waveguides, where electromagnetic waves are guided by interaction of the fields with currents flowing on thin conductors, which are always subject to strong field singularity at conductor edges, the guidance effect of the image guide is based on total internal reflection as exploited in the development of optical fibers. Although wave guidance would be possible in the absence of any conductor, the ground plane, which acts as a mirror for the fields, is introduced at millimeter-wave frequencies for several reasons. It acts as a one-sided shielding and it offers an appropriate mechanical support for circuits and can be used for biasing and dissipating heat from active devices. Moreover, it helps to shift higher order and degenerate modes to higher frequencies. Insertion of an insulating dielectric film of low permittivity provides reduced conductor losses in the ground plane. A graphic of this so-called insulated image guide

0018-9480/$20.00 © 2006 IEEE

PATROVSKY AND WU: SIIG—PLANAR DIELECTRIC WAVEGUIDE TECHNOLOGY FOR MILLIMETER-WAVE APPLICATIONS

is shown in Fig. 1(a). This waveguide is well adapted to the transition region between microwaves and optics. It possesses a metal ground plane for the reasons listed above, but it does not contain thin metal strips, where field singularities and, thus, high conductor losses occur. Image line antennas [4], filters [5], couplers [6], and millimeter-wave oscillators [7] are examples for components that have already been built with image guide technology. Even though the image guide is, in principle, suitable for planar integrated circuits, it is difficult and costly to fabricate complex structures that require a high alignment precision. A novel synthesized structure, the so-called substrate integrated image guide (SIIG), as shown in Fig. 1(b), was first demonstrated in [8]. The basic idea is to cut a lattice of air holes into a single sheet of substrate, but to leave a guiding channel in the middle. This perforation has the effect of creating regions of lower effective permittivity and was also exploited for microstrip patch antennas with improved performance [9]. Such an arrangement leads to a waveguiding structure similar to the conventional image guide. It is very important to realize that the SIIG is not a photonic crystal (PC) defect waveguide. Even though it looks similar to previously proposed PC slab waveguides [10], the SIIG does not operate in a possible bandgap of the periodic hole lattice, but at much lower frequencies. The periodic pattern of equally sized holes is chosen for design and fabrication convenience and the perforation only serves to reduce the effective permittivity in these regions to achieve total internal reflection. For instance, foam-like statistically distributed cavities of irregular shape would also do as long as the guided wave sees a dielectric with quasi-homogeneous effective permittivity in this zone. The difference between the two guiding mechanisms was discussed in [11] and [12]. Beside the disadvantages of inferior field confinement and guidance along bends, the SIIG has two basic advantages over the class of corresponding PC slab waveguides presented in [10]. It provides a broader operating bandwidth and low leaky-wave radiation. For operation in the bandgap region of a PC, the lattice period must be chosen longer than half a guided wavelength, which generates fast-wave spatial harmonics that radiate in vertical directions, in which the wave is only bound by a refractive index step. Further information can be found in [8]. In comparison to the conventional image guide, the fabrication of such a SIIG is simpler, more cost-effective, more precise (in particular in terms of alignment precision), and offers increased design flexibility due to the possibility of varying the effective permittivity of the perforated zones. It is compatible with a number of microfabrication techniques such as wet and dry anisotropic etching (semiconductors), laser cutting, and micro drilling or milling. As for mass production, direct firing of ceramics into the final shape can be thought of. Usually strict tolerance requirements have to be accomplished for millimeter-wave components, but in this respect, the SIIG is not comparable to PCs, where an exact periodicity of the lattice is crucial. Unlike PCB waveguides, the SIIG does not induce field singularities, what makes it less susceptible to tolerances. The SIIG belongs to the family of substrate integrated circuits (SICs) [13], [14], which allow, apart from low-cost fabrication, hybrid integration of different waveguide

2873

structures on the same substrate while exploiting the inherent advantages of each single structure. Reference [8] contains information on fabrication techniques and general properties of the synthesized SIIG structure, whereas this paper presents details on analysis, design rules, and propagation properties. Section II describes two different simulation techniques applied to obtain theoretical results. This is followed by a discussion of important design parameters and their impact on the complex propagation constant in Section III. Finally, Section IV deals with the experimental setup and measurement results obtained from fabricated prototypes.

II. ANALYSIS OF STRAIGHT SIIG SECTIONS Simulations of straight line sections of the structure in Fig. 1(b) were carried out in the frequency domain with the commercial full-wave finite-element method (FEM) simulator HFSS v9.2. Earlier approximate effective dielectric-constant methods or accurate orthogonal mode expansions, which were used to treat uniform dielectric waveguide structures [2], [15]–[19] are no longer applicable because the SIIG is a complex periodic unbounded three-dimensional (3-D) structure, which is subject to leakage. In contrast, the finite-element mesh consisting of tetrahedra is suitable to discretize the actual perforated structure. Two different techniques were used to obtain the complex propagation constant , in particular that of the fundamental mode. All higher order modes leak at certain frequencies because of the supported substrate modes in the perforated zones [19] and should, therefore, be avoided. The first technique is a two-line method, i.e., two SIIG sections differing in length are simulated and the propagation constant is extracted subsequently from the pair of -parameters. Wave ports are used to excite the structure and to receive the system response. However, the calculated fields on the wave ports (by a two-dimensional (2-D) eigenmode solver) are only valid for a uniform waveguide without structural change in the direction of propagation and, thus, cannot be defined in the cross section of the periodic SIIG directly. Short sections of uniform equivalent dielectric image guide are, therefore, added on each side to fulfill this condition. To this end, the perforated regions are replaced by homogeneous material with reduced permittivity for good matching. Fig. 2 shows the simulated shorter SIIG section. Only half of the structure was analyzed since even or odd symmetry can be exploited, depending on the considered mode. The length of the uniform extensions should be at least half a guided wavelength for smooth transition to the wave ports. Absorbing boundaries were applied to the outer surfaces of the open structure. Results for the attenuation constant will only be accurate if the absorbing boundaries are situated far enough from the guide core and do not influence the guided waves. A 3-mm radius around the core was found to be sufficient for practical situations at 94 GHz. Energy absorption will always occur at lower frequencies where field confinement of the dielectric guide is less pronounced. The length difference between the short and long line sections was chosen two periodic intervals. A longer difference

2874

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 2. One-half of the short SIIG section with uniform extensions for simulation of the complex propagation constant by the two-line method.

Fig. 3. One-half of the symmetric SIIG unit cell. The electric vector field is shown in the cross section.

can help to average numerical imprecision or mismatching phenomena. After obtaining a pair of -parameters for the two differing line sections, the propagation constant is then calculated from the phase difference of the pair of -parameters as follows:

(1) Provided that the uniform extensions and the perforated guide section are well matched, the attenuation constant is readily extracted from the magnitude difference of the -parameters

(2) Another useful technique that was successfully applied to the analysis of the SIIG is the 3-D eigenmode analysis of a single SIIG unit cell. It is more efficient with regard to simulation time and resources and results for the complex propagation constant are, in general, more precise. Fig. 3 shows half of the SIIG unit cell (symmetry) and the electric vector field on the cross-sectional plane. The distribution of the magnitude of electric field on the same plane is presented in Fig. 4. The field confinement in and around the guiding channel is strongly dependent on frequency and is shown here for the “worst case” at a minimum usable frequency. Even though the fundamental mode of the image guide theoretically has no cutoff frequency, operation at lower frequencies is not advisable due to unacceptable leakage and radiation at discontinuities and also because distances to keep between guiding channels in integrated circuits would become excessive. The 3-D eigenmode technique demands for input of the phase difference between the pair of periodic boundaries prior to simulation. For this reason, the phase constant at the obtained

Fig. 4. Electric field distribution in and around the guiding channel (core) of the SIIG at the lowest usable frequency.

eigenfrequency is simply calculated from this phase difference and the length of the unit cell, which is equal to lattice constant . The dispersion of a transmission line is usually calculated in an inverse way, i.e., , whereas the propagation constant is presumed to be purely real for numerical analysis, i.e., . Any kind of loss results in a complex eigenfrequency . An unloaded factor as defined for transmission-line resonators is derived by the High-Frequency Structure Simulator (HFSS) as follows:

(3)

PATROVSKY AND WU: SIIG—PLANAR DIELECTRIC WAVEGUIDE TECHNOLOGY FOR MILLIMETER-WAVE APPLICATIONS

Fig. 5. Comparison of the SIIG dispersion (normalized phase constant) obtained by HFSS driven modal solution and 3-D eigenmode solution, respectively. Core dimensions: 510 m 380 m (w h); HR silicon.

2

2

This is a valid approximation for weak line attenuation [20]. In general, for a dispersive transmission line, the factor is related to the attenuation constant in the following way: energy unit length power loss unit length (4) where is the real power flowing through the waveguide in the -direction and is the group velocity, which is equal to the velocity of energy transportation. Since is already known, the attenuation constant can readily be extracted by (5) Comparison of results in Fig. 5 for both methods obtained with an SIIG on a 380- m high-resistivity (HR) silicon substrate with a 510- m core width shows an excellent agreement for the dispersion diagram. The air hole diameter was chosen 0.5 mm and the lattice constant is 0.645 mm, as used later for the fabricated prototypes. The attenuation properties are closely related to the material properties, i.e., dissipation factors of the insulating film and the substrate, as well as the conductivity of ground plane. All simulation results in this paper are based on dissipation factors of 0.001 for the FEP film (fluorinated ethylene-propylene copolymer, ) and 0.0007 for the HR silicon ( ), respectively, and a conductivity of 3.8 10 S/m for the aluminum ground plane. In reality, conductor losses tend to be higher due to the surface roughness. Fig. 6 shows the simulated guide attenuation. A distinct stopband can be observed at a center frequency of 116 GHz. This is due to a distributed Bragg reflection, as explained in [8]. Simulations and measurements for the case of a more coarse perforation are also presented there, whereas in this study, the lattice was chosen fine enough to avoid a bandgap in the operating frequency range. The curve obtained from the two-line method shows overshooting next to the stopband. The reason is a wider bandgap of the shorter SIIG section. Results obtained from the 3-D eigenmode solution can be regarded as quite accurate and

2875

Fig. 6. Comparison of the SIIG waveguide attenuation obtained by HFSS driven modal solution and 3-D eigenmode solution, respectively.

show a steady progression. Line attenuation increases dramatically towards low frequencies for both analysis methods as a consequence of the weak field concentration around the guiding channel. When fields touch on the outer absorbing boundaries, energy is absorbed and leads to attenuation. This relation gives an indication on the lowest usable frequency in order to obtain good guiding properties. A limitation of the 3-D eigenmode unit cell analysis is given by the fact that the insertion loss due to reflection is not taken into account. Only material and leakage losses can be extracted from the results because the solution type is not of the excitation-response kind and -parameters are not available as a consequence. The electromagnetic bandgap that occurs if the Bragg condition is fulfilled [8] is thus not visible in the eigenmode results. In a general comparison, the two-line method is more general and is applicable to a wider range of problems, whereas the 3-D eigenmode solution is faster and more precise, but is limited to infinite periodic structures and cannot take into account reflection phenomena. III. SIIG DESIGN PARAMETERS The SIIG involves many design parameters that can be changed independently. This leads to an enormous flexibility, but also to a higher design complexity. A regular triangular hole lattice was chosen as perforation pattern since it allows to remove most substrate material for a given minimum wall thickness between the holes. In this way, the maximum permittivity contrast can be achieved, thus yielding the best guiding properties possible. The operating frequency range of a SIIG is strongly dependent on the substrate thickness. High-permittivity substrates with approximately 400- m thickness are a good choice for the 94-GHz range. Such dimensions are good to handle in contrast to much thinner substrates needed for microstrip for example. The width of guiding channel (core width) has a smaller influence, but plays a major role in maximizing the usable single-mode bandwidth. The lower frequency limit is given by requirements on the field confinement around the guiding channel. Too weak confinement results in

2876

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 7. Unloaded transmission line Q factor for the fundamental and first higher order mode of a SIIG made of silicon. Core dimensions: 510 m 380 m (w h), insulation film thickness: 50 m.

2

2

high radiation levels at discontinuities and must be avoided. The upper limit is given by the cutoff or better “divergence frequency” of the next higher order mode , however the transition from divergence to guidance is not sharp. Coupling to this mode occurs at discontinuities if the field confinement becomes more pronounced at higher frequencies. Fig. 7 shows simulated curves of the unloaded line factor for the first and second modes. The lower bandwidth limit is at the frequency where the factor has nearly reached its quasi-constant value for the fundamental mode. This depends on how far the outer absorbing boundaries are away from the SIIG center. 3 mm was used as the basis of our simulations because bigger distances do not, in practice, make sense. The upper bandwidth limit is the frequency where the factor for the second mode starts to rise quickly, indicating that this mode has passed the divergence frequency. In this example of a silicon SIIG, the lower limit is at 83 GHz and the upper is at 99 GHz, yielding a relative bandwidth of 17.6%. It should be mentioned that there is no clear definition of single-mode bandwidth. The values given here are related to practice and slightly depend on numerous parameters. In this way, by analyzing SIIGs with various core dimensions, Fig. 8 was generated. It shows relative bandwidths in dependence on core width and thickness of the insulating film. The widest bandwidths are obtained if the width and height of guiding channel are approximately equal. The short-circuit effect of the ground plane is stronger for thinner insulating films and, therefore, the upper bandwidth limit is shifted to higher frequencies. However, a compromise has to be found because a thicker film can significantly reduce conductor losses. Attenuation for SIIGs with different insulation film thickness is shown in Fig. 9. As expected, the highest attenuation is observed in the absence of an insulation film. Increasing the film thickness reduces attenuation, but from a certain level onward, no relevant improvement can be observed. A thickness of about one-tenth of the substrate height is a reasonable compromise, which is a confirmation of the findings in [3]. For the employed materials (HR-silicon, FEP insulation film, and aluminum ground plane) it was found that about two-thirds

Fig. 8. Usable (practical) single-mode bandwidth of SIIGs in dependence on the core width and with the insulation film thickness as a parameter.

Fig. 9. Waveguide attenuation of an HR-silicon SIIG for different insulation film thicknesses. Core dimensions: 380 m 380 m (w h).

2

2

of the losses are attributed to dielectric losses. Only in the case without the insulation film, the dielectric losses are approximately equal to the conductor losses. This fact emphasizes that low-loss dielectrics are essential for low SIIG attenuation. Avoidance of leakage in connection with substrate modes is another important design criterion for SIIGs. If fields reach the imperforated substrate material beyond the perforated zones next to the guiding channel, energy leaks away from the SIIG into the substrate. The unloaded transmission line factors were simulated for SIIGs with various widths of the perforated zones. Fig. 10 shows the influence of the perforation width. Five rows of air holes, corresponding to a distance of approximately 3 mm between the SIIG center and the absorbing boundaries, were used for all precedent simulations. Further increase yields only slight improvement and the field confinement must be sufficient anyway if the SIIG should find applications in integrated circuits. The distance between the air holes will generally be chosen as small as the required mechanical stability of the structure permits. This is to obtain a maximum permittivity contrast between the guiding channel and the perforated zones. In practice, mechanical stability is reasonable down to a wall thickness ( minimum remaining substrate wall between the two air holes) of

PATROVSKY AND WU: SIIG—PLANAR DIELECTRIC WAVEGUIDE TECHNOLOGY FOR MILLIMETER-WAVE APPLICATIONS

Fig. 10. Unloaded transmission line Q factor over frequency for various widths of the perforated zones adjacent to the guiding channel.

2877

Fig. 12. Drawing of a micromachined SIIG section in an aluminum fixture for connection to a millimeter-wave network analyzer.

Fig. 11. Center frequency of the distributed Bragg reflection stopband in dependence on the air-hole diameter and the lattice constant. The thickness of the HR-silicon substrate is 380 m for all curves.

Fig. 13. Measured insertion and return loss for the short and long SIIG sections fabricated of HR silicon. Losses arising from the two transitions are included. Core dimensions: 510 m 380 m (w h), insulation film thickness: 75 m.

one-quarter of the hole diameter, which was used as a standard for most of the simulations. Hole diameter and wall thickness together yield the lattice constant . The latter must be chosen small enough to avoid the already mentioned stopband in the operating frequency range of the SIIG. Fig. 11 shows the lattice constant’s relation to the center frequency of the stopband for specific SIIG dimensions. Every single curve point was obtained by setting the phase difference between the periodic boundaries in the 3-D eigenmode analysis to , thus yielding a standing wave. Since the stopband has a certain width, which is also dependent on the guide length, some distance must be kept between its center frequency and the highest operation frequency. Certainly, the hole lattice could always be chosen finer than necessary, which would, however, increase the fabrication complexity and decrease the mechanical stability.

HR-silicon wafer in order to measure the -parameters for subsequent extraction of the propagation properties. The air hole diameter was 0.5 mm and the lattice constant was 0.645 mm. A frequency-doubled Nd : YAG laser was used to cut the SIIGs with matching tapers out of the wafer. Aluminum test fixtures were milled with short WR10 metal waveguide sections expanding to pyramidal horns to approximately 3 mm around the guiding channel. These horns, in combination with the silicon tapers, yield quite efficient transitions for connection to a millimeter-wave network analyzer. Fig. 12 illustrates the described assembly. Measured logarithmic -parameters are shown in Fig. 13. As explained before by means of Fig. 7, the simulated lower practical frequency limit is at approximately 83 GHz. This agrees well with the experiment where the insertion loss shows a quite smooth progression above 85 GHz. Small ripple is introduced by the two back-to-back transitions, which cause a not negligible amount of reflections, resonances, and radiation. This is especially true for frequencies below 85 GHz due to the poor field confinement around the guiding channel. The short SIIG section has an insertion loss mean value of 1.6 dB and the long one of 2.3 dB in the operating frequency range, including

IV. EXPERIMENTAL SETUP AND RESULTS Experimental determination of the complex propagation constant was carried out in the same way as the two-line simulation method from Section II. Two straight SIIG sections, i.e., 20- and 40-mm long, were fabricated out of a 380- m-thick

2

2

2878

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

a small air gap, which, in turn, leads to a slightly lower phase constant. More information on fabrication is given in [8]. Practical applications for the SIIG basically include those already proposed for the conventional image guide. Efficient millimeter-wave antennas and arrays for beamforming and imaging systems are probably the most interesting application topics due to the long lossy feed lines. Integration of active devices has always been difficult with open dielectric waveguides. One way to address this problem is the SIC approach [14]. Active devices could then be placed in a shielded substrate integrated rectangular waveguide or on a CPW, which is connected via a transition to the SIIG on the same substrate. If a semiconductor substrate is used, active devices could be manufactured directly on the wafer. Fig. 14. Simulated and measured guide attenuation of the HR-silicon SIIG. Experimental data was extracted from measured S -parameters.

V. CONCLUSION Two different techniques for analysis of the SIIG have been described in detail. Obtained modeling results for the propagation properties were in good agreement with those from measurements on fabricated SIIG prototypes. The low-loss properties combined with simple and precise fabrication suggest that this novel scheme is useful for low-cost commercial millimeterwave components and circuits of high performance. It is also a promising candidate for waveguides in submillimeter and terahertz ranges when scaled to smaller dimensions. ACKNOWLEDGMENT The authors would like to thank S. Dubé, R. Brassard, and J. Gauthier, all with the Poly-Grames Research Center, Montreal, Canada, for the fabrication of the prototypes.

Fig. 15. Simulated and measured normalized phase constants of the silicon SIIG. The deviation is assumed to originate from fabrication tolerances.

the two transitions to WR10 metallic waveguide. The extracted guide attenuation according to (2) is shown in Fig. 14. As the difference between the insertion loss curves of Fig. 13 is used for calculation of the waveguide attenuation, this evaluation is very sensitive to the ripple on the insertion loss curves and results in a strongly fluctuating curve. A physically impossible “gain” at 85 GHz can be explained by the inaccuracy of this procedure. Nevertheless, the obtained attenuation should be accurate as a mean value in the operating frequency range because destructive and constructive interference due to mismatching and resonance phenomena outweigh each other over frequency. The extracted mean value of 35 dB/m above 85 GHz is close to the simulated value of 30 dB/m. This is reasonable because the surface roughness of the aluminum was not considered in the simulation. Simulated and measured extracted curves for the phase constant [according to (1)] are shown in Fig. 15. There is a certain deviation that can be attributed to fabrication tolerances. Due to the fragility of monocrystalline silicon and the lack of a heatable flatbed press, the SIIGs were not heat bonded to the aluminum with the FEP insulation film in between. Instead, the perforated silicon structure was only fixed with a tape on the metal base. This obviously produces

REFERENCES [1] D. D. King and S. P. Schlesinger, “Dielectric image lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-6, no. 7, pp. 291–299, Jul. 1958. [2] R. M. Knox and P. O. Toulios, “Integrated circuits for the millimeter through optical frequency range,” in Proc. Submillimeter Waves Symp., New York, NY, Mar. 1970, pp. 497–516. [3] R. M. Knox, “Dielectric waveguide microwave integrated circuits—An overview,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 11, pp. 806–814, Nov. 1976. [4] K. Solbach, “Review of dielectric image-line antennas,” in Millimeter Wave Components and Technology. Orlando, FL: Academic, 1986, vol. 15, ch. 4, pt. VI, pp. 193–219. [5] G. L. Matthaei, D. C. Park, Y. M. Kim, and D. L. Johnson, “A study of the filter properties of single and parallel-coupled dielectric-waveguide gratings,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 10, pp. 825–835, Oct. 1983. [6] D. I. Kim, D. Kawabe, K. Araki, and Y. Naito, “Directly-connected image guide 3-dB couplers with very flat couplings,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 6, pp. 621–627, Jun. 1984. [7] R. E. Horn, H. Jacobs, and E. Freibergs, “Integrated tunable cavity Gunn oscillator for 60-GHz operation in image line waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 2, pp. 171–176, Feb. 1984. [8] A. Patrovsky and K. Wu, “Substrate integrated image guide (SIIG)—A low-loss waveguide for millimetre-wave applications,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 897–900. [9] M. J. Vaughan, K. Y. Hur, and R. C. Compton, “Improvement of microstrip patch antenna radiation patterns,” IEEE Trans. Antennas Propag., vol. 42, no. 6, pp. 882–885, Jun. 1994. [10] M. Loncar, T. Doll, J. Vuckovic, and A. Scherer, “Design and fabrication of silicon photonic crystal optical waveguides,” J. Lightw. Technol., vol. 18, no. 10, pp. 1402–1411, Oct. 2000.

PATROVSKY AND WU: SIIG—PLANAR DIELECTRIC WAVEGUIDE TECHNOLOGY FOR MILLIMETER-WAVE APPLICATIONS

[11] H. Mosallaei and Y. Rahmat-Samii, “Photonic bandgap (PBG) versus effective refractive index: A case study of dielectric nanocavities,” in Proc. IEEE AP-S Int. Symp. Dig, 2000, pp. 338–341. [12] S. W. H. Tse and P. R. Young, “Photonic crystal non-radiative dielectric waveguide,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 1079–1081. [13] K. Wu, “Integration and interconnect techniques of planar and nonplanar structures for microwave and millimeter-wave circuits—Current status and future trend,” in Proc. Asia–Pacific Microw. Conf., Dec. 2001, pp. 411–416. [14] K. Wu, D. Deslandes, and Y. Cassivi, “The substrate integrated circuits—A new concept for high-frequency electronics and optoelectronics,” in Proc. 6th Int. Telecommun. Modern Satellite, Cable, Broadcast Services Conf., Oct. 2003, pp. P-III–P-X. [15] E. A. J. Marcatili, “Dielectric rectangular waveguide and directional coupler for integrated optics,” Bell Syst. Tech. J., vol. 48, no. 7, pp. 2071–2102, Sep. 1969. [16] J. E. Goell, “A circular-harmonic computer analysis of rectangular dielectric waveguides,” Bell Syst. Tech. J., vol. 48, no. 7, pp. 2133–2160, Sep. 1969. [17] K. Solbach and I. Wolff, “The electromagnetic fields and the phase constants of dielectric image lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 4, pp. 266–274, Apr. 1978. [18] S.-T. Peng and A. A. Oliner, “Guidance and leakage properties of a class of open dielectric waveguides: Part I—Mathematical formulations,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 9, pp. 843–855, Sep. 1981. [19] A. A. Oliner, S.-T. Peng, T.-I. Hsu, and A. Sanchez, “Guidance and leakage properties of a class of open dielectric waveguides: Part II—New physical effects,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 9, pp. 855–869, Sep. 1981. [20] R. E. Collin, Field Theory of Guided Waves. New York: IEEE Press, 1991.

Andreas Patrovsky (S’05) received the Dipl.-Ing degree in electrical engineering and information technology from the Munich University of Technology, Munich, Germany, in 2003, and is currently working toward the Ph.D. degree at the École Polytechnique de Montréal, Montréal, QC, Canada. In January 2004, he joined the Poly-Grames Research Center, Montréal, QC, Canada, which is affiliated with the École Polytechnique de Montréal. His current research interests cover low-loss integrated dielectric technologies for low-cost waveguides, antennas, and circuits in the millimeter-wave frequency range.

2879

Ke Wu (M’87–SM’92–F’01) is a Professor of electrical engineering and the Tier-I Canada Research Chair in Radio-Frequency and Millimeter-Wave Engineering at the École Polytechnique de Montréal, Montréal, QC, Canada. He was a Visiting or Guest Professor with many universities around the world. He also holds an honorary visiting professorship and a Cheung Kong endowed chair professorship with Southeast University, Nanjing, China, and an honorary professorship with the Nanjing University of Science and Technology, Nanjing, China. He has been the Director of the Poly-Grames Research Center, as well as the Founding Director of the Canadian Facility for Advanced Millimeter-wave Engineering (FAME). He has authored or coauthored over 450 referred papers and several books/book chapters. His current research interests involve SICs, antenna arrays, advanced computer-aided design and modeling techniques, and development of low-cost RF and millimeter-wave transceivers. He is also interested in the modeling and design of microwave photonic circuits and systems. He serves on the Editorial Board of Microwave Journal, Microwave and Optical Technology Letters, and Wiley’s Encyclopedia of RF and Microwave Engineering. He is an Associate Editor of the International Journal of RF and Microwave Computer-Aided Engineering. Dr. Wu is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities) and a member of the Electromagnetics Academy, Sigma Xi, and the URSI. He has held many positions in and has served on various international committees, including the vice chairperson of the Technical Program Committee (TPC) for the 1997 Asia–Pacific Microwave Conference, the general co-chair of the 1999 and 2000 SPIE’s International Symposium on Terahertz and Gigahertz Electronics and Photonics, the general chair of the 8th International Microwave and Optical Technology (ISMOT’2001), the TPC Chair of the 2003 IEEE Radio and Wireless Conference (RAWCON’2003), and the general co-chair of the RAWCON’2004. He has served on the Editorial or Review Boards of various technical journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He served on the 1996 IEEE Admission and Advancement Committee and the Steering Committee for the 1997 joint IEEE Antennas and Propagation Society (AP-S)/URSI International Symposium. He has also served as a TPC member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium. He was elected to the Board of Directors of the Canadian Institute for Telecommunication Research (CITR). He is currently the chair of the joint IEEE chapters of MTT-S/AP-S/LEOS in Montréal, QC, Canada, the chapter coordinator for MTT-S Region 7. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2009 and serves as the chair of the IEEE MTT-S Transnational Committee. He was the recipient of a URSI Young Scientist Award, the Oliver Lodge Premium Award of the Institute of Electrical Engineer (IEE), U.K., the Asia-Pacific Microwave Prize, the University Research Award ”Prix Poly 1873 pour l’Excellence en Recherche” presented by the École Polytechnique on the occasion of its 125th anniversary, the Urgel-Archambault Prize (the highest honor) in the field of physical sciences, mathematics, and engineering from the French-Canadian Association for the Advancement of Science, and the 2004 Fessenden Medal of the IEEE Canada. In 2002, he was the first recipient of the IEEE MTT-S Outstanding Young Engineer Award.

2880

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Design and Measurement Data for a Microwave Dual-CP Antenna Using a New Traveling-Wave Feed Concept Kum Meng Lum, Timo Tick, Charles Free, Member, IEEE, and Heli Jantunen

Abstract—Design and measurement data are presented that show how the novel concept of a traveling-wave-fed circular-polarization (CP) microstrip antenna can be extended to provide an antenna with dual CP. A new refinement to the basic antenna structure is also introduced that shows that the efficiency of radiation can be enhanced by using a dual dielectric to improve the fringing fields at the edges of the radiating patches by around 40%. Furthermore, new theoretical and simulated data are introduced to support the original traveling-wave feed concept. Index Terms—Circular polarization (CP), dual polarization, patch antenna, traveling wave.

I. INTRODUCTION NEW concept, whereby a traveling-wave system was used to feed a circular-polarized (CP) microstrip patch array, was established by Lum et al. in [1] and [2]. Lum et al. presented practical data from 5-GHz prototype antennas to support the new concept. In this paper, we have introduced a significant extension to the basic design by using two parallel slotline feeds to produce a dual-polarization antenna. Practical data are presented that verify the principle of the new dual-polarization antenna. The basis of this study, as reported in the European Microwave Conference [2], was originally established by Huang [3], who used four probe-fed patches and a corporate feed structure. In our case, the probe feeds are replaced with a circular slotline channel of width , which runs in the ground plane beneath the patches. The concept of using a slotline to excite a single patch was originally reported by Tang et al. [4]. A traveling wave was excited in the slotline channel through a microstrip-to-slotline transition [5], [6]. One of the benefits of the antenna structure under investigation is that the antenna is particularly suitable for inclusion in highly integrated multilayer packages. Related to this, two additional features have been investigated, namely, the use of a low-temperature co-fired ceramic (LTCC) structure and the use of mixed dielectrics. It is well known that LTCC offers a number of advantages for the fabrication of microwave circuits. Data is

A

Manuscript received October 7, 2005; revised January 5, 2006. K. M. Lum is with the Institute of Infocomm Research, Singapore 550211 (e-mail: [email protected]). T. Tick and H. Jantunen are with the Microelectronics and Materials Physics Laboratories, Department of Electrical and Information Engineering, University of Oulu, Oulu 90014, Finland. C. Free is with the School of Electronics and Physical Sciences, University of Surrey, Surrey GU2 7XH, U.K. Digital Object Identifier 10.1109/TMTT.2006.875463

Fig. 1. Dual-CP antenna configuration.

presented on the practical performance of a 15-GHz CP antenna based on the new traveling-wave feed principle. Multilayer fabrication techniques, using thick-film or LTCC technology, also offer the potential for having layers of different permittivity. It is shown that this feature can be used to advantage by incorporating a low dielectric layer beneath the patches so as to increase the magnitude of the fringing field and, hence, increase the magnitude of the radiated signal. II. DUAL-CP ANTENNA STRUCTURE The structure of the dual-polarization CP antenna is depicted in Fig. 1. It can be seen that the microstrip patches are fed through two parallel slots in the ground plane. A signal applied at port 1 will excite a signal traveling in the counterclockwise direction in the outer of the two slots, and this will produce right-handed circular polarization (RHCP). Similarly, a signal applied at port 3 will excite a signal traveling clockwise in the inner slot, and this will excite the left-handed circular polarized (LHCP) signal. It can be seen that this is an extension of the single-slot antenna reported by the authors as in [2]. The key aspect of this structure is that there should be a distance of between the feed points to the patches along the slotline. In order to reduce the overall size of the structure, a meander was inserted into the slotline sections between each patch so as to reduce the

0018-9480/$20.00 © 2006 IEEE

LUM et al.: DESIGN AND MEASUREMENT DATA FOR MICROWAVE DUAL-CP ANTENNA USING NEW TRAVELING-WAVE FEED CONCEPT

2881

Fig. 4. Two-port network representation of a single patch.

Fig. 2. Dual-CP antenna slotline arrangement.

The key dimensional data for the antennas shown in Fig. 3 are mm, mm, incremental offset m, and slotline width m. III. ANTENNA THEORY

Fig. 3. Fabricated dual-CP Antenna. (a) Top plane. (b) Bottom plane.

mean diameter. It should be noted that, for both of the slot excitations, there is a progressive offset of the patches relative to the slotline, as the signal propagates around the appropriate slot. This incremental offset is to ensure that each patch will receive approximately the same amount of coupled energy as the signal propagates around the slot, gradually decreasing in amplitude. The offset of the slots relative to the patches is shown in Fig. 2. In order to prevent coupling between the slots, the spacing between the slots was maintained at , where is the width of the slot. It was found, both through simulation and experiment, that this spacing maintained the coupling between the slots below 25 dB. It should be noted that the slotline channel is relatively narrow so that little signal was radiated from the feed. Photographs of the 5-GHz test antennas are shown in Fig. 3. These test antennas were fabricated on RT/Duroid 5870 in which the substrate had a relative permittivity of 2.33 and a thickness of 1 mm. It should be noted that since only two conductor layers were used in the test antennas, it was necessary to include the microstrip feed lines on the same surface as the radiating patches. This would be a problem if the antennas were used for receiving, as signals could be picked up directly on the microstrip feed lines. However, the problem is resolved if a three-conductor structure is used. This is demonstrated later in this paper when a three-conductor layer LTCC antenna is described.

A theoretical analysis using matrix multiplication was used to study the behavior of a single-slot-fed antenna array of the type described in [2], which incorporated a 500- m incremental offset. Initially, each patch and its associated slotline feed was represented by a two-port network in terms of scattering parameters. The reference planes for each slot-fed patch are shown in Fig. 4. It should be noted that a spacing of between the reference planes was chosen so that successive patches could be added without the need for adding additional sections of slotline. The scattering parameters of each successive patch, with the incremental offset of 500 m, were obtained through simulation using Momentum. After obtaining the matrix for the individual networks, the overall representation was obtained through matrix multiplication. The validity of the analysis was demonstrated when the overall representations were converted back to -parameters and compared with measurement. For example, the theoretical value of 11.5 dB compared very well with the measured value of 12.3 dB, which was presented in [2]. To aid the understanding of the behavior of individual patches, plots are given in Figs. 5 and 6 that show how and for an individual patch vary with the incremental offset. In Fig. 5, we see that there is a greater change in for small and large values of incremental offset. This can be explained in terms of conventional transmission-line theory and the standing wave that will exist in the patch at resonance. Fig. 6 shows a well-behaved characteristic, in which decreases steadily with an increase in the offset, which is reasonable since more power will be lost through the patch due to radiation as the offset increases. It can be shown that as a function of incremental offset gives a logarithmic characteristic. The usefulness of this study is that we can predict the effect of the offset and, thus, design future arrays without the need to simulate the effects of all the individual patches. Through correct design of the offsets, it can also be arranged that the majority of the energy traveling in the slotline will be coupled onto the radiating patches with minimum energy dissipated in the slotline termination.

2882

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 5. Plot of S

magnitude against amount of offset.

Fig. 7. Measured CP for single-slot feed antenna with 500- and 700-m incremental offset.

Fig. 6. Plot of S

magnitude against amount of offset.

TABLE I SUMMARY OF OPTIMUM MEASURED RESULTS FOR CP ANTENNA WITH 700-m INCREMENTAL OFFSET AT 5.04 GHz

Fig. 8. Measured S

for dual-CP antenna.

Fig. 9. Measured S

and S

IV. SUMMARY OF KEY RESULTS FOR SINGLE-SLOT CP ANTENNA In order to make a proper comparison of the performance of the dual-slot antenna, we have summarized the key parameters of a single-slot antenna, already published in [2]. Table I summarizes the optimum measured results for a single-slot antenna with 700- m incremental offset at 5.04 GHz. Fig. 7 shows the high quality of circular polarization that can be obtained using this new technique with a single-slot feed. The results for the eight-patch array show a significant improvement in gain when compared with the results for the fourpatch array reported in [1]. V. DUAL-CP ANTENNA MEASUREMENT RESULTS As shown in Fig. 8, the best match for the dual-polarization antenna was obtained at 4.99 GHz with an value less than 30 dB. This matching frequency is close to the designed value of 5 GHz. The bandwidth of the proposed antenna is approximately 21.2%. The maximum gain measured over this bandwidth is approximately 14.8 dBi. Fig. 9 shows the isolation between the two slotlines. The best isolation is obtained close

for dual-CP antenna.

to the designed frequency of 5 GHz, with an value less than 25 dB at 5.02 GHz and value less than 40 dB at 5.05 GHz. (the port identification is given in Fig. 1). Figs. 10 and 11 show a comparison of the simulated and measured data for the 3-dB axial ratio of the LHCP and RHCP. The optimum measured 3-dB axial ratio of the LHCP and RHCP are 2.7 dB at 4.95 GHz and 2.1 dB at 5.05 GHz with 3-dB axial ratio bandwidth of 7% and 11%, respectively. The corresponding simulated and measured polarization quality of the LHCP and RHCP

LUM et al.: DESIGN AND MEASUREMENT DATA FOR MICROWAVE DUAL-CP ANTENNA USING NEW TRAVELING-WAVE FEED CONCEPT

2883

Fig. 10. Simulated and measured 3-dB axial ratio for LHCP.

Fig. 13. Simulated and measured circular polarization for RHCP.

Fig. 11. Simulated and measured 3-dB axial ratio for RHCP.

Fig. 14. Simulated and measured radiation pattern for LHCP.

Fig. 12. Simulated and measured circular polarization for LHCP.

are represented in Figs. 12 and 13, which shows the relative magnitudes of the LHCP and RHCP as a function of spatial angle. It can be seen that the high quality of circular polarization obtained with a single slot, and displayed in Fig. 7, has been maintained. Some reductions in the CP quality may be due to received signals being picked up directly on the microstrip lines during the measurement process. This problem can be solved by implementing the multilayer structure, as introduced in Section VI, to allow the microstrip feed line to be on the reverse side of the antenna. The simulated and measured radiation patterns for both hands of polarization are shown in Figs. 14 and 15, and it can be seen that essentially the same characteristics are obtained in each case.

Fig. 15. Simulated and measured radiation pattern for RHCP.

VI. MULTILAYER LTCC CP ANTENNA STRUCTURE AND MEASUREMENTS In order to validate the new design, a single-slot-fed antenna was fabricated and tested using a different material (LTCC) and at a different frequency (15 GHz). The structure of the antenna

2884

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 16. Multilayer LTCC antenna configuration.

Fig. 18. Fabricated multilayer LTCC antenna. (a) Top plane. (b). Bottom plane.

Fig. 17. Multilayer LTCC antenna layer configuration.

is shown in Figs. 16 and 17. The multilayered nature of the structure permitted the microstrip feed lines to be positioned on the opposite side to the radiating patches, thus enabling the antenna to be used for receiving. The LTCC antennas consisted of two thick layers of dielectric, each composed of four layers of DuPont 951 LTCC tape (245- m thick). The slotline feeding the patches was formed in a buried ground. Vias were used to make an electrical connection between pads on the upper surface and the buried ground plane. The pads were necessary to earth the subminiature A (SMA) connectors. The vias were laser drilled and filled with an injection via filler using DuPont 6141 Ag via paste. The diameter of the vias was 200 m. The buried ground plane and the patches on the top surface were screen printed using DuPont 6142 Ag conductor paste and co-fired. Finally, the feed lines on the bottom side were printed using DuPont 6160 silver paste, and after post firing, the antennas were cut from the panel to their final size. The large uniform ground plane in this structure, approximately 65 mm 65 mm, caused extra challenges for manufacturing. The main danger was substrate warping caused by the shrinkage mismatch of the conductive paste and the ceramic sheet. However, in this case, the ground plane was located symmetrically in the center of the substrate and, thus, the shrinkage of the plane is equally restricted from both sides and warping was avoided. The burnout period of the firing profile given by DuPont was also revised. To ensure the removal of all organics, a very slow heating rate of 2 C/min from room temperature up to 500 C, and 1-h dwell time at this temperature was used. This process provided a defect-free structure without any interlayer delamination and surface blistering, which are likely to occur with this kind of high-volume substrate having large uniform ground planes. The antenna that was fabricated on LTCC DuPont 951 material had the following parameters: 1) single fired thickness: m; 2) dielectric constant: ; 3) loss tangent: .

Fig. 19. Simulated and measured S

for multilayer LTCC antenna.

The incremental offset of the patches was set at 100 m with a slotline width , 200 m. The patch dimensions were m and m. As with the other antennas that were investigated, the patches were spaced along the circular slotline. Photographs of the fabricated LTCC antenna array are shown in Fig. 18(a) and (b), where (a) is the top view and (b) is the bottom view of the substrate. View for the slotline channel is not feasible since it is located in the buried ground plane. Overall circuit dimension is 65 mm 65 mm. Comparison of the simulated and measured data for the return loss are presented in Fig. 19. Optimum measured return loss was obtained at 15.04 GHz with values less than 20 dB. Bandwidth of the LTCC antenna array (voltage standing-wave ratio (VSWR) 2) is 2.7% and the corresponding optimum measured gain over this bandwidth is approximately 7.8 dBi. Reasonably good agreement between the simulated and measured radiation pattern of the antenna is demonstrated at 15.03 GHz, as shown in Fig. 20. Good quality circular polarization existed at 14.90 GHz, as shown in Fig. 21. This is verified by the simulated and measured data of the 3-dB axial ratio, as plotted in Fig. 22. The 3-dB axial ratio bandwidth of the LTCC antenna array is 1.7%. VII. MULTILAYER THICK-FILM RADIATION ENHANCED PATCH ANTENNA STRUCTURE AND THEORY It is well known that there will be a concentration of charge along the edges of a resonant patch, giving rise to fringing fields.

LUM et al.: DESIGN AND MEASUREMENT DATA FOR MICROWAVE DUAL-CP ANTENNA USING NEW TRAVELING-WAVE FEED CONCEPT

2885

Fig. 23. Dual low dielectric-constant regions configuration.

Fig. 20. Simulated and measured radiation pattern for multilayer LTCC antenna.

Fig. 24. HFSS modeling of dual low dielectric-constant regions configuration incorporated with 1000-m offset between center of the slotline and center of the patch.

Fig. 21. Simulated and measured circular polarization for multilayer LTCC antenna.

Fig. 22. Simulated and measured 3-dB axial ratio for multilayer LTCC antenna.

In order to improve the efficiency of radiation of the new structure, a theoretical investigation based on electromagnetic simulation was performed to examine the effect of placing strips of low dielectric-constant material beneath the radiating edges of the patch to enhance the fringing fields As shown in Fig. 23, two thin regions of low dielectric-constant thick-film dielectric material is arranged beneath and in parallel with the radiating edges of the patch. Due to the presence of the low dielectric-constant material, repulsive forces of the like charges on the bottom surface of the patch are strengthened. Thus, the fringing fields at the radiating edges significantly improved, while the effect on the overall patch dimensions is negligible. Fig. 24 shows the model used in HFSS; this shows the two regions of low dielectric constant, with the slotline offset by 1000 m from the center of the patch. The same basic model, but without the low dielectric regions, was then used to verify the enhanced performance due to the inclusion of these regions. It can be seen from Fig. 25(a) and (b), that the presence of the low dielectric material increases the intensity of the electric field distribution at the patch edges by around 40%. To further establish the validity of this unique technique, a greater offset of 2000 m was considered, and the results are shown in Fig. 25(c) and (d). Again we see an increase in the radiation intensity of around 40%.

2886

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

[2] K. M. Lum, T. Tick, C. Free, and H. Jantunen, “Design and measurement data for a microwave CP antenna using a new traveling-wave feed concept,” in Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 261–264. [3] J. Huang, “A technique for an array to generate circular polarization with linearly polarized elements,” IEEE Trans. Antennas Propag., vol. AP-34, no. 9, pp. 1113–1124, Sep. 1986. [4] K. P. Tang, C. E. Free, and G. F. Goldspink, “A novel slotline-fed microstrip patch antenna,” in IEEE Antennas Propag. Conf., Apr. 1995, vol. 1, no. 407, pp. 235–238. [5] E. A. Mariani, C. P. Heinzman, J. P. Agrios, and S. B. Cohn, “Slot line characteristic,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 12, pp. 1091–1096, Dec. 1969. [6] J. B. Knorr, “Slot-line transitions,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 5, pp. 548–554, May 1974.

Kum Meng Lum received the B.Eng. degree in electrical and electronic engineering and Doctor of Philosophy degree in electronic engineering from the University of Surrey, Surrey, U.K., in 2002 and 2006, respectively. He is currently an Associate Scientist with the Institute of Infocomm Research, Singapore. His research interests include planar printed antennas, thick-film multilayer antennas, and LTCC antenna design for microwave communications.

Fig. 25. HFSS top-view modeling of electric field distribution of patch antenna. (a) 1000-m offset. (b) 1000-m offset with two regions of low dielectric constant material. (c) 2000-m offset. (d) 2000-m offset with two regions of low dielectric-constant material.

Timo Tick was born in Pihtipudas, Finland, in 1979. He received the M.Sc. degree in computer engineering from the University of Oulu, Oulu, Finland, in 2004, and is currently working toward the Ph.D. degree in electrical and information engineering at the University of Oulu. Since 2002, he has been a Researcher with the Microelectronics and Materials Physics Laboratories, University of Oulu. His current research interests include functional LTCC ceramics and thick films and their applications in microwave frequency

Thus the concept of using low dielectric-constant material sections has been verified from the results of simulation. The technique seems particularly suitable for use with the CP antennas under consideration because of the general suitability of the whole structure for implementation in multilayer thick-film or LTCC formats. VIII. CONCLUSION The concept of a new dual-polarization CP antenna has been established through simulation and practical measurement. Moreover, it has been shown that the technique can be successfully employed at different frequencies, namely, 5 and 15 GHz. Two different processes were used to manufacture test antennas, conventional etching, and LTCC and, in both cases, the results were very good. A radiation enhancement method, using regions of low dielectric constant, has been demonstrated both through simulation and measurement. The technique seems particularly suitable for use in multilayer microwave circuits, and particularly highly integrated multilayer transceiver packages. ACKNOWLEDGMENT The authors wish to acknowledge the assistance and support of R. Clark, University of Surrey, Surrey, U.K., and the Processing Group, University of Oulu. Oulu, Finland, for fabricating the test antennas. REFERENCES [1] K. M. Lum, C. Laohapensaeng, and C. E. Free, “A novel traveling-wave feed technique for circularly polarized planar antennas,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 3, pp. 180–182, Mar. 2005.

components.

Charles Free (M’99) received the degree in electronic engineering. He was a Research Engineer in industry and then moved to academia. He is currently a Lecturer of microwave technology with the University of Surrey, Surrey, U.K. He has authored or coauthored over 90 papers. His main research interests are in the design and characterization of planar microwave circuits.

Heli Jantunen was born in Oulu, Finland, in 1958. She received the M.Sc. degree in astronomy and physics, Diploma in education, M.Sc. degree in electrical engineering, and Dr. Tech. degree in electrical engineering (with honors) from the University of Oulu, Oulu, Finland, in 1982, 1985, 1989, and 2001, respectively. She is currently a Professor with the Microelectronics and Materials Physics Laboratories, University of Oulu. Since 2004, she has been the Group Leader of the Electronics Materials, Packaging and Reliability Techniques (EMPART) Research Group, Infotech Oulu, Oulu, Finland. Her research is focused on the design, development, synthesis, and implementation of electronics materials and their components for RF and microwave applications, as well as multifunctional micromodules.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2887

High-Purity 60-GHz-Band Single-Chip 8 Multipliers in pHEMT and mHEMT Technology Camilla Kärnfelt, Member, IEEE, Rumen Kozhuharov, Herbert Zirath, Member, IEEE, and Iltcho Angelov, Member, IEEE

Abstract—Two single-chip multiplier by eight ( 8) monolithic microwave integrated circuits (MMICs) for 52–62-GHz output frequency are presented. The MMICs are designed and manufactured in commercial 0.15- m pseudomorphic high-electron mobility transistor (pHEMT) and metamorphic HEMT (mHEMT) processes for the comparison of functionality and performance. The multipliers consist of a quadrupler stage followed by a high-pass filter, an interstage amplifier, and a doubler stage. The required output power is achieved by a buffer amplifier on the output. An output power of 8 dBm is achieved in both designs at 7.35-GHz input frequency with 0-dBm input power. The detected degradation of phase noise due to the circuit is less than 1 dB at 100-kHz offset from the carrier compared to the theoretical value for a multiplier by eight. The total power dissipation of the pHEMT design is 450 mW. The mHEMT-based multiplier has significantly lower power dissipation of only 210 mW. To the best of our knowledge, these are the first reported multiplier-by-eight MMICs based on pHEMT and mHEMT technology. Index Terms—Doubler, local-oscillator (LO) chain, monolithic microwave integrated circuit (MMIC), metamorphic high-electron mobility transistor (mHEMT), multiplier by eight ( 8), pseudomorphic HEMT (pHEMT), quadrupler.

I. INTRODUCTION N FUTURE wireless communication systems, the 60-GHz band is considered to be used in several cases such as multimedia communication, intervehicle communication, and roadside communication [1]. To enable such systems, signal generation with stabilized frequency and low phase noise is needed. The signal can be generated either directly by a fundamentalfrequency oscillator or by the combination of a low-frequency integrated oscillator and a few frequency-multiplier stages. The use of frequency multipliers to create millimeter-wave (MMW) signals is an attractive alternative to direct generation of the signal if phase locking is required, since, in this case, frequency dividers are required. Frequency dividers are not available commercially for MMWs, and, in addition, they consume significantly more dc power. Another advantage of signal generation using multipliers is lower phase noise since the lowest phase-noise voltage-controlled oscillators (VCOs) are realized at lower frequencies [2]. Most active multipliers

I

Manuscript received October 11, 2005; revised February 19, 2006. This work was supported by Ericsson, by the Swedish Agency for Innovation Systems, by The Swedish Foundation for Strategic Research through the Strategic Research Centre in High Speed Electronics and Photonics, by the High Speed Electronics and Photonics Centre, and by the Chalmers University of Technology. The authors are with the Department of Microtechnology and Nanoscience, Microwave Electronics Laboratory, Chalmers University of Technology, SE-412 96 Göteborg, Sweden (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.875464

TABLE I TYPICAL DATA OF THE pHEMT AND mHEMT TECHNOLOGY

Fig. 1. Multiplier block diagram

have generally been configured as frequency doublers [3]–[5] or quadruplers [6]–[9]. The purpose of this study is to develop a 60-GHz local-oscillator (LO) chain for further integration in a single-chip transmitter/receiver circuit [10]. A first design step was taken by implementing the multifunctional design on a single chip [11] using 0.15- m GaAs pseudomorphic high-electron mobility transistor (pHEMT)1 and, after a successful outcome, the design was also implemented in metamorphic HEMT (mHEMT)2 technology. This paper is organized as follows. In Section II, we will discuss the device technology and the reasons for choosing pHEMT and mHEMT technology for these designs. In Section III, we will present the circuit topology and discuss the theory behind HEMT multiplier design. In Section IV, the results from measuring the pHEMT subcircuits are presented to serve as a foundation to understand the results from measuring the 8 shown in Section V. In Section VI, the outcome from the implementation of the 8 in mHEMT technology is presented. In Section VII, the results are summarized and discussed, followed by conclusions in Section VIII. II. DEVICE TECHNOLOGY As there is an increasing interest for MMW applications, the demand for improved performance keeps pushing the device technology. At the moment, such technologies as gallium–arsenide (GaAs) pHEMTs, GaAs-based mHEMTs, indium–phosphide (InP) HEMTs, silicon (Si) CMOS, silicon– germanium heterojunction bipolar transistors (SiGe HBTs), 1The 2The

WIN PP15–20 0.15-m power pHEMT process. WIN MP15–10 0.15-m mHEMT process.

0018-9480/$20.00 © 2006 IEEE

2888

Fig. 2. Photograph of the

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

28 pHEMT MMIC. The chip size is 5.0 2 1.6 mm.

and, finally, antimonide-based compound semiconductors (ABCSs) are possible to use in the MMW range. The GaAs pHEMT is well known for its high current gain at high cutoff frequencies. In the pHEMT, an InGaAs channel is grown directly on the GaAs substrate. This channel has to be kept thin due to the lattice mismatch between materials and, thus, the indium content in this device is kept between 15%–30%. At MMW frequencies, the InP HEMT has superior noise performance and higher power-added efficiency (PAE) compared with the pHEMT. However, some drawbacks of the InP-based HEMT is the brittle nature of the material, high cost, and small available wafer size. In recent years, GaAs mHEMT technology has emerged as an attractive alternative. The mHEMT is grown on GaAs substrate in which a metamorphic layer is used as a buffer to accommodate the lattice mismatch between the GaAs substrate and the active layers, while the indium mole fraction can be chosen freely, thereby making it possible to tailor the mHEMT performance. Higher indium content in the channel will give better noise performance at the cost of power performance, so there is a tradeoff. There have been great advances in SiCMOS technology recently due to downscaling of feature dimensions. In 2004, the first ever 60-GHz SiCMOS circuits were published [12]. Regarding the SiGe HBT, this is a cost-effective option that is cut out for MMW designs since and have reached levels well above 200 GHz. Unfortunately, the mask sets for siliconbased designs are very expensive, which implies that the production volume must be large for the SiGe and SiCMOS to be affordable. The ABCS is a new process for which the first MMIC was published in 2003 [13]. In the ABCS HEMT, the mobility of electrons is almost twice the mobility of the electron in an InP-based HEMT thanks to the antimonide in the channel. Other properties are high peak velocity and high 2DEG concentration which, in combination, will give devices very good speed–power performance. However, this process is not commercially available yet. In this study, the power pHEMT process from WIN was chosen for its high maturity. The process is commercially available and the cost and turn-around time are reasonable. During the time of this study, the same foundry launched their mHEMT process on 6-in wafers and the inherent better performance in

Fig. 3. Drain current versus gate–source voltage at V = 2 V. This data are generated from the foundry model for 2 50 m gate-width devices.

2

terms of transconductance. Some typical data of the two chosen processes are presented in Table I. III. CIRCUIT DESIGN The objective for this design was to make a broadband multiplier to cover the VCO frequency range from 7.0 to 7.7 GHz with an output power of the eighth harmonic of 10 dBm. The input power is specified to be 0 dBm. The signal suppression of other harmonics (e.g., fundamental, second, third, , seventh, and ninth) was specified to be more than 20 dB below the eighth harmonic. The multiplier by eight ( 8) has a circuit topology according to the diagram in Fig. 1. It includes a quadrupler followed by a doubler and a two-stage buffer amplifier at the output. This topology is chosen to enable the high level of integration. It is accomplished with only two multiplier stages, 4 and 2. The input matching and interstage amplification are designed also to occupy a minimum of the chip area. Each part of the 8 has been designed and simulated and optimized in Agilent ADS as separate items before putting them together as an 8. The full 8 was also simulated in ADS to investigate the performance. The simulation data of the 8 will be presented in Sections V and VI together with measurement plots for the pHEMT and mHEMT 8’s, respectively. The quadrupler, the doubler, and the buffer amplifier were also produced at the foundry as separate break-out circuits. This

KÄRNFELT et al.: HIGH-PURITY 60-GHz-BAND SINGLE-CHIP

8 MULTIPLIERS IN pHEMT AND mHEMT TECHNOLOGY

2889

Fig. 4. Transconductance for the devices in Fig. 3.

Fig. 6. Current of each second ( ), third ( ), and fourth (x) harmonic is plotted as a function of gate bias for: (a) pHEMT and (b) mHEMT 2 50 m device. The frequency is 1 GHz and the input amplitude is 1.0 V.

Fig. 5. Current of each second ( ), third ( ), and fourth (x) harmonic is plotted as a function of gate bias for: (a) pHEMT and (b) mHEMT 2 50 m device. The frequency is 1 GHz and the input amplitude is 0.5 V.

2

has enabled the investigation of the break-out circuits individually preceding the evaluation of the complete 8. The photograph of complete single-chip pHEMT 8 is shown in Fig. 2. A. Multiplier Theory The theory of the field-effect transistor (FET) multiplier has been described in several textbooks such as [14] and [15]. The frequency multiplier in this study should ideally be operated in saturation in order to achieve a stable conversion gain with temperature. In contrast to the FET-based frequency multiplier, the HEMT-based frequency multiplier has a more pronounced saturation characteristic, due to the difference in charge control. By

2

investigating the drain current at the different harmonics versus input voltage, we can understand the principle of the harmonic generation in an HEMT. The transfer characteristic, i.e., the drain current versus gate–source voltage, for the mHEMT and the pHEMT, is plotted in Fig. 3. The drain–source bias voltage is 2 V. For efficient operation of even-harmonic multipliers, the gate bias voltage should be close to the pinch-off voltage i.e., approximately 0.6 and 1.0 V for the mHEMT and pHEMT, respectively. Any RF at the input will create drain current pulses which contain the harmonics of interest. From Fig. 3, we can conclude that the slope, i.e., the transconductance of the HEMT, determines the amplitude of the current pulse. In addition, we would expect that the current amplitude saturates at lower RF amplitude for the mHEMT compared with the pHEMT. The transconductance is plotted in Fig. 4 for clarity. In following example, we assume that the input frequency and input amplitude are 1 GHz and 0.5 V, respectively, and that the gate bias is swept. We choose 1 GHz, since we will compare following simulation results with experimental results based on a measurement setup for power spectrum designed for 1-GHz input frequency. The current at each harmonic from 2 to 4 is simulated by using the harmonic balance technique and the EEsof scalable nonlinear HEMT model (EEHEMT) model provided by the foundry and plotted in Figs. 5(a) and 6(a) for the pHEMT and mHEMT, respectively, at the input amplitude of 0.5 V, with a device width

2890

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 8. Current waveform of the mHEMT device when the input amplitude is varied from 0.2 to 1.0 V.

Fig. 7. Drain current of the second ( ), third ( ), and fourth (x) harmonic for the: (a) pHEMT and (b) mHEMT device at optimum gate bias versus input amplitude.

of 2 50 um. In Figs. 5(b) and 6(b), the same simulation is performed with an amplitude of 1.0 V. Evidently, the mHEMT device has the highest magnitudes of current for the second, third, and fourth harmonic. For a doubler and a quadrupler, the optimum gate bias is 0.6 and 1.0 V, respectively. At this bias, the third harmonic has a minimum, which is ideal. If we choose these bias points and sweep the input amplitude, we achieve the results given in Fig. 7. From Fig. 7, we can observe that, for all input amplitudes, the second and fourth harmonics, which is approximately 3 and 8 dB larger, respectively, for the mHEMT device. Close to saturation of the second harmonic, at V, the ratio between the second- and fourth-harmonic currents is approximately 4 for the mHEMT. The dc current for this case is 22 mA. The third-harmonic minimum can be seen to be slightly dependent on the input amplitude for the mHEMT. The current waveform is plotted in Fig. 8 for the mHEMT in order to illustrate the shape of the pulse, and the input amplitude varies from 0.2 to 1.0 V in 0.2-V steps. In order to verify experimentally the conclusions from the simulations (see Fig. 9), we have measured the power spectrum of the pHEMT and mHEMT device. The setup is shown in Fig. 10. An input power of 0 dBm is applied at the input of the transistor, and the various harmonics are measured as a function of the gate bias. Fig. 11 shows the magnitude of the second and fourth harmonics is approximately 3 dB, approximately 8dB larger for the mHEMT compared with the pHEMT, proving that higher

2

Fig. 9. Simulated power spectrum of 2 50 m: (a) pHEMT and (b) mHEMT devices. The harmonics are marked as follows: first (-), second ( ), third ( ), and fourth (x).

Fig. 10. Measurement setup for power spectrum measurements.

conversion gain should be obtained for the mHEMT-based frequency multipliers. The power spectrum from simulation (see Fig. 9) is in agreement with the measured spectrum in Fig. 11.

KÄRNFELT et al.: HIGH-PURITY 60-GHz-BAND SINGLE-CHIP

8 MULTIPLIERS IN pHEMT AND mHEMT TECHNOLOGY

2891

Fig. 12. Schematic diagram of the quadrupler circuit. All capacitors are in picofarads, all inductors are in nanohenrys, all resistors are in ohms, and all widths (W) and lengths (L) are in micrometers.

Fig. 11. Measured power spectrum of the: (a) pHEMT device and (b) mHEMT device. The device size is 2 50 m in both cases.

2

IV. MEASUREMENT OF BREAK-OUT pHEMT CIRCUITS

Fig. 13. Measured output power of the fourth, third, and second harmonics and fundamental frequency versus frequency at 0-dBm input power.

All measurements were performed on wafers in our laboratory. The measurement equipment used in this work is a PNA network analyzer E8361A for -parameter measurements. A synthesizer HP83650B was used as the input signal source, and the output power was evaluated by a HP8565EC spectrum analyzer with preselector HP11974. A power meter HP4419B was used with a V8486A power sensor to verify the power sensed by the spectrum analyzer. A. pHEMT Quadrupler The input stage of the 8 is a two-stage quadrupler (see Fig. 12). The first stage is a modified grounded-gate transistor circuit for establishing excellent input matching. The resistances, which are connected between the ground and the gate and the source of the transistor, facilitate the self biasing. Changing the values of the resistances enables the tuning of the input impedance of the multiplier. The small-signal simulation of the stage shows that it is unconditionally stable for all frequencies. This way, we can achieve a good input match using a small circuit area. The nonlinearity used to generate harmonic frequencies is clipping of the waveform induced by biasing the second stage at pinch-off. The matched load for the fourth harmonic and effective rejection of unwanted harmonics is accomplished by a two-pole high-pass filter at the output.

Fig. 14. Measured output power of different harmonics versus input power at 7 GHz.

In Fig. 13, the measured output power of all harmonics versus input frequency is shown. The circuit is biased at V and V. The input power is 0 dBm. The rejection of the third harmonic is more than 20 dB from 7.0 to 7.7 GHz. A maximum output power of more than 2.0 dBm over a 1.8-GHz bandwidth is obtained. The measured power dependence of the fourth, third, and second harmonics and the fundamental frequency on the input power at 7 GHz are shown in Fig. 14.

2892

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Fig. 15. Schematic of a doubler stage with an FBA. All capacitors are in picofarads, all inductors are in nanohenrys, all resistors are in ohms, and all widths (W) and lengths (L) are in micrometers.

The point where the output power starts saturating, i.e., when a 1-dB increase of input power results in less than 1-dB increase of output power, will be defined as the saturation knee. The saturation knee is reached at 0 dBm. B. pHEMT Doubler With a Feedback Amplifier (FBA) The frequency doubler consists of an FBA and a doubler stage (see Fig. 15). The FBA is realized with negative feedback technique to achieve a wide bandwidth with small gain variation and improved stability. As a result of the feedback arrangement, this amplifier has a flat response and a 3-dB bandwidth ranging from 16.5 to 40 GHz. The doubler stage ( 2) is biased at the pinch-off voltage for optimal multiplication conditions. This bias is also beneficial for minimum power dissipation. An effective rejection of the fundamental frequency, i.e., the fourth harmonics from the quadrupler, of more than 20 dB is achieved by an output high-pass filter. In Fig. 16, measurements of the complete doubler with feedback amplifier is shown. During these measurements, the feedback amplifier is biased at V and V and the doubler at V and V. The saturation knee of the doubler is placed at 3.5-dBm input power. At 2-dBm input power (i.e., the amount of power delivered from the quadrupler), the output power is 4 dBm. Increasing input power above 5 dBm can drive a significant rectified gate current and degrade the reliability with the selected transistor size. Higher input power can be obtained by increasing the device size at the expense of increased power consumption. The output power dependence on input frequency is shown in Fig. 17. Both the second harmonic and the fundamental frequency are presented. In the input frequency range from 26 to 32 GHz, the doubler’s output power is more than 0 dBm at 0-dBm input power. The maximum output power of 5 dBm is reached at 28-GHz input frequency. The rejection of the fundamental signal varies from 18 dB at the lower edge to 10 dB at the upper edge of the frequency band.

Fig. 16. Measured output power of second harmonic of the two-stage doubler versus input power at input frequency of 29 GHz.

Fig. 17. Measured output power versus frequency of second harmonic and fundamental frequency for the doubler stage. The input power is 0 dBm.

C. pHEMT Buffer Amplifier A two-stage buffer amplifier is used for further amplification of the output signal from the doubler. The schematic of the buffer amplifier is depicted in Fig. 18. This amplifier consists of two feedback-stabilized amplifier stages designed to have a flat frequency response from 50 to 65 GHz with input and output matching better than 10 dB. The

KÄRNFELT et al.: HIGH-PURITY 60-GHz-BAND SINGLE-CHIP

8 MULTIPLIERS IN pHEMT AND mHEMT TECHNOLOGY

2893

Fig. 18. Schematic of the buffer amplifier. All capacitors are in picofarads, all inductors are in nanohenrys, all resistors are in ohms, and all widths (W) and lengths (L) are in micrometers.

Fig. 19. Measured gain versus input power at 60 GHz. Fig. 20. Input matching of the pHEMT sured ( ) data.

28 circuit. Simulated (—) and mea-

measured small-signal gain is 11 dB with an 1-dB bandwidth of 45–64 GHz, and the input and output reflection coefficient is less than 8 dB from 36 to 67 GHz and 48 to 67 GHz, respectively. Both the input and the output are matched by two stubs; the input was matched by two open stubs and the output by a shorted and an open stub. The measured amplifier gain versus input power is shown in Fig. 19. The amplifier gate is set to 0.4 V and the drain bias is 3.5 V. Due to the compression, the output gain is 8.5 dB at 0-dBm input power. V. MEASUREMENTS OF THE pHEMT

8

The complete multiplier was designed utilizing ADS from Agilent. Throughout the following simulations and measurements, the same nominal settings were used according to the following scheme. The amplifiers were biased to V and V, and the quadrupler and the doubler were biased to V and V. The nominal input power was 0 dBm and the input frequency used is 7.35 GHz. In the case of other settings, this is stated. The total dissipated power at this setting is 450 mW. The input matching, both simulated and measured, is presented in Fig. 20. The correspondence between simulated and measured input matching confirms the efficiency of the grounded gate stage at the quadrupler input. The measured output power is 8.4 dBm with the saturation knee at 4-dBm input power (see Fig. 21). The rejection of the nearest harmonics (i.e., the seventh) is 28 dB. An effort was also made to measure the ninth harmonic, but for this design the ninth harmonic was so weak that it did not surpass the noise floor

2

Fig. 21. Measured output power of the pHEMT 8 circuits eighth, seventh, and ninth harmonics versus input power at 7.35-GHz input frequency. The ninth harmonic could not be distinguished from the noise floor.

of the spectrum analyzer and could thereby not be detected. At 0-dBm input power, the output power at the eighth harmonic is 8.95 dBm. At full saturation, the output power is 9.3 dBm. The simulated response is presented in Fig. 22. The saturated level of the eighth harmonic is about 1 dB higher than the measured level. At 0-dBm input power, the measured and simulated responses agree very well both for the level of output power of the eighth and seventh harmonics. The second experimental test shows the output power and efficiency versus output frequency (see Fig. 23). The efficiency is defined as the ratio of the output power at the eighth harmonic over the sum of the dissipated dc power and the input power

2894

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2

Fig. 22. Simulated response of the pHEMT 8. Output power as a function of input power for the eighth (dots), ninth (crosses), seventh (squares), sixth (triangles), fifth (diamonds), and fourth (line) harmonics. Fig. 25. Measured phase noise of the pHEMT carrier versus carrier frequency.

Fig. 23. Measured output power and efficiency of the pHEMT output frequency. The input power is 0 dBm.

Fig. 24. Simulated response of the pHEMT frequency at 0-dBm input power.

28 at 100-kHz offset from the

28 versus

28. Output power versus output

at the fundamental frequency. The maximum output power of 11.7 dBm and efficiency of 3.26% are reached at 54.4 GHz. An output power of more than 7 dBm is obtained from 52 to 61 GHz. The simulated response of the output power of the eighth harmonic is presented in Fig. 24. In the simulation, the output power does not drop at higher frequencies. Phase noise is an important characteristic in frequency generation systems, and, for that reason, evaluation of output phase noise is executed. A frequency multiplier is, in fact, a phase multiplier which multiplies the phase deviation (frequency of the input signal). This causes the phase noise degradation given by , where is the multiplication order. In the case of an 8, the theoretical value is 18.06 dB.

Fig. 26. Schematic diagram of the mHEMT output buffer stage. All capacitors are in picofarads, all inductors are in nanohenrys, all resistors are in ohms, and all widths (W) and lengths (L) are in micrometers.

Both the input and output signals’ phase noise is measured using HP 8565EC with the module HP 85620A. A phase noise at 100-kHz offset from the carrier is measured at the input of the 8 (i.e., the 7-GHz signal generated in HP 83650B). The measured values at the output show that the degradation is 18.5 0.5 dB; hence, the extra phase noise degradation due to the circuit is less than 1dB (see Fig. 25). According to the requirements [16] for a low phase noise of an LO signal (less than 90 dBc/Hz at 100-kHz offset) to , obtain a transmission with a low bit error rate BER a recommendation concerning a 7-GHz VCO can be made. An HBT VCO with 110 dBc/Hz phase noise will be a good choice. VI. IMPLEMENTATION OF THE

8 IN mHEMT TECHNOLOGY

An improvement of the 8’s characteristics is achieved by implementation of the circuit in to mHEMT process. The work was performed in a similar way as with the pHEMT designs. All parts of the 8 was designed, simulated, and optimized in ADS and produced as individual break-out circuits for evaluation before evaluation of the 8 was started. The higher transconductance of the mHEMT enables to obtain the required output power by using one stage buffer amplifier (see Fig. 26). Apart from this change the topology used of the mHEMT 8 remains the same as the pHEMT version.

KÄRNFELT et al.: HIGH-PURITY 60-GHz-BAND SINGLE-CHIP

Fig. 27. Photograph of the mHEMT

8 MULTIPLIERS IN pHEMT AND mHEMT TECHNOLOGY

2895

28 MMIC. The chip size is 4.0 2 1.4 mm.

Fig. 28. Measured output power and efficiency in the mHEMT output frequency. The input power is 0 dBm.

28 versus

The use of only one stage at the output and some rearranging of the dc network by minimizing the distance between the feed lines made it possible to decrease the chip area from a total of 8 to 5.6 mm (see Fig. 27). The total power dissipation is decreased by the mHEMT design due to several reasons. The most important is that the mHEMT requires lower drain voltage compared with the pHEMT equivalent, as a result of lower saturation field owing to the higher Indium content in the channel. Another important reason for lowering the power dissipation is that only one stage is used in the buffer amplifier thanks to the inherent higher gain of the mHEMTs. Throughout the simulations and measurements of the mHEMT 8, the nominal settings were as follows. The amV and V, the plifiers were biased at quadrupler and the doubler were biased at V and V. The nominal input power was 0 dBm and the input frequency used is 7.35 GHz. In the case of other settings, this is stated. The output power and efficiency are shown in Fig. 28. The output reaches a maximum of 11 dBm at 55 GHz. The efficiency at this frequency is as high as 7%. The output power at 61.6 GHz is 4 dBm which is 1 dB less than what was achieved with the pHEMT, even though the mHEMT 8 has only one stage in the buffer amplifier.

2

Fig. 29. Simulated response of the mHEMT 8 output power related to output frequency of the eighth harmonic. The input power is 0 dBm.

A significant improvement of the efficiency is obtained due to the decreasing of the currents through the multiplying stages and reducing the number of stages of the buffer amplifier. As a comparison to the measured outcome in Fig. 28, the simulated response of output power versus output frequency is presented in Fig. 29. In an equal manner as in the pHEMT case, the simulation shows a less explicit power drop as the frequency increases compared to the measured result. The reason for this power roll-off is hard to explain without a thorough examination, however, one can speculate in the validity of the simulator’s circuit models, both passive and active, at 60 GHz. A full EM simulation was not performed for these circuits, which means that any coupling between elements is not taken into account. Probably, some more work on the nonlinear model would improve the results further. A more uniform behavior of the output power in the frequency bandwidth is also evident compared to the pHEMT version. In Fig. 30, the output power of all harmonics up to the fifth are depicted together with the wanted eighth harmonic. Some deterioration in the rejection of the unwanted seventh harmonic compared with the pHEMT version is observed in Fig. 31. The ninth harmonic is suppressed 19 dB at 0-dBm input power. The seventh harmonic is suppressed 18 dB at the same input power level. The saturation knee at 3-dBm input power is observed. At 0-dBm input power, the output power at the eighth harmonic is 8 dBm. The saturated value is 9 dBm.

2896

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

2

Fig. 30. Measured output power of the mHEMT 8 showing the eighth, seventh, sixth, and fifth harmonics. The input power is 0 dBm.

2

Fig. 31. Measured output power of the mHEMT 8. The eighth, seventh, and ninth harmonic versus input power at 7.35-GHz input frequency are presented.

2

Fig. 32. Simulated output power of mHEMT 8. The eighth harmonic (circles) and suppression of the ninth (crosses), seventh (squares), sixth (triangles), fifth (diamonds), and fourth (line) harmonics are presented.

As a comparison with the measured result in Fig. 31, the simulated response is presented in Fig. 32. The simulation predicted a slightly higher saturated output power. The simulation of the suppression of the seventh harmonic agrees well with the measured data. The measured power dissipation decreased by more than 50% compared with the level of 450 mW of the pHEMT 8 (see Fig. 33). This is one of the most important advantages of mHEMT technology. A more precise measurement of phase noise degradation is carried out. The output phase noise (52–62-GHz bandwidth) at

Fig. 33. Measured dissipated power of the mHEMT quency. The input power is 0 dBm.

28 versus output fre-

2

Fig. 34. Measured phase noise of the mHEMT 8 at 100-kHz offset from the carrier versus carrier frequency. The input signal phase noise is in the vicinity of 93 dBc/Hz at 10 kHz and 112 dBc/Hz at 100 kHz.

0

0

10- and 100-kHz offset from the carrier are measured and the degradation as a function of frequency at 0-dBm input power is evaluated taking into account the measured phase noise of the source. (i.e., the 6.5–7.8-GHz bandwidth of the synthesizer HP 83650B). The added phase noise at both 10- and 100-kHz offset are depicted in Fig. 34. The degradation of phase noise is 18.5 0.5 dB at 100 kHz and 19.3 0.5 dB at 10 kHz. As the transistor’s baseband noise has a strong effect on the degradation due to the up-conversion noise model will be of process, more investigation with the interest. It is obvious that a low-noise VCO with 110-dBc/Hz phase noise at 7 GHz will fulfill the requirement for QPSK in the -band, which is 90 dBc/Hz at 100-kHz offset from the carrier. VII. SUMMARY AND DISCUSSION The most important data of the two 8 designs at dBm and input frequency of 7.35 GHz are summarized in Table II. From this table, it is quite evident that the two designs perform equally well comparing output power, phase noise degradation, and gain conversion. When it comes to power consumption, efficiency, and size, the mHEMT is superior.

KÄRNFELT et al.: HIGH-PURITY 60-GHz-BAND SINGLE-CHIP

PERFORMANCE AT f

TABLE II = 7:35 GHz AND P

8 MULTIPLIERS IN pHEMT AND mHEMT TECHNOLOGY

= 0 dBm

2897

[10] S. Gunnarsson, C. Kärnfelt, H. Zirath, R. Kozhuharov, D. Kuylenstierna, A. Alping, and C. Fager, “Highly integrated 60 GHz transmitter and receiver MMICs in a GaAs pHEMT technology,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2174–2186, Nov. 2005. [11] C. Kärnfelt, R. Kozhuharov, and H. Zirath, “A high purity 60 GHz-band single chip 8 multiplier with low phase noise,” in Proc. 13th Gallium Arsenide and other Compound Semicond. Applic. Symp., Paris, France, Oct. 2005, pp. 253–256. [12] M. Ferndahl, H. Zirath, B. M. Motlagh, A. Masud, I. Angelov, H.-O. Vickes, S. Gevorgian, and F. Ingvarsson, “CMOS MMICs for microwave and millimeter wave applications,” in Proc. 15th Int. Conf. Microw., Radars and Wireless Commun., May 17–19, 2004, vol. 1, pp. 237–248. [13] J. B. Hacker, J. Bergman, G. Nagy, G. Sullivan, C. Kadow, H.-K. Lin, A. C. Gossard, M. Rodwell, and B. Brar, “An ultra-low power InAs/ AlSb HEMT Ka-band low-noise amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 4, pp. 156–158, Apr. 2004. [14] S. A. Maas, Nonlinear Circuits. Boston, MA: Artech House, 1988, pp. 397–416. [15] E. Camargo, Design of FET Frequency Multipliers and Harmonic Oscillators. Boston: Artech House, 1998. [16] J. Noreus, M. Flament, A. Alping, and H. Zirath, “System considerations for hardware parameters in a 60 GHz WLAN,” in Proc. GHz 2000 Symp., Mar. 2000, pp. 267–270.

2

To comment on the power level of the seventh and ninth harmonics, they are low enough in both designs for the intended application. However, when it comes to other applications, filtering of these signals may be necessary. VIII. CONCLUSION A single-chip MMIC 8 frequency multiplier is designed and implemented in both pHEMT and mHEMT processes, and a comparison is accomplished. An output power of more than 4 dBm is obtained from 52 to 61 GHz. The rejection of unwanted harmonics is better than 28 dB in the pHEMT 8 and twice higher efficiency is achieved in mHEMT version. The measured phase-noise degradation of 18.5 0.5 at 100-kHz offset and 19.3 0.5 dB at 10-kHz offset indicates that these circuits are good alternatives in reaching low phase-noise requirements for an MMW LO chain. REFERENCES [1] P. Smulders, “Exploiting the 60 GHz band for local wireless multimedia access: Prospects and future directions,” IEEE Commun. Mag., vol. 40, no. 1, pp. 140–147, Jan. 2002. [2] H. Zirath, R. Kozhuharov, and M. Ferndahl, “A balanced InGaP-GaAs colpitt-VCO MMIC with ultra low phase noise,” in Proc. 12th Gallium Arsenide and Other Compound Semiconductors Application Symp., Amsterdam, The Netherlands, Oct. 2004, pp. 37–40. [3] B. Piernas, H. Hayashi, K. Nishikawa, K. Kamogawa, and T. Nakagawa, “A broadband and miniaturized V -band pHEMT frequency doubler,” IEEE Microw. Guided Wave Lett., vol. 10, no. 7, pp. 276–278, Jul. 2000. [4] T. Hiraoka, T. Tokumits, and M. Akaike, “A miniaturized broad-band MMIC frequency doubler,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 12, pp. 1932–1939, Dec. 1990. [5] P. Kangaslahti, P. Alinikula, and V. Porra, “Miniaturized artificial-transmission-line monolithic millimeter-wave frequency doubler,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 4, pt. 1, pp. 510–518, Apr. 2000. [6] P. Kangaslahti, J. Riska, M. Kärkkäinen, P. Alinikula, and V. Porra, “Low phase noise signal generation circuits for 60 GHz wireless broadband system,” IEEE MTT-S Int. Microw. Symp. Dig., vol. 1, pp. 43–46, Jun. 2000. [7] K. Shirakawa, Y. Kawasaki, Y. Ohashi, and N. Okubo, “A 15/60 GHz one-stage MMIC frequency quadrupler,” in IEEE Microw. MillimeterWave Monolithic Circuits Symp. Dig., Jun. 1996, pp. 35–38. [8] D. Klymyshyn and Z. Ma, “Active frequency-multiplier design using CAD,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1377–1385, Apr. 2003. [9] U. Lott, “Low-loss MESFET frequency quadrupler from 5 to 20 GHz,” in Proc. 21st Eur. Microw. Conf., Stuttgart, Germany, Sep. 1991, pp. 1502–1507.

Camilla Kärnfelt (M’05) was born in Dragsmark, Sweden, in 1965. She received the M.Sc. degree in engineering physics from Chalmers University of Technology, Göteborg, Sweden, in 2001. She was with Ericsson Microwave Systems as a Preproduction Engineer specializing in microwave hybrid manufacturing from 1987 to 2001. In September 2001, she joined the startup company Optillion as a Research Engineer. Since October 2002, she has been with the Microwave Electronics Laboratory, Chalmers University of Technology, as a Research Engineer. Her research interests lie in millimeter-wave (millimeter-wave) monolithic microwave integrated circuit (MMIC) design and packaging, especially flip-chip assembly of millimeter-wave MMICs.

Rumen Kozhuharov was born in Sofia, Bulgaria. He received the B.S and M.S degrees in electronic engineering from the Institute of Mechanical and Electrical Engineering, Sofia, in 1972, and the Ph.D. degree in physics from the Institute of Electronics, Bulgarian Academy of Sciences, in. 1977. He was a Research Associate with the Institute of Electronics, Bulgarian Academy of Sciences, and has been involved in design and investigation of Gunn diode and FET oscillators. Since 1984, he has been a Project Supervisor in the design and development of transceivers used for environmental tests of radio relay stations. He was involved also in research projects connected with radar stations, radiometers, and satellite reception. In 1998, he became a Senior Research Associate with the Institute of Electronics. He was a Visiting Researcher with the University “Claude Bernard,” Lyon, France (1991), the Institute of Radiolectronics, Prague (1987–1989), and Chalmers University of Technology, Gothenburg, Sweden (1996–2000). Since 2000, he has been with Department of Microtechnology and Nanoscience (MC2), Chalmers University of Technology, where he is involved in the design and investigation of low-noise hybrid oscillators stabilized with HTSC resonators, development of digital optical transmitter and receiver modules, modeling and simulation of low-frequency noise and phase noise, HEMT and HBT MMIC millimeter-wave voltage-controlled oscillators, and multipliers for high-data-rate communication links. He has authored and coauthored more then 60 papers in international journals and conference proceedings and one book and holds one patent.

2898

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 6, JUNE 2006

Herbert Zirath (M’86) was born in Göteborg, Sweden, on March 20, 1955. He received the M.Sc. and Ph.D. degrees from Chalmers University, Göteborg, in 1980 and 1986, respectively. He is currently a Professor of high speed electronics with the Department of Microtechnology and Nanoscience, Chalmers University of Technology. He became the Head of the Microwave Electronics Laboratory during 2001, which currently has 70 employees. At present, he is leading a group of approximately 30 researchers in the area of high-frequency semiconductor devices and circuits. His main research interests include InP-HEMT devices and circuits, SiC and GaN-based transistors for high-power applications, device modeling including noise and large-signal models for FET and bipolar devices, and foundry-related MMICs for millimeter-wave applications based on both III-V and silicon devices. He is also with Ericsson AB, Mölndal, Sweden, as a Microwave Circuit Expert. He has authored and coauthored more than 220 papers in international journals and conference proceedings and one book, and he holds four patents.

Iltcho Angelov (M’89) received the M.Sc. degree (Hon.) in electronics and the Ph.D. degree in physics and mathematics from Moscow State University, Moscow, Russia, in 1969 and 1973, respectively. From 1969 to 1991, he was with the Institute of Electronics, Bulgarian Academy of Sciences, Sofia, as a Researcher, Research Professor (1982), and Head of the Department of Microwave Solid State Devices (1982). Since 1991, he has been with Chalmers University, Göteborg, Sweden. His main interests are in device modeling and low-noise and nonlinear circuit design.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Manuscripts for review should be submitted in pdf format as an email attachment to the Editor at [email protected]. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free preprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2006.873090

Digital Object Identifier 10.1109/TMTT.2006.878208

EDITORIAL BOARD Editor: M. STEER Associate Editors: A. CANGELLARIS, A. CIDRONALI, M. DO, K. ITOH, D. LINTON, S. MARSH, A. MORTAZAWI, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, V. RIZZOLI, D. WILLIAMS, R. WU, T. WYSOCKI, A. YAKOVLEV REVIEWERS A. Abbaspour-Tamijani D. Abbott M. Abdulla M. Abe M. Abedin M. Abouzahra M. Abramowicz R. Achar E. Ackerman D. Adam E. Adle M. Adlerstein M. Afsar K. Agarwal K. Agawa K. Ahmed D. Ahn H.-R. Ahn M. Aikawa M. Akaike Y. Akaiwa E. Akmansoy S. Aksoy A. Akyurtlu F. Alessandri C. Algani F. Ali M. Ali W. Ali-Ahmad F. Alimenti C. Alippi B. Alpert A. Alphones S. Al-Sarawi A. Altintas A. Alvarez-Melcom S. Amari C. Anastasiou U. Andersson Y. Ando P. Andreani K.-S. Ang I. Angelov S. Anlage O. Anwar I. Aoki R. Aparicio V. Aparin F. Arndt U. Arz M. Asai P. Asbeck H. Ashok H. Ashoka A. Atalar A. Atia N. Audeh S. Auster P. Auxemery I. Awai A. Aydiner K. Aygun R. Azadegan A. Babakhani I. Bahl D. Baillargeat S. Bajpai W. Bakalski J. Baker-Jarvis B. Bakkaloglu K. Balmain Q. Balzano S. Banba J. Bandler R. Bansal F. Bardati I. Bardi S. Barker D. Barlage J. Barr J. Bartolic D. Bates G. Baudoin Q. Balzano B. Beker G. Belenky D. Belot C. Bell P. Bell J. Benedikt J. Bernhard G. Bertin H. Bertoni E. Bertran W. Beyene A. Beyer M. Bialkowski E. Biebl P. Bienstman S. Bila M. Bilakowski A.-L. Billabert F. Bilotti H. Bilzer O. Biro R. Bisiso B. Bisla D. Blackham M. Blank P. Blondy D. Boccoli F. Bohn B. Boeck L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria O. Boric-Lubecke A. Borji J. Bornemann W. Bosch R. Bosisio S. Boumaiza M. Bozzi E. Bracken R. Bradley V. Bratman T. Brazil G. Brehm K. Breuer B. Bridges J. Brinkoff S. Broschat S. Brozovich D. Budimir D. Buechler M. Buff C. Buntschuh J. Bunton J. Burghartz P. Burghignoli Y. Bykov A. Caballero B. Cabon J. Calame

M. Calcatera C. Caloz C. Camacho-Penalosa E. Camargo R. Cameron S. Cammer C. Campbell M. Campovecchio F. Canavero J. Cao J. Capmany F. Capolino G. Carchon R. Carter N. Carvalho F. Casas J. Catala R. Caverly J. Cavers Z. Cendes B. Cetiner R. Chair H. Chaloupka A. Chambarel B. Chambers C.-H. Chan Y.-J. Chan C.-Y. Chang F. Chang G. Chang H.-C. Chang H.-R. Chang K. Chang E. Channabasappa H. Chapell W. Chappell M. Chatras S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen H.-H. Chen J. Chen R. Chen W.-K. Chen Y.-J. Chen K.-K. Cheng Y.-C. Cheng W.-C. Chew C.-Y. Chi Y.-C. Chiang C.-F. Chiasserini I.-T. Chiang J. C. Chiao I. Chiba D. Chigrin A. Chin C.-C. Chiu Y. Cho C. Choi J. Choi M.-J. Choi C.-K. Chou Y.-H. Chou D. Choudhury K. Choumei Y. Chow C. Christodoulou C. Christopoulos H.-R. Chuang Y. Chung B. Chye R. Cicchetti C. Cismaru D. Citrin P. Civalleri A. Ciubotaru T. Clark R. Clarke J. Cloete E. Cohen F. Colomb B. Colpitts M. Condon D. Consonni J. Corral A. Constanzo I. Corbella E. Costamagna A. Coustou J. Craninckx J. Crescenzi S. Cripps D. Cros T. Crowe M. Cryan J. Culver C. Curry W. Curtice M. da Cunha W.-L. Dai T. Dahm G. Dambrine B. Danly F. Danneville N. Das M. Davidovich A. Davis C. Davis L. Davis H. Dayal F. De Flaviis H. De Los Santos A. De Lustrac P. De Maagt J. de Mingo R. De Roo L. de Vreede D. De Zutter B. Deal A. Dearn P. Debicki J. Deen A. Deleniv M. DeLisio S. Demir A. Deutsch V. Devabhaktuni Y. Deval A. Diet L. Ding A. Djermoun T. Djordjevic J. Dobrowolski D. Dolfi W. Dou M. Douglas P. Draxler A. Dreher F. Drewniak J. Drewniak D. Dubuc S. Dudorov L. Dunleavy V. Dunn A. Duzdar

S. Dvorak L. Dworsky M. Dydyk M. Edwards R. Ehlers H. Eisele G. Eisenstein G. Eleftheriades M. Elliott T. Ellis A. Elsherbeni R. Emrick N. Engheta A. Enokihara Y. Eo H. Eom C. Ernst M. Esashi L. Escotte I. Eshrah V. Esposti M. Essaaidi K. Esselle H. Estaban J. Esteban C. Fager J. Fan D.-G. Fang M. Farina W. Fathelbab A. Fathy J. Favennec A. Fazal E. Fear M. Feldman A. Fernandez A. Ferrero T. Fickenscher J. Fiedziuszko D. Filipovic A. Fliflet B. Floyd P. Focardi N. Fong K. Foster P. Foster B. Frank C. Free J. Freire M. Freire R. Freund F. Frezza I. Frigyes C. Froehly J. Fu R. Fujimoto T. Fujioka O. Fujiwara H. Fukuyama V. Fusco D. Gabbay N. Gagnon J. Gallego B. Galwas O. Gandhi B.-Q. Gao J. Gao J. Garcia R. Garver A. Gasiewski B. Geelen B. Geller V. Gelnovatch W. Geppert F. Gerecht J. Gering M. Gerken S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini J. Gilb A. Glisson M. Goano E. Godshalk M. Goldfarb P. Goldsmith M. Golio N. Gomez X. Gong R. Gonzalo S. Gopalsami A. Gopinath R. Gordon A. Gorur K. Goverdhanam W. Grabherr L. Gragnani J. Grahn G. Grau A. Grebennikov T. Gregorzyk I. Gresham A. Griol D. R. Grischowsky C. Grossman E. Grossman T. Grzegorczyk A. Gupta K. Gupta M. Gupta R. Gutmann W. Gwarek J. Hacker M. Hafizi S. Hadjiloucas S. Hagness D. Haigh P. Hale D. Ham K. Hamaguchi S. Hamedi-Hagh J. Hand K. Hashimoto Q. Han T. Hancock A. Hanke V. Hanna Z. Hao S. Hara L. Harle A. Harish P. Harrison H. Hartnagel J. Haslett G. Hau R. Haupt S. Hay H. Hayashi J. Hayashi L. Hayden J. Heaton

P. Hedekvist W. Heinrich G. Heiter M. Helier R. Henderson F. Henkel J. Herren P. Herczfeld F. Herzel J. Hessler A. Hiatala C. Hicks M. Hieda A. Higgins M. Hikita W. Hioe Y. Hirachi T. Hiraota A. Hirata T. Hiratsuka Y.-C. Ho W. Hoefer K. Hoffmann R. Hoffmann J. Hong J.-S. Hong K. Horiguchi Y. Horii J. Horng J. Horton K. Hosoya R. Howald H. Howe H.-M. Hsu H.-T. Hsu J.-P. Hsu C.-W. Hsue C.-C. Huang C. Huang F. Huang H. Huang H.-C. Huang J. Huang T.-W. Huang P. Huggard H.-T. Hui D. Humphreys A. Hung C.-M. Hung H. Hung J.-J. Hung I. Hunter H.-Y. Hwang T. Idehara S. Iezekiel J.-Y. Ihm Y. Iida H. Iizuka P. Ikalainen Y. Ikeda P. Ikonen K. Ikossi M. Ilic J. Inatani K. Iniewski H. Inokawa A. Inoue M. Ishida A. Ishimaru T. Ishizaki S. Islam Y. Ismail Y. Isota M. Ito T. Itoh Y. Itoh T. Ivanov C. Iversen D. Iverson M. Iwamoto Y. Iyama H. Izumi D. Jachowski C. Jackson D. Jackson R. Jackson M. Jacob S. Jacobsen D. Jaeger B. Jagannathan N. Jain R. Jakoby G. James V. Jandhyala M. Janezic H. Jantunen B. Jarry P. Jarry A. Jastrzbeski E. Jeckein W. Jemison Y. Jeon J. Jeong Y.-H. Jeong G. Jerinic A. Jerng T. Jerse D. Jiao J.-M. Jin J. Joe L. Johansson T. Johnson A. Joseph K. Joshin J. Joubert P. Juodawlkis P. Kabos S.-T. Kahng T. Kaho D. Kajfez T. Kamel Y. Kamimura H. Kamitsuna K. Kamogawa S. Kanamaluru H. Kanaya M. Kanda P. Kangaslahtii V. Kaper M. Kärkkäinen A. Karpov U. Karthaus A. Karwowski T. Kashiwa R. Kaul K. Kawakami A. Kawalec T. Kawanishi S. Kawasaki H. Kayano M. Kazimierczuk R. Keam L. Kempel P. Kenington K. Kenneth S. Kenny

Digital Object Identifier 10.1109/TMTT.2006.878205

A. Kerr A. Khalil A. Khanifar J. Kiang Y.-W. Kiang P.-S. Kildal O. Kilic B. Kim H. Kim I. Kim J.-P. Kim M. Kim W. Kim B. Kimm K. Kimura S. Kimura A. Kirilenko V. Kisel S. Kishimoto A. Kishk T. Kitamura K. Kitayama T. Kitazawa W. Klaus E. Klumprink R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kogami B. Kolner S. Komaki M. Komaru J. Komiak A. Komijani G. Kompa A. Konczykowska Y. Konishi A. Koonen B. Kopp K. Kornegay M. Koshiba T. Kosmanis J. Kot Y. Kotsuka S. Koul V. Kourkoulos A. B. Kozyrev A. Krenitskiy N. Kriplani K. Krishnamurthy V. Krishnamurthy A. Kroenig C. Kromer C. Krowne V. Krozer W. Kruppa R. Kshetrimayum H. Ku H. Kubo E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar M. Kunert J. Kuno M. Kunst C.-N. Kuo J.-T. Kuo H. Kurebayashi T. Kuri F. Kuroki S. Kusunoki D. Kuylenstierna M. Kuzuhara I. Kwon Y.-W. Kwon R. Lai Y.-L. Lai P. Lampariello M. Lanagan M. Lancaster P. Lane U. Langmann Z. Lao G. Lapin L. Larson J. Laskar A. Lauer G. Lazzi Y. Le Coz Y. Le Guennec S. Le Maguer B. Lee C. Lee J.-F. Lee J.-W. Lee K. Lee R. Lee S.-G. Lee T. Lee Y.-C. Leong R. Leoni K.-W. Leung P. Leuchtmann G. Leuzzi A. Leven A. Levi R. Levy A. Lewandowski M. Lewis K. Li L.-W. Li X. Li Y. Li Y.-M. Li M. Liberti L. Ligthart S. Lim E. Limiti C. Lin J. Lin Y.-D. Lin Y.-S. Lin L. Lind S. Lindenmeier F. Ling A. Lipparini D. Lippens V. Litvinov C.-P. Liu Q.-H. Liu S.-I. Liu W. Liu O. Llopis D. Lo A. Loayssa R. Loison J. Long K. Lorincz U. Lott J.-H. Loui H.-C. Lu L.-H. Lu S. Lu

W.-T. Lu V. Lubecke G. Lucca S. Lucyszyn R. Luebbers L. Lunardi J. Luy S. Lyshevski J.-G. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella P. Macchiarella J. Machac S. Maci J. Maciel M. Madihian B. Madhavan V. Madrangeas M. Magana S. Mahmoud S. Mahon I. Maio A. Majedi M. Majewski M. Makimoto J. Malherbe D. Malocha T. Manabe G. Manganaro T. Maniwa C. Mann H. Manohara R. Mansour D. Manstretta J. Mao S.-G. Mao S. Marchetti R. Marques J. Martens J. Marti F. Martin E. Martinez K. Maruhashi D. Masotti A. Massa S. Masuda A. Materka B. Matinpour M. Matsuo A. Matsushima A. Matsuzawa S. Matsuzawa G. Matthaei D. Matthews J.-P. Mattia J. Maurer J. Mayock J. Mazierska S. Mazumder G. Mazzarella K. McCarthy T. McKay J. McKinney R. McMillan D. McQuiddy P. Meany F. Medina S. Melle F. Mena C. Meng H.-K. Meng W. Menzel F. Mesa A. Metzger P. Meyer C. Mias K. Michalski G. Michel E. Michielssen A. Mickelson R. Miles D. Miller R. Minasian B. Minnis D. Mirshekar J. Mitchell O. Mitomi R. Mittra M. Miyakawa R. Miyamoto M. Miyazaki K. Mizuno S. Mizushina M. Mohamed S. Mohammadi A. Mohammadian M. Mongiardo J. Morente M. Morgan K. Mori A. Morini N. Morita E. Moros A. Morris J. Morsey H. Mosallaei M. Mrozowski J.-E. Mueller M. Muraguchi K. Murata H. Muthali T. Nagatsuma P. Nagel K. Naishadham T. Nakagawa M. Nakajima N. Nakajima J. Nakayama M. Nakayama M. Nakhla J. Nallatamby S. Nam S. Narahashi A. Natarajan J. Nath B. Nauwelaers J. Navarro I. Nefedovlgor H.-C. Neitzert B. Nelson S. Nelson A. Neri H. Newman D. Ngo E. Ngoya C. Nguyen K. Niclas E. Niehenke P. Nikitin A. Niknejad N. Nikolova T. Nirmalathas K. Nishikawa T. Nishikawa

K. Nishimura T. Nishino K. Nishizawa G. Niu W. Ng S. Nogi K. Noguchi T. Nojima A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido T. Nurgaliev D. Oates J. Obregon J. O’Callahan M. O’Droma M. Odyneic I. Ogawa M. Ogusu K. Oh M. Ohawa T. Ohira I. Ohta M. Ohtsuka S. Oikawa K. Okada Y. Okano H. Okazaki V. Okhmatovski A. Oki M. Okoniewski A. Oliner J. Olsson F. Olyslager A. Omar M. Omiya K. Onodera B.-L. Ooi I. Oppermann R. Orta S. Ortiz J. Ou T. Owada M. Ozkar J. Page de la Pega W. Palmer G.-W. Pan A. Paolella C. Papanicolopoulos J. Papapolymerou B.-K. Park C.-S. Park W. Park A. Parker D. Parker T. Parker J. Pearce B. Pejcinovic S.-T. Peng R. Pengelly R. Penty J. Pereda B. Perlman L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson A. Petosa A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierce B. Piernas J. Pierro P. Pieters M. Piket-May L. Pileggi Z.-Y. Ping M. Pirola A. Platzker C. Plett C. Pobanz R. Pogorzelski R. Pokharel R. Pollard G. Ponchak M. Popovic J. Portilla M. Pospieszalski V. Postoyalko A. Pothier S. Prasad D. Prather D. Prescott A. Priou D. Purdy Y. Qian T. Quach C. Quendo R. Quere F. Raab V. Radisic K. Radhakrishnan T. Rahkonen C. Railton A. Raisanen K. Rajab O. Ramahi J. Randa R. Ranson T. Rappaport J. Rathmell C. Rauscher J. Rautio B. Rawat J. Rayas-Sanchez R. Reano G. Rebeiz J. Rebollar B. Redman-White M. Reddy R. Reid H.-M. Rein J. Reinert R. Remis K. Remley C. Rey L. Reynolds A. Rezazadeh E. Rezek A. Riddle B. Riddle J.-S. Rieh E. Rius I. Robertson R. Robertson A. Rodriguez R. Rogers H. Rogier U. Rohde N. Rolland R. Romanofsky

A. Rong Y. Rong D. Root L. Roselli A. Rosen U. Rosenberg L. Roy M. Royer J. Roychowdury T. Rozzi B. Rubin M. Rudolph P. Russer D. Rutledge T. Ruttan A. Rydberg T. Rylander D. Rytting C. Saavedra A. Safavi-Naeini A. Safwat M. Sagawa B. Sahu A. Saitou I. Sakagami K. Sakaguchi K. Sakakibara K. Sakamoto K. Sakoda M. Salazar-Palma C. Samori L. Samoska A. Sanada Y. Sanada M. Sanagi P. Sandhiva U. Sangawa A. Sangster K. Sano K. Sarabandi T. Sarkar C. Sarris H. Sato M. Sato S. Sato H. Sawada H. Sawaya A. Sawicki A. Sayed I. Scherbatko J. Schellenberg G. Schettini F. Schettino B. Schiek M. Schindler E. Schlecht E. Schmidhammer D. Schmitt J. Schneider J. Schoukens A. Schuchinsky R. Schuhmann J. Schultz J. Schutt-Aine A. Seeds Y. Segawa T. Seki S. Selberherr G. Semouchkin E. Semouchkina Y.-K. Seng R. Settaluri J. Sevic O. Sevimli Y. Segawa Z. Shao M. Shapiro A. Sharma S. Sharma T. Shen Z.-X. Shen Y. Shestopalov H. Shigesawa Y.-C. Shih H. Shimasaki S. Shinjo N. Shino N. Shinohara T. Shimozuma W. Shiroma K. Shogen N. Shuley M. Shur D. Sievenpiper A. Sihvola C. Silva M. Silveira M. Silveirinha M. Silveirinhao K. Silvonen G. Simin R. Simons B. Sinha F. Sinnesbichler J. Sinsky J. Sitch H.-J. Siweris R. Sloan A. Smith D. Smith G. Smith P. Smith R. Snyder H. Sobol A. Sochava M. Solano K. Solbach M. Solomon M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers M. Soyuer R. Sparks P. Staecker D. Staiculescu S. Stapleton J. Staudinger P. Stauffer P. Steenson K. Stephan M. Steyaert S. Stitzer A. Stoehr B. Strassner M. Stubbs M. Stuchly A. Suarez G. Subramanyam R. Sudbury N. Suematsu M. Sugiyama D. Sullivan L. Sundstrom

Y. Suzuki J. Svacina D. Swanson D. Sweeney R. Syms B. Szendrenyi W. Tabbara M. Tabib-Azar A. Taflove M. Taghivand N. Taguchi Y. Tahara G. Tait Y. Tajima T. Takagi K. Takahashi S. Takayama Y. Takayama S. Takeda I. Takenaka M. Taki K. Takizawa S. Talisa N. Talwalkar B.-T. Tan C.-Y. Tan J. Tan C.-W. Tang W.-C. Tang S. Tanaka T. Tanaka Y. Tanaka M. Tani E. Taniguchi H. Tanimoto R. Tascone J. Taub J. Tauritz R. Tayrani D. Teeter F. Teixeira R. Temkin M. Tentzeris K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson D. Thompson M. Tiebout L. Tiemeijer H. Toda M.-R. Tofighi M. Togashi T. Tokumitsu R. Tomasiunas A. Tombak K. Tomiyasu I. Toyoda S. Tretyakov R. Trew A. Trifiletti C. Trueman A. Truitt C.-M. Tsai E. Tsai L. Tsang H.-Q. Tserng T. Tsiboukis J. Tsui M. Tsuji T. Tsujiguchi T. Tsukahara K. Tsukamoto K. Tsunoda H. Tsurumi S. Tu R. Tucker M. Tur C.-K. Tzuang H. Uchida S. Uebayashi T. Ueda S. Ueno J. Uher F. Uhlmann T. Ulrich T. Umeda Y. Umeda F. Urbani T. Uwano P. Vainikainen P. Valanju F. Van de Water P. van den Berg D. Van der Weide G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie J. Vankka F. Van Straten K. Varian G. Vasilecu A. Vegas-Garcia L. Vegni A. Verma R. Vernon J. Verspecht B. Vidal L. Vietzorreck A. Viitanen A. Vilches C. Vittoria S. Vitusevich D. Viveiros V. Volman K. Wada K. Wakino D. Walker R. Walker M. Wallis C. Walsh C. Wan S. Wane B.-Z. Wang C. Wang D. Wang E. Wang H. Wang J. Wang K.-C. Wang S. Wang T.-H. Wang W. Wang X. Wang K. Warnick P. Warr S. Wartenberg O. Watanabe S. Watanabe R. Waugh D. Webb K. Webb R. Webster S. Wedge C.-J. Wei

J. Weirt R. Weigel G. Weihs R. Weikle C. Weil D. Weile A. Weily S. Weinreb J. Weiss C. Weitzel T. Weller C.-P. Wen M.-H. Weng R.-M. Weng S. Wentworth J. Whelehan L. Whicker J. Whitaker N. Whitbread D. White I. White S. Whiteley A. Whittneben B. Widrow G. Wilkins J. Williams T. Williams A. Williamson B. Willen B. Wilson J. Wiltse T. Winslow J. Winters A. Wittneben M. Wnuk M.-F. Wong S. Wong W. Woo J. Wood R. C. Wood G. Woods D. Woolard B.-L. Wu C. Wu H. Wu K. Wu K.-L. Wu Q. Wu Y.-S. Wu J. Wuerfl M. Wurzer J. Wustenberg G. Xiao C. Xie H. Xin Y.-Z. Xiong J. Xu Y. Xu Q. Xue T. Yakabe K. Yamamo S. Yamamoto S. Yamashita K. Yamauchi F. Yang H.-Y. Yang K. Yang Y. Yang Y.-J. Yang Z. Yang S. Yanagawa F. Yanovsky H. Yao J. Yao J. Yap B. Yarman K. Yashiro H. Yasser K. Yasumoto S. Ye J. Yeo S.-P. Yeo A. Yilmaz W.-Y. Yin S. Yngvesson N. Yoneda T. Yoneyama C.-K. Yong J.-G. Yook J.-B. Yoon R. York I. Yoshida S. Yoshikado L. Young M. Yousefi J.-W. Yu M. Yu P.-K. Yu W. Yu S.-W. Yun P. Yue A. Zaghoul A. Zaghloul A. Zajic K. Zaki P. Zampardi J. Zapata L. Zappelli J. Zehentner L. Zhang Q.-J. Zhang R. Zhang S. Zhang W. Zhang Y. P. Zhang A. Zhao L. Zhao Y. Zhao F. Zhenghe W. Zhou A. Zhu L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin D. Zimmermann R. Ziolkowski H. Zirath J. Zmuidzinas A. Zozaya