IEEE MTT-V054-I02A (2006-02A) [54, 2a ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 01589471......Page 1
020 - 01589472......Page 3
II. T RANSMISSION M ATRIX F ORMULATION......Page 4
IV. V ARYING THE M AGNETIZATION A NGLE......Page 6
1) Introduction: The microstrip phase shifters, shown in Fig.€6......Page 7
B. Full-Wave Analysis......Page 8
Fig.€16. Comparison of the calculated differential phase shift w......Page 9
Fig.€21. Comparison of the calculated attenuation constant for t......Page 10
E. El-Sharawy, Full wave analysis of printed lines on magnetic s......Page 11
R. E. Riches, P. Brennan, P. M. Brigginshaw, and S. M. Deeley, M......Page 12
I. I NTRODUCTION......Page 13
TABLE€I I MPORTANT D ESIGN P ARAMETERS OF THE OECR P ROBE......Page 14
TABLE€II R ESONANT C HARACTERISTICS OF THE OECR P ROBE O PERATIN......Page 15
Fig. 4. (a) $-\Delta f/f_{0}$ as a function of $\epsilon^{\prime......Page 16
Fig. 6. $\Delta(1/2Q_{M})/R_{S}$ as a function of the correspond......Page 17
Fig.€8. Sign-inversed reduced resonant frequency of the unpertur......Page 18
IV. D ISCUSSION......Page 19
Fig.€11. 1-D model near the tip. The tip surface, the sample sur......Page 20
I NTERPOLATE F UNCTIONS IN F IGURES......Page 21
L. I. Buravov and I. F. Shchegolev, Method of measuring the cond......Page 22
II. S ECOND -O RDER F ILTER......Page 24
Fig.€2. (a) Two signal paths for the filter circuit model shown......Page 25
Fig.€3. (a) Simulated responses of the filter circuit model [see......Page 26
Fig.€6. Proposed fourth-order microstrip bandpass filter. (a) La......Page 27
Fig.€8. (a) Simulated responses of the filter circuit model [see......Page 28
R. Levy and J. D. Rhodes, A comb-line elliptic filter, IEEE Tran......Page 29
Fig.€1. Circuit model of second-order coupled-line filter in [ 1......Page 31
B. Transmission Zeros......Page 32
C. Filter Implementation and Results......Page 33
Fig.€10. Layout of the proposed fourth-order microstrip coupled-......Page 34
III. F OURTH -O RDER F ILTER......Page 35
A. Riddle, High performance parallel coupled microstrip filters,......Page 36
G. L. Matthaei, Design of wide-band (and narrow-band) bandpass m......Page 37
I. I NTRODUCTION......Page 38
Fig.€1. Effective source impedance at the input to the on-chip m......Page 39
III. SIS D EVICE F ABRICATION......Page 40
IV. DC C URRENT V OLTAGE C HARACTERISTICS......Page 41
Fig.€6. Schematic representation of the mixer test receiver. Thi......Page 42
Fig.€7. Direct-detection sensitivities of several SIS devices mo......Page 43
A. Twin-Junction Mixer and Receiver Noise Model......Page 44
Fig.€9. Comparison of the measured and calculated direct-detecti......Page 45
B. Junction Current Density and Quality Versus Receiver Noise......Page 46
VIII. C ONCLUSION......Page 47
R. Teipen, M. Justen, T. Tils, S. Glenz, C. E. Honingh, K. Jacob......Page 48
Fig.€1. Spectral scan of the radio environment at JBO, measured......Page 50
III. F ILTER D ESIGN......Page 51
Fig.€5. Electric cross-coupling coefficient and center frequency......Page 52
V. C ONCLUSION......Page 53
G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters......Page 54
Fig.€1. Geometry of a two-dimensional photonic-crystal waveguide......Page 55
II. F ORMULATION......Page 56
Fig.€3. Dispersion curves of the lowest TE and TM modes in a two......Page 57
Fig. 5. Distribution of $E_{z}$ in the cross section of a two-di......Page 58
Fig.€9. Dispersion curves of two lowest TE modes in a two-dimens......Page 59
IV. C ONCLUSION......Page 60
M. Thèvenot, C. Cheype, A. Reineix, and B. Jecko, Directive phot......Page 61
L. Li, Use of Fourier series in the analysis of discontinuous pe......Page 62
I. I NTRODUCTION......Page 63
II. T HEORETICAL F ORMULATION......Page 64
IV. M IXER I MPLEMENTATION......Page 65
Fig.€7. Output envelope signals at each carrier.......Page 66
B. RF Circuit/System Simulation......Page 67
Fig.€9. (a) Constellation diagram (circles: input diagram; cross......Page 68
VII. C ONCLUSION......Page 69
T. S. Rappaport, Wireless Communications: Principles and Practic......Page 70
II. D EEP T RENCH T ECHNOLOGY......Page 71
Fig.€2. (a) Schematic, (b) front-side die photograph, and (c) ba......Page 72
Fig.€3. (a) Schematic, (b) front-side die photograph, and (c) ba......Page 73
Fig. 4. Simulated: (a) input-matching $({S}_{11})$, (b) transcon......Page 74
A. 5.15 5.825-GHz Multistandard CMOS LNA......Page 75
B. 4-GHz CMOS VCO......Page 76
B. Micromachined 4-GHz CMOS VCO......Page 77
A. S. Sedra and K. C. Smith, Microelectronic Circuits, 5th ed. N......Page 78
T. Song, S. Ko, D. H. Cho, H. S. Oh, C. Chung, and E. Yoon, A 5......Page 79
A. Transmission Lines......Page 80
Fig.€2. Cross-sectional view of test patterns on silicon substra......Page 81
Fig.€4. Characteristics of MSLs with various well formations: (a......Page 82
Fig.€6. RLGC parameters of MSLs with various well formations. (a......Page 83
B. Effect of Dc Bias Voltage on Characteristics of Microstrip Li......Page 84
Fig.€10. Effect of dc bias voltage on the characteristics of MSL......Page 85
Fig.€12. RLGC parameters of CPWs measured at 5.2 GHz. (a) Series......Page 86
Fig.€14. Loss of the MSLs for various well formations. (a) Condu......Page 87
Fig.€15. Comparison of the measurement and model simulation for......Page 88
T. Shibata and E. Sano, Characterization of MIS structure coplan......Page 89
TABLE I S TATE - OF - THE -A RT VGA P ERFORMANCE BY InP HBT S......Page 90
B. Stability......Page 91
Fig.€5. Equivalent circuit models for stability analysis in this......Page 92
Fig.€6. Calculated results of transadmittance versus signal ampl......Page 93
IV. M EASURement R ESULTS......Page 94
Fig.€13. One-tone measurement results at a fundamental frequency......Page 95
D ERIVATION OF (7) (10)......Page 96
T. Wakimoto and Y. Akazawa, A low-power wide-band amplifier usin......Page 97
R. Caprio, Precision differential voltage-current convertor, Ele......Page 98
II. E XISTING T WO -P ORT N OISE S OURCE T HEORY......Page 99
Fig.€2. Noise representation in linear two-ports networks: (a) c......Page 100
Fig.€4. Signal flow diagram of a two-port device for gain calcul......Page 101
Fig.€7. DUT: 4 $\,\times\,$ 50 $\mu$ m GaAs MESFET at 18 GHz. Sp......Page 102
Fig.€10. DUT: 4 $\,\times\,$ 75 $\mu$ m GaAs MESFET at 14 GHz. S......Page 103
VI. C ONCLUSION......Page 104
J. Engberg, Simultaneous input power match and noise optimizatio......Page 105
I. I NTRODUCTION......Page 106
B. Scattering Parameter Computation: 3-D Approach......Page 107
Fig.€1. Flowchart of the hybrid technique.......Page 108
Fig.€7. Dielectric multilayer structure and position in waveguid......Page 109
A. 2-D Inverse Measurements......Page 110
B. 3-D Multilayer Inverse Measurements......Page 111
TABLE V P ERMITTIVITY R ESULTS FOR ML1......Page 112
V. C ONCLUSION......Page 113
J. Heistermann, A Mixed Genetic Approach to the Optimization of......Page 114
II. $W$ -B AND SIW T RANSMISSION L INE......Page 116
Fig.€4. $W$ -band transition between the SIW and rectangular wav......Page 117
IV. $W$ -B AND SIW H YBRID C OUPLER......Page 118
V. $W$ -B AND SIW M ULTIPORT......Page 119
Fig.€19. Simulated and measured return loss of the multiport cir......Page 120
Fig.€24. Typical waveform of the output signal magnitude versus......Page 121
R. G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filte......Page 122
II. F ILTER C ELLS W ITH T WO T RANSMISSION Z EROS......Page 124
B. Hybrid Filter Cell......Page 125
A. Circuit Element Estimation and Calculated Spectral Response......Page 126
C. 3-D EM Simulation for Including Substrate Losses and Cross-La......Page 127
V. C ONCLUSION......Page 128
M. Hasler and J. Neirynck, Electric Filters . Boston, MA: Artech......Page 129
II. E VANESCENT M ICROWAVE P ROBE D ESCRIPTION......Page 130
IV. Q UANTITATIVE M ICROWAVE M ICROSCOPY......Page 131
VI. P ROBE S ENSITIVITY......Page 132
Fig.€7. Equivalent circuit of probe coupled to superconductor. T......Page 133
VII. E XPERIMENTAL R ESULTS......Page 136
M. Trainer, Ferroelectrics and the Curie-Weiss law, Eur. J. Phys......Page 137
I. I NTRODUCTION......Page 139
II. E RROR M ODEL......Page 140
III. C ALIBRATION P ROCEDURE......Page 141
IV. R ESULTS AND D ISCUSSION......Page 142
Fig.€5. Measurement results of the PU-Fe sample, with 80.0 wt.%......Page 143
Fig.€7. Measurement results of the SRR-u sample, with dimensions......Page 144
Fig.€8. SRR is approximated as a thin wire consisting of a serie......Page 145
A. Measurement Error......Page 146
Fig.€9. Error bounds for the 16-term measurement of the reflecti......Page 147
B. Raw Data Processing......Page 148
K. J. Silvonen, Calibration of 16-term error model [microwave me......Page 149
L. E. R. Petersson and G. S. Smith, An estimate of the error cau......Page 150
Fig.€1. Simplified direct conversion receiver: $n=1$ with time-v......Page 151
B. Circuit Analysis......Page 152
Fig.€5. Current reuse circuit is used to improve circuit lineari......Page 153
C. Design Considerations......Page 154
III. C HIP I MPLEMENTATION AND M EASUREMENTS......Page 155
B. Measurement for Characteristics of the FEHM......Page 156
Fig. 14. Measured results of the ${\rm IIP}_{2}$ and ${\rm IIP}_......Page 157
C. Measurement for Linear Improvement of the FEHM......Page 158
L. Sheng, J. C. Jensen, and L. E. Larson, A wide-bandwidth Si/Si......Page 159
E. A. M. Klumperink, S. M. Louwsma, G. J. M. Wienk, and B. Nauta......Page 160
I. I NTRODUCTION......Page 161
A. $S$ -Parameter Sensitivities With Field Solutions......Page 162
B. $S$ -Parameter Sensitivities With Current Solutions......Page 164
A. Sensitivity Solutions With FEM (FEMLAB)......Page 165
Fig. 5. Derivatives of $\vert S_{11} \vert$ and $\vert S_{21} \v......Page 166
Fig.€9. Derivatives of the $S$ -parameter magnitudes of the band......Page 167
TABLE II C ONVERGENCE E RROR AND M ATRIX A SYMMETRY M EASURES IN......Page 168
Fig.€13. Ratio between the time required to solve the linear sys......Page 169
VIII. C ONCLUSION......Page 170
A. Abdelmonem, J.-F. Liang, H.-W. Yao, and K. A. Zaki, Spurious......Page 171
II. M ODEL D ESCRIPTION......Page 173
B. Determination of $R_{\rm be} \times C_{\rm be}$......Page 174
C. Determination of $R$ and $R\times T$......Page 175
Fig.€13. Measured ( $\circ$ ) and simulated $(-)$ $S$ -parameter......Page 176
Fig.€16. Measured ( $\circ$ ) and simulated $(-)$ $S$ -parameter......Page 177
Fig. 20. Plot of $G_{ mo}$ versus $I_{c}$ for the 1 $\,\times\,$......Page 178
G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, A new method......Page 179
I. I NTRODUCTION......Page 180
Fig.€1. Sampling points of electric and magnetic field component......Page 181
B. Update of the Mesh Tree......Page 182
E. Field Updates on PB-Type Boundaries......Page 183
B. Modeling of Wave Propagation......Page 184
V. N UMERICAL E XAMPLES......Page 185
Fig.€10. Vertical electric field magnitude at $z=0.4$ mm and $t=......Page 186
Fig.€14. Vertical electric field magnitude at $z=0.4$ mm and the......Page 187
Fig.€18. Effect of threshold for coverage efficiency $% \theta_c$......Page 188
Fig. 22. $S_{11}$ for the microstrip branch coupler geometry of......Page 189
TABLE II C OMPUTATION T IME AND $S$ -P ARAMETER E RROR M ETRIC $......Page 190
TABLE III C OMPUTATION T IME AND $S$ -P ARAMETER E RROR M ETRIC......Page 191
TABLE IV C OMPUTATION T IME AND T IME -D OMAIN E RROR M ETRIC ${......Page 192
T. Mangold, J. Rebel, W. J. R. Hoefer, P. P. M. So, and P. Russe......Page 193
M. Krumpholz and L. P. B. Katehi, MRTD: New time domain schemes......Page 194
II. A NALYSIS......Page 195
III. D ESIGN OF W IDE -B AND H YBRIDS......Page 197
IV. S IMULATED AND M EASURED R ESULTS......Page 198
TABLE II S UMMARY OF P ERFORMANCES FOR H YBRIDS......Page 199
M. Muracuchi, T. Yukitake, and Y. Naito, Optimum design of 3-dB......Page 200
A. Package Transition......Page 201
B. Gold-Ring Grounding......Page 202
B. Gold-to-Gold Thermo-Compression Bonding......Page 203
B. Package Resonance and Group Velocity......Page 204
C. Isolation and Leakage......Page 205
Fig.€13. Measured and simulated isolation of an SP2T package wit......Page 206
Y. Wu, H. S. Gamble, B. M. Armstrong, V. F. Fusco, and J. A. C.......Page 207
II. D ESIGN T HEORY......Page 208
III. F ILTER D ESIGN W ITH T RANSMISSION Z ERO......Page 209
B. EM Simulation and Measurement......Page 210
Fig.€9. Fabricated 2-GHz bandpass filter with transmission zero......Page 211
A. Diplexer......Page 212
Fig.€13. Triplexer designed with multilayered structure. (a) Pho......Page 213
C. W. Tang, Harmonic-suppression LTCC filter with the step imped......Page 214
I. I NTRODUCTION......Page 215
B. Wave Equations......Page 216
C. Wavefront Velocities......Page 217
A. Copropagating Modes......Page 218
B. Zeroth-Order Solution......Page 219
IV. E LECTROoPTIC M ODULATOR C HARACTERIZATION......Page 220
B. Amplitude Modulation......Page 221
Fig.€5. Comparison between the phase modulation indices for the......Page 222
Fig.€8. Nonlinear distortion of the amplitude modulation index f......Page 223
VI. C ONCLUSION......Page 224
S. Ramo, J. R. Whinnery, and T. Van Duzer, Fields and Waves in C......Page 225
II. R ECEIVER A RCHITECTURE AND S PECIFICATIONS......Page 226
Fig.€1. Interferer profile.......Page 227
A. LNA Design......Page 228
TABLE IV L IST OF S YMBOLS......Page 229
IV. E XPERIMENTAL R ESULTS......Page 230
V. C ONCLUSION......Page 231
Development library, Nat. Instrum., Austin, TX, 2000. [Online].......Page 232
II. Q UASI -D UAL -M ODE S PIRAL R ESONATORS......Page 233
Fig.€4. Coupling coefficient between two spirals in different me......Page 234
V. E IGHTH -O RDER F ILTER......Page 235
Fig.€11. Simulated (lossless) responses of the eighth-order filt......Page 236
F. Huang, L. Yue, and D. Gulati, Compact copper microstrip filte......Page 237
C. Y. Tan, L. Chen, J. Lu, X. S. Rao, and C. K. Ong, High-temper......Page 238
II. A NALYTICAL M ODEL......Page 239
A. Lossless Model......Page 240
Fig.€5. Low-frequency equivalent circuit of the WBIDC (electrica......Page 241
TABLE I L UMPED P ARAMETERS V ERSUS N UMBER OF F INGERS FOR THE......Page 242
B. Experimental Validation......Page 243
M. Kirschning and R. H. Jansen, Accurate wide-range design equat......Page 244
II. C OMPACT N ET -T YPE R ESONATOR......Page 246
Fig.€3. (a) Net-type resonator. (b) Compact net-type resonator f......Page 247
Fig.€6. Coupling coefficients versus the distances between the r......Page 248
Fig.€9. Layout of the four-pole quasi-elliptic bandpass filter u......Page 249
Fig.€12. Simulated performances of the filter for all open stub......Page 250
Fig.€15. Coupling coefficients versus the distances between the......Page 251
J. S. Hong and M. J. Lancaster, Microstrip Filter for RF/Microwa......Page 252
I. I NTRODUCTION......Page 254
III. E XPERIMENT......Page 255
Fig.€3. Pulse train of the fourth-order rational harmonic mode-l......Page 256
P. Shen, N. J. Gomes, P. A. Davies, W. P. Shillue, P. G. Huggard......Page 257
X. Feng, Y. Liu, S. Yuan, G. Kai, W. Zhang, and X. Dong, Pulse-a......Page 258
I. I NTRODUCTION......Page 259
Fig.€3. Dimensions of a resonator in 12-pole spiral meander filt......Page 260
III. F ABRICATION AND R ESULTS......Page 261
1) IMD Distortion: To assess the power-handling capability of th......Page 262
Fig.€12. Two-tone third-order IMP at 70 K. Fundamental signals a......Page 263
Fig.€18. Sensitivity analysis of shift in 15-pole filter's trans......Page 264
K. F. Raihn, R. Alverez, J. Costa, and G. L. Hey-Shipton, Highly......Page 265
Advanced Design System 2003A Users Guide, Layout and Physical de......Page 266
Website......Page 267
350 - 01589505......Page 268

Citation preview

FEBRUARY 2006

VOLUME 54

NUMBER 2

IETMAB

(ISSN 0018-9480)

PART I OF TWO PARTS

PAPERS

Green’s Function for Multilayer Arbitrarily Biased Anisotropic Structures—Application to Phase Shifters, Transducers, and Magnetization Angle Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. F. Elshafiey and J. T. Aberle Data Analysis of the Extraction of Dielectric Properties From Insulating Substrates Utilizing the Evanescent Perturbation Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. Inoue, Y. Odate, E. Tanabe, H. Kitano, and A. Maeda Compact Microstrip Bandpass Filters With Good Selectivity and Stopband Rejection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P.-H. Deng, Y.-S. Lin, C.-H. Wang, and C. H. Chen Novel Microstrip Coupled-Line Bandpass Filters With Shortened Coupled Sections for Stopband Extension . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-H. Wu, Y.-S. Lin, C.-H. Wang, and C. H. Chen Low-Noise 0.8–0.96- and 0.96–1.12-THz Superconductor–Insulator–Superconductor Mixers for the Herschel Space Observatory . . . . . . . . . . B. D. Jackson, G. de Lange, T. Zijlstra, M. Kroug, J. W. Kooi, J. A. Stern, and T. M. Klapwijk A High-Temperature Superconducting Bandpass Filter With Microstrip Quarter-Wavelength Spiral Resonators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. Zhang, M. J. Lancaster, and F. Huang Modal Analysis of Two-Dimensional Photonic-Crystal Waveguides Formed by Rectangular Cylinders Using an Improved Fourier Series Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. Jia and K. Yasumoto Nonlinear RF Circuits and Systems Simulation When Driven by Several Modulated Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . N. B. Carvalho, J. C. Pedro, W. Jang, and M. B. Steer Micromachined CMOS LNA and VCO By CMOS-Compatible ICP Deep Trench Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Wang, H.-C. Chen, H.-W. Chiu, Y.-S. Lin, G. W. Huang, and S.-S. Lu Characteristics of Transmission Lines Fabricated by CMOS Process With Deep n-Well Implantation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. Nishikawa, K. Shintani, and S. Yamakawa Design of Variable Gain Amplifier With Gain–Bandwidth Product up to 354 GHz Implemented in InP–InGaAs DHBT Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J.-W. Lai, Y.-J. Chuang, K. Cimino, and M. Feng Experimental Validation of Generalized Equations for FET Cold Noise Source Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. H. Weatherspoon and L. P. Dunleavy

513 522 533 540 547 559 564 572 580 589 599 608

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Combined Use of Genetic Algorithms and Gradient Descent Optimization Methods for Accurate Inverse Permittivity Measurement . . . . . . . . . . . . . . . . . . M. E. Requena-Pérez, A. Albero-Ortiz, J. Monzó-Cabrera, and A. Díaz-Morcillo -Band Multiport Substrate-Integrated Waveguide Circuits . . . . . . . . . . . . . . . .E. Moldovan, R. G. Bosisio, and K. Wu A High Stopband-Rejection LTCC Filter With Multiple Transmission Zeros.. . . Y.-H. Jeng, S.-F. R. Chang, and H.-K. Lin Sensitivity and Resolution of Evanescent Microwave Microscope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. A. Kleismit, M. K. Kazimierczuk, and G. Kozlowski Error Correction for Diffraction and Multiple Scattering in Free-Space Microwave Measurement of Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. M. Hock A 5.25-GHz CMOS Folded-Cascode Even-Harmonic Mixer for Low-Voltage Applications. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .M.-F. Huang, C. J. Kuo, and S.-Y. Lee Sensitivity Analysis of Network Parameters With Electromagnetic Frequency-Domain Simulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . N. K. Nikolova, J. Zhu, D. Li, M. H. Bakr, and J. W. Bandler Systematic and Rigorous Extraction Method of HBT Small-Signal Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Degachi and F. M. Ghannouchi Efficient Modeling of Microwave Integrated-Circuit Geometries via a Dynamically Adaptive Mesh Refinement—FDTD Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Liu and C. D. Sarris Compact Wide-Band Branch-Line Hybrids . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Y.-H. Chun and J.-S. Hong A Low-Loss Silicon-on-Silicon DC–110-GHz Resonance-Free Package . . . . . . . . . . . . . . .B.-W. Min and G. M. Rebeiz Design Methodologies of LTCC Bandpass Filters, Diplexer, and Triplexer With Transmission Zeros . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-W. Tang and S.-F. You A Theory of Multimode Traveling-Wave Modulators for RF Photonics . . . . . . . . . . . . . . . . . A. Di Donato and T. Rozzi A Low-Power CMOS Direct Conversion Receiver With 3-dB NF and 30-kHz Flicker-Noise Corner for 915-MHz Band IEEE 802.15.4 ZigBee Standard . . . . . . . . . . . . . . . . . . . . . . . . . . . .T.-K. Nguyen, N.-J. Oh, V.-H. Le, and S.-G. Lee Quasi-Dual-Mode Microstrip Spiral Filters Using First and Second Harmonic Resonances . . . . . . . . . . . . . . . F. Huang Analytical Model of the Wire-Bonded Interdigital Capacitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E. Márquez-Segura, F. P. Casares-Miranda, P. Otero, C. Camacho-Peñalosa, and J. E. Page Novel Compact Net-Type Resonators and Their Applications to Microstrip Bandpass Filters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-F. Chen, T.-Y. Huang, and R.-B. Wu Photonic Generation of Microwave Signal Using a Rational Harmonic Mode-Locked Fiber Ring Laser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z. Deng and J. Yao Compact Parallel Coupled HTS Microstrip Bandpass Filters for Wireless Communications. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Pal, C. J. Stevens, and D. J. Edwards Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

615 625 633 639 648 660 670 682 689 704 710 717 724 735 742 748 755 763 768 776

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $16.00 per year for electronic media only or $32.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only.

ADMINISTRATIVE COMMITTEE K. VARIAN, President J. S. KENNEY, Vice President W. CANTRELL, Secretary N. KOLIAS, Treasurer M. P. DELISIO D. HARVEY L. KATEHI N. KOLIAS D. LOVELACE V. J. NAIR K. VARIAN K. WU W. SHIROMA S. M. EL-GHAZALY J. HAUSNER S. KAWASAKI T. LEE J. MODELSKI B. PERLMAN R. WEIGEL R. YORK R. SNYDER M. HARRIS K. ITOH J. S. KENNEY J. LIN A. MORTAZAWI D. RUTLEDGE S. WETENKAMP R. SORRENTINO Honorary Life Members Distinguished Lecturers Past Presidents T. S. SAAD K. TOMIYASU L. E. DAVIS W. HOEFER J. LASKAR M. SHUR K. C. GUPTA (2005) T. ITOH A. A. OLINER P. STAECKER L. YOUNG R. J. TREW (2004) W. GWAREK T. ITOH J. C. RAUTIO P. SIEGEL W. HEINRICH B. KIM D. RYTTING R. J. TREW F. SCHINDLER (2003)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: D. KREMER Beijing: Z. FENG Beijing, Nanjing: W.-X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: L. HAYS Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: F. SULLIVAN Central & South Italy: R. TIBERIO Central No. Carolina: T. IVANOV Chicago: R. KOLLMAN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. D. BALUSEK Dayton: A. TERZOULI, JR. Denver: K. BOIS Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI

Florida West Coast: K. O’CONNOR Foothill: C. ANTONIAK France: O. PICON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: K. MICHALSKI Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: E. HU New South Wales: G. TOWN New Zealand: J. MAZIERSKA

Editor-In-Chief MICHAEL STEER North Carolina State Univ. Raleigh, NC 27695-7911 USA Phone: +1 919 515 5191 Fax: +1 919 513 1979 email: [email protected]

North Italy: G. GHIONE North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: Y. THODESEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: T. WU Ottawa: J. E. ROY Philadelphia: J. B. McCORMACK Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE / A. KATZ Queensland: A. ROBINSON Rio de Janeiro: F. J. V. HASSELMANN Rochester: S. M. CICCARELLI / J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorad: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: S. KUMAR Seattle: K. POULSON Seoul Council: H.-Y. LEE Siberia, Novosibirsk: V. SHUVALOV Siberia, Tomsk: O. STUKACH Associate Editors

Singapore: O. B. LEONG South Africa: P. W. VAN DER WALT South Australia: H. HANSON South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: E. C. FEAR Spain: L. DE HARO Springfield: S. C. REISING Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS / S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI Ukraine West: M. I. ANDRIYCHUK UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: E. I. VELIEV Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington D.C./Northern Virginia: J. QIU Winnipeg: S. NOGHANIAN Yugoslavia: B. MILOVANOVIC

ZOYA POPOVIC ANDREAS CANGELLARIS RUEY-BEEI WU Univ. of Illinois, Urbana Champaign Univ. of Colorado at Boulder National Taiwan Univ. USA USA Taiwan, R.O.C. email: [email protected] email: [email protected] email: [email protected] AMIR MORTAZAWI DYLAN F. WILLIAMS ALESSANDRO CIDRONALI Univ. of Florence Univ. of Michigan at Ann Arbor NIST Italy USA USA email: [email protected] email: [email protected] email: [email protected] STEVEN MARSH YOSHIO NIKAWA KENJI ITOH Mitsubishi Electronics Midas Consulting Kokushikan Univ. Japan U.K. Japan email: [email protected] email: [email protected] email: [email protected] DAVID LINTON TADEUSZ WYSOCKI JOSÉ PEDRO Queen’s Univ. Belfast Univ. of Wollongong Univ. of Aveiro Northern Ireland Australia Portugal email: [email protected] email: [email protected] email: jcp.mtted.av.it.pt M. GOLIO, Editor, IEEE Microwave Magazine G. PONCHAK, Editor, IEEE Microwave and Wireless Component Letters

MANH ANH DO Nanyang Technological Univ. Singapore email: [email protected] VITTORIO RIZZOLI Univ. of Bologna Italy email: [email protected] SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers SAIFUR RAHMAN, Vice President, Publication Services and Products MICHAEL R. LIGHTNER, President and CEO PEDRO RAY, Vice President, Regional Activities LEAH H. JAMIESON, President-Elect DONALD N. HEIRMAN, President, IEEE Standards Association J. ROBERTO DE MARCA, Secretary CELIA L. DESMOND, Vice President, Technical Activities JOSEPH V. LILLIE, Treasurer RALPH W. WYNDRUM, JR., President, IEEE-USA W. CLEON ANDERSON, Past President MOSHE KAM, Vice President, Educational Activities RICHARD V. COX, Director, Division IX—Signals and Applications

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer DONALD CURTIS, Human Resources MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration ANTHONY DURNIAK, Publications Activities CHRIS BRANTLEY, IEEE-USA JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities CECELIA JANKOWSKI, Regional Activities SALLY A. WASELIK, Information Technology BARBARA COBURN STOLER, Educational Activities

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $77.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2006 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188.

Digital Object Identifier 10.1109/TMTT.2006.870674

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

513

Green’s Function for Multilayer Arbitrarily Biased Anisotropic Structures—Application to Phase Shifters, Transducers, and Magnetization Angle Effect Tarief F. Elshafiey, Member, IEEE, and James T. Aberle, Senior Member, IEEE,

Abstract—This paper presents the closed-form Green’s function for an arbitrarily biased magnetically anisotropic slab. The Green’s function formulated using the transmission matrix is compared with the previously published data for two special cases: the transversely and normally biased ferrite slab. An excellent agreement is achieved. Three microwave devices are investigated using one numerical model that utilizes the derived Green’s function by changing the magnetization angle. In the normal magnetization case, the ferrite substrate supports the edge-mode isolators. In the transversal magnetization case, the same structure supports the phase shifters. In the longitudinal magnetization case, the same structure supports magnetic surface wave transducers. The propagation direction in the three cases is kept in the longitudinal direction. A good agreement in all cases with previously published results is achieved. Here, we show only our analysis and results for the phase shifters and transducers, since edge isolator analysis was presented by Elshafiey et al. in 1996. In addition, the effect of the deviation of the magnetization direction from the assumed one is studied, and the improvement of the predicted results compared with experimental ones is shown. Index Terms—General Green’s function, integral-equation analysis, planar ferrite microwave components.

I. INTRODUCTION

A

RIGOROUS analysis of multilayer, arbitrarily biased ferrite structures can best be carried out using the spectral domain method of moments. To obtain the integral equation, the Green’s function must be formulated. Over the past few years, several techniques have been employed to formulate the Green’s function for a single bias direction for a single ferrite slab [3]–[5]. The Green’s function for cylindrical cavity that is inhomogeneously filled with normally biased ferrite using recursive transimpedance was introduced by [6]. In addition, Green’s functions for planar, stratified, and isotropic medium [7]–[9], the multilayered anisotropic medium [10], the multilayered chiral medium [11], and for planar stratified bianisotropic media [12] have been investigated. In [10], a Green’s function that is suitable for up to a two-layer structure was presented. However, the formulations cannot handle ferrite–ferrite layer structures. Also, it is assumed to have one degree of freedom: the Manuscript received November 15, 2004; revised September 12, 2005. T. F. Elshafiey is with the Electrical Engineering Department, October University for Modern Sciences and Arts, El-Dokki, Cairo, Egypt. J. T. Aberle is with the Electrical Engineering Department, Arizona State University, Tempe, AZ 85287-7206 USA. Digital Object Identifier 10.1109/TMTT.2005.862706

Fig. 1.

Geometry of single-layer structure.

permittivity tensor is not a full tensor and it is a function of only , not both and . Hsia et al. [13] claimed that they derived the Green’s function for a two-layer structure, the anisotropic substrate-isotropic superstrate structure, for arbitrarily oriented dc bias magnetic fields. However, their derivation, analysis, and results are only for a transversely magnetized ferrite, , for different values of . In this paper, we present a Green’s function with many new features such as stratified combinations of anisotropic and isotropic materials, with two degrees of freedom, and , in the orientation of the dc bias field. II. TRANSMISSION MATRIX FORMULATION In this section, the transmission matrix is formulated in the spectral domain for a magnetic substrate of thickness , as shown in Fig. 1. The transmission matrix is a 4 4 matrix written as [14] (1)

where , , and , are 2 2 submatrices of denotes the spatial Fourier transform defined as

,“ ”

(2)

and are the tangential electric field at the boundaries of the layer and and are the tangential surface currents defined by , where is the tangential magnetic field at the th surface of the layer.

0018-9480/$20.00 © 2006 IEEE

514

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

coefficients, we find the four roots numerically. The solution of (5) is given by

From Maxwell’s equations, we can write (3)

(6)

and is the permeability tensor of the ferwhere rite. For an arbitrarily-magnetized ferrite slab, the permeability tensor is given by [15]

(4)

where

(7) , , , and are the roots of (5) that are found where numerically. From Maxwell’s equations, we can write the relationship between the components of the magnetic field and the electric field in matrix form as

(8) where

Here, is the precession frequency, is the applied impressed dc magnetic field, is the gyromagnetic ratio, is the relaxation time, and is the 3-dB line width. Manipulation of (3) yields three scalar equations. Eliminating from the three equations and rearranging, we obtain a pair of coupled second-order differential equations. Equating the operational determinant of these two coupled equations to zero, we obtain the following fourth-order equation: (5) where and , , , , and are constants. By investigating (5), we found that solving for the four roots analytically is quite difficult due to the fact that this equation contains the full coefficients. At this step and knowing the five

For , the constants in matrix form as

,

,

, and

can be evaluated

(9)

, we get an expression Following the same procedure for relating the field components at the second surface of the slab to the arbitrary constants that appear in the solution

(10)

ELSHAFIEY AND ABERLE: GREEN’S FUNCTION FOR MULTILAYER ARBITRARILY BIASED ANISOTROPIC STRUCTURES

515

Combining (9) and (10), we can write an expression that relates the electric field and current components at one side of the ferrite slab to those at the other side

(11)

where is used to convert tangential magnetic field components to electric surface current densities and is given by

Fig. 2.

Geometry of a single-layer microstrip ferrite structure.

Fig. 3.

Geometry of a drop-in element structure.

(12)

From (11), we conclude that the transmission matrix for arbitrary biased ferrite slab is given by (13) Transmission matrices have the following properties:

(14) where is the identity matrix. These properties make transmission matrices extremely convenient for deriving Green’s functions for multilayered geometries.

goes to unity. Another example for the Green’s function is for the multilayer structure shown in Fig. 3. The transmission matrix for the upper region is given by (18)

III. GREEN’S FUNCTION FORMULATION Using the transmission matrix, Green’s functions can be formulated in the spectral domain for single and multilayer structures. The Green’s function relates the tangential electric field on one surface to the surface currents on the same or another surface. This relation has the form

where the air transmission matrix is the dielectric transmission matrix with . The final form of the Green’s function can be found as (19)

(15) Following the same procedures outlined in [5], the Green’s function for a general multilayer structure can be easily constructed.

where (16) The details of the Green’s function formulation are given in our previous work [5]. The Green’s function for a single ferrite slab as shown in Fig. 2 is given by (17)

where

and

are the elements of derived ferrite transmis-

sion matrix and is a semispace Green’s function, which is calculated by taking the limit of the dielectric Green’s function when the distance goes to infinity and the dielectric constant

IV. VARYING THE MAGNETIZATION ANGLE In the spectral-domain method of moments (MoM), most researchers use a Green’s function that assumes that the field bias is along one of the Cartesian axes of the ferrite sample. This assumption assures that the permeability tensor contains four zero elements out of nine, which simplifies the analysis. However, in reality, the dc magnet used to bias the ferrite slab may not be exactly aligned along the required axes. This variation in the magnetization angle can change the electrical characteristics of the ferrite geometries. In addition, most of the research activities on ferrite structures are mainly concerned about the performance for a magnetization direction along one of the Cartesian axes,

516

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 4. Magnetization angle. (a) Ideal situation. (b) Actual situation.

Fig. 6. Cross section of a basic microstrip single-layer ferrite planar phase shifter.

cording to [14]. Applying the basis functions as testing functions, which is the Galerkin’s approach, results in the following admittance matrix form: (20) with elements (21) Fig. 5. Cross section of basic slot-line single-layer ferrite planar phase shifter.

i.e., -, -, or -axes. However, we may discover interesting behavior if we analyze the ferrite magnetized along deviated angles, as seen in Fig. 4(a), which shows the ideal situation, and Fig. 4(b), which shows the actual situation. We can adjust the angle until we get the exact magnetization angle.

The indices , refer to the submatrix of , and the indices and refer to the order of the expansion [21]. The functions ) are the Fourier transforms of the basis functions and they are available in closed form, respectively, as follows: (22)

V. PLANAR PHASE SHIFTERS A. Introduction Microwave ferrite phase shifters are primarily used in phasedarray antenna systems to electronically steer the antenna beam by providing a different phase shift to each radiating element of the array. According to Adam et al. [16], most ferrite phase shifters take advantage of changing the permeability of the ferrite material which changes the phase velocity and, therefore, the insertion phase of the microwave signal traveling through the phase shifter. To avoid using thousands of phase shifters to electronically steer the antenna beam in phased-array antenna systems, low-cost compact phase shifters utilizing circular polarization are proposed in [17]. To steer antennas in the collision-avoidance industries, phase shifters utilizing nonreciprocal wave propagation are proposed and fabricated by [18].

(23) For enclosed structures like the one on hand, takes the discrete values , with even [3] where is the spacing between the conducting sidewalls. The propagation constant is the value of that make the determinant of the admittance matrix zero, which is the nontrivial solution. When we reverse the magnetic bias, we obtain the propagation constant in the reverse direction. The difference between the propagation constants of the forward and reverse waves is used to find the nonreciprocal phase shift per unit length, as . We can control the total differential phase shift by adjusting the length of the phase shifter. C. Microstrip Phase Shifters

B. Slot-Line Phase Shifters The magnetization angle for a phase shifters are and . The simple case that we analyzed is shown in Fig. 5, where we have a single-layer transversely magnetized ferrite slot line. Full-Wave Analysis: The propagation constant of infinitely long slot-line structures is found using a full-wave spectral-domain analysis based on Galerkin’s MoM [19]–[21]. This method uses the fact that the tangential electric field on the conducting plane and the surface current in the slot are zero. The unknown electric field components in the slot, and , are expanded in the slot using the entire domain basis function ac-

1) Introduction: The microstrip phase shifters, shown in Fig. 6 for a single layer and in Fig. 7 for double ferrite layers, are compatible with coaxial connectors. The main reason behind using dual ferrite layers that are oppositely magnetized is to increase nonreciprocity [21]. The nonreciprocity of the dual structure shown in Fig. 7 is expected to be higher than that of a single microstrip ferrite structure shown in Fig. 6. In practical cases, a thin dielectric layer can be inserted between the two ferrite layers shown in Fig. 7 to prevent magnetic leakage from one ferrite layer to the other. In addition, we can control the bandwidth and nonreciprocity by the dielectric layer parameters.

ELSHAFIEY AND ABERLE: GREEN’S FUNCTION FOR MULTILAYER ARBITRARILY BIASED ANISOTROPIC STRUCTURES

517

Fig. 10. Geometry of MSSW transducers with microstrip embedded between the dielectric ferrite structure.

Fig. 7. Cross section of the dual phase shifter on oppositely magnetized ferrite layers.

Fig. 11. Geometry of MSSW transducers in a multilayer practical structure.

Fig. 12.

Fig. 8. Odd mode of the dual structure.

Geometry of MSSW transducers in a two-layer structure.

for the microstrip except that the source is the electric current on the strip instead of the electric field in the slot. The second difference is the impedance matrix formulation instead of the admittance matrix to that of the slot line. VI. MAGNETOSTATIC SURFACE WAVE (MSSW) TRANSDUCERS A. Introduction

Fig. 9.

Odd-mode representation of the dual structure.

Since we can excite the two strips of Fig. 7 independently, two mode distributions are possible, which are the even and odd modes. However, in this paper, we will analyze only the odd mode shown in Fig. 8, since its results are available in the literature under comparison. Due to symmetry of the odd mode structure shown in Fig. 8 with respect to the – -plane, we can simplify the structure by adding a perfect electric conductor (PEC) between the ferrite layers [21]. The equivalent structure shown in Fig. 9 is then easy to be analyzed. 2) Full-Wave Analysis: In general, the analytical analysis applied in the previous subsection for the slot line is applicable

MSSW transducers are another category of microwave ferrite devices that have a wide applications in delay lines. The MSSWs exist when we magnetize the ferrite slab in longitudinal direction in the plane of the slab and the direction of wave propagation is along the magnetization direction [22]. We can simply generate this case by setting and . In our analysis, MoM with Galerkin’s technique is used to find the complex propagation constant of an infinitely long microstrip transducers in a multilayer structures of infinite extent in the -direction as shown in Figs. 10–12. B. Full-Wave Analysis In this section, we followed exactly the same approach as in Section V-C, except that the integral equation is no longer a discrete summation but rather an infinite integral as given by (24)

518

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 13. Comparison of the computed Green’s function versus Pozar’s for the Gxx . Notice that the two results are lined up normally biased slab [d : m,  : , M G, H Oe, H ,W : m, f : GHz, and Oe, R K : ; : ].

(Imag( )) = 7 62 2 10 = 12 0 4 = 2100 = 700 1 =0 = 0 = 1 016 2 10 = 36 = (110 0 010 0)

Fig. 15. Comparison of the calculated differential phase shift with theoretical : , and experimental results for a microstrip single-layer phase shifter ( M G, H Oe, S : m, a : m, l : m,  , and  ).

4

= 2300 = 1 52 2 10

= 150 = 90

= 0 45 2 10 = 90

= 12 9 = 1 27 2 10

Fig. 16. Comparison of the calculated differential phase shift with theoretical and experimental results for a microstrip single-layer phase m,  : , M G, H : shifter (df Oe, S : m, a : m, l : , , ). and  Fig. 14. Comparison of the computed Green’s function versus Elsharawy’s for transversely biased slab Gxx . Notice that the two results are lined m,  : , M G, H Oe, : up [d H ,W : m, f : GHz, and Oe, R : ; : ]. K

(Imag( )) = 12 0 4 = 2100 = 700 = 7 62 2 10 1 =0 = 0 = 1 016 2 10 = 36 = (110 0 010 0) VII. RESULTS AND DISCUSSION

We compared the Green’s function of a single ferrite structure shown in Fig. 2 for two special cases. In the normally magnetized case, we programmed the Green’s function using the boundary condition method derived by Pozar [4]. Excellent agreement is achieved, as is clear from Fig. 13. In the transversely magnetized case, which leads to the simplest solution analytically and computationally, we compared the Green’s function derived by El-Sharawy using the transmission matrix approach [14]. Once again, a perfect agreement is achieved as given in Fig. 14. To check the present theory for a single-microstrip phase shifter, shown in Fig. 6, we compared our predicted differential phase shift with the numerical result for El-Sharawy [14] and with the experimental results for Riches et al. [23]. An excellent agreement with [14] and fair agreement with the experiment by [23] is clear in Fig. 15. The discrepancy with the experimental results is not only due to magnetization angle

= 12 9 4 = 2300 = 0 635 2 10 = 0 45 2 10 = 1 27 2 10 = 1 52 2 10 = 80

= 150 = 90

effect but also due to the assumption that the magnet’s fringing field was strong enough to magnetize the entire length of the ferrite substrate. When we varied the magnetization angles from to , our results improved over El-Sharawy’s with a few degrees toward the experimental results, as is clear from Fig. 16. Further validation for our theory is carried out by comparing the forward and backward propagation constant as well as the differential phase shift of the structure shown in Fig. 7 with that for Koza [21]. An excellent agreement is achieved as shown in Fig. 17 for the normalized propagation constants and in Fig. 18 for the differential phase shift. In our analysis for the MSSW transducers in Figs. 10–12, we used two different kind of basis functions: subdomain basis functions (piecewise linear) and entire domain basis functions (Chebyshev polynomials). Both are convergent within a reasonable number of iterations. The results presented in this paper are based on entire domain basis functions to be matched with the same basis functions of the reference which which we compared. The calculated complex propagation constant is compared with that for El-Sharawy [14] for both symmetric and asymmetric cases. A good agreement with the symmetric case

ELSHAFIEY AND ABERLE: GREEN’S FUNCTION FOR MULTILAYER ARBITRARILY BIASED ANISOTROPIC STRUCTURES

Fig. 17. Comparison of the normalized propagation constants for dual-strip : m,  : , M G, H phase shifters (df : m, and a : m). Oe, S

0

= 1 0 2 10 = 17 5 4 = 1 0 2 10 = 1 0 2 10

= 1500

= Fig. 20. Comparison of the calculated asymmetric propagation constant for a m, df : m,  : , : two-layer transducer (dd : , M  G, H Oe, H Oe, and S m). :

= 10 2 4 0 3 2 10

Fig. 18. Comparison of the calculated differential phase shift for dual-strip m,  : , M G, H : phase shifters (df Oe, S : m, a : m,  , and  ).

0

= 17 5 4 = 1500 = 1 0 2 10 = 1 0 2 10 = 1 0 2 10 = 90 = 80

=

Fig. 19. Comparison of the calculated symmetric propagation constant for a : m, df : m,  : , two-layer transducer (dd  : , M G, H Oe, H Oe, and S m). :

= 10 2 4 0 3 2 10

= 1 27 2 10 = 2 03 2 10 = 2267 = 144 1 = 300

519

= 17 5 =

is clear from Fig. 19, and a fair agreement with the asymmetric case is seen in Fig. 20. By symmetric, we mean that the electric current distribution is represented by an even basis function in the longitudinal direction and an odd basis function in the transverse direction. In MSSW transducers, this is considered to be a weak assumption. Due to the existence of the magnetostatic

= 2 03 2 10 = 1 27 2 10 = 2267 = 144 1 = 300

= 17 5 =

Fig. 21. Comparison of the calculated attenuation constant for three-layer transducer (d : m, d : m, df : m,  : , : , : , M G, H Oe, H Oe, and S : m).

= 15 0 1 = 45

= 2 5 2 10 = 2 5 2 10 = 0 5 2 10 = 9 8 = 10 0 4 = 1780 = 600 = 0 5 2 10

surface wave, the current distributions should be represented by even and odd in both directions, which is the asymmetric case. We repeated the analysis for the three-layer structure shown in Fig. 11. This structure is considered to be a practical structure, in which we deposit a piece of ferrite on the top of aluminum dielectric with a dielectric constant of 9.8, and it has the advantage of compatibility with monolithic microwave integrated circuit (MMIC) circuits. The comparison of the symmetric and asymmetric attenuation constants are given by Fig. 21. The comparison of the symmetric and asymmetric phase constants are given by Fig. 22. The frequency range for MSSW to exist is . The first peak in Fig. 21 corresponds to the second limit of the MSSW frequency range of 4.172 GHz. For the symmetric case in Fig. 21, there is only one attenuation maxima at 4.18 GHz. However, for the asymmetric case in the same figure, there are two maximas: the first one is at 4.18 GHz and the second one is at 4.609 GHz, which is due to the coupling of the MSSW. Structure 10 is used to model the experiment carried out by El-Sharawy [14]. The comparison of the symmetric and asymmetric cases with the experimental result is shown in Fig. 23. A peak of 23 dB in the measured curve is noticed at 4.34 GHz, while a peak of about 20.3 dB at 4.11 GHz is

520

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 22. Comparison of the calculated phase constant for three-layer : m, d : m, df m, : transducer (d  : , : , : , M G, H Oe, H : m). Oe, and S

= 15 0 1 = 45

= 2 5 2 10 = 2 5 2 10 = 0 5 2 10 = 9 8 = 10 0 4 = 1780 = 600 = 0 5 2 10

Fig. 24. The effect of the magnetization angles on the insertion loss along a MSSW transducer (d : m, df : m,  : ,  : , M G, H Oe, H Oe, S : m, and l : m).

10

= 10 2 4

= 1 27 2 10 = 2 03 2 10 = 2267 = 144 1 = 490 = 12 7 2 10

= 17 5 = 032

is very sensitive to the total number of basis functions and to the numerical approximation of the integral expressions. Thus, the discrepancy between the theoretical results for the asymmetric case of this work and those for El-Sharawy [14] may be attributed to these factors. El-Sharawy attributed that discrepancy between the measured and calculated results to the lack of accurate knowledge of magnetization, neither value nor angle, and the line width at the surface. REFERENCES

Comparison of the insertion loss along a MSSW transducer (d = 1:27 2 10 m, df = 2:03 2 10 m,  = 17:5,  = 10:2, 4M = 2267 G, H = 144 Oe, 1H = 490 Oe, S = 0:3 2 10 m, and l = 12:7 2 10 m). Fig. 23.

noticed for El-Sharawy’s theory for the asymmetric case on the other hand, the peak in our curve for the asymmetric case is about 29.0 dB at 4.28 GHz. The asymmetric current solution is more likely to describe the reality. Thus, our work shows a better agreement with the frequency of the measured peak (the resonance frequency of MSSW). However, the peak value of El-Sharawy is closer to the peak value of the measured results. It should be noted that the symmetric current solution gives worse agreement with measurements. We can define the bandwidth as the frequency range over which the insertion loss varies around 3 dB around the peak value. The bandwidth for El-Sharawy’s theoretical results was found to be 0.94 GHz as compared to the measured value of 0.76 GHz and to 0.7 GHz for our results. The effect of the magnetization angle is studied, and the results are given in Fig. 24 for different values of and . An improvement in the agreement with the experiment is noticed. As a general comment about the comparison results, the discrepancies are only in asymmetric current distribution case while the results agree for the symmetric current distribution case. For the asymmetric current solution, we noticed that the convergence of the solution for the propagation constant

[1] T. F. Elshafiey, J. T. Aberle, and E.-B. A. El-Sharawy, “Full wave analysis of edge-guided mode microstrip isolator,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 96, Jun. 1996, pp. 980–984. [2] T. F. Elshafiey, J. T. Aberle, and E.-B. A. El-Sharawy, “Full wave analysis of edge-guided mode microstrip isolator,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2661–2668, Dec. 1996. [3] E. El-Sharawy and R. W. Jackson, “Coplanar waveguide and slot line on magnetic substrates: Analysis and experiment,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 6, pp. 1071–1079, Jun. 1988. [4] D. M. Pozar, “Radiation and scattering characteristics of microstrip antennas on normally biased ferrite substrates,” IEEE Trans. Antennas Propag., vol. 40, no. 9, pp. 1084–1092, Sep. 1992. [5] T. F. Elshafiey, J. T. Aberle, and E.-B. A. El-Sharawy, “Green’s function formulation for multilayer normally biased ferrite structures using the transmission matrix approach,” in IEEE AP-S Int. Symp. Dig., vol. 96, Jul. 1996, pp. 330–334. [6] J. L. Young and C. M. Johnson, “A compact recursive trans-impedance green’s function for the inhomogeneous ferrite microwave circulator,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 7, pp. 1751–1759, Jul. 2004. [7] J. A. Kong, Electromagnetic Wave Theory. New York: Wiley, 1990. [8] W. C. Chew, Waves and Fields in Inhomegeneous Media. New York: Van Nostrand, 1990. [9] L. W. Li, J. A. Bennet, and P. L. Dyson, “The coefficient of scattering dyadic green’s function in arbitrary multi-layered media,” presented at the 3rd Asia–Pacific Microw. Conf., Tokyo, Japan, Sep. 1990. [10] J. K. Lee and J. A. Kong, “Dyadic Green’s functions for layered anisotropic medium,” Electromagnetics, vol. 3, pp. 111–130, Apr. 1983. [11] S. M. Ali, T. M. Habashy, and J. A. Kong, “Spetral domain dyadic green’s functions in layered chiral media,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 9, pp. 413–423, Mar. 1992. [12] E. L. Tan and S. Y. Tan, “Unbounded and scattered field representations of the dyadic green’s functions for planar statified bianisotropic media,” IEEE Trans. Antennas Propag., vol. 49, no. 8, pp. 1218–1225, Aug. 2001. [13] L. Y. Hsia, H. Y. Yang, and N. G. Alexopoulos, “Basic properties of microstrip circuit elements on nonreciprocal substrate–suberstrate structures,” J. Electromagn. Waves Applicat., vol. 5, pp. 465–476, Mar. 1991. [14] E. El-Sharawy, “Full wave analysis of printed lines on magnetic substrates,” Ph.D. dissertation, Dept. Elect. Comput. Eng., Univ. Massachusetts, Amherst, MA, 1989.

ELSHAFIEY AND ABERLE: GREEN’S FUNCTION FOR MULTILAYER ARBITRARILY BIASED ANISOTROPIC STRUCTURES

[15] G. Tyras, “The permeability matrix for a ferrite medium magnetized at an arbitrary direction and its eigenvalues,” IRE Trans. Microw. Theory Tech., vol. MTT-7, no. 1, pp. 176–177, Jan. 1959. [16] J. D. Adam, L. E. Davis, G. F. Dionne, E. F. Schloemann, and S. N. Stizer, “Ferrite devices and materials,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 721–737, Mar. 2002. [17] R. K. Sorensen, M. F. Iskander, and J. J. Lee, “Low-cost nonplanar microstrip-line ferrite phase shifter utilizing circular polarization,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 1, pp. 25–27, Jan. 2004. [18] H. How and C. Vittoria, “Microwave phase shifter utilizing nonreciprocal wave propagation,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1813–1819, Aug. 2004. [19] T. Itoh, “Spectral domain immittance approach for dispersion characteristics of generalized printed transmission lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 7, pp. 733–736, Jul. 1980. [20] R. F. Harrington, Field Computation by Moment Methods. Melbourne, FL: Krieger, 1968. [21] C. J. Koza, “Planar structures using oppositely-magnetized ferrite layers for broadband, high nonreciprocity phase shifters,” M.S. thesis, Dept. Elect. Eng., Arizona State Univ., Tempe, AZ, 1991. [22] J. Parekh, C. K. W. , and T. H. S. , “Propagation characteristics of magnetostatic waves,” Circuits Syst. Signal Process., vol. 4, pp. 9–39, Jan. 1985. [23] R. E. Riches, P. Brennan, P. M. Brigginshaw, and S. M. Deeley, “Microstrip ferrite devices using surface field effects for microwave integrated circuits,” IEEE Trans. Magn., vol. MAG-6, no. 9, pp. 670–673, Sep. 1970.

Tarief F. Elshafiey (S’92–M’97) received the B.S. degree from the Military Technical College, Cairo, Egypt, in 1977, the Diploma of electro physics and M.S. degree in electrical engineering from Alexandria University, Alexandria, Egypt, in 1981 and 1989, respectively, and the Ph.D. degree in electrical engineering from Arizona State University, Tempe, in 1996. From 1978 to 1992, he was with the Egyptian Air Force, Egypt, where he was involved with different avionics systems. From 1994 to 1996, he was a Graduate Teaching Associate with Arizona State University, where he taught different courses in microwave engineering, electromagnetics, and digital logic. From 1997 to 2000, he was with Research and Development of the Egyptian Armed Forces, where he was involved in performing and managing many research projects. Since 1997, he has been a Visitor Lecturer with the Military Technical College, Air Defense College, and Arab Academy for Science and Technology, Cairo, Egypt. Since 2001 he has been a faculty member with October University for Modern Sciences and Arts (MSA), Cairo Egypt, where he is currently the Head of Electrical Engineering Department. His research interests include the design of microwave ferrite devices and planar antenna circuits, as well as the modeling of electromagnetic interaction in layered media and computational electromagnetics.

521

James T. Aberle (S’81–M’82–SM’92) received the B.S. and M.S. degrees from the Polytechnic Institute of New York (now Polytechnic University), Brooklyn, NY, in 1982 and 1985, respectively, and the Ph.D. degree from the University of Massachusetts, Amherst, in 1989, all in electrical engineering. From 1982 to 1985, he was with the Hazeltine Corporation, Greenlawn, NY, where he was involved in the development of wide-band phased-array antennas. From 1985 to 1989, he was a Graduate Research Assistant with the University of Massachusetts, where he developed and validated computer models for printed antennas. Since 1989, he has been a faculty member with Arizona State University (ASU), Tempe, where he is currently an Associate Professor of electrical engineering. His research interests include the design of RF systems for wireless applications, as well as the modeling of complex electromagnetic phenomena. During the summer of 1993, he was a National Aeronautics and Space Administration (NASA)/American Society for Engineering Education (ASEE) Summer Faculty Fellow with the NASA Langley Research Center. During the 1997/98 academic year, he took a sabbatical leave from Arizona State University. During his sabbatical, he was a Visiting Academic with the Royal Melbourne Institute of Technology, Melbourne, Victoria, Australia, as well as a Visiting Researcher with the Atlantic Aerospace Electronics Corporation, Greenbelt, MD. He recently returned to ASU after a two-year leave of absence. During this leave, he was with a start-up company that provided innovative technological solutions for the wireless market.

522

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Data Analysis of the Extraction of Dielectric Properties From Insulating Substrates Utilizing the Evanescent Perturbation Method Ryotaro Inoue, Yasuhiko Odate, Eiji Tanabe, Member, IEEE, Haruhisa Kitano, and Atsutaka Maeda

Abstract—The evanescent perturbation technique utilizing open-ended coaxial resonator probes was investigated as a nondestructive method for measuring the dielectric properties of insulating substrates in the microwave frequency region. As an investigative result, we have proposed a new formulaic method of data analysis by which the complex permittivity of samples, from changes in resonant frequency ( ) and the quality factor of the resonance ( ), may be extracted in a concise and highly reproducible manner. The proposed formula has been developed based upon experimentation and detailed numerical studies of full-wave Maxwell equations coupled with physical observation and interpretation of experimental data. The new formula is applicable to both bulk and film samples with zero and finite tip-sample distances. The geometric factors derived were analyzed for variable parameters such as tip curvature, sample thickness, and tip-sample distance. Additionally, the calibration procedures necessary for experimental determination of these geometric factors were established. Index Terms—Coaxial resonators, dielectric materials, electromagnetic fields, microwave measurements, numerical analysis.

I. INTRODUCTION

R

ECENT advances in the area of high-speed information processing and communications technology demand related advances in probes utilized in measuring and quantifying the dielectric properties of insulating substrates in the microwave frequency region. Primary among several recently developed probe technologies, the open-ended coaxial resonator (OECR) probe [1]–[3] is especially promising from the three viewpoints of: 1) broadness of frequency range; 2) flexibility of size and shape of measurement targets; and 3) ability to perform nondestructive measurements. In recent years, microwave near-field microscopy (MNFM) utilizing OECR probes has attracted significant attention as a new quantitative imaging technique due to the high spatial resolution and high sensitivity inherent in the technology. However, in terms of meeting industrial applications requirements for accurate and convenient measurement of dielectric

Manuscript received November 24, 2004; revised October 1, 2005. This work was supported by the City of Kawasaki, Japan, under a joint industry-university research initiative. R. Inoue is with the Tonouchi Laboratory, Osaka University, 2-6 Yamada-oka, Suita-shi, 565-0871 Osaka, Japan. Y. Odate and E. Tanabe are with AET Japan Inc., 2-7-6 Kurigi, Asaoku, Kawasaki-City, 215-0004 Kanagawa, Japan. H. Kitano and A. Maeda are with the Department of Basic Science, University of Tokyo, 3-8-1 Komaba, Meguro-ku, 153-8902 Tokyo, Japan. Digital Object Identifier 10.1109/TMTT.2005.862707

properties, the OECR probes present several significant difficulties. In the measurement of complex permittivity utilizing OECR probe technology, a resonator probe is affixed to a flat, smooth surface upon the sample. The resultant change is in resonant frequency and that of the unloaded quality factor from the resonator probe. Due to the fact that the resonant characteristics and reflect the dielectric properties of the given sample, it is therefore possible, in principle, to calculate the complex permittivity of a given sample as , inversely, from the change in these resonant characteristics. However, the actual values of and in turn are dependent upon various geometric parameters among which are sample thickness, tip-sample distance, and tip geometry. Therefore, in order to extract the complex permittivity of the sample , it is necessary to have a large reference database of experimental data and numerical results which includes these geometric parameters and their systematic variances. In contrast to the method of measuring the changes in resonant characteristics inherent in the resonator probe, Tabib-Azar and Zhang proposed a direct measurement of “intrinsic” impedance of the loaded sample by utilizing an evanescent microwave probe (EMP) technique, where the stripline resonator functions as an “impedance tuner” between the sample and the microwave measurement circuit [7], [8]. Although the impedance of the loaded sample can be measured accurately when using the EMP technique, it remains difficult to extract the dielectric properties of the sample from the measured impedance. This is because the impedance of the loaded sample depends on the tip-sample geometry in a complicated manner and different from the “intrinsic” impedance of the sample in general. The preponderance of the available formulae for data analysis, where the complex permittivity of the sample is related to the normalized complex frequency shift , are based upon the perturbation treatment and the quasi-static calculation. Here, and represent the difference between the normalized resonant frequency and that of the inverse two-fold quality factor, respectively. In the evanescent perturbation method, by utilizing the so-called perturbation formula of a resonator, we can calculate the normalized complex frequency shift from the electromagnetic fields’ distribution within the sample. Gao and Xiang successfully calculated the complex frequency shift of resonance for spherical tip probes [9]. However, their formula was represented in an infinite series

0018-9480/$20.00 © 2006 IEEE

INOUE et al.: DATA ANALYSIS OF EXTRACTION OF DIELECTRIC PROPERTIES UTILIZING EVANESCENT PERTURBATION METHOD

except in cases of bulk samples with zero tip-sample distance. Kleismit et al. further developed the Gao–Xiang approach for use in cases of finite tip-sample distance and applied their results to the measurement of a superconductor [10]. Steinhauer et al. used the finite-element (FE) method for conical tip probes under zero-gap conditions [11]. However, neither of them were successful in presenting the formula for data analysis in analytical form. Therefore, there presently exists a concise and convenient formula for data analysis and extraction of complex permittivity of samples only where testing is performed with bulk samples in the zero-gap conditions. However, there remain significant inconsistencies in the zero-gap condition testing when utilizing OECR probe. (This will be discussed in further detail later.) Because stable and nondestructive measurements under the finite-gap conditions is preferable in industrial applications, very carefully performed and systematic studies are required to fully understand OECR data for the zero-gap conditions versus those for the finite-gap conditions. In addition to the aforementioned OECR inconsistencies, the validity of the basic assumptions inherent in the perturbation treatment is not trivial a priori. Because the microwave electromagnetic fields which concentrate on the tip of the resonator are divergently strong, the assumption that the electromagnetic energy stored in the resonator remains constant is inherently flawed. This is especially influential in the measurement of film samples which will be illustrated later. Therefore, it is indispensable to investigate the behavior of the complex frequency shift without reliance upon the perturbation treatment. In this paper, we studied the evanescent perturbation method using OECR probe and investigated the data analysis to extract the dielectric properties of the insulating sample from the change of the resonant characteristics of the resonator probe. Based on measured data of reference materials and numerical results of solving full-wave Maxwell equations together with physical interpretation, we succeeded in obtaining a simple formula for data analysis, which is applicable to both bulk and film samples with zero and finite tip-sample distance.

II. EXPERIMENTAL SETUP AND SIMULATION MODEL Fig. 1(a) shows the photograph of the measurement system consisting of an OECR probe, vector network analyzer, and a computer for system control. The OECR probe is constructed from copper and operated in TEM mode. The tip of the inner conductor of the coaxial resonator is sharpened and is located inside the resonator. Contrasted with the design where the tip is exterior to the resonator, this internal-tip design is inappropriate for high spatial resolution, yet is desirable for reproducible measurements due to the tip-sample distance being mechanically fixed. (The pertinent design parameters for the resonator are illustrated in Table I.) In order to make fine adjustments to the resonant frequencies, the inner conductor of the resonator has an adjustment point which is illustrated in the simulation model [see Fig. 2(a)]. Because the resonant characteristics also depend on the probe-sample geometry, it is necessary to develop calibration procedures using the

Fig. 1.

523

(a) Measurement system. (b) Coaxial resonator probe.

TABLE I IMPORTANT DESIGN PARAMETERS OF THE OECR PROBE

measurement data from reference samples. The method of calibration is one of the main targets of this paper and will be discussed in detail. Measured samples are placed on the top plate, as shown in Fig. 1(b). When film samples are measured, the back side of the sample is also shielded with copper to avoid the transmission of microwaves. The electromagnetic fields within the resonator housing “leak” from the aperture opened at the center of the top plate, and the resonant frequency and the unloaded quality factor change due to the dielectric properties of the sample by so-called evanescent perturbation. The typical magnitude of the frequency shift and that of the inversed two-fold quality factor are the order of 10 and 10 , respectively. Therefore, we need an accurate and stable method to determine the resonant characteristics of the resonator itself. We have developed a method for this called the complex linear regression method [12], which reveals a linear, least-square fit to the complex transmission coefficient of the resonator, which is measured by the vector network analyzer. In order to avoid the systematic measurement error which is caused by the air gap between the sample and the top plate of the resonator probe, it is effective to pump the air inside the

524

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 2. (a) Overview of the coaxial resonator probe model operated in TEM IV 7=4 mode. (b) Enlarged view of the aperture.

TABLE II RESONANT CHARACTERISTICS OF THE OECR PROBE OPERATING TEM MODE

IN

resonator probe slightly. The system’s instrumentation will be described in greater detail in an upcoming paper. For all calculations, we used the “Eigen Mode Solver” of CST Micro Wave Studio, which is a three-dimensional (3-D) electromagnetic simulator based on the finite-integration (FI) method [13]. For highly accurate results, it is important to represent the electromagnetic fields near the aperture as precisely as possible. The numerical effort of the FI method increases much more slowly with the problem size in mesh cells than those of the other commonly employed methods such as the FE and Moment methods. In our calculations, the number of total mesh cells is about 10 , and the minimum distance of the mesh is 10 m. The perfect boundary approximation (PBA) method [14] is utilized for representing curved surfaces better than the staircase mesh. Fig. 2(a) displays the calculation results with the coaxial resonator probe model operating in TEM IV mode. The gray area of the map indicates the electric field strength. (Higher field strengths are indicated by lighter shading and weaker field strength is indicated by darker shading.) The design parameters of the resonator model are the same with the real values from the experimental setup given in Table I. The calculated values of resonant characteristics, which are given in Table II for TEM modes, coincide with the experimental value within the error of several percent.

As previously stated, the resonant frequencies depend upon and are very sensitive to variations in the tip curvature , the sample thickness , and the tip-sample distance or gap . The aperture designs determined by these parameters are shown schematically in Fig. 2(b). Because the direct measurement of the tip curvature and the tip-sample distance is difficult to obtain, we must determine these parameters experimentally by comparing the measured data of the reference materials with the calculation results. Although the sample thickness is usually known in the measurement, it is difficult to prepare reference samples with the same thickness as the measurement target. Therefore, we investigated the effect of these aperture design parameters on the geometric factors numerically and established a calibration procedure which would serve to offset many of these limitations. In calculations utilizing the “Eigen Mode Solver” of CST Micro Wave Studio, we first disregard dielectric loss and metallic loss in the calculated model and obtain the resonant frequency and the electromagnetic fields’ distribution as the solution of an eigenvalue problem of loss-free Maxwell equations. Since we are measuring insulating materials, the loss tangent of the sample is much smaller than unity . As for the penetration of the electromagnetic fields into the conductor, the typical skin depth in the metallic wall is much smaller than the dimension of the resonator. Thus, we can assume that the “imaginary” part of the permittivity of the sample and the conductivity of the metallic wall have a negligible affect on the resonant frequency shift , and discussion of only the relation between and the dielectric constant, i.e., the “real” part of the permittivity of the sample is necessary. The dielectric loss and the metallic loss are calculated from the electric field inside the insulating sample and the magnetic field at the surface of the metals, respectively. By taking the ratio of the total loss generated in the calculated model to the stored energy of the resonator, we obtain the unloaded quality factor of the resonance . Thus, we can obtain the complex frequency shift directly from the full-wave calculation, i.e., not relying on the perturbation treatment. Fig. 3 illustrates the experimental data for bulk reference materials, against the actual numerical results. The measurement performed using TEM II mode of the resonator probe. Fig. 3(a) demonstrates a sign-inversed resonant frequency shift as a function of the dielectric constant of the sample . increases with and shows the tendency of saturation in a limiting value in highly dielectric region, which is distinctive for the case of finite tip-sample distance, as will be discussed later. The numerical results, which are represented by a solid line, fit the experimental data well. The change of the inverse of the two-fold quality factor of the resonance is plotted as a function of the loss tangent of the sample in Fig. 3(b). Because the predominant portion of the loss in the resonator is the dielectric loss in the measured sample, (which is proportional to the loss tangent ), varies almost linearly with the loss tangent. The experimental value of the loss tangent is easily affected by the quality of the measured target and can deviate from published values by a factor of two or three. Taking the

INOUE et al.: DATA ANALYSIS OF EXTRACTION OF DIELECTRIC PROPERTIES UTILIZING EVANESCENT PERTURBATION METHOD

01

525

1(1 2 )

Fig. 3. (a) f =f as a function of dielectric constant. (b) = Q as a function of loss tangent. Experimental data and numerical results are represented as open circles and curves, respectively.

01f =f as a function of  . (b) 01f =f as a function of 0 log(1 0 b)=b, where b = ( 0 1)=( + 1). (c) (01f =f ) ( 0 1) as a function of  . The data are calculated for bulk samples using TEM I (=4) mode under the condition that  = 100 m. Fig. 4. (a)

measurement errors into account, the agreement between the experimental data and the numerical results constitute quite satisfactory correlations. III. NUMERICAL RESULTS Fig. 4(a) demonstrates the sign-inversed resonant frequency shift as a function of the dielectric constant for bulk samples with zero gap m and with finite gap m . Here, we use the term “bulk sample” to describe the sample whose thickness is sufficiently larger compared with the other parameters in probe-sample geometry, such as the aperture diameter . The critical sample thickness which distinguishes film samples from bulk samples is discussed later. The sign-inversed resonant frequency shift increases with the dielectric constant . In the highly dielectric region, however, in the case of finite gap shows a tendency toward saturation in a limiting value while in the case of zero gap continues to increase. In Fig. 4(b), we plot the same data as a function of in order to investigate the applicability of the following Gao–Xiang formula [9]:

(1)

Here, , and and are the geometric factors to be determined by the measurement of reference materials. The Gao–Xiang formula fails to sufficiently represent the saturation of in the case of a finite gap. We found that the saturation of for large dielectric constant under the finite-gap condition can be described by the following empirical equation: (2) If we take the inverse of both sides of (2) and multiply together, we obtain the following linear relation:

(3) In Fig. 4(c), we plot as a function of . The definite linear relationship can be seen. The geometric factors and are obtained from the linear fitting. Next, we will discuss the effect of the finite losses in the resonator. Losses in this system can be separated into a dielectric loss in the insulating sample and the metallic loss in the copper

526

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

wall. Using the representation by the quality factor, we obtain the following: (4) Here, and represent the change in the inverse of the two-fold quality factor due to the dielectric loss and the metallic loss, respectively. If we can consider the metallic loss as independent of the dielectric constant of the sample, , the empirical equation (2) is extended easily to the equation for materials with finite loss by rewriting the frequency shift and the dielectric constant as complex quantities (5) If we take the imaginary part of (5) and consider that , we obtain the following between and the dielectric constant , after some rearrangement: (6) as a function of . In Fig. 5(a), we plot Again, we can confirm the definite linear relationship as implied in (6). For comparison, we can plot as a function of similarly in Fig. 5(b). The geometric factors and obtained by the linear fitting using two methods [see (3) and (6)] agree within calculation error margins of 1%. In Fig. 5(b), the straight lines represent the results of linear fitting to (3) for several TEM modes. Every line is found to intercept the axis at practically the same point. As was described by (3), the slope and the intercept of each line in Fig. 5(b) correspond to and , respectively. Therefore, we assign as the aperture constant in the sense that is marginally independent of the mode (if the probe-sample geometry is fixed). The value for depends on the mode due to the local strength of the electromagnetic fields near the aperture reduced by the stored energy of the resonator. Therefore, we call the resonator constant according to the usual usage of this term in other resonant perturbation measurement methods such as the cavity perturbation technique [15]. The change in metallic loss due to the dielectric constant of the sample is usually less than 1% of the dielectric loss . For the measurements of low-loss materials (typically ), however, becomes several percent of and cannot be easily dismissed. The metallic loss is proportional to the surface resistance of the metallic wall near the aperture. In Fig. 6, we plot as a function of the corresponding sign-inversed frequency shift . The data are calculated using modes I and II for bulk samples under zero-gap conditions m and with finite-gap conditions m . We normalized the surface resistance of copper by the value of the wave impedance in a vacuum, 377 . For both data sets, we obtained the proportionality relationship, which is represented by (7)

[1(1 2 ) ]

(01

) ( 0 1) as functions = 100 m, bulk, and

Fig. 5. (a) = Q = and (b) f =f  of  . The data are calculated under the conditions  s m. The straight lines are the results of linear fitting.

= 30

1(1 2 ) 01 = 100

Fig. 6. = Q =R as a function of the corresponding sign-inversed frequency shift f =f . The data are calculated for bulk sample under the m. R is normalized by the value of 377 . condition that 



where is the geometric factor concerned with metallic loss. The proportional relationship between and will be discussed later. The geometric factor is dependent on the operating mode but is found to be independent of the parameters of the aperture design, i.e., the tip curvature , the sample thickness , and the tip-sample distance within the calculation margins of error. Therefore, we will

INOUE et al.: DATA ANALYSIS OF EXTRACTION OF DIELECTRIC PROPERTIES UTILIZING EVANESCENT PERTURBATION METHOD

527

Fig. 8. Sign-inversed reduced resonant frequency of the unperturbed resonator 1 f =f as a function of 1=(s + t).

0

Fig. 7. (a) Aperture constant A and (b) resonator constant of the TEM mode II 0 for bulk samples. The straight lines are the results of linear fitting. See the Appendix.

discuss only the behavior of the aperture constant and that of the resonator constant hereafter. Experimentally, the geometric factor is determined by the measurement results of the reference materials. By combining (6) and (7), is represented as (8) Next, we will investigate the behavior of the geometric factors. Experimentally, the geometric factors and are to be determined by measurement results of the reference materials. However, for film samples, the calibration procedure is fairly exhausting and inaccurate because it is necessary to prepare at least two reference materials with the same thickness as the measured sample. The numerical study of the geometric factors for various parameters of the aperture design makes it possible to save considerable time and effort required in the calibration procedure without introducing measurement errors. We first show the geometric factors for bulk samples in Fig. 7. The aperture constant and the resonator constant of TEM mode II are plotted as functions of the tip curvature for various tip-sample distances . For the data under zero-gap conditions, m , we obtained the geometric factors by

, because the empirical equalinear fitting in the region of tion (2) tends to fail in the highly dielectric region. In Fig. 7, both the aperture constant and the resonator constant increase when increases or when decreases. However, the aperture constant under the zero-gap conditions m is virtually independent of . (For practical reference, we have provided interpolation functions of the results in the Appendix.) The physical interpretations of the behaviors of the geometric factors will be discussed later. The critical thickness which distinguishes film samples from bulk samples is difficult to define. In the measurement of insulators, the so-called electromagnetic penetration depth of the sample is so long that we cannot expect exponential decay of microwaves in the sample. The critical thickness is closely related to the so-called “open-end correction” of microwaves near the tip, which depends on tip-sample geometry. (Here, we use the term of open-end correction in the customary sense in the acoustics.) However, for the resonator with the internal-tip design, the open-end correction of microwaves is strongly affected at the aperture, and we can expect the aperture diameter to be a rough indication of the critical thickness. Since microwave electromagnetic fields transmitted through the aperture have lost their evanescent character and behave as dipole fields in the insulating sample, the field strength decays as , where is the distance from the aperture. When the sample thickness is comparable to the aperture diameter , significantly strong dipole fields reach the copper wall behind the sample and reflect from there causing the change of the resonant characteristics of the resonator. According to equivalent circuit analysis, the resonant frequency decreases in a nonperturbing way because of the additional capacitance between the copper wall and the tip. Therefore, in evanescent perturbation for film samples, the resonant frequency of the unperturbed resonator is measured for the vacuum with the same thickness as the sample . We can define the reduced resonant frequency shift of the unperturbed resonator in the same way as is the case of . In Fig. 8, we plot as a function of the inverse of , which is equal to the tip–wall distance. It is noteworthy that is finite only if the

528

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 10. Resonator constant (0) as a function of the aperture constant (A). The and 0=0 . data are normalized by the values for the bulk sample, A=A The solid curve is the interpolate function given in the Appendix.

In Fig. 10, we plot the normalized resonator constant as a function of the normalized aperture constant . Here, and are the values for bulk samples. We can confirm the obvious correlation which is virtually independent of the tip curvature and the tip-sample distance . Thus, we can assert that the relative variation of the geometric factors and are correlated and that the correlation is virtually independent of the mode and design parameters near the aperture. Fig. 9. (a) Aperture constant A and (b) resonator constant of the TEM mode II 0 for film samples. The interpolate curves are given in the Appendix.

open-end correction of the OECR probe cannot be disregarded. We can see that deviates from zero in the region of mm. In the region of , is inversely proportional to , which is due to the capacitance between the tip and the wall. The proportional constant is different between the zero-gap conditions m and the finite-gap conditions m. The geometric factors for the film samples deviate significantly from the values for bulk samples. Fig. 9 illustrates the aperture constant and the resonator constant of the TEM mode II . The data are plotted as functions of the inverse of sample thickness for various tip-sample distance . The tip curvature is fixed to 100 m. Similar to Fig. 7, the data under zero-gap conditions m is obtained by linear fitting in the region of . We see that both of the aperture constant and the resonator constant decrease with the sample thickness . It is noteworthy that the variation of the resonator constant reflects the fact that the stored enegy of the resonator is affected by the copper wall behind the sample and cannot be contant. Thus, the basic assumption of the perturbation treatment is not valid in the measurement for film samples. In Figs. 7 and 9, only the resonator constant of the TEM mode II is shown. For other TEM modes, the value of the resonator constant is different while the aperture constant is almost the same. However, under the same design near the aperture, the relative variation of the resonator constant is virtually independent of the TEM mode.

IV. DISCUSSION First, we will review the perturbation formula of a resonator in order to discuss the behaviors of the geometric factors examined in our study. If we assume that the metallic loss at the wall of the resonator is independent of the dielectric constant of the sample , the following perturbation formula is applicable in the evanescent perturbation treatment:

(9) and are the electromagnetic fields of where the unloading resonator (unperturbed fields) and those of the sample-loading resonator (perturbed fields), and and are the complex permittivity and the complex permeability of the sample, respectively. Since we consider only nonmagnetic materials here, the complex permeability can be equal to unity . The volume integral in the denominator in the right-hand side of (9) is performed over the resonator and the dielectric sample and is regarded as constant in the evanescent perturbation treatment. On the other hand, the integral domain in the numerator in the right-hand side of (9) is limited to the dielectric sample , and the main contribution comes from the vicinity of the aperture. Because the aperture diameter is sufficiently small compared with the microwave wavelength, the quasi-static approximation gives a fairly good estimate of the electric field near the aperture.

INOUE et al.: DATA ANALYSIS OF EXTRACTION OF DIELECTRIC PROPERTIES UTILIZING EVANESCENT PERTURBATION METHOD

529

Considering the perturbation formula [see (9)], this result from the 1-D model predicts the following frequency shift:

(15)

Fig. 11. 1-D model near the tip. The tip surface, the sample surface, and the copper plate behind the sample are represented by the plane of z = s, z = 0, and z = t, respectively.

0

In order to understand the qualitative behavior of the geometric factors, we investigate the one-dimensional (1-D) model shown in Fig. 11 by the quasi-static approximation. In this 1-D model, the aperture diameter and the tip curvature are assumed to be sufficiently large in comparison to the sample thickness and the tip-sample distance . We take the axis in the direction of the thickness of the sample and represent the surface of the tip, the surface of the sample, and the copper plate behind the sample by the plane of , , and , respectively. In this configuration, the electric field has only a component, which is related to the differential coefficient of the scalar potential with respect to such that . The scalar potential conforms to the Laplace equation (10) with the following boundary conditions of Maxwell’s equations at the surface of the sample

(11) Additionally, we impose the following two boundary conditions at the surface of the tip and the copper plate according to equivalent circuit analysis:

(12) where is the effective voltage applied by the eigen mode of the resonator. By solving the 1-D boundary value problem described above, the scalar potential is obtained as for (13) for Here,

is a constant defined as

(14)

where is a constant determined by the applied voltage and the stored energy of the resonator, i.e., the denominator in the right-hand side of (9). Comparing this result (15) with the empirical formula (2), the aperture constant is analogous to . As shown in Fig. 9, we also find that the aperture constant increases with increasing sample thickness or with decreasing tip-sample distance , which is qualitatively consistent with the analogy implied by the 1-D model. It is also noteworthy that the complex formed empirical formula (5) closely resembles the following Buravov–Shchegolev formula [15], [16] in the enclosed cavity perturbation technique: (16)

where is the resonator constant and is the so-called depolarization factor of the ellipsoidal sample shape. Although the relationship between the geometric factors in these two formulas [see (5) and (16)] is not clear, the resemblance is certainly due to the boundary conditions of Maxwell’s equations described for the 1-D model (11). Therefore, it is speculated that the results in data analysis of the enclosed cavity perturbation technique can be applied with slight modification to that of the evanescent perturbation technique and vice versa. As for the effects of metallic loss, we can use another perturbation formula analogous to (9) as follows:

(17) where is the magnetic field at the metallic surface, is the surface resistance of copper reduced by the wave impedance of vacuum, 377 , and is the inverse of the so-called wave number of microwave. The numerator contains surface integrals over the copper wall of the resonator and that of the dielectric sample and near the aperture . We can disregard the contribution from and because they are independent of the dielectric constant of the sample . The metallic loss generated in is calculated from the magnetic field near the aperture, which cannot be obtained by the quasi-static approximation. However, the azimuthal component ( -component in the standard cylindrical coordinate system) of this magnetic field is strongly correlated with the component of the electric field because of the axial symmetry of the TEM mode of the coaxial resonator. By assuming this correlation as proportional relationship, we may obtain (7), and the proportional constant is virtually independent of tip-sample geometry. Although Gao and Xiang also mentioned this proportional relationship in deducing their formula (1) [9], the behavior of the geometric factor was

530

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

we modeled only the tip-resonator assembly near the aperture as shown in Fig. 2(b) and performed the calculation using a 3-D electrostatic simulator, CST EM Studio. The results of the quasi-static approximation and those of the eigen mode calculation agree with each other within a 10% margin. The systematic difference among the results is due to the effect of the strong electric field near the edge of the conductor in the quasi-static calculation model. We are consider that it can be removed by making the curvature radius of the edge finite. In contrast to the aperture constant , the resonator constant is difficult to calculate directly from the quasi-static approximation because is determined by stored energy within the resonator and local field strength near the aperture. However, once the aperture constant is obtained from the quasi-static approximation, we can estimate the relative change of the resonator constant by means of the relationship shown in Fig. 10. Fig. 12. Aperture constant A for bulk samples. The data are obtained by the eigen mode calculation and by the quasi-static approximation.

not studied because their calculations rely upon the perturbation treatment and the quasi-static approximation. Finally, we will discuss the calibration procedure in order to determine the geometric factors , , and . For bulk samples, the calibration procedure is straightforward and is proceeded by the measurement of two reference materials whose dielectric properties are known. Considering (2) or (3), and are obtained from data by linear fitting as shown in Fig. 5(b). Then, is obtained from data by using (8). For film samples, all the measurement procedures including the calibration procedure are more complicated than those for bulk samples. Initially, the resonant frequency of the unperturbed resonator is measured for the vacuum with the same thickness of the sample . This data can be prepared beforehand by means of either experiments or numerical calculation as shown in Fig. 8. The calibration procedure itself is similar to that for bulk samples with the caveat that we must be able to prepare two references with the same thickness as the measured target. The experimental determination of the geometric factors is possible via the calibration procedure just as it was for bulk samples. However, for the calibration procedure using two bulk references, the data base from numerical calculations are necessary. By using Fig. 7, it is possible to determine the tip curvature and the tip-sample distance from the geometric factors for bulk samples ( and ). Fig. 9 shows and as functions of the sample thickness for various tip-sample distances . Although the tip curvature is fixed at 100 m, the data from the numerical calculation for various values of can be prepared beforehand. Since the geometric factors concerned with the metallic loss are practically independent of the design parameters near the aperture, we can apply the value of calibrated by the bulk references to the film samples. In order to obtain numerical results more effectively, the quasi-static approximation seems to be useful. In Fig. 12, we plot the aperture constant for bulk samples obtained from the eigen mode calculation again, together with the results based on the quasi-static approximation. In the quasi-static calculation,

V. CONCLUSION In order to develop an accurate, nondestructive, and reproducible method of measuring dielectric properties of insulating substrates at microwave frequencies, the evanescent perturbation technique using an OECR probe with inside-tip design was examined. We have proposed a new formula for data analysis to extract the complex permittivity of the sample from the reduced complex frequency shift of the resonator , based on experimental data and numerical results obtained by 3-D electromagnetic simulation of full-wave Maxwell equations, together with physical interpretations. The new formula is applicable to both bulk and film samples with zero and finite tip-sample distance (gap). The behaviors of the geometric factors were studied with systematic change of the tip-sample geometry, which is represented by such parameters as the tip curvature, the sample thickness, and the tip-sample distance. It turned out that the sample thickness affects significantly the geometric factors. This fact indicates that the stored energy of the resonator cannot be considered as constant, which is the fundamental assumption of the perturbation treatment. The significant inconsistencies between the results under the zero-gap conditions and those under the finite-gap conditions, is considered to be due to the difference of the boundary conditions of Maxwell equations. The quasi-static calculation together with the boundary conditions of Maxwell equations succeeded in the qualitative understanding of the behavior of the geometric factors by means of a 1-D model. Because it is found that there exists a universal correlation between the relative variations of geometric factors, the quasi-static calculation is speculated to be useful to the tip-resonator model assembly. Finally, the calibration procedure for the experimental determination of these geometric factors using a data base of known numerical results was established. APPENDIX INTERPOLATE FUNCTIONS IN FIGURES For practical use, we give the interpolate functions described in Figs. 7, 9, and 10, although we have not succeeded in the reasonable physical interpretations.

INOUE et al.: DATA ANALYSIS OF EXTRACTION OF DIELECTRIC PROPERTIES UTILIZING EVANESCENT PERTURBATION METHOD

Fig. 7 gives the aperture constant and the resonator constant of TEM II mode for bulk samples as functions of the tip curvature and the gap between the tip and the sample . Under finite-gap conditions m , they are represented by

531

Fig. 10 shows the correlation between the normalized resonator constant and the normalized aperture constant . This is well represented by (23)

m

m m

m

m m

for

m

Under zero-gap conditions they are represented by

(18) m , on the other hand,

m (19) Fig. 9 gives the aperture constant and the resonator constant of TEM II mode as functions of the film thickness and the gap with the tip curvature fixed to 100 m. Under finite-gap conditions m , these are well represented by

for

m (20)

where and which are given in (18). m m

are the values for bulk samples and are given as

for

m

(21)

Under zero-gap condition m , the geometric factors do not correspond with the limit of m. Under such conditions, the zero-gap condition is anomalous as follows: m m m m

where and which are given in (19).

(22)

are the values for bulk samples

REFERENCES [1] D. L. Gershon, J. P. Calame, T. M. Antonsen, Jr., and R. M. Hutcheon, “Open-ended coaxial probe for high-temperature and broad-band dielectric measurements,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1640–1648, Sep. 1999. [2] J. Baker-Jarvis, M. D. Janezic, P. D. Domich, and R. G. Geyer, “Analysis of an open-ended coaxial probe with lift-off for nondestructive testing,” IEEE Trans. Instrum. Meas., vol. 43, no. 5, pp. 711–718, Oct. 1994. [3] E. Tanabe and W. T. Jones, “A nondestructive method for measuring the complex permittivity of dielectric materials as microwave frequencies using an open transmission line resonator,” IEEE Trans. Instrum. Meas., vol. IM-25, no. 3, pp. 222–226, Sep. 1976. [4] Y. Lu, T. Wei, F. Duewer, Y. Lu, N.-B. Ming, P. G. Schultz, and X.-D. Xiang, “Nondestructive imaging of dielectric-constant profiles and ferroelectric domains with a scanning-tip microwave near-field microscope,” Science, vol. 276, no. 27, pp. 2004–2006, Jun. 1997. [5] C. Gao, T. Wei, F. Duewer, Y. Lu, and X.-D. Xiang, “High spatial resolution quantitative microwave impedance microscopy by a scanning tip microwave near-field microscope,” Appl. Phys. Lett., vol. 71, no. 13, pp. 1872–1874, Sep. 1997. [6] D. E. Steinhauer, C. P. Vlahacos, F. C. Wellstood, S. M. Anlage, C. Canedy, R. Ramesh, A. Stanishevisky, and J. Melngailis, “Imaging of microwave permittivity, tunability, and damage recovery in (Ba,Sr)TiO thin films,” Appl. Phys. Lett., vol. 75, no. 20, pp. 3180–3182, Nov. 1999. [7] M. Tabib-Azar, D.-P. Su, A. Pohar, S. R. LeClair, and G. Ponchak, “0.4 m spatial resolution with 1 GHz ( = 30 cm) evanescent microwave probe,” Rev. Sci. Instrum., vol. 70, no. 3, pp. 1725–1729, Mar. 1999. [8] T. Zhang and M. Tabib-Azar, “Calculation and accurate measurement of capacitance of electrically small axi-symmetric microstructures near a probe tip,” in ARFTG Conf. Dig., vol. IM-51, Jun. 2003, pp. 147–156. [9] C. Gao and X.-D. Xiang, “Quantitative microwave near-field microscopy of dielectric properties,” Rev. Sci. Instrum., vol. 69, no. 11, pp. 3846–3851, Nov. 1998. [10] R. A. Kleismit, G. Kozlowski, R. Biggers, I. Maartense, M. K. Kazimierczuk, and D. B. Mast, “Characterization of local dielectric properties using evanescent microwave miof superconductor YBa /Cu /O croscopy,” IEEE Trans. Appl. Supercond., vol. 15, no. 2, pp. 2915–2918, Jun. 2005. [11] D. E. Steinhauer, C. P. Vlahacos, F. C. Wellstood, S. M. Anlage, C. Canedy, R. Ramesh, A. Stanishevisky, and J. Melngailis, “Quantitative imaging of dielectric permittivity and tunability with a near-field scanning microwave microscope,” Rev. Sci. Instrum., vol. 71, no. 7, pp. 2751–2758, Jul. 2000. [12] R. Inoue, K. Miwa, H. Kitano, A. Maeda, Y. Odate, and E. Tanabe, “Highly accurate and real-time determination of resonant characteristics: Complex linear regression of the transmission coefficient,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2163–2168, Sep. 2004. [13] T. Weiland, “A Discretization method for the solution of Maxwell’s equations for six-component fields,” Electron. Commun. (AEÜ), vol. 31, no. 3, pp. 116–120, 1977. [14] B. Krietenstein, R. Schuhmann, P. Thoma, and T. Weiland, “The perfect boundary approximation technique facing the big challenge of high precision field computation,” in Proc. XIX Int. Linear Accelerator Conf., Chicago, IL, 1998, pp. 860–862. [15] O. Klein, S. Donovan, M. Dressel, and G. Grüner, “Microwave cavity perturbation technique: I: Principles,” Int. J. Infrared Millimeter Waves, vol. 14, no. 12, pp. 2423–2457, Dec. 1993. [16] L. I. Buravov and I. F. Shchegolev, “Method of measuring the conductivity of small crystals at a frequency of 10 Hz,” Instrum. Exp. Tech., vol. 14, pp. 528–530, 1971.

532

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Ryotaro Inoue received the B.S., M.S., and Ph.D. degree from the University of Tokyo, Tokyo, Japan, in 1998, 2000, and 2003, respectively. From 2003 to 2004, he was a Post-Doctoral Researcher with the Japan Science and Technology Agency, where he was engaged in the development of a measurement system of microwave dielectric properties. Since April 2004, he has been a Post-Doctoral Researcher with the Tonouchi Laboratory, Osaka University, Osaka, Japan. His current research interests are the developments of measurement techniques and data-analyzing techniques from microwave to terahertz frequency region. Dr. Inoue is a member of the Japan Society of Applied Physics and the Physical Society of Japan.

Yasuhiko Odate received the B.E. and M.E. degrees from the University of Tokyo, Tokyo, Japan, in 1999 and 2001, respectively. In 2002, he joined AET Japan Inc., Kanagawa, Japan, where he has been involved in the research and development of microwave dielectric measurement systems and other microwave devices. Mr. Odate is a member of the Institute of Electronics, Information and Communications Engineers (IEICE), Japan.

Eiji Tanabe (M’85) received the B.E. degree from Shizuoka University, Shizuoka, Japan, in 1968, and the Ph.D. degree from Duke University, Durham, NC, in 1975. He continued his post-doctoral research in microwaves and accelerator technology with Stanford University, Stanford, CA. In 1978, he became a Manager of microwave research with Varian Associates Inc., Palo Alto, CA, where he was active in the research and development of electron linear accelerators. Since the founding of AET Associates Inc., Cupertino, CA, in 1986, he has made frequent trips between the U.S., Japan, and Europe and has earned increasing success in international business by offering a combination of technical strength and fluent bilingual capabilities. In 1988, he founded AET Japan Inc., Kanagawa, Japan. Currently, AET and its group are the leading companies in microwaves and high-speed digital electronics technologies and electromagnetic simulation software. He has authored or coauthored over 60 papers. He coauthored the textbooks Microwave Made Simple and Medical Electron Accelerators. He holds eight patents. Dr. Tanabe is a member of the Institute of Electrical, Communication and Information Engineers (IEICE), Japan, the International Electrotechnical Commission, and the JASTRO.

Haruhisa Kitano received the B.E., M.E., and Ph.D. degrees from the University of Tokyo, Tokyo, Japan, in 1992, 1994, and 1999, respectively. From April 1994 to March 1996, he was with the Central Research Laboratory, Hitachi Ltd., where he specialized in low-bias voltage operation of avalanche photodiodes for optical interconnections. Since 1999, he has been a Research Associate with the Department of Basic Science, University of Tokyo, where he has been involved in precise measurements of microwave complex conductivity of high-temperature superconductors and related materials by using a cavity resonator perturbation technique. He has also developed nonresonant broad-band techniques to measure the frequency dependence of microwave properties at cryogenic temperatures. His current research interest is the application of superconducting high- resonators for cavity quantum electrodynamics studies. Dr. Kitano is a member of the Physical Society of Japan, the Japanese Society of Applied Physics, and the American Physical Society.

Q

Atsutaka Maeda received the B.A., M.E., and Ph.D. degrees from the University of Tokyo, Tokyo, Japan, in 1981, 1983, and 1986, respectively. He was a Research Associate with the Department of Applied Physics, University of Tokyo, where he was also involved with research on high-temperature superconductivity with a special interest in high-frequency charge dynamics of high-temperature superconductors and related materials. In 1992, he joined the Department of Basic Science, University of Tokyo, where he is currently an Associate Professor. Within this department, he has constructed a new laboratory, where he has continued research on microwave and millimeter-wave properties of strongly correlated materials. His recent research interests have concerned the application of those high-frequency properties and measurement techniques. Dr. Maeda is a member of the Physical Society of Japan, the Japan Society of Applied Physics, and the American Physical Society.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

533

Compact Microstrip Bandpass Filters With Good Selectivity and Stopband Rejection Pu-Hua Deng, Yo-Shen Lin, Member, IEEE, Chi-Hsueh Wang, and Chun Hsiung Chen, Fellow, IEEE

Abstract—Compact microstrip bandpass filters (second- and fourth-order) are proposed based on the folded quarter-wavelength ( 4) resonators, which are mainly coupled through the shunt inductors connected to the ground. By introducing a cross-coupling capacitance directly between the input and output ports of the second-order filter, a pair of transmission zeros may be created to improve the selectivity. Moreover, by an extension of the proposed second-order filter with the incorporation of an additional cross-coupling capacitance, a fourth-order filter is also proposed in which two pairs of transmission zeros may be created to improve both the selectivity and stopband rejection. The proposed fourth-order filter also has the merits of small circuit area and no spurious response up to 3 0 , where 0 is the passband center frequency. To provide effective design tools, simple equivalent-circuit models are also established. Index Terms—Bandpass filter, cross-coupling, microstrip, quarter-wavelength resonators.

I. INTRODUCTION

I

N MICROWAVE communication systems, high-performance and small-size bandpass filters are required to enhance the system performance and to reduce the fabrication cost. Many microstrip filter structures using half-wavelength or quarter-wavelength resonators have been proposed. The conventional resonator filters [1] have the drawback of a large circuit area. To solve this problem, the hairpin filter using folded resonator structures [2]–[6] was developed. Thus, the circuit area may be reduced without degrading its performance. In addition, by introducing the cross-coupled effect in the hairpin resonator filter, one may create the transmission zeros [3]–[6] to improve the filter selectivity. In order to reduce interference by keeping out-band signals from reaching a sensitive receiver, a high-performance filter with wider upper stopband is also required. However, the planar bandpass filters made of resonators inherently have the spurious passbands at multiple of the center frequency ( , ), which limit the rejection frequency range of the upper stopband.

Manuscript received December 14, 2004; revised September 27, 2005. This work was supported by the National Science Council of Taiwan under Grant NSC 93-2219-E-002-021 and Grant NSC 93-2752-E-002-001-PAE. P.-H. Deng, C.-H. Wang, and C. H. Chen are with the Department of Electrical Engineering and the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]). Y.-S. Lin was with the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. He is now with the Department of Electrical Engineering, National Central University, Chungli 320, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2005.862709

resonators, the filBy adopting the quarter-wavelength ters may be made compact and may have good stopband rejection with the first spurious passband at three times the center frequency [7]–[13]. The interdigital [7]–[9] and combline [9] filters are two of the conventional reduced-size filters with resonators. In [10] and [11], by using the folded resonators, the interdigital and combline filters can be made more compact. In [10]–[12], the microstrip cross-coupled filters with electrical cross-coupling to create the transmission zeros were realized by bending the open end of resonators. In [13], the stepped-impedance resonators were employed to implement the microstrip interdigital filter so that both size reduction and stopband extension may be achieved. In our previous study [14], a compact second-order microstrip bandpass filter based on folded resonators was proposed. The filter structure in [14] looks somewhat like the conventional combline filter [15], but their coupling mechanisms are different. Specifically, the resonators of [14] are mainly coupled through a shunt inductor connected to the ground, while the resonators of the combline filter are coupled through the parallel-coupled mechanism. In the filter structure of [14], a cross-coupling capacitance is introduced directed across the resonators so that two transmission zeros may be created for improving the stopband rejection, as the one did in the combline filter [10], [11]. However, the transmission zeros created in [14] are not near the passband edges, thus the filter selectivity is not good and needs improvement. In this study, by alternatively introducing the cross-coupling capacitance directly between the input and output ports, one may achieve a different type of second-order microstrip bandpass filter for which the transmission zeros can be moved much closer to the center frequency such that the selectivity can be improved. Moreover, by an extension of the proposed second-order filter with the incorporation of an additional cross-coupling capacitance, a fourth-order filter is also proposed, in which two pairs of transmission zeros may be created to improve both the selectivity and stopband rejection. Note that the proposed compact fourth-order microstrip bandpass filter exhibits the quasi-elliptic responses that may be produced by the conventional cascaded quadruplet (CQ) filters [4]–[7], [10]–[12]. To facilitate the filter design, simple equivalent-circuit models are also established. II. SECOND-ORDER FILTER In order to improve the selectivity of the filter in of the filter [14], a second-order microstrip bandpass filter structure composed of folded resonators is proposed by placing the crosscoupling capacitor directly between the input and output

0018-9480/$20.00 © 2006 IEEE

534

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 1. Proposed second-order microstrip bandpass filter. (a) Layout. (b) Circuit model.

ports, as shown in Fig. 1(a). The two resonators are mainly coupled through the shunt inductor , which is realized by a metal via to the ground. The circuit model for the proposed filter is shown in Fig. 1(b). The design procedures for the proposed filter [see Fig. 1(a)] are almost the same as the ones in [14], except for the placement of . Note that the folded resonators are utilized in the filter design for size reduction with the tradeoff of increasing the insertion loss in the passband. For the filter structure shown in Fig. 1, the cross-coupling capacitor is used to create a pair of transmission zeros for improving the filter selectivity. The physics for creating the transmission zeros may be illustrated in Fig. 2. Here, the shunt inductor provides the main signal path [path 1 in Fig. 2(a)] for the proposed filter circuit model [see Fig. 1(b)], while the capacitor introduces a second cross-coupling path (Path 2) along which the signal would cancel the one traveling along Path 1 at certain frequencies. Simulated frequency responses for this filter circuit model along Paths 1 and 2 [see Fig. 2(a)] are shown in Fig. 2(b). The signals from these two paths have the same amplitude and are nearly 180 out-of-phase at two frequencies such that they would cancel out each other at these two frequencies. Therefore, the overall filter response, also shown in Fig. 2(b), has two transmission zeros. Typical simulated responses of the filter circuit model [see Fig. 1(b)] are shown in Fig. 3(a). This filter exhibits lower

Fig. 2. (a) Two signal paths for the filter circuit model shown in Fig. 1(b). (b) Circuit-model simulated frequency responses of the two signal paths.

and upper stopband transmission zeros, as expected. As increases, the transmission zeros move closer to at the expense of degrading the insertion loss in the stopband. The transmission zeros of the proposed filter [see Fig. 1(a)] can also be discussed by the even- and odd-mode analyses of the circuit model in Fig. 1(b). Assuming that the proposed structure is symmetric, then the transfer function may be related to the even- and odd-mode input impedances and as (1) where

(2)

DENG et al.: COMPACT MICROSTRIP BANDPASS FILTERS WITH GOOD SELECTIVITY AND STOPBAND REJECTION

Fig. 3. (a) Simulated responses of the filter circuit model [see Fig. 1(b)] for various values of C . (b) Curves to relate the transmission-zero frequencies to the values of C . (f = 2:4 GHz, Z = Z = 50 ,  = 79:4 ,  = 10 , C = 0:23 pF, L = 0:092 nH).

and

(3) and are the characteristic impedance and electrical Here, length of the transmission line ( for the main signal path, for the cross-coupling path) and is the angular frequency. The transmission zeros are created when the transfer function in (1) becomes zero , which implies (4) By using (4), one may obtain two solutions for , which correspond to the two transmission zeros at the upper and lower stopbands. From (4), one can relate the frequencies of two transmission zeros to the values of the capacitance . The specific curves for GHz, , , the cases center frequency nH, – pF are shown in Fig. 3(b). As increases, the two transmission zeros will move the value of

535

toward the center frequency . Shown in Fig. 3(a) are the cir(0.02, cuit-model simulated responses for various values of 0.05, 0.1 pF) to demonstrate the influence of the transmission increases, the zeros on the insertion loss. When the value of upper and lower stopband transmission zeros will be close to the passband edges with the passband insertion loss essentially not degraded. The proposed second-order bandpass filter structure (Fig. 1) is implemented using the microstrip configuration. In this study, all the circuits are fabricated on the Rogers RO4003C substrate , , and thickness mm). ( Shown in Fig. 1(a) is the layout of the proposed second-order microstrip bandpass filter based on the circuit model in Fig. 1(b). The implemented filter is very compact and has a dimension ( mm, mm), where is of the guided wavelength of the microstrip structure at the center frequency. This filter is designed according to the second-order maximally flat response with a center frequency of 2.4 GHz, a 3-dB bandwidth of 5.4%, and a reference impedance of 50 . The required electrical length, capacitance , and inductance can be obtained based on the proposed equivalent-circuit model and the filter synthesis formulas [1]. Here, the shunt inductor is realized by a metal-coated via to the ground. The diameter of the via and the length of pad are determined by the required and in Fig. 1(a) are inductance value. The capacitors implemented by the interdigital and gap structures, respectively. is suitably chosen according to (4) to produce The value of the desired locations of transmission zeros. The corresponding geometrical parameters are fine tuned in the full-wave simulator Ansoft Ensemble 8.0. The measured and simulated results of the proposed filter [see Fig. 1(a)] are shown in Fig. 4. The measured center frequency is at 2.42 GHz, the minimum insertion loss is 1.82 dB at 2.42 GHz, and the 3-dB bandwidth is 5.5%. Good agreement between measured and simulated results is observed, except for a slight frequency shift of less than 1%. Two transmission zeros are found at 2.14 and 2.64 GHz. Note that, as expected, no repeated passis observed. band at The locations of the upper and lower stopband transmission zeros for the filter in Fig. 1(a) may simply be adjusted by conthrough varying the gap trolling the gap-coupled capacitance spacing . Shown in Fig. 5 are the measured results of the filter increases by dein Fig. 1(a) for various values of . As creasing , the two transmission zeros move toward the center frequency at the expense of degrading the stopband rejection. may be obtained by employing the interdigAn even larger ital capacitor to give the upper and the lower stopband transmission zeros closer to . Note that the passband frequency reis varied. sponses remain almost unchanged as the value of This justifies the proposed design procedures and equivalentbecircuit models in which the cross-coupling capacitance tween the input and output ports is neglected first in the initial design stage and is then added back for creating the transmission zeros. Depending on the required falloff rate at passband edge and the level of stopband rejection, one may determine the value and the locations of transmission zeros. required

536

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 4. Measured and simulated results of the proposed second-order microstrip filter in Fig. 1(a). (a) Narrow- and (b) wide-band frequency responses (1 = 9:9 mm, 1 = 15:65 mm).

Fig. 6. Proposed fourth-order microstrip bandpass filter. (a) Layout. (b) Circuit model.

Fig. 5. Measured frequency responses of the second-order microstrip filter in Fig. 1(a) for various values of gapwidth d.

III. FOURTH-ORDER FILTER By extending the cross-coupling mechanism in the secondorder filter structure, a fourth-order bandpass filter with good selectivity, as well as improved stopband rejection may be built. The layout of the proposed fourth-order microstrip bandpass and are filter is shown in Fig. 6(a). Here, the capacitors implemented by the interdigital structures, and the capacitor is constructed by the gap configuration. Note that there is a very between the input and output small coupling capacitance ports, which may generate the transmission zeros far away from the passband.

Shown in Fig. 6(b) is the equivalent-circuit model of the proposed fourth-order microstrip filter [see Fig. 6(a)], which has four folded resonators. The capacitor and two capacitors along with proper lengths of transmission lines at their ends may be equivalent to three -inverters. The two inductors may be served as two -inverters. Therefore, by neglecting the cross-coupling capacitances in the circuit model [see Fig. 6(b)], the proposed filter is equivalent to a fourth-order bandpass filter. Based on the equivalent-circuit model, the design formulas for the proposed fourth-order microstrip filter may simply be obtained. Note that the circuit model in Fig. 6(b) without the crosscoupling capacitance included is inadequate in predicting the response of the filter structure in Fig. 6(a). Specifically, with only being included in Fig. 6(b) ( not included), the filter circuit model in Fig. 6(b) could only generate two transmission zeros near the passband edge. It is the combination of the crosscoupling mechanisms through both and that creates four transmission zeros, two of them near the passband edges and the other two far from the passband. Basically, the cross-coupling capacitances are introduced in the fourth-order filter to create a pair of transmission zeros near the passband edges for improving the selectivity as the

DENG et al.: COMPACT MICROSTRIP BANDPASS FILTERS WITH GOOD SELECTIVITY AND STOPBAND REJECTION

537

Fig. 7. (a) Simulated responses of the filter circuit model [see Fig. 6(b)] for various values of C . (b) Curves to relate the transmission-zero frequencies to the values of C (f = 2:4 GHz, Z = 50 ,  = 75:4 ,  = 86:9 , L = 0:11 nH, C = 0:316 pF, C = 0:0279 pF, C = 0:001 pF).

Fig. 8. (a) Simulated responses of the filter circuit model [see Fig. 6(b)] for various values of C . (b) Curves to relate the transmission-zero frequencies to the values of C (f = 2:4 GHz, Z = 50 ,  = 75:4 ,  = 86:9 , L = 0:11 nH, C = 0:316 pF, C = 0:0279 pF, C = 0:0125 pF).

second-order filter did. In order to further improve the stopband rejection, another cross-coupling capacitance directly between the input and output ports is introduced to generate an additional pair of transmission zeros far from the passband. The value of this cross-coupling capacitance is very small. The simulated responses of the filter circuit model [see Fig. 6(b)] are shown in Figs. 7 and 8. Fig. 7(a) shows the corresponding circuit-model simulated responses for various values of , and Fig. 7(b) relates the four transmission-zero frequencies to the values of . As increases, the inner pair of transmission zeros (with respect to the passband center frequency ) will move toward the passband edges, while the outer pair of transmission zeros will move away from the passband, as demonstrated in Fig. 7(b). To illustrate the effect of , the simulated responses of the filter circuit model [see Fig. 6(b)] for various values of are shown in Fig. 8(a). Fig. 8(b) shows the curves to relate the transmission-zero frequencies to the values of . As increases, the outer pair of transmission zeros will move toward the passband edges with the inner pair of transmission zeros essentially unchanged. Note that an adjustment of the cross-coupling capacitance may alter both the locations of the inner and outer pairs of transmission zeros, as illustrated in Fig. 7(b), while an adjustment of the cross-coupling capacitance may only control the locations of the outer pair of transmission zeros, as demonstrated in Fig. 8(b). Therefore, a design guideline may be estab-

lished. Specifically, the cross-coupling capacitance is first selected to fulfill the selectivity requirement and the capacitance is then chosen to improve the stopband rejection. Since the cross-coupling capacitance essentially has no influence on the locations of the inner pair of the transmission zeros around the passband edge, this cross-coupling capacitance may thus be determined in the last step. The design procedure for fourth-order filter may similarly be extended to the general th filter , again with the cross-coupling capacitance between input and output ports decided in the last step. To demonstrate the adjustment of the locations of the upper and lower stopband transmission zeros, a full-wave simulation of the filter structure in Fig. 6(a) is conducted. Depicted in Fig. 9 are the corresponding simulated frequency responses, which show that the outer pair of transmission zeros can really be adjusted by varying the thickness [the gray portion of the metal in Fig. 6(a)] to change the value of . The proposed filter is designed according to the fourth-order maximally flat response with a center frequency of 2.39 GHz, a 3-dB bandwidth of 5.4%, and a reference impedance of 50 . The required electrical length, capacitances , , and inductance can be obtained based on the proposed equivalent-circuit model and filter synthesis formulas [1]. The corresponding geometrical parameters are also fine tuned in the full-wave simulator Ansoft Ensemble 8.0. The implemented filter is compact and has a dimension of ( mm, mm).

538

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

bandpass filters with higher order can also be implemented for better performance. IV. CONCLUSIONS

Fig. 9. Full-wave simulated frequency responses of the fourth-order microstrip filter in Fig. 6(a) for various values of d .

In this study, compact second- and fourth-order microstrip bandpass filters have been proposed and carefully examined. By suitably introducing two capacitive cross-coupling paths in the fourth-order filter, two pairs of transmission zeros have been created. As a result, good selectivity and improved stopband rejection can be achieved simultaneously. The proposed filter structures also have the advantage of no repeated passband at twice the center frequency. Based on the proposed equivalentcircuit models, the design of the proposed filters is simple and may follow the conventional filter synthesis techniques. The locations of transmission zeros may easily be adjusted by varying the capacitances on the cross-coupling paths. In addition, the size of the proposed filters is much less than that of the conventional filters composed of resonators. The proposed filters are useful for application in the communication system designs when both good selectivity and stopband rejection are required. REFERENCES

Fig. 10. Measured and simulated results of the proposed fourth-order microstrip filter in Fig. 6(a). (a) Narrow- and (b) wide-band frequency responses (1 = 17:3 mm, 1 = 27:3 mm, d = 0 mm).

The measured and simulated results for the implemented fourth-order microstrip filter [see Fig. 6(a)] are shown in Fig. 10. The measured center frequency is at 2.372 GHz, the minimum insertion loss is 2.95 dB at 2.372 GHz, and the 3-dB bandwidth is 5.2%. Good agreement between measured and simulated results is observed, except for a slight frequency shift of less than 1%. The two transmission zeros mainly decided by are located at 2.18 and 2.47 GHz and those by are located at 1.56 and 3.49 GHz. Note that no repeated passband at is observed, as expected, and the stopband rejection is better than 45 dB below 2.2 GHz and from 2.86 6.01 GHz. By a simple extension of the proposed fourth-order filter,

[1] G. L. Mattaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [2] E. G. Crystal and S. Frankel, “Hairpin-line and hybrid hairpin-line/halfwave parallel-coupled-line filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 11, pp. 719–728, Nov. 1972. [3] M. Sagawa, K. Takahashi, and M. Makimoto, “Miniaturized hairpin resonator filters and their application to receiver front-end MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1991–1997, Dec. 1989. [4] J. S. Hong and M. J. Lancaster, “Cross-coupled microstrip hairpin-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 1, pp. 118–122, Jan. 1998. [5] J. T. Kuo, M. J. Maa, and P. H. Lu, “A microstrip elliptic function filter with compact miniaturized hairpin resonators,” IEEE Microw. Guided Wave Lett., vol. 10, no. 3, pp. 94–95, Mar. 2000. [6] C. M. Tsai, S. Y. Lee, and C. C. Tsai, “Performance of a planar filter using a 0 feed structure,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2362–2367, Oct. 2002. [7] G. L. Matthaei, “Interdigital bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-10, no. 11, pp. 479–491, Nov. 1962. [8] M. Dishal, “A simple design procedure for small percentage bandwidth round-rod interdigital filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 11, pp. 696–698, Nov. 1965. [9] E. G. Cristal, “Tapped-line coupled transmission lines with applications to interdigital and combline filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 12, pp. 1007–1012, Dec. 1975. [10] C. Y. Chang, C. C. Chen, and H. J. Huang, “Folded quarter-wave resonator filters with Chebyshev, flat group delay, or quasi-elliptical function response,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, pp. 1609–1612. [11] C. Y. Chang and C. C. Chen, “A novel coupling structure suitable for cross-coupled filters with folded quarter-wave resonators,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 517–519, Dec. 2003. [12] C. C. Chen, Y. R. Chen, and C. Y. Chang, “Miniaturized microstrip crosscoupled filters using quarter-wave or quasi-quarter-wave resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 120–131, Jan. 2003. [13] H. K. Pang, K. M. Ho, K. W. Tam, and R. P. Martins, “A compact microstrip =4-SIR interdigital bandpass filter with extended stopband,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 1621–1624. [14] P. H. Deng, C. H. Wang, Y. S. Lin, and C. H. Chen, “A novel compact microstrip bandpass filter with two transmission zeros,” in Proc. 34th Microw. Eur. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 633–636. [15] R. Levy and J. D. Rhodes, “A comb-line elliptic filter,” IEEE Trans. Microw. Theory Tech., vol. 19, no. 1, pp. 26–29, Jan. 1971.

DENG et al.: COMPACT MICROSTRIP BANDPASS FILTERS WITH GOOD SELECTIVITY AND STOPBAND REJECTION

Pu-Hua Deng was born in Kaohsiung, Taiwan, R.O.C., in 1978. He received the B.S. degree in electrical engineering from National Sun Yet-Sen University, Kaohsiung, Taiwan, R.O.C., in 2002, the M.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 2004, and is currently working toward the Ph.D. degree at National Taiwan University. His research interests include the design and analysis of microwave filter circuits.

Yo-Shen Lin (M’04) was born in Taipei, Taiwan, R.O.C., in 1973. He received the B.S. and M.S.E.E. degrees in electrical engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1996, 1998, and 2003, respectively. From 1998 to 2001, he was an RF Engineer with Acer Communication and Multimedia Inc., Taipei, Taiwan, R.O.C., where he designed global system for mobile communication (GSM) mobile phones. From 2001 to 2003, he was with Chi-Mei Communication System Inc., Taipei, Taiwan, R.O.C. where he was involved with the design of low-temperature co-fired ceramic (LTCC) RF transceiver modules for global system for mobile communications (GSM) mobile applications. In August 2003, he joined the Graduate Institute of Communication Engineering, National Taiwan University, as a Post-Doctoral Research Fellow, and became an Assistant Professor in August 2004. Since August 2005, he has been with the Department of Electrical Engineering, National Central University, Chungli, Taiwan, R.O.C., where he is currently an Assistant Professor. His research interests include the design and analysis of miniature planar microwave circuits and RF transceiver module for wireless communication systems. Dr. Lin was the recipient of the Best Paper Award of the 2001 Asia–Pacific Microwave Conference (APMC), Taipei, Taiwan, R.O.C., and the 2005 Young Scientist Award presented at the URSI General Assembly, New Delhi, India.

539

Chi-Hsueh Wang was born in Kaohsiung, Taiwan, R.O.C., in 1976. He received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 1997, and the Ph.D. degree from National Taiwan University, Taipei, Taiwan, R.O.C. in 2003. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include the design and analysis of microwave and millimeter-wave circuits and computational electromagnetics.

Chun Hsiung Chen (SM’88–F’96) was born in Taipei, Taiwan, R.O.C., on March 7, 1937. He received the B.S.E.E. and Ph.D. degrees in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1960 and 1972, respectively, and the M.S.E.E. degree from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1962. In 1963, he joined the Faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From August 1982 to July 1985, he was Chairman of the Department of Electrical Engineering, National Taiwan University. From August 1992 to July 1996, he was the Director of the University Computer Center, National Taiwan University. In 1974, he was a Visiting Scholar with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley. From August 1986 to July 1987, he was a Visiting Professor with the Department of Electrical Engineering, University of Houston, TX. In 1989, 1990, and 1994, he visited the Microwave Department, Technical University of Munich, Munich, Germany, the Laboratoire d’Optique Electromagnetique, Faculte des Sciences et Techniques de Saint-Jerome, Universite d’Aix-Marseille III, Marseille, France, and the Department of Electrical Engineering, Michigan State University, East Lansing, respectively. His areas of interest include microwave circuit analysis and computational electromagnetics.

540

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Novel Microstrip Coupled-Line Bandpass Filters With Shortened Coupled Sections for Stopband Extension Chao-Huang Wu, Yo-Shen Lin, Chi-Hsueh Wang, and Chun Hsiung Chen, Fellow, IEEE

Abstract—Novel microstrip coupled-line bandpass filters with extended rejection band are proposed using the transmission zeros inherently associated with the shortened coupled sections to suppress the odd spurious harmonics at (2 + 1) ( = 1 2 . . .), is the passband center frequency. The proposed filters where feature compact size and low insertion loss, and have two crosscoupled-induced transmission zeros near the passband edges for improving the filter selectivity. To demonstrate this novel technique of shortening coupled sections, the second-order filter with the stopband extended up to 4 6 is implemented and carefully examined. To further improve the filter response, the fourth-order and better than filter with the rejection extended up to 7 4 30 dB is also implemented. Index Terms—Bandpass filter, coupled line, microstrip, stopband extension.

I. INTRODUCTION

B

ANDPASS filters with the characteristics of low insertion loss, compact size, high selectivity, and good stopband rejection are highly required in next-generation mobile and satellite communication systems. Among various types of planar filters, one of the most common implementations is based on the parallel-coupled transmission-line structures. Following simple synthesis procedure, the required coupled-line parameters can easily be derived from the given specifications. However, the conventional microstrip parallel-coupled filters suffer from the drawback of out-of-band spurious responses at due to the unequal even- and odd-mode propagation constants associated with the microstrip coupled-line sections [1], [2]. To reject the second harmonic response at , several techniques have been reported [3]–[12]. A capacitive compensation of phase velocity difference in parallel-coupled microstrip line was employed in suppressing the spurious response at [3]. In [4], the over-coupled resonators were proposed to extend the electrical length of the odd mode so as to compensate for the Manuscript received January 18, 2005; revised August 31, 2005. This work was supported by the National Science Council of Taiwan under Grant NSC 93-2752-E-002-001- PAE and Grant NSC 93-2219-E-002-021. C.-H. Wu, C.-H. Wang, and C. H. Chen are with the Department of Electrical Engineering and the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]). Y.-S. Lin was with the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. He is now with the Department of Electrical Engineering, National Central University, Chungli 320, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2005.862710

Fig. 1.

Circuit model of second-order coupled-line filter in [14]–[16].

difference in the phase velocities. The acute sawtooth coupled lines were adopted to equalize the electrical lengths of the and -mode in [5]. The wiggly-line filters using a sinusoidally varying linewidth were proposed to give an effective suppression on the second harmonic response [6]. A proper height of substrate suspension [7], [8] and ground-plane aperture [9] were also used to equalize the even- and odd-mode phase velocities so that the second harmonic response can be rejected. In [10], the split-ring resonators (SRRs) were introduced to obtain strong magnetic coupling for spurious passband suppression. In [11], the image impedance of coupled-line sections was increased to reduce the difference between even- and odd-mode phase constants. Recently, a meandered parallel coupled line was adopted to speed up the even-mode phase velocity such that the spurious passband at can be suppressed [12]. In [13], the step-impedance resonator (SIR) with a large impedance ratio and adjustable higher order transmission zeros were utilized to increase the rejection bandwidth. However, most of these filter structures still suffer from third and higher harmonic spurious responses [3]–[12], and the design procedure of the filters in [13] is quite complex. In our previous papers, [14]–[16], novel compact coupledline filters were proposed by using additional lumped-elements to realize -inverters in conventional parallel-coupled bandpass filter structures. With the introduction of the cross-coupled effect, two transmission zeros at upper and lower stopbands can be designed at the desired locations for improved filter selectivity. In addition, due to the equivalence to the quarter-wavelength resonator filter, these filters occupy only half the circuit area of conventional ones and feature no spurious responses at even harmonics in nature. The corresponding secondorder filter circuit model in [14]–[16] is shown in Fig. 1. In this paper, the concept in [14]–[16] is extended by adopting the inherent transmission zeros associated with the shortened coupled sections to suppress the spurious harmonics so that a coupled-line filter with very wide rejection band may be achieved. Specifically, all the second, third, fourth, fifth and sixth harmonics may be suppressed. The advantage of

0018-9480/$20.00 © 2006 IEEE

WU et al.: NOVEL MICROSTRIP COUPLED-LINE BANDPASS FILTERS WITH SHORTENED COUPLED SECTIONS FOR STOPBAND EXTENSION

Fig. 2. Circuit model of proposed second-order coupled-line filter with shortened coupled sections for extension of rejection band.

Fig. 3. Equivalent-circuit models for the proposed second-order coupled-line filter in Fig. 2 without the cross-coupling effect. + Z )=(Z Z ), Z = (Z + Z )=2, (N = (Z Z = 2Z Z =(Z + Z ), J = 2=(Z Z ), n = 1; 3; K = Z tan =2 .)

0

j

j

j

0

j

the proposed structure is that it provides effective stopband extension with a very compact circuit size. II. SECOND-ORDER FILTER

and that of the reference Since the value of impedance are nearly the same, the transmission line of characteristic impedance and length together with additional transmission line of characteristic impedance may be combined with the ideal transformer to form the -inverter , as shown in Fig. 3(b) [18, Sec. 8.7], where . Besides, the shunt inductor with two transmission-line sections of characteristic impedance and length at its two sides may be equivalent to a -inverter , as shown in Fig. 3(b) [17, Sec. 8.03]. Thus, by combining the above processes, one may finally yield the equivalent-circuit model, as shown in Fig. 3(b). Note that the inverters in Fig. 3(b) satisfy the conditions and [19]. Thus, near the center frequency and by letting the input susceptance in Fig. 3(b) equal to the susceptance given in the bandpass prototype [19, Fig. 6], one may establish a relation for determining the coupled-line impedances ( ,

(1) Here, is the reference impedance, is the radian center frequency, is the 3-dB bandwidth, and is the element value of the low-pass filter prototype [17]. In order to maintain the resonators at the center frequency , the input impedance in Fig. 3(b) should satisfy the resonance condition ( or , which implies

A. Filter Structure Shown in Fig. 2 is the circuit model of the proposed second-order coupled-line filter for stopband extension. Here, the lumped-element inductor is included to realize a -inverter, and the cross-coupled capacitor is introduced to achieve two transmission zeros, as in [14]–[16], so that the filter performance may be improved. Compared with Fig. 1, the lengths of the coupled sections are shortened with two additional transmission-line sections included to maintain the resonators in constructing the filter. By neglecting the series cross-coupled capacitor, i.e., , and also based on the assumption that the even- and odd-mode phase velocities are equal, the equivalent-circuit model of the filter structure in Fig. 2 for the frequencies around the passband may first be represented by the one in Fig. 3(a) [17, Sec. 5.09]. Specifically, the input open-ended coupled-line section of length in Fig. 2 may be modeled by an ideal transformer of turn ratio with a transmission line of characteristic impedance and a series open stub of characteristic impedance at its two ends, as shown in Fig. 3(a) [17, Sec. 5.09]. Similar modeling may also be applied to the output open-ended coupled-line section of length .

541

(2) When or at certain frequencies, the series open stubs in Fig. 3(b) are open circuited such that the transmission zeros may be created at those frequencies. Thus, the stub lengths ( , ) can be designed to adjust the locations of the transmission zeros. B. Transmission Zeros To examine the effect of the shortened coupled sections for the filter in Fig. 2, the simulated insertion loss responses of the microstrip coupled-line sections with different electrical lengths at GHz are shown in Fig. 4. For the ideal case in which the even- and odd-mode electrical lengths are equal , the response for the coupled-line section of at has an inherent transmission zero at , while that for the case of has an inherent transmission zero at . For the practical microstrip coupled line, the inherent transmission zero moves to higher frequencies due to the unequal even- and odd-mode phase constants [11]. As shown in Fig. 4, the microstrip coupled-line section of would have an inherent transmission zero at around . Therefore, by taking advantage of the inherent transmission zeros associated with the coupled-line sections, the coupled-line lengths and in

542

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 4. Adjustment of the electrical length  (atf = 2 GHz) of microstrip coupled-line section to vary the location of inherent transmission zero. (w = 2:5 mm, s = 0:5 mm, substrate dielectric constant " = 3:38, thickness = 1:32 mm.)

Fig. 6. (a) Simulated responses of the filter circuit model (Fig. 2) for various values of C . (b) Curves to relate the cross-coupled-induced transmission-zero frequencies to the values of C . ( = 51:8 ,  = 35 ,  = 61:8 ,  = 25 , L = 0:22 nH, Z = 69 , Z = 39 , Z = 66:3 , Z = 39:8 .)

Fig. 5. Curves to relate the frequency of inherent transmission zero to the length l of microstrip coupled-line section.

Fig. 2 may properly be chosen such that these inherent transmission zeros fall around for suppression of the spurious passband. After and are fixed, two additional transmission lines should be added to compensate for the required electrical length of the resonator filter in Fig. 2 such that . The location of inherent transmission zero associated with the microstrip coupled-line section may properly be adjusted by its geometrical parameters, as illustrated in Fig. 5. Specifically, Fig. 5 shows the simulated curves to relate the frequency of inherent transmission zero to the length of the coupled-line section with its spacing and width as parameters. Among these three parameters, the length of the coupled-line section has much more influence on the inherent transmission-zero frequency, therefore, it would be the major parameter to control the location of the inherent transmission zero. In particular, the inherent transmission-zero frequency increases as the coupled-line length decreases, as described in Fig. 5, which will be used in the design of a filter for stopband extension. The cross-coupled capacitor of the proposed filter structure in Fig. 2 is used to create a second cross-coupled path, along which the signal would cancel the one traveling along the main

path at two frequencies such that two additional cross-coupledinduced transmission zeros may be created [14]–[16] for an improvement of filter selectivity. The locations of these cross-coupled-induced transmission zeros may be controlled by suitably adjusting the value of cross-coupled capacitance . Fig. 6(a) shows the simulated responses of the filter circuit model in Fig. 2 for which the center frequency is designed at 2 GHz. Specifically, the two cross-coupled-induced transmission zeros will move toward the center frequency as the value of increases. Fig. 6(b) also shows the curves to relate the frequencies of crosscoupled-induced transmission zeros to the values of cross-coupled capacitance . Based on these information, one may suitably choose the value of so that the locations of these crosscoupled-induced transmission zeros may be adjusted for the desired selectivity. C. Filter Implementation and Results All proposed filter structures are implemented using the microstrip configuration, and are fabricated on the Rogers RO4003c substrate ( , , and thickness mm). The design procedures for the proposed second-order filter (Fig. 2) may be summarized as follows. First, according to the spurious harmonic frequencies to be suppressed, the required electrical lengths ( , ) are obtained.

WU et al.: NOVEL MICROSTRIP COUPLED-LINE BANDPASS FILTERS WITH SHORTENED COUPLED SECTIONS FOR STOPBAND EXTENSION

543

Fig. 7. Layout of the proposed second-order microstrip coupled-line bandpass filter with shortened coupled sections for stopband extension. (W = 2:5 mm, S = 0:5 mm, l = 17 mm, W = 3:1 mm, l = 4:4 mm, W = 2:6 mm, S = 0:55 mm, l = 18 mm, W = 3:1 mm, l = 4:4 mm, d = 1 mm, and g = 0:4 mm.)

Second, the coupled-line impedances are determined from (1) and (2) and the given filter specifications. Note that (1) is satisfied when is nearly equal to , hence, the upper 3-dB radian frequency is used in the computation. Third, the required -inverter value in Fig. 3(b) is obtained based on the filter specifications through the conventional filter synthesis techniques, and from this , the value of shunt inductance may then be determined [19]. Fourth, the value of cross-coupled capacitance is also determined by the desired locations of two transmission zeros. Finally, a fine-tuning based on an electromagnetic (EM) simulator such as HFSS is required to suitably suppress the spurious passband and maintain the main passband performance. A filter with wider stopband and a sharper falloff at the passband edges may then be achieved. Shown in Fig. 7 is the layout of the proposed second-order microstrip coupled-line bandpass filter based on the circuit model in Fig. 2. The shunt inductor is implemented by a metal via to ground. The via-diameter is determined by the required value based on the closed-form expressions in [20]. The coupled-line sections are arranged like the modified parallel-coupled filter in [2] such that the cross-coupled capacitor can be realized by the gap-coupled capacitance between the open-ends of two coupled-line sections. In order to achieve the desired amount of cross-coupling, the two coupled-line sections are bent by an angle of 90 . Note that the coupled-line sections should be suitably shortened so that their inherent transmission zeros are located around . The filter is designed with a center frequency of 2 GHz, a 3-dB bandwidth of 9.3%, according to a second-order maximally flat response. The corresponding circuit parameters are obtained as follows: , , , , , at 2 GHz, and nH. The two coupled-line lengths and are made slightly different so as to improve and smooth the harmonic re-

Fig. 8. Measured and simulated results for the proposed second-order microstrip bandpass filter in Fig. 7. (a) Narrow- and (b) wide-band frequency responses.

Fig. 9. Layout of the fourth-order microstrip coupled-line bandpass filter in [16].

Fig. 10. Layout of the proposed fourth-order microstrip coupled-line bandpass filter with shortened coupled sections for stopband extension. (W = 1 mm, S = 0:3 mm, l = 16 mm, W = 3:4 mm, l = 4:4 mm, W = 1 mm, S = 0:4 mm, l = 17 mm, W = 3:4 mm, l = 4:4 mm, W = 3 mm, S = 3 mm, l = 19 mm, W = 3:4 mm, l = 0:5 mm, l = 0:8 mm, l = 1:35 mm, d = 0:7 mm, and  = 40 .)

jection. The geometrical parameters are then obtained by fine tuning in HFSS, and are given in Fig. 7. The measured and simulated results are shown in Fig. 8. The measured center frequency is at 1.98 GHz. The minimum measured insertion loss is 1.16 dB at 1.99 GHz, and the 3-dB band-

544

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 11.

Circuit model of the fourth-order coupled-line filter in Fig. 10.

Fig. 12. Equivalent-circuit model for the proposed fourth-order coupled-line filter in Fig. 11 without the cross-coupling effect. Here, (Z ; Z ), (J ; J ), and (K ; K ) are defined inFig. 3, and (Z ; J ) are defined inFig. 13.

Fig. 13. Equivalence between: (a) coupled-line section and (d) the J -inverter with two series open stubs. (Z J = sin  =Z .)

j

j

width is 10%. The spurious responses are suppressed up to due to the use of shortened coupled-line sections, and the harmonic rejection is better than 25 dB in the measured results. Two cross-coupled-induced transmission zeros are observed at 1.51 and 2.52 GHz, as expected. Good agreement between measured and simulated results is observed, except for a slight frequency shift. III. FOURTH-ORDER FILTER To further improve the filter response, a novel fourth-order filter is implemented. For comparison, Fig. 9 shows the layout of the fourth-order microstrip filter discussed in [16] in which three coupled-line sections are used along with two shunt inductors to realize the -inverters. Based on the principle of shortening the coupled-line sections, a novel fourth-order microstrip coupled-line bandpass filter with the layout shown in Fig. 10 is proposed so as to improve the stopband rejection. The corresponding circuit model is shown in Fig. 11. Two transmission zeros at the upper and lower stopbands would be created by the cross-coupled capacitors in Fig. 11. Similarly, the cross-coupled capacitor can be realized by the gap-coupled capacitance between the open-ends of coupled-line sections. In order to achieve the desired amount of cross-coupling, the coupled-line sections are bended by an angle of 40 . The lengths

= (Z

0Z

)=2, Z

= (Z

+Z

)=2,

of the coupled-line sections are shortened again with additional transmission-line sections included so that the harmonics may be suppressed. The third harmonic response is suppressed by adjusting the lengths and of the coupled-line sections. Here, the lengths and are made slightly different so that the stopband rejection may be improved and smoothed. The fifth harmonic response is suppressed by the proper choice of the length of coupled-line sections. By neglecting the series cross-coupled capacitors again, i.e., , the equivalent-circuit model for the proposed fourthorder coupled-line filter in Fig. 11 may be depicted in Fig. 12. As described in Section II-A, the input/output open-ended coupled-line sections of length and in Fig. 11 may be modeled by the -inverters ( and ) along with the series open stubs of characteristic impedances and . Besides, each shunt inductor with two transmission-line sections of characteristic impedance and length at its two sides may be equivalent to a -inverter ( or ). The equivalence between the interstage coupled-line section of length in Fig. 11 and the -inverter in Fig. 12 may be demonstrated in Fig. 13. The interstage open-ended coupled-line section of length in Fig. 13(a) may be modeled by a transmission-line section of characteristic impedance and length with two series open stubs of characteristic impedance and length at its two ends, as shown in Fig. 13(b) [21]. Each

WU et al.: NOVEL MICROSTRIP COUPLED-LINE BANDPASS FILTERS WITH SHORTENED COUPLED SECTIONS FOR STOPBAND EXTENSION

545

Fig. 15. Comparison of measured response for the proposed filter structure in Fig. 10 with that for the one in Fig. 9 [16] and that for the conventional parallel coupled-line filter.

To demonstrate the behavior in suppressing the spurious harmonics, Fig. 15 compares the measured response of the proposed filter in Fig. 10 with that of the filter structure in Fig. 9 [16] and that of the conventional parallel coupled-line filter. Note that great improvement on the spurious rejection has been achieved with almost the same circuit area. The total length of the proposed filter is only long, which is more compact than that of the filter in [13]. Fig. 14. Measured and simulated results for the proposed fourth-order microstrip bandpass filter in Fig. 10. (a) Narrow- and (b) wide-band frequency responses.

open stub of characteristic impedance and length in Fig. 13(b) is further split into two open stubs of characteristic impedances and , as shown in Fig. 13(c). The transmission-line section of in Fig. 13(c) is then combined with the two series stubs of to form the -inverter , as shown in Fig. 13(d), where . The fourth-order filter (Fig. 10) is designed with a center frequency of 2.07 GHz, 3-dB bandwidth of 10%, according to a fourth-order maximally flat response. The corresponding circuit parameters are obtained as follows: , , , , , , , , at 2 GHz, and nH. The geometrical parameters are obtained by fine tuning in HFSS and are given in Fig. 10. The measured and simulated results are shown in Fig. 14. The measured center frequency is at 2.03 GHz. The minimum measured insertion loss is 1.6 dB at 2.07 GHz, and the 3-dB bandwidth is 10.8%. The spurious responses are suppressed up to , and the harmonic rejection is better than 30 dB from 2.35 14.8 GHz. Here, the microstrip coupled-line of length in Fig. 11 has a higher order inherent transmission zero around 13.5 GHz such that the spurious response at is also slightly suppressed. Two cross-coupled-induced transmission zeros are found at 1.59 and 3.28 GHz, as expected. Good agreement between measured and simulated results is observed, except for a slight frequency shift.

IV. CONCLUSIONS In this paper, a class of novel microstrip coupled-line bandpass filters with shortened coupled sections to extend the rejection band has been proposed and carefully examined. By using the inherent transmission zeros associated with the shortened coupled sections to suppress the spurious responses, a filter with extended rejection band can be achieved. This technique has the advantage of compact circuit size without increasing the insertion loss. Two microstrip coupled-line bandpass filters based on the shortened coupled sections have been implemented. Specifically, the second- and fourth-order bandpass filters have been designed with the rejection band extended up to and , respectively. In addition, two cross-coupled-induced transmission zeros at the upper and lower stopbands have been introduced such that the filter selectivity may be improved. The proposed bandpass filters feature compact size, low loss, and are useful for applications in the communication system designs when high selectivity and good stopband rejection are required. REFERENCES [1] B. Easter and K. A. Merza, “Parallel-coupled-line filters for invertedmicrostrip and suspended-substrate MICs,” in 11th Eur. Microw. Conf. Dig., 1981, pp. 164–167. [2] C.-Y. Chang and T. Itoh, “A modified parallel-coupled filter structure that improves the upper stopband rejection and response symmetry,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 310–314, Feb. 1991. [3] I. J. Bahl, “Capacitively compensated high performance parallel coupled microstrip filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 1989, pp. 679–682. [4] A. Riddle, “High performance parallel coupled microstrip filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 1988, pp. 427–430.

546

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

[5] J.-T. Kuo, W.-H. Hsu, and W.-T. Huang, “Parallel coupled microstrip filters with suppression of harmonic response,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 383–385, Oct. 2002. [6] T. Lopetegi, M. A. G. Laso, J. Hernandez, M. Bacaicoa, D. Benito, M. J. Garde, M. Sorolla, and M. Guglielmi, “New microstrip ‘wiggly-line’ filters with spurious passband suppression,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1593–1598, Sep. 2003. [7] J.-T. Kuo and M. Jiang, “Suppression of spurious response for microstrip bandpass filters via substrate suspension,” in Asia–Pacific Microw. Conf., 2002, pp. 498–500. [8] J.-T. Kuo, M. Jiang, and H.-J. Chang, “Design of parallel-coupled microstrip filters with suppression of spurious resonances using substrate suspension,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 83–89, Jan. 2004. [9] M. d. C. Velazquez-Ahumada, J. Martel, and F. Medina, “Parallel coupled microstrip filters with ground-plane aperture for spurious band suppression and enhanced coupling,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1082–1086, Mar. 2004. [10] J. Garcia-Garcia, F. Martin, F. Falcone, J. Bonache, I. Gil, T. Lopetegi, M. A. G. Laso, M. Sorolla, and R. Marques, “Spurious passband suppression in microstrip coupled line band pass filters by means of split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 416–418, Sep. 2004. [11] J.-T. Kuo, S.-P. Chen, and M. Jiang, “Parallel-coupled microstrip filters with over-coupled end stages for suppression of spurious responses,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 10, pp. 440–442, Oct. 2003. [12] S.-M. Wang, C.-H. Chi, M.-Y. Hsieh, and C.-Y. Chang, “Miniaturized spurious passband suppression microstrip filter using meandered parallel coupled lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 747–753, Feb. 2005. [13] J.-T. Kuo and E. Shih, “Microstrip stepped impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [14] Y.-S. Lin and C. H. Chen, “Novel balanced microstrip coupled-line bandpass filters,” in URSI Int. Electromagn. Theory Symp., 2004, pp. 567–569. [15] C.-H. Wang, Y.-S. Lin, and C. H. Chen, “Novel inductance-incorporated microstrip coupled-line bandpass filters with two attenuation poles,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 1979–1982. [16] Y.-S. Lin, C.-H. Wang, C.-H. Wu, and C. H. Chen, “Novel compact parallel-coupled microstrip bandpass filters with lumped-element K -inverters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2324–2328, Jul. 2005. [17] G. L. Mattaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [18] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [19] G. L. Mattaei, “Direct-coupled, bandpass filters with =4resonators,” in IRE Nat. Conv. Rec., 1958, pp. 98–111. [20] A. E. Ruehli, “Inductance calculations in a complex integrated circuit environment,” IBM J. Res. Develop., vol. 16, pp. 470–481, Sep. 1972. [21] G. L. Matthaei, “Design of wide-band (and narrow-band) bandpass microwave filters on the insertion loss basis,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 11, pp. 580–593, Nov. 1960.

Chao-Huang Wu was born in Taoyuan, Taiwan, R.O.C., in 1980. He received the B.S. degree in electrical engineering from the National Sun Yet-Sen University, Kaohsiung, Taiwan, R.O.C., in 2002, and is currently working toward the Ph.D. degree in communication engineering at the National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include the design and analysis of microwave filter circuits.

Yo-Shen Lin (M’04) was born in Taipei, Taiwan, R.O.C., in 1973. He received the B.S. and M.S.E.E. degrees in electrical engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1996, 1998, and 2003, respectively. From 1998 to 2001, he was an RF Engineer with Acer Communication and Multimedia Inc., Taipei, Taiwan, R.O.C., where he designed global system for mobile communication (GSM) mobile phones. From 2001 to 2003, he was with Chi-Mei Communication System Inc., Taipei, Taiwan, R.O.C. where he was involved with the design of low-temperature co-fired ceramic (LTCC) RF transceiver modules for global system for mobile communications (GSM) mobile applications. In August 2003, he joined the Graduate Institute of Communication Engineering, National Taiwan University, as a Post-Doctoral Research Fellow, and became an Assistant Professor in August 2004. Since August 2005, he has been with the Department of Electrical Engineering, National Central University, Chungli, Taiwan, R.O.C., where he is currently an Assistant Professor. His research interests include the design and analysis of miniature planar microwave circuits and RF transceiver module for wireless communication systems. Dr. Lin was the recipient of the Best Paper Award of the 2001 Asia–Pacific Microwave Conference (APMC), Taipei, Taiwan, R.O.C., and the 2005 Young Scientist Award presented at the URSI General Assembly, New Delhi, India.

Chi-Hsueh Wang was born in Kaohsiung, Taiwan, R.O.C., in 1976. He received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 1997, and the Ph.D. degree from National Taiwan University, Taipei, Taiwan, R.O.C. in 2003. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include the design and analysis of microwave and millimeter-wave circuits and computational electromagnetics.

Chun Hsiung Chen (SM’88–F’96) was born in Taipei, Taiwan, R.O.C., on March 7, 1937. He received the B.S.E.E. and Ph.D. degrees in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1960 and 1972, respectively, and the M.S.E.E. degree from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1962. In 1963, he joined the Faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From August 1982 to July 1985, he was Chairman of the Department of Electrical Engineering, National Taiwan University. From August 1992 to July 1996, he was the Director of the University Computer Center, National Taiwan University. In 1974, he was a Visiting Scholar with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley. From August 1986 to July 1987, he was a Visiting Professor with the Department of Electrical Engineering, University of Houston, TX. In 1989, 1990, and 1994, he visited the Microwave Department, Technical University of Munich, Munich, Germany, the Laboratoire d’Optique Electromagnetique, Faculte des Sciences et Techniques de Saint-Jerome, Universite d’Aix-Marseille III, Marseille, France, and the Department of Electrical Engineering, Michigan State University, East Lansing, respectively. His areas of interest include microwave circuit analysis and computational electromagnetics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

547

Low-Noise 0.8–0.96- and 0.96–1.12-THz Superconductor–Insulator–Superconductor Mixers for the Herschel Space Observatory Brian D. Jackson, Gert de Lange, Tony Zijlstra, Matthias Kroug, Jacob W. Kooi, Jeffrey A. Stern, and Teun M. Klapwijk

Abstract—Heterodyne mixers incorporating Nb SIS junctions and NbTiN–SiO2 –Al microstrip tuning circuits offer the lowest reported receiver noise temperatures to date in the 0.8–0.96- and 0.96–1.12-THz frequency bands. In particular, improvements in the quality of the NbTiN ground plane of the SIS devices’ on-chip microstrip tuning circuits have yielded significant improvements in the sensitivity of the 0.96–1.12-THz mixers relative to previously presented results. Additionally, an optimized RF design incorporating a reduced-height waveguide and suspended stripline RF choke filter offers significantly larger operating bandwidths than were obtained with mixers that incorporated full-height waveguides near 1 THz. Finally, the impact of junction current density and quality on the performance of the 0.8–0.96-THz mixers is discussed and compared with measured mixer sensitivities, as are the relative sensitivities of the 0.8–0.96- and 0.96–1.12-THz mixers. Index Terms—Astronomical satellites, niobium, niobium compounds, radio astronomy, submillimeter-wave mixers, superconductor–insulator–superconductor (SIS) mixers.

I. INTRODUCTION HE heterodyne instrument for the far-infrared (HIFI) [1],1 is a high-sensitivity, high-resolution heterodyne spectrometer that is being built for the European Space Agency’s Herschel Space Observatory [2].2 The instrument’s 0.48–1.25- and 1.41–1.91-THz frequency coverage will offer astronomers an unprecedented opportunity to observe a significant fraction of

T

Manuscript received February 4, 2005; revised July 13, 2005. This work was supported in part by the Technologiestichting STW (of The Netherlands), by the Nederlandse Organizatie voor Wetenschappelijk Onderzoek, and by the European Space Agency under the European Space Research and Technology Centre Research Contract 11653/95. B. D. Jackson is with the SRON National Institute for Space Research, 9747 AD Groningen, The Netherlands and also with the Kavli Institute of Nanoscience, Faculty of Applied Sciences, Delft University of Technology, 2628 CJ Delft, The Netherlands (e-mail: [email protected]). G. de Lange is with the SRON National Institute for Space Research, 9747 AD Groningen, The Netherlands (e-mail: [email protected]). T. Zijlstra, M. Kroug, and T. M. Klapwijk are with the Faculty of Applied Sciences, Kavli Institute of Nanoscience, Delft University of Technology, 2628 CJ Delft, The Netherlands (e-mail: [email protected]; [email protected]; [email protected]). J. W. Kooi is with the California Institute of Technology, Pasadena, CA 91125 USA (e-mail: [email protected]). J. A. Stern is with the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA 91109 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862717 1[Online]. 2[Online].

Available: www.sron.nl/divisions/lea/hifi Available: http://www.rssd.esa.int/herschel

the submillimeter and far-infrared spectrum, much of which is not observable from ground-based telescopes due to absorption by atmospheric water vapor. In order to take full advantage of this space-based observatory, the HIFI instrument will incorporate state-of-the-art cryogenic heterodyne mixers, with five superconductor–insulator–superconductor (SIS) mixers covering the 0.48–1.25-THz band and two hot-electron bolometer (HEB) mixers covering 1.41–1.91 THz. Unfortunately, although traditional Nb SIS mixers offer quantum-limited sensitivities below 0.7 THz [3]–[5], increasing resistive losses in their Nb-based microstrip transmission lines at frequencies above the “gap frequency” of Niobium ( THz) [6] cause the sensitivities of these mixers to drop significantly above 0.7 THz [7]. However, previous work has shown that the use of NbTiN-based3 microstrip RF tuning circuits allows the sensitivity of 0.75–1.0-THz SIS mixers to be significantly improved [8]–[10]. Furthermore, as is discussed in more detail in [11], the integration of Nb SIS junctions with a NbTiN–SiO –Al microstrip tuning circuit in which the NbTiN ground plane is deposited at 400 C (in place of the room-temperature-deposited films used previously) allows low-noise SIS mixer operation to be extended to at least 1.12 THz. Following from these advances, this paper describes the RF design and performance of the 0.8–0.96- and 0.96–1.12-THz mixers that have been developed for use in Bands 3 and 4 of the HIFI instrument. In particular, this paper discusses the integrated designs of reduced-height waveguide embedding circuits and two-junction SIS tuning circuits that yield efficient coupling of radiation to the mixers’ SIS junctions over each frequency band. Additionally, the impact of the current densities and leakage currents of the SIS junctions on their mixing performance is discussed in light of simulations of the heterodyne performance of the mixers’ two-junction tuning circuits. This mixer model is also used to compare the relative performance of the 0.8–0.96- and 0.96–1.12-THz mixers. The optomechanical designs of these mixers (including their corrugated horns), in addition to the designs of their intermediate frequency (IF) output coupling circuits and their shielding from external electromagnetic interference, are described elsewhere [12]. 3For simplicity, the compound Nb Ti N is referred to as NbTiN throughout this paper.

0018-9480/$20.00 © 2006 IEEE

, where x = 0:3 and 

0,

548

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

II. MIXER AND SIS DEVICE DESIGN Previous reports of the development of NbTiN-based SIS mixers made use of two basic mixer geometries: a quasi-optical mixer incorporating a 0.95-THz twin-slot antenna (see [9]) and a waveguide mixer incorporating a full-height 1-THz waveguide (see [10]). These results demonstrated that a NbTiN–SiO –Al microstrip RF matching network can be integrated with “standard” 1- m Nb–Al-AlO –Nb SIS junctions to yield low receiver noise temperatures up to 1 THz (and [11] demonstrates that this region of low-noise operation may be extended to at least 1.12 THz by the use of an NbTiN ground plane that is deposited at 400 C). However, the fixed-tuned RF bandwidth of the 1-THz waveguide mixer in [10] was limited to 100 GHz, whereas the quasi-optical mixer in [10] offered fixed-tuned bandwidths of 200 GHz. This limitation of the previously demonstrated waveguide mixer has been addressed by a redesign of the waveguide embedding geometry [13] and the SIS device’s on-chip microstrip tuning circuit to optimize the coupling to the SIS junctions. The starting point of this redesign (see [14]) was a move from the full-height 1-THz waveguide geometry that was used in [10] to scaled versions of the 0.65-THz half-height waveguide geometry that was used in mixers produced for the James Clerk Maxwell Telescope in Hawaii [15], [16]. In particular, for each of these designs, Fig. 1(a) presents the effective source impedance at the input to the on-chip microstrip tuning circuit that is produced by a combination of the waveguide, the fixed-depth waveguide backshort, the fused quartz substrate in the substrate channel (including the RF choke filter on the substrate), and the “across-the-waveguide” bowtie probe. (This “source impedance” has been calculated in a three-dimensional (3-D) electromagnetic field simulator.4) From this plot, it is seen that the original 1-THz waveguide design is characterized by a source impedance with a strong frequency dependence and a large imaginary component. In comparison, the source impedances of the 0.65- and 0.88-THz half-height waveguide designs are much less frequency dependent (although they retain significant reactive components). These results are consistent with those obtained previously in [17] and [18], both in theoretical calculations and in experiment. As was also demonstrated in [18], further “improvements” in the source impedance offered by the waveguide embedding design are obtained by suspending the SIS device substrate in the substrate channel (and fine-tuning the dimensions in the RF choke filter to recenter the passband of the filter on the center frequency of the design). As is seen in Fig. 1(b), this further reduces the frequency dependence and reactance of the source impedance at the input of the on-chip tuning circuit. (For clarity, only the results for the 0.88-THz design are shown here—the 1.04-THz design is a scaled version of the 0.88-THz design.) Fig. 2 illustrates the geometry of the 0.88-THz suspended substrate waveguide geometry that is used in the devices described here. The critical waveguide and device substrate dimensions in this design are summarized in Table I. Three-dimensional electromagnetic simulations of the embedding impedance offered by the waveguide geometries are calculated 4Ansoft

Corporation, Pittsburgh, PA.

Fig. 1. Effective source impedance at the input to the on-chip microstrip tuning circuit (at the center of the “across-the-waveguide” bowtie probe, given the fixed-depth waveguide backshort, the fused quartz substrate in the substrate channel, and the RF choke filter patterned on the substrate surface). (a) Comparison of the full-height 1-THz waveguide geometry and the half-height 0.65-THz waveguide geometries used previously with the “unsuspended” half-height 0.88-THz design. (b) Comparison of half-height 0.88-THz waveguide geometries with suspended and unsuspended quartz substrates in the substrate channel. The geometries of the mixers analyzed here are summarized in Fig. 2 and Table I.

for a reference plane at the center of the bowtie waveguide probe (i.e., at the input to the on-chip microstrip tuning circuit). The 1.04-THz mixer design that is used here is a scaled version of the 0.88-THz design. Finally, the RF designs of the mixers were completed by optimizing the geometry of the twin-junction tuning circuit used in [10] (see Fig. 3) to maximize the coupling of incident RF power to the SIS junctions over the 0.8–0.96 and 0.96–1.12-THz bands, given the frequency-dependent source impedances in Fig. 1. The resulting coupling to the SIS junctions is plotted in Fig. 4 for several combinations of waveguide embedding geometry and SIS device parameters (which are summarized in Tables I and II, respectively). A number of features are clearly identifiable in these results. First, it is seen that, with a moderate junction current density ( kA/cm ), the “suspended” and “unsuspended” 0.88-THz designs offer similar coupling efficiencies (50%–60% across the 0.8–0.96-THz band, with the “unsuspended” design actually offering slightly higher efficiencies). Moving to a higher current density ( kA/cm ) significantly improves the coupling to the junctions (due to the junctions’ reduced product), and the coupling obtained with the “suspended” design is slightly better than that obtained with the

JACKSON et al.: LOW-NOISE 0.8–0.96- AND 0.96–1.12-THz SIS MIXERS FOR HERSCHEL SPACE OBSERVATORY

549

Fig. 2. Suspended substrate waveguide geometry incorporating a half-height waveguide with a bowtie waveguide probe located at the center of the waveguide and a four-section RF choke filter in the substrate channel. The dimensions of the waveguide, substrate channel, and substrate are summarized in Table I. The reference plane at which the embedding impedances are calculated for this structure is located at the center of the bowtie waveguide probe. In other words, this reference plane is located at the input of the on-chip microstrip tuning circuit that is seen in Fig. 3. Ground and dc/IF connections to the SIS device are made by wire-bonding to gold pads at the two ends of the device (beyond the left and right edges of this figure). TABLE I WAVEGUIDE MIXER EMBEDDING GEOMETRIES ANALYZED IN FIG. 1

Fig. 3. Twin-junction SIS tuning circuit geometry. Top: photograph of a 1-THz mixer device (adapted from [10]). Note that the dimensions of the microstrip tuning circuit in this image are slightly different than those in the devices used here, but that the basic geometry is the same. Bottom: schematic cross section of the tuning circuit layer structure (adapted from [9]). The dimensions of the microstrip tuning circuit are summarized in Table II.

tunnel junctions with m . The microstrip transmission lines combine a 300-nm NbTiN ground plane, a 250-nm SiO dielectric layer (with a nominal ), and a 400-nm Al wiring layer (with a low-temperature dc resistivity of – cm). The fabrication and performance of these mixers are described and discussed further in the following sections. III. SIS DEVICE FABRICATION “unsuspended” design. Beyond this, Fig. 4(b) demonstrates that the coupling to the junctions in the 1.04-THz design is strongly dependent upon the properties of the NbTiN ground plane—the use of a film deposited at room temperature is expected to result in a strong drop in coupling above 1 THz (see [9] and [10]), whereas the use of a film deposited at 400 C should offer strong coupling over the full 0.96–1.12-THz band (see [11]). Based upon these calculations, SIS mixers with three combinations of junction current density, NbTiN quality (superconducting transition temperature, , and low-temperature normal-state resistivity, ), and embedding geometry have been produced. Two 0.88-THz mixers (incorporating junctions with and kA/cm K, cm and a suspended embedding geometry) have been produced for Band 3 of the HIFI instrument. Similarly, a 1.04-THz mixer incorporating a suspended-substrate waveguide geometry with kA/cm K, and cm has been produced for Band 4 of the HIFI. In all cases, the SIS junctions are Nb/Al-AlO /Nb

The SIS devices used here were produced using a process that is derived from that which was used for the demonstrations of quasi-optical and waveguide mixers incorporating NbTiN/SiO /Al tuning circuits (see [9] and [10], respectively). However, a number of significant modifications to the process have been made in order to improve the patterning of the SIS junctions and the Al wiring layer, and to incorporate higher-quality NbTiN ground planes in the 1.04-THz mixers. The primary modifications to the junction definition process have been: 1) to move from a CF gas mixture to SF for the reactive ion etching of the Nb junction electrodes (to improve the anisotropy and repeatability of the etch) and 2) to add an O plasma etch of the resist pattern following the etch of the top Nb junction electrode (prior to Ar sputter etching the Al-AlO barrier and reactive ion etching the bottom Nb electrode). As is discussed in [11], this “resist recessing” step yields a stepped junction profile in which the edges of the active portion of the Al-AlO barrier are not exposed to the Ar sputter etch of the barrier, since the final size of the top electrode is reached

550

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

at the completion of the bottom electrode etch. This is expected to improve the quality of a typical junction (i.e., to reduce its leakage current) by reducing the risk of damage to the tunnel barrier during the etch process. On top of these changes to the junction definition step, the other significant changes to the SIS device process have been: 1) using a chlorine-based reactive ion etch to pattern the Al wiring layer (which offers improved dimension control relative to the lift-off process that was used in [9] and [10]) and 2) using NbTiN ground planes deposited at 400 C (at the Jet Propulsion Laboratory [19]) in the 1.04-THz mixers. Finally, because the lift-off process that was used previously cannot be used to pattern ground planes that are deposited at high temperatures, these films were patterned by reactive ion etching in SF O . As in [9] and [10], contact UV lithography is used for all resist pattern definition, RF magnetron sputtering is used to deposit the SiO dielectric and passivation layers, and dc magnetron sputtering is used to deposit the Nb, NbTiN, and Al layers. Table II summarizes the material characteristics and tuning circuit geometries of the SIS devices that are discussed in the Sections that follow.

IV. DC CURRENT–VOLTAGE CHARACTERISTICS Fig. 4. (a) Calculated coupling to the SIS junctions for twin-junction SIS devices mounted in half-height 0.88-THz waveguides with suspended and unsuspended substrates. A slight benefit is obtained from the suspended substrate design if high current-density junctions are used (the values of J in the legend are given in kA/cm ). (b) Calculated coupling to suspended-substrate twin-junction SIS devices mounted in a half-height 1.04-THz waveguide. A clear dependence of the RF coupling on the superconducting properties of the NbTiN ground plane is observed. Note that the values given the legends are the “gap frequency” and low-temperature normal-state resistivity of NbTiN =h . in-THz and  1 cm, respectively F



(

= 21

)

TABLE II SIS JUNCTION AND NbTiN GROUND-PLANE CHARACTERISTICS AND TUNING CIRCUIT GEOMETRIES OF THE SIS DEVICES DISCUSSED HERE

Fig. 5 presents the bias current and IF output power as a function of bias voltage for two SIS devices (one 0.88-THz device with kA/cm and one 1.04-THz device with kA/cm ). In general, the junction qualities of these devices, as measured by their subgap to normal-state resistance ratios , are excellent, with – for devices with kA/cm and for devices with kA/cm (at a mixer temperature of 2–2.5 K). Beyond this, two other features are noted in Fig. 5. First, the photon step in the 1.04-THz device pumped at 1.14 THz is barely wide enough to yield a bias point that is not affected by the Shapiro effect (which can cause instabilities in the IF output power in the output power peaks seen on either side of mV/THz). Additionally, whereas the 0.88-THz devices have a typical series resistance in their Al wiring layer of 0.7 (which is consistent with the low-temperature dc resistivity of the Al film), the 1.04-THz devices have a series resistance of 1.5 . Note that the resistivity of the Al layer was determined from the resistance of long, narrow strips of Al deposited under the same conditions as the Al in the actual devices, while the the series resistance in the Al wiring of actual junctions is determined from the slope in the junctions’ zero-voltage supercurrents. The higher series resistance in the 1.04-THz devices indicates that the resistivity of the Al wiring layer is higher in the 1.04-THz devices than the cm that is assumed in the design. This is not fully understood, but is thought to be related to the fact that the surface of the SiO dielectric layer on which the Al is deposited is significantly rougher in the 1.04-THz devices than in the 0.88-THz devices (due to differences in the growth mechanics of SiO layers on NbTiN films deposited at 400 C and room temperature).

JACKSON et al.: LOW-NOISE 0.8–0.96- AND 0.96–1.12-THz SIS MIXERS FOR HERSCHEL SPACE OBSERVATORY

551

Fig. 6. Schematic representation of the mixer test receiver. This includes a vacuum hot–cold load that is used to improve the accuracy of measurements of the mixer sensitivity by removing the vacuum window from the optical path to the liquid-nitrogen-cooled cold load, and by eliminating the influence of atmospheric absorption of submillimeter radiation on the noise measurements.

Fig. 5. (a) Bias current and mixer IF output power as a function of bias voltage for a 0.88-THz SIS tunnel junction with a current density of J = 13 kA/cm , operated at 0.86 THz. (b) and (c) Bias current and mixer IF output power as a function of bias voltage for a 1.04-THz SIS junction with J = 6:5 kA/cm , operated at 1.02 THz [in (b)] and 1.14 THz [in (c)]. The limited bias range that remains in the 1.04-THz device pumped at 1.14 THz is noted—this is close to the maximum operating frequency for a “traditional” Nb/Al-AlO /Nb SIS junction. In both cases, the junction qualities (as measured by their subgap =R = 30–60 to normal-state resistance ratios) are excellent, with R and 20 obtained for J = 6:5 and 13 kA/cm , respectively. (Note that (b) is adapted from [11].) The vertical markers on the hot and cold IF output power characteristics in each plot indicate the bias voltage that is typically used for RF sensitivity testing—(a) indicates the bias voltage that is used for 0.88-THz mixers, while (b) and (c) indicate the bias voltages that are used for 1.04-THz mixers below 1.04 and above 1.14 THz, respectively (no LO is available in the gap between these ranges).

V. RF MEASUREMENT SETUP The heterodyne sensitivities of the mixers described here have been obtained from conventional -factor measurements using

a room-temperature “hot” blackbody signal source and a 77 K “cold” blackbody source (using the Callen–Welton formulation [20] to determine their effective source temperatures from their physical temperatures). Furthermore, because this work is focused on the development of mixers for the HIFI instrument (which includes a window-free, all-reflective optical design), an attempt has been made to minimize the receiver’s input coupling losses by: 1) replacing the dielectric focusing lens used previously with a Au-coated mirror on the 4 K stage of the liquidhelium-cooled test cryostat and 2) making use of a vacuum hot–cold load. In particular, using this vacuum hot–cold load removes the cryostat’s vacuum window from the optical path between the mixer and the cold load and eliminates the effect of absorption by atmospheric water vapor, which can be significant at submillimeter wavelengths. Fig. 6 presents a schematic representation of the mixer test system, including the vacuum hot–cold load, in which a rotating mirror located inside the cryostat is used to chop between the hot load (a room-temperature absorber located outside the cryostat) and the cold load. This cold load is produced by coating the bottom of a liquid nitrogen vessel in the receiver’s vacuum system with a mixture of silicon carbide grains in black Stycast epoxy [21]. Previous measurements [22] showed that this coating has an emissivity of at submillimeter wavelengths. Differences between the mixer test system and the environment of the HIFI instrument have been further minimized by the use of a cryogenic intermediate frequency (IF) amplification system that includes prototypes of the cryogenic 4–8-GHz isolator and low-noise amplifier used in the HIFI instrument (from PamTech,5 and the Centro Astronomico de Yebes [23], respec5Passive

Microwave Technology Inc., Camarillo, CA.

552

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

tively). (The input noise temperature of this IF system is 8 K, which is only slightly less than the expected 10 K noise of the IF chain in the HIFI instrument.) Furthermore, the SIS devices have been tested in prototypes of the mixer blocks that will be used in the instrument’s 0.88- and 1.04-THz bands (see [12]), at an operating temperature of 2–2.5 K (which is close to the expected operating temperature of the mixers in the instrument). (This low operating temperature is reached by pumping on the cryostat’s helium bath.) In reporting the receiver sensitivities presented here, two values are generally given: , the measured double side-band (DSB) receiver sensitivity obtained with the mixer mounted in the test receiver (using a power meter to detect the total output power over the 4–8-GHz IF band), and , the effective input noise of the mixer and the IF amplifier system (which is obtained by correcting the measured receiver noise temperatures for the calculated/measured losses in the 14- or 49- m Mylar beamsplitter and the Zitex G104 infrared heat-filters). Finally, the mixers’ direct-detection sensitivities are also presented here because they provide a snapshot of the frequency dependence of the coupling of radiation from an incident optical beam to the SIS junctions. These results have been obtained with a Fourier transform spectrometer in which an evacuated Michelson interferometer is used as a tunable signal source that is injected into the mixer test cryostat via the optical window through which the “hot” signal passes in heterodyne sensitivity measurements. In order to allow the measured results to be compared with the calculated coupling efficiencies, the measured spectra have been corrected for a standing wave in the output of the Michelson interferometer (with a period of 70 GHz and a peak-to-peak amplitude of 0.8 dB) and have been multiplied by a factor of (in THz) to account for the fact that the measured spectra are proportional to the photon detection efficiency, while the calculated spectra are of the power coupling. VI. RF MEASUREMENT RESULTS Fig. 7 presents the direct-detection sensitivities of several SIS devices in each of the three mixers discussed here (the 0.88-THz mixer with moderate- and high- junctions and the 1.04-THz mixer with moderatejunctions). From these results, it is seen that both current densities yield efficient coupling to the SIS junctions over broad RF bandwidths. Furthermore, provided that the response is properly centered on the target band (i.e., by properly matching the junction sizes to the tuning circuit geometries), efficient coupling can be obtained over the full 0.8–0.96and 0.96–1.12-THz bands. Following the direct-detection sensitivities, Fig. 8 presents measured heterodyne sensitivities of several of the SIS devices whose direct-detection sensitivities are plotted in Fig. 7. From these results, it is observed that the 0.88-THz mixers yield K and K, or better, across a significant fraction of the 0.8–0.96-THz band. The 1.04-THz mixers yield K and K, or better, across at least 1.03–1.15 THz (for a device tuned to this frequency range). Furthermore, a number of additional observations can be made: 1) the sensitivities of the 0.88-THz mixers

Fig. 7. Direct-detection sensitivities of several SIS devices mounted in the = 2:4–2:5 mV. In 0.88-THz and 1.04-THz mixer blocks, biased at V all cases, the embedding geometry is a half-height waveguide design with the substrate suspended in the substrate channel. (a) Results for 0.88-THz mixers with junction current densities of J = 6:5 kA/cm . (b) Results for 0.88-THz mixers with J = 13 kA/cm . (c) Results for 1.04-THz mixers with J = 6:5 kA/cm . In each case, strong coupling over a broad RF bandwidth is obtained (although the center-frequency and the frequency dependence of the response is dependent on the tuning circuit geometry and junction size). The geometries of these devices are summarized in Table II, using the device labels identified in the legend of each figure. Note that (c) is adapted from [11].

incorporating 6.5 and 13 kA/cm junctions are similar (given the device-to-device variability in their sensitivities); 2) as is discussed further in [11], the use of an NbTiN ground plane deposited at 400 C yields sensitive SIS mixers for frequencies up to at least 1.12 THz; and 3) the input noise temperatures of the 1.04-THz mixers are 40%–50% higher than those of the 0.88-THz mixers. Note that receiver sensitivities have not been measured over the full bandwidths of the mxiers, due to a lack of LO power in some frequency bands (especially below 0.86 THz and between 1.04–1.14 THz). However, the

JACKSON et al.: LOW-NOISE 0.8–0.96- AND 0.96–1.12-THz SIS MIXERS FOR HERSCHEL SPACE OBSERVATORY

553

Fig. 8. Measured DSB receiver noise temperatures (T ) for several of the devices/mixers whose direct detection (FTS) sensitivities are plotted in Fig. 7. At each frequency, the LO power level is adjusted to obtain optimum noise, while the bias voltage is held constant with frequency (at bias voltages that are indicated ) (obtained by correcting the measured receiver in Fig. 5). The effective input noise temperatures of the combination of the mixers and IF system (T sensitivities for the calculated/measured losses in the receiver optics), are also shown. All of these measurements are performed at mixer temperatures of 2–2.5 K, 8–10 K. (a) Results for 0.88-THz mixers with J = 6:5 kA/cm . (b) Results for 0.88-THz averaging over the full bandwidth of a 4–8 GHz IF system with T mixers with J = 13 kA/cm . (c) Results for 1.04-THz mixers with J = 6:5 kA/cm . In each case, high sensitivity over a broad RF bandwidth is obtained (although the center frequency and the frequency dependence of the response is dependent on the tuning circuit geometry and junction size). The geometries of these devices are summarized in Table II using the device labels indicated in the legends in the bottom right corner of each figure. Note that (c) is adapted from [11]. Note that the LO frequency ranges over which sensitivities are shown are limited by the tuning ranges of the Backward Wave Oscillators that are available. However, the direct detection spectral response curves of these devices, which are seen in Fig. 7, show that the coupling of incident radiation to the junctions over the relevant 0.8–0.96- and 0.96–1.12-THz bands is reasonably good.



direct-detection spectral response curves in Fig. 7 show that the coupling of incident radiation to the junctions remains reasonably efficient over the majority of the relevant 0.8–0.96and 0.96–1.12-THz bands. The determination of the DSB receiver conversion gains for these mixers was complicated by parasitic impedances in the mixers’ IF output circuit, which introduced uncertainties to the use of the junction’s unpumped shot-noise characteristics to calibrate the noise and gain of the receiver’s IF system. However, despite these uncertainties, clear differences could be seen in the gains of the mixers from different wafers—c78-A offered dB at 0.88 THz, c78-B offered dB at 0.86 THz, and f49-C offered dB at 1.04 THz. The wider RF bandwidths that are expected from the use of higher current-density junctions (see Fig. 4) are not immediately obvious in the measured results in Figs. 7 and 8. However, this may be partly due to the 1-THz “gap frequency” of the NbTiN ground plane limiting the mixers’ high-frequency performance (since the devices presented here have been selected to have strong responses in the 0.8–0.96- or 0.96–1.12-THz bands, as opposed to maximum bandwidth).

VII. DISCUSSION A. Twin-Junction Mixer and Receiver Noise Model Calculations of the coupling of radiation to the SIS junctions clearly show that the coupling efficiency increases significantly with increasing current-density (from 55% at kA/cm to 70% at kA/cm for the 0.88-THz mixer design). However, measurements of the heterodyne sensitivities of devices with and 13 kA/cm show no significant difference in performance. This may be attributed to the fact that the leakage currents of the higher current-density junctions are higher than those of the lower current-density junctions ( in place of 30–60). In particular, the larger leakage currents apparently generate sufficient additional shot noise to counteract the improved coupling of radiation to the junctions. (Note that the “sharpness” of the current–voltage characteristics of the lower and higher current-density devices in Fig. 5 is effectively the same, after correcting for the series resistance in their wiring layers— – mV.) This conclusion is supported by an analysis of the heterodyne performance of the twin-junction tuning circuit. In this analysis, each of the microstrip transmission-line sections in the RF

554

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

tuning circuit is replaced by a -matrix lumped-element circuit model, and the coupling of LO power to the two junctions is then determined in an iterative calculation that takes into account the dependence of the junction admittances on the absorbed LO powers. Given the (different) LO power coupling to the two junctions, the junctions are then replaced by three-port Tucker admittance and noise correlation matrices [24] in order to generate a three-port model for the complete tuning circuit, from which the frequency-dependent mixer noise and gain can be determined. This model of the two-junction tuning circuit is similar to those developed previously in [25] and [26]. In order to evaluate the impact of the junction quality and current density on the mixer noise, the junction model is modified by adding a parallel resistance to the measured currentvoltage characteristic of a junction with kA/cm and , and then scaling the voltage and current to obtain the desired gap voltage and junction resistance. (Note that adding this parallel resistance does not change the “sharpness” of the current step and the junction’s gap voltage, as is the case in the mixers discussed here.) Finally, the shot noise that is produced by this “excess leakage current” is multiplied by the bias-voltage-dependent factor that was developed in [27] and [28] to account for the amplification of shot noise by multiple Andreev reflection in the leakage current of “leaky” SIS junctions (this factor is 2.3 at mV). Receiver noise temperatures are calculated from the calculated mixer noise temperatures and gains by adding input optical losses that are representative of those in the experimental test setup that is being used, plus an IF system with an input noise temperature of 10 K and a 2 K physical temperature. A 10 K IF system noise temperature is used because it is representative of the 4–8-GHz IF system in the HIFI instrument, in which thermal and mechanical constraints have driven the use of a relatively long stainless steel semi-rigid cable between the mixer (at 2 K) and the cryoamplifier (at 15 K), plus two isolators (one at each end of the long cable). Fig. 9 presents a comparison of the measured and calculated RF coupling, receiver noise, and receiver conversion gain for two 0.88-THz devices with different junction resistances and tuning circuit dimensions (devices c78-A and c87-A). Because the tuning circuit dimensions and material properties are not known with absolute certainty, the tuning circuit dimensions have been fine-tuned to match the frequency dependence of the calculated RF coupling efficiencies to the measured directdetection sensitivities (applying the same corrections to the geometries of both devices). Furthermore, an additional lossy element is added to the model to fit the calculated noise temperatures to the measured results. For the sake of argument, this excess loss (and the noise that it generates) is represented as a 2.8-dB loss in front of the mixer, at a physical temperature of 2 K. As is seen in Fig. 9, the result is a reasonably consistent match between the measured and calculated receiver noise temperatures for the two mixers. The fact that the calculated receiver conversion gain of c78-A is somewhat lower than the measured gain indicates that at least part of the observed excess receiver noise is likely due to “warm” losses. (Note that the 2.8 dB of excess loss at 2 K that is assumed in Fig. 9 can be replaced by 1.1 dB of excess loss at 300 K.)

Fig. 9. Comparison of the measured and calculated direct-detection sensitivities, receiver noise temperatures, and DSB receiver conversion gains for devices c78-A and c87-A from the low-J 0.88-THz mixer (with J = 6:5 kA/cm and R =R = 60). Note that the tuning circuit dimensions in the calculations have been tuned slightly to match the frequency dependences of the measured direct-detection sensitivities. Matching the calculated receiver noise temperatures to the measured values requires that an excess loss be inserted in the model (i.e., 2.8 dB in front of the mixer, at a physical temperature of 2 K). The receiver conversion gain values have been corrected for the gain of the receiver’s IF system (the error bars in the measured conversion gains stem from uncertainties in estimating this IF system gain).

The calculated excess noise/loss may originate from a number of sources, including the 77 K blackbody load not being perfectly black (although previous measurements show that it should have an emissivity of 0.95 [22]); excess losses in the receiver optics; losses in the corrugated horn, waveguide, and/or substrate channel due to manufacturing errors and/or resistive losses that are not included in the model; dielectric losses in the fused quartz substrate and/or the SiO dielectric layer in the tuning circuit, both of which are assumed to be lossless; excess resistive losses in the Al wiring layer and/or the NbTiN ground plane; resistive losses in the Nb junction electrodes (which are not taken into account in this model, but

JACKSON et al.: LOW-NOISE 0.8–0.96- AND 0.96–1.12-THz SIS MIXERS FOR HERSCHEL SPACE OBSERVATORY

555

Fig. 10. Calculated sensitivities of 0.88-THz SIS mixers with twin-junction NbTiN/SiO /Al tuning circuits and junction current densities ranging from 6.5 to 15 kA/cm . The same noise and gain contributions for the receiver optics and IF system that were used in Fig. 9 are used in these calculations (including the 2.8-dB excess loss in front of the mixer). (a) Frequency dependence of the direct-detection coupling to the SIS junctions for different current densities. (b) and (c) Frequency =R ). (d) Frequency dependence of the DSB dependence of the DSB receiver noise for different combinations of current density and junction quality (R receiver noise for different current densities, with the junction quality being defined at each current density as the value that is needed to obtain the same sensitivity =R = 60. A mixer bias voltage of 2.2 mV is used for all calculations, which is close to the optimum in all as is obtained with J = 6:5 kA/cm and R cases, while the input noise of the IF system is assumed to be 10 K, which is representative of the 4–8-GHz IF system in the HIFI instrument. For each combination of current density and junction quality, a frequency-independent LO power is used that optimizes the average noise temperature across the 0.8–0.96-THz band.

which are present); and impedance mismatches and/or resistive losses in the mixer’s IF circuit (which is represented by a 50 load and an input noise of 10 K). B. Junction Current Density and Quality Versus Receiver Noise Using the previously described receiver noise model of the twin-junction mixer, including the 2.8-dB excess loss term that was determined from the results in Fig. 9, the receiver noise of the 0.88-THz mixer has been calculated as a function of junction current density and quality (while adjusting the junction size and the dimensions of the tuning circuit’s transformer section to optimize the average RF coupling efficiency over the 0.8–0.96-THz band for each case). The results of these calculations are summarized in Fig. 10. Note that, for each combination of current density and junction quality, the LO power level has been adjusted to optimize the average sensitivity across the band. (Within the 0.8–0.96-THz band, the sensitivities offered by these “averaged” optimum LO powers do not vary significantly from those that are obtained if the LO power is optimized at each frequency.) The optimum LO power thus increases with both current density and leakage current, although the increase in LO power with current density is driven by a corresponding

reduction in junction resistance—the pumping level of the junction, as measured by the alpha parameter , does not change significantly with current density. A bias voltage of 2.2 mV is used for all calculations—this value is close to the optimum in all cases. Not surprisingly, it is seen that, if the junction quality remains constant, then the receiver noise temperature drops significantly with increasing current density [see Fig. 10(b)]. However, experience shows that junction quality drops with increasing current density in high current density ( – kA/cm ) SIS junctions with AlO tunnel barriers. This reduction in junction quality causes a corresponding increase in mixer shot noise [27], [28] that will (partially) offset the improved coupling of incident radiation to the junctions [see Fig. 10(c)]. Indeed, Fig. 10(d) presents the calculated receiver sensitivities for current densities between 6.5 and 15 kA/cm , with a junction quality in each case that is defined as the minimum value that is needed for junctions with that current density to offer a receiver sensitivity that is equal to that which is obtained with kA/cm and kA/cm . Reviewing these calculations, it is noted that moving from to 10 and 15 kA/cm requires that junction qualities of at least and , respectively, are maintained

556

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 11. Calculated receiver and mixer sensitivities (T and T ) for 0.88- and 1.04-THz mixers with current densities of J = 6:5 kA/cm and junction qualities of R =R = 60. The lower sensitivity of the 1.04-THz mixers is due to a combination of the increased operating frequency (which increases the noise contributions of RF coupling losses and reduces the intrinsic conversion efficiency of the junctions) and the reduced coupling efficiency of the tuning circuit (due to the use of a NbTiN ground plane with a higher conductivity, and thus a smaller penetration depth). (Due to the reduced penetration depth of the NbTiN ground plane deposited at 400 C, the microstrip sections need to be made longer and narrower to keep the same electrical length and impedance, which increases the series resistance in the Al wiring layer.) For reference, indicators of the measured receiver sensitivities of the 0.88- and 1.04-THz mixers presented in Fig. 8 are also included.

in order to not lose receiver sensitivity. What is particularly interesting about this result is the fact that it is consistent with the observation that no significant difference is seen in the heterodyne performances of mixers with and 13 kA/cm and and , respectively. It is also interesting to note that these calculations are also in qualitative agreement with previous observations [29] that 0.64–0.8-THz mixers with kA/cm and yield similar sensitivities as mixers with kA/cm and . Note that the increase in the mixers’ broad-band sensitivities that is obtained with higher current-density junctions is recognized [see Fig. 10(d)]. However, for the purpose of this comparison, only the sensitivities in the 0.8–0.96-THz range are considered. C. Comparison of the 0.88- and 1.04-THz Results This twin-junction mixer sensitivity model can also be used to compare the relative sensitivities of the 0.88- and 1.04-THz mixers described in this paper. In particular, Fig. 11 presents the calculated sensitivities of 0.88- and 1.04-THz mixers in which the tuning circuit and junction geometries have been fine-tuned to center the mixers’ responses on their respective frequency bands. From this plot, it is seen that a large fraction of the difference in the measured sensitivities of the two mixers is attributable to factors that are included in the previously described mixer noise model. These factors include a drop in the junctions’ intrinsic conversion efficiencies and an increase in the noise contributions of optical losses with increasing operating frequency. Additionally, because the penetration depth in NbTiN films deposited at 400 C is expected to be smaller than that of films deposited at room temperature (due to their significantly higher

normal-state conductivities), the characteristic impedance of a given width of NbTiN/SiO /Al microstrip transmission line is reduced. As a result, maintaining the impedance and electrical lengths of the microstrip sections in the tuning circuit requires that they be made longer and narrower when replacing a roomtemperature-deposited film with one deposited at 400 C, which increases the series resistance of the Al wiring layer. Finally, it is noted that the 1.04-THz devices presented here are characterized by a series resistance in their current–voltage characteristics that is a factor of two higher than that in the 0.88-THz devices. This is a sign that the low-temperature resistivity of the Al wiring layer is likely higher in these devices than the cm that is realized in the 0.88-THz devices. If this factor-of-two increase in the resistivity of the Al wiring layer is included in the noise model for the 1.04-THz mixer, the calculated receiver noise increases by 10% across the band, K that is obwhich brings it much closer to the tained with device f49–C at 1.04 and 1.14 THz. VIII. CONCLUSION The development of SIS mixer devices incorporating NbTiN/SiO /Al microstrip tuning circuits and a parallel pair of “standard” 1– m Nb/Al-AlO /Nb SIS junctions has enabled the development of low-noise mixers in the 0.8–1.12-THz range. Furthermore, the use of half-height 0.88- and 1.04-THz waveguide embedding geometries and the optimization of SIS devices to couple efficiently to these embedding circuits has yielded low receiver noise temperatures across the 0.8–0.96and 0.96–1.12-THz bands of the HIFI instrument; a high-resolution heterodyne spectrometer that is being built for the European Space Agency’s Herschel Space Observatory. Analyzing the measured mixer performance with a three-port admittance and noise model of the SIS tuning circuit (in which three-port “Tucker” models of the SIS junctions are combined with lumped-element representations of the microstrip transmission lines), it is found that the frequency dependence of the measured performance is consistent with calculations, but that the measured noise can only be reproduced by inserting 2.8 dB of excess loss into the noise model (in front of the mixer, at a physical temperature of 2 K). The calculated results are also consistent with the observation that mixers containing junctions and kA/cm yield simwith current densities of ilar sensitivities. This may be attributed to the fact that the improved RF coupling that is obtained with higher current-density junctions is offset by an increase in junction shot-noise (due to the fact that the higher current-density junctions have larger for kA/cm leakage currents— versus – for kA/cm ). Finally, a significant fraction of the drop in sensitivity of the 1.04-THz devices relative to the 0.88-THz devices is attributed to the intrinsic effects of the higher operating frequency (which causes the conversion gains of the SIS junctions to drop and the noise contributions of RF losses to increase) and the smaller penetration depth in the “higher quality” NbTiN films used in these devices.

JACKSON et al.: LOW-NOISE 0.8–0.96- AND 0.96–1.12-THz SIS MIXERS FOR HERSCHEL SPACE OBSERVATORY

ACKNOWLEDGMENT The authors would like to thank W. Laauwen and L. de Jong for performing the mixer measurements described here, M. Eggens, H. Golstein, S. Kikken, D. Nguyen, C. Pieters, H. Schaeffer, and H. Smit for their contributions to the design and construction of the mixers and test systems that were used in this work, and A. Baryshev, J. R. Gao, T. de Graauw, N. Honingh, R. LeDuc, B. Leone, S. Shitov, N. Whyborn, and J. Zmuidzinas for useful discussions.

REFERENCES [1] T. de Graauw and F. P. Helmich, “Herschel-HIFI: The heterodyne instrument for the far infrared,” in SP-460 The Promise of the Herschel Space Observatory, G. L. Pilbratt, J. Cernicharo, A. M. Heras, T. Prusti, and R. A Harris, Eds. Noordwijk, The Netherlands: ESA Pub. Div., 2001, pp. 45–52. [2] G. L. Pilbratt, J. Cernicharo, A. M. Heras, T. Prusti, and R. A Harris, SP-460 The Promise of the Herschel Space Observatory. Noordwijk, The Netherlands: ESA Pub. Div., 2001. [3] J. W. Kooi, M. Chan, B. Bumble, H. G. LeDuc, P. Schaffer, and T. G. Phillips, “230 and 492 GHz low noise SIS waveguide receivers employing tuned Nb/AlO /Nb tunnel junctions,” Int. J. Inf. Millim. Waves, vol. 16, pp. 2049–2068, Dec. 1995. [4] A. Karpov, J. Blondel, M. Voss, and K. H. Gundlach, “A three photon noise SIS heterodyne receiver at submillimeter wavelength,” IEEE Trans. Appl. Supercond., vol. 9, no. 6, pp. 4456–4459, Jun. 1999. [5] C. E. Honingh, S. Haas, D. Hottgenroth, K. Jacobs, and J. Stutzki, “Low noise broadband fixed tuned SIS waveguide mixers at 660 and 800 GHz,” IEEE Trans. Appl. Supercond., vol. 7, no. 6, pp. 2582–2586, Jun. 1997. [6] D. C. Mattis and J. Bardeen, “Theory of the anomalous skin effect in normal and superconducting metals,” Phys. Rev., vol. 111, pp. 412–417, 1958. [7] G. de Lange, J. J. Kuipers, T. M. Klapwijk, R. A. Panhuyzen, H. van de Stadt, and M. W. M. de Graauw, “Superconducting resonator circuits at frequencies above the gap frequency,” J. Appl. Phys., vol. 77, pp. 1795–1804, Feb. 1995. [8] J. Kawamura, J. Chen, D. Miller, J. Kooi, J. Zmuidzinas, B. Bumble, H. G. Leduc, and J. A. Stern, “Low-noise submillimeter-wave NbTiN superconducting tunnel junction mixers,” Appl. Phys. Lett., vol. 75, pp. 4013–4015, Dec. 1999. [9] B. D. Jackson, A. M. Baryshev, G. de Lange, S. V. Shitov, J.-R. Gao, N. N. Iosad, and T. M. Klapwijk, “Low-noise 1 THz superconductorinsulator-superconductor mixer incorporating a NbTiN/SiO /Al tuning circuit,” Appl. Phys. Lett., vol. 79, pp. 436–438, Jul. 2001. [10] B. D. Jackson, N. N. Iosad, G. de Lange, A. M. Baryshev, W. M. Laauwen, J.-R. Gao, and T. M. Klapwijk, “NbTiN/SiO /Al tuning circuits for low-noise 1 THz SIS mixers,” IEEE Trans. Appl. Supercond., vol. 11, no. 3, pp. 653–656, Mar. 2001. [11] B. D. Jackson, G. de Lange, T. Zijlstra, M. Kroug, T. M. Klapwijk, and J. A. Stern, “Niobium titanium nitride based superconductor-insulatorsuperconductor mixers for low-noise THz receivers,” J. Appl. Phys., vol. 97, no. 11, p. 113 904 1–8, Jun. 2005. [12] G. de Lange, B. Jackson, T. Zijlstra, M. Kroug, and T. M. Klapwijk, “Development of the band 3 and 4 mixer units for HIFI,” in Millimeter and Submillimeter Detectors for Astronomy, Proc. of the SPIE, J. Zmuidzinas, W. S. Holland, and S. Withington, Eds. Bellingham, WA: SPIE, 2004, vol. 5498, pp. 268–277. [13] J. W. Kooi, private communication, 2000–2003. [14] B. D. Jackson, G. de Lange, W. M. Laauwen, L. de Jong, T. Zijlstra, N. N. Iosad, and T. M. Klapwijk, “THz SIS mixer development for HIFI,” in Proc. 13th Int. Symp. Space THz Technol., R. Blundell and E. Tong, Eds. Cambridge, MA, 2002, pp. 561–570. [15] H. van de Stadt, H. Scheaffer, and L. de Jong, private communication, 1996–1998.

557

[16] A. M. Baryshev, H. van de Stadt, H. Schaeffer, R. Hesper, T. Zijlstra, M. Zuiddam, W. Wild, and L. de Jong, “Development of a 0.6 THz SIS receiver for ALMA,” in Proc. 12th Int. Symp. Space THz Technol., I. Mehdi, Ed.. San Diego, CA, 2001, pp. 581–590. [17] R. L. Eisenhart and P. J. Khan, “Theoretical and experimental analysis of a waveguide mounting structure,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 8, pp. 706–719, Aug. 1971. [18] C.-Y. E. Tong, R. Blundell, S. Paine, D. C. Papa, J. Kawamura, X. Zhang, J. A. Stern, and H. G. LeDuc, “Design and characterization of a 250–350-GHz fixed-tuned superconductor-insulator-superconductor receiver,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 9, pp. 1548–1556, Sep. 1996. [19] J. A. Stern, B. Bumble, H. G. Leduc, J. W. Kooi, and J. Zmuidzinas, “Fabrication and dc-characterization of NbTiN based SIS mixers for use between 600 and 1200 GHz,” in Proc. 9th Int. Symp. Space THz Technol., R. McGrath, Ed.. Pasadena, CA, 1998, pp. 305–313. [20] H. B. Callen and T. A. Welton, “Irreversibility and generalized noise,” Phys. Rev., vol. 83, pp. 34–40, 1951. [21] T. O. Klaassen, M. C. Diez, J. H. Blok, C. Smorenburg, K. J. Wildeman, and G. Jakob, “Optical characterization of absorbing coatings for submillimeter radiation,” in Proc. 12th Int. Symp. Space THz Technol., I. Mehdi, Ed., San Diego, CA, 2001, pp. 400–409. [22] N. D. Whyborn, private communication, Jul. 2005. [23] I. Lopez-Fernandez, J. D. G. Puyol, A. B. Cancio, and F. Colomer, “New trends in cryogenic HEMT amplifiers for radio astronomy,” presented at the Int. Science and Technology Meeting on the Square Kilometer Array, Berkeley, CA, Jul. 9–13, 2001. [24] J. R. Tucker and M. J. Feldman, “Quantum detection at millimeter wavelengths,” Rev. Mod. Phys., vol. 57, pp. 1055–1113, Oct. 1985. [25] J. Zmuidzinas, H. G. Leduc, J. A. Stern, and S. R. Cypher, “Two-junction tuning circuits for submillimeter SIS mixers,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 4, pp. 698–706, Apr. 1994. [26] T. Noguchi, S. C. Shi, and J. Inatani, “Parallel connected twin SIS junctions for millimeter and submillimeter-wave mixers—Analysis and experimental verification,” IEICE Trans. Elect., vol. E78C, pp. 481–489, May 1995. [27] P. Dieleman, H. G. Bukkems, T. M. Klapwijk, M. Schicke, and K. H. Gundlach, “Observation of Andreev reflection enhanced shot noise,” Phys. Rev. Lett., vol. 79, pp. 3486–3489, Nov. 1997. [28] P. Dieleman and T. M. Klapwijk, “Shot noise beyond the Tucker theory in niobium tunnel junction mixers,” Appl. Phys. Lett., vol. 72, pp. 1653–1655, Mar. 1998. [29] R. Teipen, M. Justen, T. Tils, S. Glenz, C. E. Honingh, K. Jacobs, B. D. Jackson, T. Zijlstra, and M. Kroug, “Influence of junction-quality and current density on HIFI band 2 mixer performance,” in Proc. 14th Int. Symp. Space THz Technol., C. Walker and J. Payne, Eds., Tucson, AZ, 2003, pp. 55–62.

Brian D. Jackson received the B.A.Sc. degree in engineering physics from the University of British Columbia, Vancouver, BC, Canada, in 1995, the M.S. degree in electrical and computer engineering from the University of Toronto, Toronto, ON, Canada, in 1997, and the Ph.D. degree in applied physics from the Delft University of Technology, Delft, The Netherlands, in 2005. He was a Research Assistant with the National Research Council of Canada, Ottawa, ON, Canada, in 1995, and with the University of Toronto from 1995 to 1997. From 1997 to 1999, he was a Research Engineer with the University of Groningen, Groningen, The Netherlands. Since 1999, he has been an Instrument Scientist with the SRON Netherlands Institute for Space Research, Groningen, The Netherlands. His main research activities are in the areas of SIS junction and mixer development for radio astronomy and system engineering for heterodyne instruments for space- and ground-based telescopes. He has played a role in SIS mixer and/or receiver development for the James Clerk Maxwell Telescope, the Heterodyne Instrument for the Far-Infrared (HIFI) on the European Space Agency’s Herschel Space Observatory, and for the Atacama Large Millimeter Array.

558

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Gert de Lange received the M.S. and Ph.D. degree from the University of Groningen, Groningen, The Netherlands, in 1988 and 1994, respectively, both in applied physics. From 1994 to 1998, he was a Research Scientist with the Massachussetts Institute of Technology, Cambridge, working on the development of superconducting micromachined heterodyne detector arrays. Since 1998, he has been an Instrument Scientist with the SRON Netherlands Institute for Space Research, Groningen, The Netherlands. His main research activities are in the areas superconducting detector development for low-noise heterodyne receivers for space- or ground-based and airborne submillimeter astronomical instrumentation and the development of space qualified mixer units for the Heterodyne Instrument for the Far-Infrared (HIFI) on the European Space Agency’s Herschel Space Observatory.

Tony Zijlstra, photograph and biography not available at the time of publication.

Matthias Kroug, photograph and biography not available at the time of publication.

Jacob W. Kooi, photograph and biography not available at the time of publication.

Jeffrey A. Stern received the B.S. degree in physics from Rensselaer Polytechnic Institute, Troy, NY, in 1983, and the Ph.D. degree in applied physics from the California Institute of Technology, Pasadena, in 1991. Since receiving his doctorate, he has been with the Jet Propulsion Laboratory, Pasadena, most recently as a Senior Member of the Technical Staff. His work has focused on a number of superconducting sensors including Nb NbTiN and NbN SIS mixers, NbN and NbTiN phononcooled, hot-electron mixers, and, more recently, NbN single0photon detectors. He was in charge of fabricating, space qualifying, and delivering mixer chips for band 5 of the HIFI instrument on Hershel. He has also been involved in delivering SIS mixer chips to the Caltech Millimeter Array and the Harvard Smithsonian Submillimeter Array. He has also delivered 1.4 THz NbTiN HEB mixers for the Harvard Smithsonian Receiver Lab Telescope in Chile.

Teun M. Klapwijk received the Ph.D. degree in applied physics from the Delft University of Technology, Delft, The Netherlands, in 1977. His doctoral degree was entitled “Superconducting Microbridges and Radiation Stimulated Superconductivity.” After receiving the doctorate degree, he continued his research with Delft University as an Assistant/Associate Professor, interrupted by periods as a Research Fellow with Harvard University (1979–1980) and as a Summer-Faculty member with the IBM T. J. Watson Research Laboratory, Yorktown Heights, NY (1983). In 1985, he became a Full Professor with the University of Groningen, where he was involved with silicon MOSFETs, superconductor/semiconductor hybrids, and began his long-term collaboration with the SRON Netherlands Institute of Space Research on heterodyne detection with superconducting tunnel junctions and hot-electron bolometers. This research has found its application at the James Clerk Maxwell Telescope, for the Herschel Space Telescope and the Atacama Large Millimeter Array. In 1999, he returned to Delft University, transferring a portion of his ongoing research program and moving into new areas such a superconducting/ferromagnetic hybrids. He is currently a Professor of applied physics, specializing in the field of nanoelectronics, with the Faculty of Applied Sciences, Kavli Institute of Nanoscience, Delft University of Technology, Delft, The Netherlands. He has authored or coauthored over 250 refereed scientific publications. Prof. Klapwijk has been an elected Fellow of the American Physical Society since 2001.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

559

A High-Temperature Superconducting Bandpass Filter With Microstrip Quarter-Wavelength Spiral Resonators Guoyong Zhang, Michael J. Lancaster, Senior Member, IEEE, and Frederick Huang

Abstract—A high-temperature superconducting bandpass filter at 408 MHz using microstrip quarter-wavelength spiral resonators is demonstrated. The quarter-wavelength spiral resonators were shorted to ground, as in a combline filter, via a grounding strip that is attached to the box wall by a number of aluminum bonding wires. The introduction of the normal metal wires does not introduce a measurable attenuation. The filter achieves a symmetrical quasi-elliptic characteristic by using two cross-coupling links. The experimental results of the filter are in very good agreement with the simulated ones. Index Terms—Bandpass filter, combline, microstrip, quarterwavelength, quasi-elliptic, spiral, superconducting.

I. INTRODUCTION

T

HE JODRELL Bank Observatory (JBO), Manchester, U.K., is a radio astronomy observatory operated by the University of Manchester, Manchester, U.K., carrying out world-class research into a wide range of astrophysical areas, including pulsars, the interstellar medium, circumstellar masers, active galactic nuclei, gravitational lensing, and the cosmic microwave background. However, proliferating traffic from mobile communications [global system for mobile communications (GSM) and third generation (3G)], global positioning systems (GPSs), digital audio broadcasting (DAB), and closed-circuit television (CCTV) is seriously contaminating the radio astronomical observations. A snapshot of the electromagnetic (EM) environment surrounding the 76-m Lovell Telescope at JBO, from 0 to 2 GHz, is shown in Fig. 1. The power levels of interference are billions of times stronger than the studied radio-astronomy signals. The protected quiet bands are only a few megahertz wide and are increasingly encroached on by interference. Efficient filtering is required to help alleviate this problem. In order to reduce the noise in the semiconductor front-end amplifiers, every radio telescope receiver system is cooled down to a temperature of around 20 K. Under the cryogenic temperature environment, high-temperature superconducting (HTS) filters provide an effective solution to keep the strong interfering signals out of the passband and ensure negligible added noise. Some of the filters [1]–[5] have been tested in telescopes and

Manuscript received February 15, 2005; revised September 7, 2005. This work was supported by the U.K. Engineering and Physical Sciences Research Council. The authors are with the Department of Electronics and Electrical and Computer Engineering, University of Birmingham, Edgbaston, Birmingham B15 2TT, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862711

Fig. 1. Spectral scan of the radio environment at JBO, measured from one of the towers (Green tower) on a Lovell telescope in 2003.

have achieved great success. For applications near 408 MHz (as magnified in Fig. 1), in the ultrahigh-frequency (UHF) band, HTS microstrip bandpass filters with high selectivity in a physical size are required in the receivers. However, the maximum physical size of the HTS filters is limited by wafer size, cryostat space, and the maximum rate of heat extraction by the cryostat. Miniaturization of microstrip filters may be achieved by using high dielectric-constant substrates or lumped elements, but very often for specified substrates, a change in the geometry of filters is required [6]. Numerous new filter configurations become possible [7]–[16]. One of the effective methods of miniaturizing microwave filters is to use quarter-wavelength resonators to replace traditional half-wavelength ones [11]–[16]. In planar structures, the combline filter is one of the most significant types of parallel coupled-line quarter-wavelength filters. The combline filter consists of an array of equal-length parallel coupled lines, each of which is short circuited to ground at the same end with capacitive loading on the opposite ends [17]. As a result, the first spurious passband of the combline filters is normally located at approximately three times the fundamental center frequency, while the first spurious passband of the parallel-coupled filter with half-wavelength resonators is centered at twice the fundamental. Although the combline filters have asymmetrical responses due to the parasitic cross coupling between nonadjacent capacitive ends, this parasitic effect can be overcome by bending the microstrip comblines

0018-9480/$20.00 © 2006 IEEE

560

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 2. Microstrip quarter-wavelength spiral resonator viewed three dimensionally (not to scale).

into certain structures to confine most of the fringe fields, especially the electric field into the substrate [18]. Spiral resonators have been known to have many advantages, including highly efficient utilization of limited area, high quality factor, good power handling, and are insensitive to fabrication procedures because of the electric and magnetic fields all the way down to the ground plane [5], [19]. Obviously, a quarter-wavelength spiral filter can be even smaller in size than a half-wavelength spiral filter. It should be pointed out that the grounding techniques of quarter-wavelength filters in the process of package are crucial and the filter response depends upon getting the correct method. Via-holes, which connect the strips to the ground plane, are used in most of the conventional quarter-wavelength parallel coupled-line filters, although the uncertain inductance of via-holes may degrade the performance of the filter. Obviously, drilling holes through the substrate (MgO, LaAlO3, or sapphire, which are all brittle materials) is not easy. Compared with the microstrip, a coplanar waveguide (CPW) seems more suitable for quarter-wavelength resonator filters because, with the ground planes on the same substrate surface as the signal line, grounding of CPW circuits requires no via-holes [20]–[22]. The CPW filter reported in [23] can also achieve very good performance with a quasi-elliptic response with no bond-wire bridges. However, CPW circuits are not promising for higher order filters because of the limited value and the more complicated slot modes. In this paper, an eight-pole quasi-elliptic HTS bandpass filter is presented with a center frequency of 408 MHz, a bandwidth of 8.5 MHz, and a midband insertion loss of 0.26 dB, including a ripple of 0.25 dB. The main part of each resonator is shown in Fig. 2 and consists of an HTS single spiral, which is open at one end and grounded at the other by an HTS ground pad. The insertion loss accordingly indicates that wedge bonding from the grounding pad to the box wall using approximately 80 aluminum bonding wires (25- m thick) introduced only minimal losses. The measured results of the filter are in very good agreement with the simulated performance. II. RESONATOR DESIGN As discussed, the space provided by the cryogenic chamber in the receiver at UHF band is very limited, and is approximately 100 mm 100 mm 20 mm maximum for one filter

including the packaging. In the microstrip on 0.508-mm-thick MgO at 408 MHz, the wavelength is approximately 290 mm. It is evident that it would not be easily possible to fit a filter using straight half- or quarter-wavelength resonators in such a package. In the microstrip, a typical quarter-wavelength resonator consists of an open-ended microstrip line of electrical length 90 at the midband frequency and a shorted end. The larger the open-ended capacitance, the shorter the resonator lines, which results in a more compact filter structure with a wider stopband between the first passband (desired) and the second passband (unwanted). However, shorter lengths of the resonator line results in decrease of the unloaded quality factor of the quarter-wavelength resonator [24]. Single spiral resonators offer an ultra-compact size and a high quality factor. This HTS microstrip quarter-wavelength spiral resonator, shorted by an HTS grounding pad with the width and depth , is designed on a 0.508-mm-thick MgO substrate, as shown in Fig. 2. The quarter-wavelength spiral resonator centered at 408 MHz occupies an area of 2.95 mm 3.70 mm with a linewidth of 0.05 mm and a gap of 0.05 mm between tracks, excluding the big grounding pad. The grounding pad will be bonded to the metal box wall by a number of bonding wires in the package. This was an unusual step to take because it was not known to what extent the resonator would be degraded by the wire bonding and the introduction of the big HTS grounding pad at the high-current point. It should be noted that the structure of the grounding pad affects resonant frequencies. Concerning the quarter-wavelength resonator, the largest currents are near shorted end, where parasitic inductances are inevitably generated depending on the parameters or . Therefore, how the structure of the grounding pad affects resonant frequencies should be carefully investigated before continuing to design a filter. The resonator was simulated by the planar EM simulator Sonnet EM 9.52 [25]. Weak external coupling from the feed line to the resonator was realized by a coupling gap of 0.4 mm and kept consistent in the case of the variable and . The resonant frequency versus the depth of the ground pad when the width mm and mm is shown in Fig. 3. The resonant frequency decreases monotonically as becomes larger. The resonant frequency is dependent on the parameter of the width when the depth mm and mm, as also shown in Fig. 3. When is wider than 5.0 mm and is a constant 4.0 mm, the resonant frequency converges at a constant value compared to the trend when is narrower than 5.0 mm. The result indicates that the width of the grounding pad has no significant influence on the resonant frequency when it is wider than a specific value. III. FILTER DESIGN For the radio-astronomy applications at the UHF band, the specified bandpass filter is centered at 408 MHz with a passband of 2.1%, or 8.5 MHz within a maximum space of 100 mm 100 mm 20 mm for a single filter including the box. The chosen layout has some similarities with a microstrip combline bandpass filter with an array of coupled quarter-wavelength resonators. Each resonator is grounded at one end.

ZHANG et al.: HTS BANDPASS FILTER WITH MICROSTRIP QUARTER-WAVELENGTH SPIRAL RESONATORS

561

TABLE I NORMALIZED COUPLING MATRIX OF THE EIGHT-POLE FILTER WITH TWO CROSS COUPLINGS

Fig. 3. Resonant frequency as a function of the depth d when the width w = 5:0 mm and 10:0 mm and the width w when the depth d = 2:0 mm and 4:0 mm.

Fig. 5. Electric cross-coupling coefficient and center frequency against the overlap length r of the transmission strip ends coupled with resonators by a fixed gap of 0.05 mm. Two resonators were separated with a distance of Sg (other resonators were removed).

Fig. 4. Layout of the eight-pole microstrip HTS bandpass filter on 0.508-mm-thick MgO. The occupied area on the substrate is 36 mm 12 mm including the margin of 2.5 mm to the box wall.

2

The filter shown in Fig. 4 was designed on 0.508-mm-thick MgO (relative dielectric constant ) in a symmetrical geometry of eight quarter-wavelength resonators, which were realized by HTS microstrip spirals shorted to an HTS grounding pad (the big black pad in the layout). The HTS grounding pad contacted the box wall with no gap in the simulation initially and then was bonded to the metal box wall by a number of bonding wires in the package. The grounding pad has a depth of 4.0 mm and a width of 36.0 mm that make sure that they have only a small influence on the resonant frequencies, as discussed above. The filter can be designed by the general design procedures of coupled-line bandpass filters [26]. The layout of the filter is shown in Fig. 4. The occupied area on the MgO is only 36 mm 12 mm including the margin of 2.5 mm to the box wall. The centre frequency is 408 MHz and the bandwidth is 8.5 MHz, or 2.1%. The coupling matrix chosen for the filter is listed in Table I. The input and output terminations have normalized external coupling of 0.96. The signs of the main coupling do not affect the filter performance, except that the coupling between resonators 4 and 5 has to be opposite to the cross coupling between

resonators 3 and 6 and between resonators 2 and 7 to provide the quasi-elliptic characteristics. The determination of the sign of the coupling coefficient is dependent on the physical coupling structure of the coupled resonators. Both positive and negative couplings are achieved as described in [5]. Due to the limitation of the structure, only negative cross couplings can be applied in the layout. Generally, one negative cross coupling using a transmission line between resonators 3 and 6 is sufficient for two symmetrical transmission zeros in the stopband. However, near the shorted end, the electric field is too weak to produce enough electric coupling to achieve steep slope in the characteristics of the quasi-elliptic filter. One more negative cross coupling between resonators 2 and 7 was added in the layout, although theoretically a positive cross coupling can generate another two symmetrical transmission zeros. The cross-coupling structure, consisting of a transmission strip capacitively coupled to the resonators, is also illustrated in Fig. 4. The smaller the gap and the longer the overlap length , the stronger the cross coupling. Fig. 5 shows the cross-coupling coefficient against with a fixed gap of 0.05 mm. It is also interesting to find out that the center frequency will decrease slightly when the transmission strip end is increased. Hence, the structures of these resonators involved need to be adjusted accordingly. External coupling is controlled by the tap position, as shown in the enlargement in Fig. 4. The closer the tapped line is to the shorted end of the resonator, the weaker the external coupling. A drawback of some other combline filters lies in the asymmetry of the filter response due to the strong parasitic cross coupling between nonadjacent capacitive ends. They can, however,

562

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 6. Measured (thick solid line: S (dB), thick dotted line: S (dB)) and simulated (thin solid line: S (dB), thin dotted line: S (dB)) performance of the eight-pole HTS microstrip bandpass filter with two symmetric transmission zeros.

Fig. 7.

Measured and simulated S (dB) over a wide frequency range.

be ignored for the filter described here and this insures the symmetry of the filter response. For example, the simulated coupling coefficient between resonators 1 and 3, with other resonators removed, is , as compared to for the coupling between resonators 1 and 2. is approximately 1/50 of . Similarly, the coupling coefficient between resonators 1 and 4 with other resonators removed is , which is only approximately 1/300 of . Thus, these cross coupling appears sufficiently small and can be neglected in the filter design. IV. EXPERIMENTAL RESULTS The HTS bandpass filter using microstrip quarter-wavelength spiral resonators was fabricated using double-sided YBCO thin film deposited on an 0.508-mm-thick MgO substrate. A 1.0-mm-wide and 0.1- m-thick gold strip was deposited along the edge of the ground pad so as to allow further wedge bonding. The circuit, with an overall dimension of 36 mm

12 mm, was mounted in a gold-plated titanium box. More than 80 aluminum bonding wires (25- m thick) in total were then bonded to connect the grounding pad to the gold-plated box wall. To ensure the precise measurement of the filter characteristics, a 50- through line made of YBCO thin film on an MgO substrate connected to kelvin connectors was cooled down to low temperature (20 K) to perform low-temperature calibration. of the filter characteristics was then measured by just replacing the through line with the filter. calibration can be made at room temperature, assuming that the reflection of the semirigid cables in the cryogenic chamber causes only a small difference. The filter characteristics, measured at 20 K, are shown in Figs. 6 and 7 where the simulation results are also shown. The measured 3-dB bandwidth is 8.49 MHz, while the 3-dB bandwidth simulated was 8.50 MHz. The measured center frequency is 408 MHz. There is also no measurable frequency offset from the specification. The two symmetric transmission zeros at 401.97 and 414.42 MHz also match the simulated ones well. The measured minimum insertion loss is 0.01 dB, indicating that the aluminum bonding introduced virtually no loss. This is mainly because the high current at the shorted end of each spiral resonators has been spread out to a low level when reaching the other end of the big HTS grounding pad. The average unloaded quality factor of each resonator, estimated from the insertion loss, exceeds 300 000, although this value is obviously uncertain because of the difficulty in measuring such small values of loss. The measured midband ripple is 0.25 dB and minimum return loss is 15 dB. The measured out-of-band rejection is better than 90 dB, although the ultimate rejection level can exceed 110 dB (indicated by simulation). Its real value could not be measured because of the network analyzer’s limited dynamic range. The shape of the transition region and sidelobes also agreed well with the simulated one. As demonstrated in Fig. 7, the first spurious passband of the filter appears at 1215 MHz, which is about three times the fundamental center frequency. V. CONCLUSION A compact HTS quarter-wavelength quasi-combline filter at 408 MHz using microstrip spiral resonators has been demonstrated in this paper. The quarter-wavelength spiral resonators were shorted to ground by a grounding pad bonded to the box wall by a number of aluminum wires. This introduction of grounding technique provides no measurable degradation of filter performance. How the geometry of the grounding pad affects resonant frequencies has also been carefully investigated. The structures of the microstrip spiral filter can give a very compact size, and achieve extraordinarily symmetrical filter performance compared with general combline filters. The measured results agree very well with the simulated ones. ACKNOWLEDGMENT The authors wish to thank D. Holdom, for fabricating the HTS circuit, C. Ansell, for his technical support, and J. Zhou, Dr. W. Hu, Dr. S. T. Su, and Y. Wang, all formerly with the

ZHANG et al.: HTS BANDPASS FILTER WITH MICROSTRIP QUARTER-WAVELENGTH SPIRAL RESONATORS

Department of Electronics and Electrical and Computer Engineering, University of Birmingham, Edgbaston, Birmingham, U.K., for helpful suggestions. REFERENCES [1] S. Wallage, J. L. Tauritz, G. H. Tan, P. Hadley, and J. E. Mooij, “High T c superconducting CPW bandstop filters for radio astronomy front ends,” IEEE Trans. Appl. Supercond., vol. 7, no. 2, pp. 3489–3491, Jun. 1997. [2] Y. Li, M. J. Lancaster, F. Huang, and N. Roddis, “Superconducting microstrip wide band filter for radio astronomy,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 551–554. [3] J. Zhou, M. J. Lancaster, F. Huang, N. Roddis, and D. Glynn, “HTS narrow band filters at UHF band for radio astronomy applications,” IEEE Trans. Appl. Supercond., vol. 15, no. 2, pp. 1004–1007, Jun. 2005. [4] G. Zhang, M. J. Lancaster, F. Huang, M. Zhu, and B. Cao, “Accurate design of high T c superconducting microstrip filter at UHF band for radio astronomy front end,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1115–1118. [5] G. Zhang, F. Huang, and M. J. Lancaster, “Superconducting spiral filters with quasi-elliptic characteristic for radio astronomy,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 947–951, Mar. 2005. [6] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [7] H. T. Su, F. Huang, and M. J. Lancaster, “Highly miniature HTS microwave filters,” IEEE Trans. Appl. Supercond., vol. 11, no. 1, pp. 349–352, Mar. 2001. [8] M. J. Lancaster, F. Huang, A. Porch, B. Avenhaus, J. S. Hong, and D. Hung, “Miniature superconducting filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 7, pp. 1339–1346, Jul. 1996. [9] G. L. Matthaei, “Narrow-band, fixed-tuned, and tunable bandpass filters with zig–zag hairpin-comb resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1214–1219, Apr. 2003. [10] G. Tsuzuki, S. Ye, and S. Berkowitz, “Ultra selective HTS bandpass filter for 3G wireless application,” IEEE Trans. Appl. Supercond., vol. 13, no. 2, pp. 261–264, Jun. 2003. [11] B. C. Deloach, “Direct- and quarter-wave-coupled microwave bandpass filters with adjustable transmission characteristics and fixed centre frequencies,” IEEE Trans. Microw. Theory Tech., vol. MTT-12, no. 1, pp. 73–77, Jan. 1964. [12] J. R. Lee, J. H. Cho, and S. W. Yun, “New compact bandpass filter using microstrip =4 resonators with open stub inverters,” IEEE Microw. Guided Wave Lett., vol. 10, no. 12, pp. 526–527, Dec. 2000. [13] M. Sagawa, M. Makimoto, and S. Yamashita, “A design method of bandpass filters using dielectric-filled coaxial resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 2, pp. 152–157, Feb. 1985. [14] C. Nguyen, “Development of new miniaturized bandpass filter having ultrawide bandwidth,” Electron. Lett., vol. 30, pp. 767–768, May 1994. [15] K. D. Mossman, G. L. Matthaei, and G. L. Hey-Shipton, “A narrow-band HTS bandpass filter at 18.5 MHz,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2000, pp. 653–656. [16] C. C. Chen, Y. R. Chen, and C. Y. Chang, “Miniaturized microstrip crosscoupled filters using quarter-wave or quasi-quarter-wave resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 120–131, Jan. 2003. [17] R. Levy and J. D. Rhodes, “A comb-line elliptic filter,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 1, pp. 26–29, Jan. 1971. [18] G. L. Matthaei, N. O. Fenzi, R. J. Forse, and S. M. Rohlfing, “Hairpincomb filters for HTS and other narrow-band applications,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1226–1231, Aug. 1997. [19] F. Huang, “Ultra-compact superconducting narrow-band filters using single- and twin-spiral resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 487–491, Feb. 2003. [20] Z. Ma, H. Suzuki, Y. Kobayashi, K. Satoh, S. Narahashi, and T. Nojima, “A low-loss 5 GHz bandpass filter using HTS coplanar waveguide quarter-wavelength resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 1967–1970. [21] H. Kanaya, J. Fujiyama, R. Oba, and K. Yoshida, “Design method of miniaturized HTS coplanar waveguide bandpass filters using cross coupling,” IEEE Trans. Appl. Supercond., vol. 13, no. 2, pp. 265–268, Jun. 2003. [22] E. Ruis, G. Prigent, H. Happy, G. Dambrine, S. Boret, and A. Cappy, “Wide- and narrow-band bandpass coplanar filters in the w frequency band,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 784–790, Mar. 2003.

563

[23] J. Zhou, M. J. Lancaster, and F. Huang, “Coplanar quarter-wavelength quasi-elliptic filters without bond-wire bridges,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1150–1156, Apr. 2004. [24] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [25] EM User’s Manual, Version 9.52, Sonnet Software Inc., North Syracuse, NY, 2004. [26] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters Impedance-Matching Networks and Coupling Structures. Norwood, MA: Artech House, 1980.

Guoyong Zhang was born in Tianjin, China, on November 26, 1976. He received the B.Sc. degree in physics from Nankai University, Tianjin, China, in 1999, the M.Sc. degree in physics from Tsinghua University, Beijing, China, in 2002, and is currently working toward the Ph.D. degree (part time) at the University of Birmingham, Edgbaston, Birmingham, U.K. From 1999 to 2002, he was engaged in research on HTS filters for mobile communication base-station systems as a Research Assistant with Tsinghua University. Since July 2002, he has been a Research Fellow with the School of Electronic and Electrical Engineering, University of Birmingham. His current interests include HTS RF and microwave bandpass and bandstop filters and couplers from the UHF band to C -band for radio astronomy observatory applications and mobile communication applications, cross coupling, ultra-narrow-band, and ultra-wide-band.

Michael J. Lancaster (M’91–SM’04) received the Physics and Ph.D. degrees from Bath University, Bath, U.K., in 1980 and 1984, respectively. His doctoral research concerned nonlinear underwater acoustics. Upon leaving Bath University, he joined the Surface Acoustic Wave (SAW) Group, Department of Engineering Science, Oxford University, as a Research Fellow. His research concerned the design of new novel SAW devices including filters and filter banks. These devices worked in the 10-MHz–1-GHz frequency range. In 1987, he became a Lecturer of EM theory and microwave engineering with the School of Electronic and Electrical Engineering, University of Birmingham, Edgbaston, Birmingham, U.K. Shortly upon joining the School of Engineering, he began the study of the science and applications of high-temperature superconductors, involved mainly with microwave frequencies. He currently heads the Emerging Device Technology Research Centre. His current personal research interests include microwave filters and antennas, as well as the high-frequency properties and applications of a number of novel and diverse materials.

Frederick Huang was born in Singapore, in 1955. He received the B.A. degree in engineering science and D.Phil. degree from the University of Oxford, Oxford, U.K., in 1980 and 1984, respectively. His doctoral research concerned surface acoustic wave (SAW) devices, mainly dot-array pulse compressors. He spent two years with Racal Research Ltd., where he was involved with the processing of speech signals, including analog voice scramblers. At the end of 1985, he joined Thorn EMI, and was seconded to Oxford University, where he studied the use of Langmuir–Blodgett films in SAW devices. Since 1989, he has been a Lecturer with the University of Birmingham, Edgbaston, Birmingham, U.K., where he is currently with the Electronic, Electrical, and Computer Engineering Department, School of Engineering. He has been involved with superconducting delay-line filters including linear phase and chirp devices. His minor interests are microstrip and waveguide discontinuities. His more recent research areas include superconducting switched filters, slow-wave structures, quasi-lumped element filters, and spiral bandpass filters.

564

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Modal Analysis of Two-Dimensional Photonic-Crystal Waveguides Formed by Rectangular Cylinders Using an Improved Fourier Series Method Hongting Jia, Member, IEEE, and Kiyotoshi Yasumoto, Senior Member, IEEE

Abstract—A full-wave method for modal analysis of two-dimensional photonic-crystal waveguides consisting of layered arrays of rectangular cylinders is presented. The mode propagation constants and the mode field profiles can be derived by an improved Fourier series method, which is of very simple form, and is always suitable for all the arrays of arbitrary profiles, any depth, and any permittivity. The dispersion equation is expressed by using the generalized reflection matrices for space harmonic waves. The validity of the proposed method has been confirmed by comparison with other methods. Numerical examples of the dispersion characteristics and field distributions are presented for the lowest TE and TM modes of several waveguides in different structures. This method can analyze not only the propagation properties of waveguides, but also their loss natures. Index Terms—Fourier series technique, generalized scattering matrix, perfect bandgap, photonic crystals, space harmonic waves, waveguides.

I. INTRODUCTION

P

HOTONIC crystals [1] are discrete periodic structures composed of dielectric or metallic objects. These artificial materials have received a growing attention because of their novel scientific and engineering applications such as reflector of lasers [2], [3], splitters [4]–[6], antennas [7], [8], optical fibers [9], and ultra-fast optical switches [10]. Photonic-crystal waveguides can be exploited in optical integrated circuits [11]–[15]. A photonic-crystal waveguide is realized by introducing a linear defect in a bulk photonic crystal. The plane-wave expansion method is a very popular method to analyze photonic-crystal waveguides. However, a very large number of plane waves are needed to get an accurate solution. The finite-difference time-domain technique [5], beam-propagation method [16], and impedance-matching method [17] have been also applied to this problem. A three-dimensional waveguide has been discussed by Sondergaard et al. using plane-wave-expansion theory and a variational principle [18].

Manuscript received January 20, 2005; revised May 30, 2005. This work was supported in part by the 21st Century Centers of Excellence Program “Reconstruction of Social Infrastructure Related to Information Science and Electrical Engineering” under Grant 14C00001. The authors are with the Department of Computer Science and Communication Engineering, Kyushu University 36, Fukuoka 812-8581, Japan. Digital Object Identifier 10.1109/TMTT.2005.862712

Fig. 1.

Geometry of a two-dimensional photonic-crystal waveguide.

Although these methods can be widely applied to various configurations of photonic-crystal waveguides, the accuracy of solutions and computation cost need more challenging efforts. Recently, Yasumoto et al. has proposed a rigorous semianalytical method [15] for analyzing a two-dimensional waveguide bounded by photonic crystals consisting of layered periodic arrays of circular cylinders. This is a very effective method. The convergent speed is very fast and the calculated precision is very high. However, this method cannot analyze loss properties of photonic-crystal waveguides. In this paper, we shall propose a full-wave approach to modal analysis of a two-dimensional photonic-crystal waveguide formed by rectangular cylinders. The analysis is based on -matrix solution, which is calculated by an improved Fourier series method [19]. This method can analyze not only the propagating properties of waveguides, but also their loss features. The dispersion equations for TE and TM guided modes are obtained in compact form in terms of the generalized reflection matrices. Several numerical examples of dispersion characteristics and field distributions are discussed.

0018-9480/$20.00 © 2006 IEEE

JIA AND YASUMOTO: MODAL ANALYSIS OF TWO-DIMENSIONAL PHOTONIC-CRYSTAL WAVEGUIDES

565

TABLE I CONVERGENCE BEHAVIOR OF A NORMALIZED PROPAGATION CONSTANT VERSUS THE EXPANSION NUMBER

II. FORMULATION The side view of a two-dimensional waveguide formed by rectangular cylinders is shown in Fig. 1. The cylindrical elements should be the same along each layer of the arrays, but those in different layers do not need to be necessarily identical in material properties and dimensions. The background medium and is a homogeneous dielectric with relative permittivity permeability , and the electric parameters of the rectangular cylinders are assumed to be and . The photonic crystals of a pillar type is obtained by taking and , whereas the crystals become an air-hole type when and . The guided waves are assumed to be uniform in the -direction and to be varying in the form in the -direction, where is a complex propagation constant. Since there is a common period in the -direction, the electromagnetic properties may be characterized by reflection and transmission matrices based on space harmonic waves with the -dependence as , where and is an integer number. Assuming that and are the reflection matrices viewed form the guiding region to the upper and the lower regions at , respectively, and that the column vector to be an amplitude vector consisting of up-going space harmonics, we have the following relation equation: (1) where (2) (3) and

is the Kronecker’s delta. The reflection matrices and may be derived using an improved Fourier series method [19]; for the detail derivation, please refer to the Appendix. In order to let (1) have a nontrivial solution, the following equation must be satisfied:

M

can be calculated by substituting the root of (4) vector into (1). The other amplitude vectors may be derived by setting as follows: (5) Assuming to be the reflection and transmission matrices of the rectangular grating located in the region, the amplitude vectors may be calculated from the following recursion process by starting at :

for

(6)

for

(7)

However, the accuracy of this formula is not high in finite digit–number calculation since the recursion formula easily accumulate the computing error in each step. Let us note the fact that the solution must be a root of the following equation, when electromagnetic fields do exist in the th region: (8) are the reflection matrices to characterize the where upper and lower regions viewing from the th region, respectively. Solving this equation, a normalized amplitude vector may be obtained. The other vectors may also be derived by applying similar formulas of (5). The scalar complex numbers may uniquely be determined by starting at with as follows:

for

(9)

for

(10)

(4) are the function of . Thus, where the matrices the propagation constant of the th guided mode may be obtained. In order to calculate the electromagnetic-field distribution, all the amplitude vectors must be calculated. For the zeroth region, the normalized mode amplitude

Comparing (9) and (10) with (6) and (7), it is obvious that the computing precisions of (9) and (10) are higher than those of (6) and (7) because the unknown in (9) and (10) is a scalar

566

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 2. Distribution of E and H in the cross section of a two-dimensional photonic-crystal waveguides consisting of square dielectric rods in regular triangle lattice. (a) E distribution. (b) H distribution.

number, whereas the unknown number.

in (6) and (7) is a vector

III. NUMERICAL RESULTS We first consider a photonic-crystal waveguide consisting of square silicon cylinders in a regular triangle lattice. The relative dielectric constant of silicon is considered to be . Table I shows the convergence behavior of a normalized propagation constant as function of the expansion number , where , , , , and , . It is found that the precision of computing may be achieved to five decimal places for both the TE and TM cases, when the expansion number is chosen to be 30. It is worth mentioning that the convergence of solutions and accuracy of computation in the proposed method is not seriously affected by the field singularities at the dielectric sharp corner without considering the edge conditions. This feature of the method has been discussed [19] in detail when the rectangular cylinders are made of metals. The distribution of and for TE and TM modes in the cross-sectional planes of and is plotted in Fig. 2. Fig. 3 shows a dispersion curves of the lowest TE and TM modes in a two-dimensional photonic-crystal waveguide consisting of square dielectric rods in a regular triangle lattice, where the values of dimension and electrical parameters are the same as those given in Table I. The solid and dotted lines denote the real and imaginary parts of the normalized propagation constants, respectively. We may find that these modes are located in the perfect bandgap ranges, which are (0.368 0.423) and (0.30 0.34) for TE and TM waves, respectively. Since the imaginary parts are not equal

Fig. 3. Dispersion curves of the lowest TE and TM modes in a two-dimensional photonic-crystal waveguide consisting of square dielectric rods in regular triangle lattice. (a) TE case. (b) TM case.

to zero in lower and higher frequency bands, the propagation of the guiding mode will be cut off at those frequency bands. The nature of cutoff modes in lower frequency band is very similar to the properties in rectangular metal waveguides, in which the propagation constants will also be pure imaginary numbers as well known. Next, we consider a photonic-crystal waveguide consisting of square rods in square lattice. Fig. 4 shows the dispersion curves of the lowest TE and TM modes in a two-dimensional photonic-crystal waveguide of square rods with square lattice, where , , , , and . The solid and dotted lines denote the real and imaginary parts of the normalized propagation constants . It is found that there are two and three modes for TE and TM polarized waves, respectively. Figs. 5 and 6 show the plotted distributions of the - and -field at the cross-sectional planes of and for and . The three TM modes are all the even modes, whereas one is an even mode and another is an odd mode in the TE polarization case. The TE modes are located in the perfect bandgap range of (0.637 0.674), but only two TM modes of and are in the perfect bandgap range of (0.621 0.675). Although the TM mode is not located in a perfect bandgap range, its existence is true. The reason is that the electromagnetic-wave propagation of some plane waves with particular incident angles is also forbidden in this frequency band. Fig. 7 shows the power reflected efficiency of two plane waves with incident angle and , and the dispersion curve of the mode plotted as function of . It is found that the incident wave with is perfectly reflected around the range. In

JIA AND YASUMOTO: MODAL ANALYSIS OF TWO-DIMENSIONAL PHOTONIC-CRYSTAL WAVEGUIDES

Fig. 4. Dispersion curves of the lowest TE and TM modes in a two-dimensional photonic-crystal waveguide of square dielectric rods in square lattice. (a) TE case. (b) TM case.

this band, the value of , which denotes the angle of the propagation constant , is closed to 60 . Since the mode is located in a part bandgap range, it is not suitable as a standard guiding mode. However, it may be applied as a leaky antenna. As shown in the above paragraph, the computing accuracy of the current method is larger than five decimal places, when the expansion number is larger than 30. Hence, the results calculated by the current method may be regarded as an exact solution. Let us compare the current results with the solution calculated by a super cell method, which is a very popular method for analyzing photonic-crystal problems. Fig. 8 shows the comparison between two methods. The solid lines denote the current results, whereas the dotted lines are the solution calculated by a super cell method [20]. It is found that the solution by a super cell method is quite different to the current results. This means that the computing precisions of a super cell method are not very high. Let us note that the current method can calculate all the modes, even if they are not in a perfect bandgap range. This is one of the characteristics of the current method, which is different from other methods. Next we shall consider a waveguide consisting of lossy cylinders. Fig. 9 shows dispersion curves of the lowest two TE modes in a two-dimensional photonic waveguide with square rods in a square lattice, where .

567

Fig. 5. Distribution of E in the cross section of a two-dimensional photonic-crystal waveguide with square dielectric rods in square lattice. (a) Even mode. (b) odd mode.

The values of other parameters are the same as those given in Fig. 4. Comparing the results with Fig. 4(a), the values of the propagation constant are quite different from the lossless case around cutoff frequency bands. The boundary between the propagating and cutoff bands become indistinct if the cylinders have loss, but the cutoff boundary is very clear if the cylinders do not have loss. From the results, it is very easy to explain the phenomenon that the FDTD method cannot give a clear cutoff boundary, even if the background medium and all the dielectric cylinders are lossless media. We can also find that the loss of the even mode is much smaller than the odd mode’s in the propagating frequency band. Although the current method is directly used to waveguides consisting of cylinders with arbitrary cross section by using a staircase approximation, it costs more computer time. From the viewpoint of computational efficiency, it will be very convenience for analysis and design if the original cylinders with arbitrary cross section could be approximated by cylinders with rectangular cross section. Fig. 11 shows the comparisons of dispersion curves of the lowest even and odd TE modes with other methods in the two-parallel waveguides with square and circular rods, as shown in Fig. 10, where , , and . In Fig. 11(a), the lines indicate the results for square rods calculated by the current method, and the marks are the results for circular rods obtained by a semianalytical method [15], where the cross-sectional areas of square rods are the same

568

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 8. Comparison of dispersion curves between super cell method [20] and current method for the lowest TE and TM modes in a two-dimensional waveguide of square dielectric rods in square lattice. (a) TE case. (b) TM case.

H

Fig. 6. Distribution of in the cross section of a two-dimensional photonic-crystal waveguide with square dielectric rods in square lattice. (a) First even mode. (b) Second even mode. (c) Third even mode.

Fig. 7. Power reflected efficiency for two incident plan waves and dispersion . curve of mode

M

Fig. 9. Dispersion curves of two lowest TE modes in a two-dimensional waveguide of square lossy dielectric rods in square lattice.

as those of circular rods. It is obvious that they are in good agreement for the two different structures. In order to clearly show this, some data at the typical frequency points have been tabulated in Table II. The different values between two structures occur only at the thousandths and hundredths place for both even and odd modes, respectively, except for around cutoff frequency points. Fig. 11(b) shows a similar comparison with the FDTD method, where the FDTD results from the cited literature [21] are plotted with cross marks. It is found that there are also in good agreement between the two different structures. This agreement has confirmed the validity of the proposed method again, whereas little difference is caused by computing errors of the FDTD method. Comparing Fig. 11(a) and (b), it is easily found that the difference caused by the cross-sectional shape is quite less than computing errors of the FDTD technique [21].

JIA AND YASUMOTO: MODAL ANALYSIS OF TWO-DIMENSIONAL PHOTONIC-CRYSTAL WAVEGUIDES

569

method with an approximation of rectangular rods or other analytical methods. IV. CONCLUSION

Fig. 10. Coupled two-parallel waveguides consisting of square rods and circular rods.

We have described a full-wave method for analyzing the guiding properties of two-dimensional waveguides formed by rectangular cylinders. The analysis is based on an -matrix solution, which is calculated by an improved Fourier series method. This method can analyze not only the propagating properties of waveguides, but also their loss features. The validity has been confirmed by considerable numerical examples and comparisons with those obtained by other methods. The last example shows that the calculated solution of structures consisting of rectangular cylinders is also available to other waveguides consisting of cylinders of a different cross-sectional shape with the same cross-sectional area and in the same arrangement. APPENDIX ( is the layer number of The reflection matrix the -layered arrays in the upper region) may be obtained by successively concatenating the reflection and transmission matrices of each layer. Let and be the global reflection and transmission matrices of -layered arrays, where the superscripts are used in the same way as used in (17). When the th array is stacked with the separation distance above the th array, the global reflection and transmission matrices and for the -layered system are calculated using the following recursion formulas: (11) (12) (13) (14) where (15) for (16)

Fig. 11. Dispersion curves of the lowest even and odd TE modes in the two-parallel waveguides shown in Fig. 10. (a) Comparison with semianalytical method. (b) Comparison with FDTD method.

This means that the effect of the cross-sectional shape of cylinders to the properties of guiding modes is very little and is very limited. From the result, it follows that we may use a simple shape instead of the original one with the same cross-sectional area in order to analyze photonic-crystal waveguides. This also means that most of the waveguides consisting of dielectric cylinders with arbitrary cross section can be solved by the current

and The global reflection and transmission matrices for the -layered arrays are obtained from (11)–(14) through the times recursion process staring with and . The matrices and are the reflection and transmission matrices characterized by the th array, which may be derived using an improved Fourier-series method [19]. For example, a scattering matrix of a rectangular array, as shown in Fig. 12, may be described as follows:

(17)

570

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

COMPARISON

TABLE II NORMALIZED PROPAGATION CONSTANT ( h=2 ) OF TWO COUPLED PHOTONIC-CRYSTAL WAVEGUIDES OBTAINED FOR SQUARE AND CIRCULAR CYLINDERS WITH THE SAME CROSS-SECTIONAL AREA (r = 0:2h AND a = 0:2h  )

OF

p

Li’s Fourier factorization rule [22] is applied here to improve the convergent property. In the same manner, the reflection matrix is also and calculated starting with following formulas, which are similar to (11)–(14): Fig. 12.

using the

(24)

Geometry of a two-dimensional rectangular array.

(25) where

(26) (27) (18) where (28)

(19) The matrix is formed with the eigenvectors of the matrix , and is the corresponding eigenvalue in which is a diagonal matrix, and a Toeplitz matrix whose elements are defined as

for (29) is REFERENCES (20)

For the TM polarization case, the scattering matrix is in the same form as (17). The matrices and may be defined as follows: (21) (22) where is also a Toeplitz matrix to be similar to . The elements are defined as

(23)

[1] E. Yablonovitch, “Inhibited spontaneous emission in solid state physics and electronics,” Phys. Rev. Lett., vol. 58, no. 20, pp. 2059–2062, May 1987. [2] O. Painter, R. K. Lee, A. Scherer, A. Yariv, J. D. O’Brien, P. D. Dapkus, and I. Kim, “Two-dimensional photonic bandgap defect mode laser,” Science, vol. 284, no. 5421, pp. 1819–1821, Jun. 1999. [3] S. Noda, M. Yokoyama, M. Imada, A. Chutinan, and M. Mochizuki, “Polarization mode control of two-dimensional photonic crystal laser by unit cell structure design,” Science, vol. 293, no. 5532, pp. 1123–1125, Aug. 2001. [4] J. Yonekura, M. Ikeda, and T. Baba, “Analysis of finite 2-D photonic crystals of columns and lightwave devices using the scattering matrix method,” J. Lightw. Technol., vol. 17, pp. 1500–1508, Aug. 1999. [5] R. W. Ziolkowski and M. Tanaka, “FDTD analysis of PBG waveguides, power splitters and switches,” Opt. Quantum Electron., vol. 31, pp. 843–855, Oct. 1999. [6] T. Sondergaard and K. H. Dridi, “Energy flow in photonic crystal waveguides,” Phys. Rev. B, Condens. Matter, vol. 61, no. 23, pp. 15 688–15696, Jun. 2000. [7] M. Thèvenot, C. Cheype, A. Reineix, and B. Jecko, “Directive photonicbandgap antennas,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2115–2122, Nov. 1999.

JIA AND YASUMOTO: MODAL ANALYSIS OF TWO-DIMENSIONAL PHOTONIC-CRYSTAL WAVEGUIDES

[8] Y. J. Lee, J. Yeo, K. D. Ko, R. Mittra, Y. Lee, and W. S. Park, “A novel design technique for control of defect frequencies of an electromagnetic bandgap (EBG) supersaturate for dual-band directivity enhancement,” Microw. Opt. Technol. Lett., vol. 42, no. 1, pp. 25–31, Jul. 2004. [9] J. C. Knight, J. Broeng, T. A. Birks, and P. S. J. Russell, “Photonic bandgap guidance in optical fibers,” Science, vol. 282, no. 5393, pp. 1476–1479, Nov. 1998. [10] P. R. Villeneuve, D. S. Abrams, S. Fan, and J. D. Joannopoulos, “Singlemode waveguide microcavity for fast optical switching,” Opt. Lett., vol. 21, no. 24, pp. 2017–2019, Dec. 1996. [11] A. Mekis, J. C. Chen, I. Kurland, S. Fan, P. R. Villeneuve, and J. D. Joannapoulos, “High transmission through sharp bends in photonic crystal waveguides,” Phys. Rev. Lett., vol. 77, no. 18, pp. 3787–3790, Oct. 1996. [12] S. Y. Lin, E. Chow, V. Hietala, P. R. Villeneuve, and J. D. Joannopoulos, “Experimental demonstration of guiding and bending of electromagnetic waves in a photonic crystal,” Science, vol. 282, no. 5387, pp. 274–276, Oct. 1998. [13] M. Bayindir, B. Temelkuran, and E. Ozbay, “Propagation of photons by hopping: A waveguiding mechanism through localized coupled-cavities in three-dimensional photonic crystals,” Phys. Rev. B, Condens. Matter, vol. 61, no. 18, pp. R11855–R11858, May 2000. [14] J. Martí, A. Martínez, A. García, F. Ramos, J. Herrera, J. B. Abad, and J. S. Dehesa, “Transmission analysis and applications of bended waveguides in hexagonal photonic crystals,” in Photonic Bandgap Materials and Devices, vol. SPIE-4655, San Jose, CA, Jan. 2002, pp. 81–91. [15] K. Yasumoto, H. Jia, and K. Sun, “Rigorous analysis of two-dimensional photonic crystal waveguide,” Radio Sci., vol. 40, no. 6 (RS6S02), pp. 1–7, Sep. 2005. [16] M. Koshiba, Y. Ysuji, and M. Hikari, “Time-domain beam propagation method and its application to photonic crystal circuits,” J. Lightw. Technol., vol. 18, no. 1, pp. 102–110, Jan. 2000. [17] S. Boscolo, C. Conti, M. Midrio, and C. G. Someda, “Numerical analysis of propagation and impedance matching in 2-D photonic crystal waveguides with finite length,” J. Lightw. Technol., vol. 20, no. 2, pp. 403–310, Feb. 2002. [18] T. Sondergaard, A. Bjarklev, J. Arentoft, M. Kristensen, J. Erland, J. Broeng, and S. E. B. Libori, “Designing finite-height photonic crystal waveguides: Confinement of light and dispersion relations,” Opt. Commun., vol. 194, no. 4–6, pp. 341–351, Jul. 2001. [19] H. Jia and K. Yasumoto, “A novel formulation of the Fourier model method in S -matrix form for arbitrary shaped gratings,” Int. J. Infrared Millim. Waves, vol. 25, no. 11, pp. 1591–1609, Nov. 2004. [20] T. Sakamoto and T. Kambayshi, “Modal analysis of 2-D photonic band structure waveguide formed by square rod,” IEICE, Tokyo, Japan, Tech. Rep., vol. OPE2000-97, 2000. [21] A. Sharkawy, S. Shi, J. Murakowski, and D. W. Prather, “Analysis and applications photonic crystals coupled waveguide theory,” in Photonic Bandgap Materials and Devices, vol. SPIE-4655, San Jose, CA, Jan. 2002, pp. 356–367.

571

[22] L. Li, “Use of Fourier series in the analysis of discontinuous periodic structures,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 13, no. 9, pp. 1870–1876, Sep. 1996.

Hongting Jia (M’02) was born in Hebei, China, in June 1965. He received the B.E. degree in electrical engineering from Xidian University, Xi’an, China, in 1987, and the M.E. and D.E. degrees in communication engineering from Kyushu University, Fukuoka, Japan, in 1996 and 1999, respectively. From 1987 to 1992 he was with the Hebei Semiconductor Research Institute of China. In 1999, he joined the Faculty of Engineering, Nagasaki University. In 2001, he joined Kyushu University. His current research interests are in direct/inverse-scattering problems, grating problems, and numerical analysis. Dr. Jia is a member of the Institute of Electrical, Information and Communication Engineers (IEICE), Japan and the Institution of Electrical Engineers (IEE), Japan.

Kiyotoshi Yasumoto (SM’89) was born in Ohita, Japan, on March 8, 1945. He received the B.E., M.E., and D.E. degrees in communication engineering from Kyushu University, Fukuoka, Japan, in 1967, 1969, and 1977, respectively. In 1969, he joined the Faculty of Engineering, Kyushu University, where since 1988, he has been a Professor with the Department of Computer Science and Communication Engineering. In 1989, he was a Visiting Professor with the Department of Electrical and Computer Engineering, University of Wisconsin–Madison. In 1990, he was a Visiting Fellow with the Institute of Solid State Physics, Bulgarian Academy of Science, Sofia, Bulgaria. His research interests are electromagnetic-wave theory, microwave and optical waveguides, wave phenomena in electron beam–plasma systems, and numerical analysis. Dr. Yasumoto is a Fellow of the Optical Society of America, the Chinese Institute of Electronics, and the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He is a member of the Institution of Electrical Engineers (IEE) Japan, the Electromagnetics Academy, and the Applied Computational Electromagnetics Society.

572

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Nonlinear RF Circuits and Systems Simulation When Driven by Several Modulated Signals Nuno B. Carvalho, Senior Member, IEEE, José C. Pedro, Senior Member, IEEE, Wonhoon Jang, and Michael B. Steer, Fellow, IEEE

Abstract—The simulation of nonlinear RF circuits and systems driven by digitally modulated signals with a large number of carriers is addressed by combining the envelope transient harmonic balance method with the artificial frequency mapping technique. The co-simulation of low-pass equivalent behavioral models with circuit based models is addressed by simulating the overall wireless communication path. Several application examples are considered, in particular, the modeling of complete wireless communications circuits and systems incorporating the radio channel model. Index Terms—Circuit simulation, communication system nonlinearities, nonlinear distortion, nonlinear systems.

I. INTRODUCTION HE DEMAND for increased data transmission rates in wireless communications while keeping processing power requirements to reasonable levels is driving the proposal of new types of wireless standards1 that achieve greater spectral efficiency. These standards utilize new modulation formats, require greater bandwidths, and necessitate multicarrier modulation schemes such as orthogonal frequency-division multiplexing (OFDM). OFDM is particularly attractive as it is possible that higher data rates can be achieved than with multiple-input multiple-output (MIMO)-based systems for fixed processing power. Even with MIMO schemes in which multiple propagation paths are utilized to transmit different bit streams between a base station and a terminal unit, the transmitted signal can be modeled as a sum or carriers. Multiuser code-division multiple-access (CDMA) schemes must also be modeled as multicarrier schemes [1]. In these schemes and their projected enhancements, the signal environment consists of a large number of carriers. Finally, we should consider the problem of cosite interference. The RF environment now consists of a large number of differently modulated signals, such as GSM and UMTS, and the coexistence of mobile telephony and position/localization services in the same handset, are imposing

T

Manuscript received April 1, 2005; revised August 1, 2005. This work was supported in part by the European Union under the Network of Excellence Top Amplifier Research Groups in a European Team—TARGET Contract IS-1507893-NoE and by the U.S. Army Research Office as a Multidisciplinary University Research Initiative on Multifunctional Adaptive Radio Radar and Sensors under Grant DAAD19-01-1-0496. N. B. Carvalho and J. C. Pedro are with the Instituto de Telecomunicações, Universidade de Aveiro, 3810 Aveiro, Portugal (e-mail: [email protected]; [email protected]). W. Jang and M. B. Steer are with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 27695-7243 USA (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862713 1IEEE

802.11, IEEE 802.15, IEEE 802.16.

new nonlinear RF/microwave simulation challenges. Evolving terminal architectures will relax the RF filters specifications and of great concern is that stopband performance will be limited. In summary, the RF environment is becoming much richer and design will require the handling of a very large number of carriers each of which is digitally modulated. The simulation of the overall system, from the input bit stream to the output bit stream, is an ideal of RF engineers. The ability to simulate an entire RF system, including the modulator, the power amplifier (PA), the propagation channel, the low-noise amplifier (LNA), and the demodulator will enable an engineer to select each of the components in the system individually in order to optimize the complete link. The overall system goal is minimization of bit error rate (BER) with good spectral efficiency. With the current capabilities, it is not possible to consider the RF environment in its entirety rendering system and circuit simulations to predictions of single-channel impairment such as spectral regrowth captured in measures such as the adjacent channel power ratio (ACPR). What is desired is system-level modeling but with the fidelity achieved in modeling an individual modulated RF carrier. Currently, low-pass equivalent models are used in system simulators but circuit impairments can only be captured poorly. Full fidelity requires circuit- and system-level modeling at the RF frequency. While time-domain simulation would provide the necessary capture, the extremely long simulation times and accumulated numerical error render it inappropriate for modeling digital communication systems. Addressing the system modeling demands of a complete RF system is the focus of this paper. One of the more important advances in modeling digitally modulated RF signals is the development of the envelope transient harmonic balance (ETHB) techniques [2]–[11], which were initially developed to handle a slowly modulated single RF carrier. It has since been extended in commercial simulators [12] to handle two or more carriers, although no description of the technique is available. What is known is that multitone harmonic balance (HB) is combined with a transient solver. Unfortunately, in this approach, the multirate nature of the problem is kept, and the complexity is still very high. Commercial multitone HB utilizes almost periodic discrete Fourier transforms (APDFTs) or multidimensional Fourier transforms (N-FFTs). Such schemes are practically limited to five or so discrete carriers. One commercial HB implementation using APDFT can handle up to ten carriers but with excessive simulation times. In the various modeling problems outlined, the stimulus is composed of potentially a very large number of unmodulated and modulated RF carriers. Therefore, even

0018-9480/$20.00 © 2006 IEEE

CARVALHO et al.: NONLINEAR RF CIRCUITS AND SYSTEMS SIMULATION WHEN DRIVEN BY SEVERAL MODULATED SIGNALS

573

case, is assumed to be a composite signal where (up to) different envelope signals modulate carriers (2)

Fig. 1. Nonlinear dynamic circuit example for the illustration simulation techniques.

using a multirate simulator, we no longer would have a single time-domain envelope and frequency-domain carrier, as in ETHB, but a possibly very large series of modulations and carriers. Potentially complex signals with hundreds of carriers would need to be modeled. The main aim of this paper is to combine the multitone artificial frequency-mapping techniques (AFMTs) [13] with ETHB and so permit rapid and memory-efficient modeling of multicarrier digital communication systems. The work expands upon the outline previously presented [14] with rigorus development presented here. Previously [10], we presented a similar development using three-dimensional (3-D) HB, but the treatment here is completely general. AFMT is capable of modeling an arbitrary number of carriers and we have used it to handle systems with up to 150 noncommensurate tones. The fundamental limit is imposed by ill conditioning of the HB matrices as they become very large. In this paper, we first present the theoretical generalization and integration of the ETHB and AFMT algorithms. The integrated scheme is then applied to several special RF/microwave cases of practical significance: a mixer circuit whose RF input is driven by a modulated signal, a simplified nonlinear amplifier circuit excited by the newly multicarrier modulated signals (OFDM), and, finally, a simulation of a completely RF system including propagation effects. Section II addresses the mathematical formulation underlying this generalization, while Sections III and IV discusses the implementation details. Section V presents the system simulation/circuit approach, while Section VI is devoted to some simulation examples. II. THEORETICAL FORMULATION In general, an RF circuit (see Fig. 1) can be modeled as a system of ordinary differential equations in time2 (1) and are the excitation and state-variable vecwhere tors, respectively, represents memoryless linear or nonlinear elements, and models memoryless linear or nonlinear charges (capacitors) or fluxes (inductors). In the present

2This expression assumes that any circuit component requiring an impulse response description is represented by an appropriate equivalent circuit model.

In its highest level of generality, these carriers of frequency can either be correlated or uncorrelated with each other or with any of the modulating envelopes . If the envelope time and frequency variables, and , can be considered independent of each other, the stimulus and state vectors become dependent on these different time-scales and the nonlinear ODE of (1) is turned into a multirate partial differential equation (MPDE) [15]

(3) This MPDE can now either be solved in the time domain, using a -dimensional time-marching scheme, in frequency domain using an appropriate HB algorithm, or in any combination of time and frequency using a mixed-mode technique. The mixed-mode approach has proven to be particularly attractive for modeling communications circuits with digitally modulated carriers. In many multicarrier communication systems, there are only a few master clocks, and, thus, in a large number of situations of practical interest, the number of orthogonal time scales can be significantly reduced from the original . Furthermore, since the information envelopes are necessarily aperiodic while the carriers are periodic, and the period of the envelopes is several orders of magnitude longer than that of the carriers, in all practical situations, (3) must be solved using a mixed-mode method. In this method, the envelopes are represented by a succession of time samples (eventually separated by constant or dynamic time-steps) and the carriers are described by a vector of complex Fourier coefficients. This naturally leads us to a multidimensional Fourier transform-based harmonic balance algorithm (MDFT-HB) [16], for the simulation of the carriers, and a multidimensional time-step integration for the envelopes. Nevertheless, the use of MDFT-HB is known to be very costly in both simulation time and memory storage, and thus its use is generally prohibitive for situations with more than two modulated carriers. Following the procedure with the conventional single-modulated-carrier ETHB, the modulated multicarrier signals will thus be represented as a series of envelope time-dependent Fourier coefficients [5]. When such a time-varying envelope frequencydomain representation is substituted into (3), the MPDE becomes

(4)

574

Fig. 2.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

System to be simulated.

in which

, , , and stand for the time-varying Fourier components of the currents and charges (or fluxes) of the memoryless nonlinearities, the state variables, and the excitation, respectively. The discretization of (4), using the backward Euler rule, leads to the following system of difference equations in the above Fourier coefficients:

(5) The proposed mixed-mode method operates by integrating (5) in a time-step-by-time-step basis , starting from the initial conditions and and solving for each of the successive time samples using a frequency-domain (HB) algorithm. Here, the frequency-domain HB solution for the carriers is efficiently solved using AFMT. In the above most general formulation, orthogonal time scales were considered for each envelope and carrier signals. However, in many real situations, as in multicarrier modulation formats such as OFDM, the carriers can share the same reference. In most telecommunication systems, even the different envelope bit streams share a common clock reference and bandwidth. This can provide a significant reduction in the problem’s dimensionality, which is especially relevant in many practical situations. III. MULTICARRIER IMPLEMENTATION One particular scenario where the number of independent time scales can be significantly reduced is the simulation of cir-

cuits excited by an OFDM signal. As this modulation format uses equally separated carriers, the HB analysis can be carried out in a much more efficient manner using an appropriate AFMT technique (AFM-HB) [13]. Furthermore, since all independent carrier envelopes share the same clock time base, the envelope time-step integration can be performed in a synchronous way. So, a judicious use of AFM techniques allows multidimensional HB to be converted into a one-dimensional (1-D) or sinusoidal HB implementation [13], while the synchronous timestep integration turns the multidimensional time-domain analysis into a 1-D initial condition problem (although still in different envelopes). Accordingly, the -envelope time variables are described by a single time base and discretized in a single time step . The carriers are represented by a central RF frequency and a constant carrier separation . After the appropriate AFM transformation, these two frequency-domain variables are mapped onto the harmonics of a single artificial frequency , and (5) becomes (6) This demonstrates that any complex OFDM scheme can be simulated using a slightly modified version of the conventional ETHB, combining a conventional sinusoidal HB with a timestep integration engine. IV. MIXER IMPLEMENTATION In this case, the number of different uncorrelated signals would lead to three orthogonal variables correspondent to the CW local oscillator (LO) , the RF carrier , and its envelope discrete time samples . Considering only the frequency-domain representation of the RF carrier and the LO, simulation becomes a two-dimensional (2-D) HB scheme. As before, this can be converted into a sinu-

CARVALHO et al.: NONLINEAR RF CIRCUITS AND SYSTEMS SIMULATION WHEN DRIVEN BY SEVERAL MODULATED SIGNALS

Fig. 3.

Fig. 4.

575

Nonlinear circuit example.

Fig. 5.

Output eye diagram at the IF frequency at 100 MHz.

Fig. 6.

Simulated output spectrum centered at the IF carrier.

(a) Input modulation signal waveform. (b) Excitation eye diagram.

soidal HB using a conventional two-tone AFM transform and (5) becomes again simplified to (6). V. SYSTEM/CIRCUIT SIMULATION Previous sections were devoted to the algorithm implementation details. Nevertheless if the RF engineer is willing to simulate a complete RF system, then he must first divide the envelope exclusive blocks from the ones that operate at RF. As an example of the application of the proposed scheme to mixed circuit and system simulation consider the block diagram

Fig. 7. Output envelope signals at each carrier.

of Fig. 2, then the modulating/demodulating blocks could be considered as low-pass equivalents, while the others should be handled at RF.

576

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 8. Super-heterodyne receiver to be simulated in the presence of an interferer.

Thus, implementation of the proposed scheme should first perform a low-pass transient analysis in order to transform the input bits to a complex envelope signal, and then that signal becomes the envelope at time for one of the channels and the envelope at time for the other channel. Then, both channels can be sampled at a constant frequency rate and presented to the transient HB engine. The algorithm would then simulate the common RF blocks at the RF frequency, including propagation models or behavioralor circuit-level models of the RF amplifiers/mixers. The simulator would then calculate the output complex envelope that would again be fed to the low-pass equivalent of the demodulator. In this way, a complete RF system can be simulated with part of the system described at the circuit level. In Section VI, a complete RF system will be simulated, thus exemplifying the full capability of the new technique.

GHz, and the LO at The RF carrier frequency is at GHz while the output bandpass IF filter is centered at 100 MHz. The modulating signal waveform is the pseudorandom sequence shown in Fig. 4(a), which corresponds to the eye diagram plotted in Fig. 4(b). Fig. 5 depicts the output eye diagram of the IF signal, while Fig. 6 presents the resulting simulated spectrum around the IF carrier. 2) Multicarrier Modulated Amplifier Test: In the second case, the circuit of Fig. 3 was biased, and the output filter tuned, so that it behaves as an amplifier. The input excitation is a multicarrier (five-tone) modulated signal centered at 2 GHz and with a frequency separation of 100 kHz. Each of the five carriers is modulated by a different envelope signal. Thus, the excitation is

VI. ILLUSTRATIVE APPLICATION EXAMPLES

(8)

Two different schemes will be simulated to verify the ideas presented above. First, the response of a microwave circuit will be studied both when working as a mixer and as an amplifier for a multicarrier modulated signal. Second, a complete wireless communication system will be addressed. A. Circuit Simulation The first application of the now proposed algorithm will be the nonlinear circuit of Fig. 3 that it is simply a transfer nonlinearity that drives an output parallel resonant circuit. It can be viewed as a behavioral model or a simplified representation of either an output-tuned FET-based amplifier or gate mixer. 1) Mixer Test: The mixer configuration considered is a gate mixer in which both the RF and LO signals are applied to the gate. It will behave as a down converter. The circuit excitation is the sum of a dc bias voltage plus a binary phase-shift key (BPSK)-modulated RF carrier, and a CW LO, i.e.,

(7)

. Fig. 7 presents the output envelope where of each fundamental carrier. B. RF Circuit/System Simulation The end-to-end communication system presented in Fig. 8 will now be studied considering the complete link, including radio-channel propagation degradation. The proposed simulation provides analysis of an RF system that uses a super heterodyne receiver and accounts for the degradation of the symbol error rate (SER) caused by an interferer present at the image frequency. The signal at Channel 1—which is the sought signal—is a 16-quadrature amplitude modulation (16-QAM) signal and that in channel 2—which is the unwanted signal at the image frequency—is quadrature phase-shift keying (QPSK) modulated. The modulation blocks are implemented via their low-pass equivalents and are responsible for the envelopes of each of the RF carriers. The propagation channel will be modeled by the COST-231 Hata Model, which is dependent on the frequency, distance, and height of each antenna [17]–[19].

CARVALHO et al.: NONLINEAR RF CIRCUITS AND SYSTEMS SIMULATION WHEN DRIVEN BY SEVERAL MODULATED SIGNALS

577

The receiver’s LNA and mixer were considered at the circuit level, since they both present nonlinear behavior with long-term memory effects, and enough low-pass equivalent models of sufficient accuracy do not exist for such circuits. Since the super-heterodyne structure uses an LO for down conversion, a third carrier is considered as the LO. Thus, the system has two complex envelope signals beyond the three RF carriers. Finally, the demodulator will also be implemented as a lowpass equivalent model. In this case, the input driving signal of our simulator will be

(9) The interferer was first set at a power that was low enough that no interference results from the nonlinear part of the super-heterodyne receiver. Fig. 9 presents (a) the corresponding constellation diagram, (b) the time-domain envelope, and (c) the corresponding spectrum of the input and output signals. In the previous case, no distortion and no errors were seen as expected. Fig. 10 presents the situation when the interferer has a power level that degrades the reception of the desired signal. As can be seen from Figs. 10 and 11, the interferer severely degrades the reception of the QAM signal because of nonlinear effects associated with the nonideal LNA and mixer circuit models. In particular, constellation points associated with RF amplitudes have disappeared [see Fig. 10(a)]. That is, outlying constellation points were demodulated as other points in the constellation diagrams were interpreted this way as symbol errors. Fig. 11 presents the degradation of the SER due to the rise in power of the interferer. The implication here is that the modulation scheme should adapt to the presence of the large interferer to reduce demands on forward error correction (FEC) codes and maintain low frame error rates. To the best of the authors’ knowledge, the proposed method constitutes an optimal compromise between computation accuracy and efficiency for simulating multicarrier digital communication systems. An alternate envelope-level simulation using low-pass equivalent models would have its accuracy compromised by the lack of appropriate behavioral models of the LNA and the mixer. If a time-marching simulator were used with modulated RF carriers, the simulation time would be prohibitive, because of the extremely different time scales (of the RF carriers and the base-band envelopes) common to this type of telecommunication system. Indeed, the only viable alternative seems to be cosimulation via a combination of envelope-level and modulated-RF carrier-level analysis through a multicarrier/multi-envelope harmonic balance simulation scheme. Also, in this respect, the use of AFMT harmonic balance can provide an enormous advantage in computation efficiency. In order to show the benefits in simulation speed provided by the presented combination of the multicarrier/multi-envelope engine with the AFMT-based harmonic balance solver, we have also compared its results with an ETHB scheme implemented by

Fig. 9. (a) Constellation diagram (circles: input diagram; crosses: output diagram) and (b) time-domain envelope (dotted line: input signal; solid line: output signal) of (c) output and input signals and spectrum of the output signal.

a well-known commercial simulator. The comparison was conducted for excitations of increasing complexity and was composed of two to six modulated carriers. Fig. 12 presents the simulation time measured from the two simulation environments.

578

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 11.

SER versus signal-to-interference ratio.

Fig. 12. Simulation time comparison between the proposed algorithm and a commercial simulator.

three to six modulated carriers, the commercial engine requires 100–25 000 s for three to five carriers, while it takes an unacceptable amount of time for more then five carriers. VII. CONCLUSION

Fig. 10. (a) Constellation diagram (circles: input diagram; crosses: output diagram) and (b) time-domain envelope (dotted line: input signal; solid line: output signal) of (c) output and input signals and spectrum of the output signal of the high-power interferer.

As can be seen from this figure, the advantage in using our simulation machine is unquestionable for a number of modulated carries higher than four. Note, for example, that although our simulator requires simulation times on the order of 100–300 s for

In this paper a method combining multi-envelope transient harmonic balance algorithm with AFMT was proposed. The method presented here reduces the number of independent time and frequency variables required in an analysis. The algorithm takes advantage of particular properties of the stimulus to couple a conventional 1-D time-step integration scheme with an HB employing the artificial frequency mapping techniques. To illustrate the methods capabilities, three examples of practical relevance in the microwave and wireless fields were studied: a mixer driven by a CW LO and modulated RF signal; an amplifier excited by an OFDM digital modulated multicarrier signal; and a complete wireless system. The technique presented is capable of handling an arbitrarily large number of carriers. The technique presented in this paper allows the designer to optimize the complete wireless chain simultaneously. Moreover, the designer can focus on the optimization key system parameters of SER rather than on intermediate and inadequate measures of subsystem performance.

CARVALHO et al.: NONLINEAR RF CIRCUITS AND SYSTEMS SIMULATION WHEN DRIVEN BY SEVERAL MODULATED SIGNALS

REFERENCES [1] R. Nee and R. Prasaad, OFDM Wireless Multimedia Communications. Norwood, MA: Artech House, 2000. [2] D. Sharrit, “New method of analysis of communication systems,” in MTT-S Nonlinear CAD Workshop, Jun. 1996. [3] V. Rizzoli, A. Neri, and F. Mastri, “A modulation-oriented piecewise harmonic-balance technique suitable for transient analysis and digitally modulated signals,” in Proc. 26th Eur. Microw. Conf., Prague, Czech Republic, Sep. 1996, pp. 546–550. [4] E. Ngoya and R. Larchevèque, “Envelope transient analysis: a new method for the transient and steady-state analysis of microwave communications circuits and systems,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 1996, pp. 1365–1368. [5] J. C. Pedro and N. B. Carvalho, “Simulation of RF circuits driven by modulated signals without bandwidth constraints,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, pp. 2173–2176. [6] M. Condon and E. Dautbegovic, “A novel envelope simulation technique for high-frequency nonlinear circutis,” in Proc. 33rd Eur. Microw. Conf., Munich, Germany, Oct. 2003, pp. 619–622. [7] E. Ngoya, J. Sombrin, and J. Rousset, “Simulation des circuits et systémes: méthodes actuelles et tendances,” in Séminaires Antennes Actives et MMIC, Arles, France, Apr. 1994, pp. 171–176. [8] D. Sharrit, “Method for Simulating a Circuit,” U.S. Patent 5 588 142, May 12, 1995. [9] S. Sancho, A. Suarez, and J. Chuan, “General envelope-transient formulation of phase-locked loops using three time scales,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1310–1320, Apr. 2004. [10] N. B. Carvalho, J. C. Pedro, W. Jang, and M. B. Steer, “Nonlinear simulation of mixers for assessing system-level performance,” Int. J. RF Microw. Computer-Aided Eng., to be published. [11] V. Rizzoli, A. Neri, F. Mastri, and A. Lipparini, “Modulation-oriented harmonic balance based on Krylov-subspace methods,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1999, pp. 771–774. [12] Agilent, Advanced Design System 2002, 2002. [13] J. C. Pedro and N. B. Carvalho, Intermodulation in Microwave and Wireless Circuits. Norwood, MA: Artech House, Aug. 2003. [14] N. B. Carvalho, J. C. Pedro, W. Jang, and M. B. Steer, “Simulation of nonlinear RF circuits driven by multi-carrier modulated signals,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 801–804. [15] J. Roychowdhury, “Analyzing circuits with widely separated time scales using numerical PDE methods,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 48, no. 5, pp. 578–594, May 2001. [16] V. Rizzoli, C. Cecchetti, and A. Lipparini, “A general-purpose program for the analysis of nonlinear microwave circuits under multitone excitation by multidimensional Fourier transform,” in Proc. 17th Eur. Microwave Conf., Rome, Italy, Sep. 1987, pp. 635–640. [17] “Digital mobile radio toward future generation systems,” European Communities, COST Action 231, Final Tech. Rep. EUR 18 957, 1999. [18] Y. Oda, K. Tsunekawa, and M. Hata, “Advanced LOS path-loss model in microcellular mobile communications,” IEEE Trans. Veh. Technol., vol. 49, no. 6, pp. 2121–2125, Nov. 2000. [19] T. S. Rappaport, Wireless Communications: Principles and Practice. Upper Saddle River, NJ: Prentice-Hall, 1999. Nuno B. Carvalho (S’92–M’00–SM’05) was born in Luanda in 1972. He received the Diploma and Doctoral degrees in electronics and telecommunications engineering from the Universidade de Aveiro, Aveiro, Portugal, in 1995 and 2000, respectively. From 1997 to 2000, he was an Assistant Lecturer with the Universidade de Aveiro, and is currently is an Associate Professor. He is also a Senior Research Scientist with the Instituo de Telecomunicacoes, Universidade de Aveiro. He was a Scientist Researcher with the Instituto de Telecomunicacoes, during which time he was engaged in different projects concerning nonlinear computer-aided design (CAD) and circuits. He coauthored Intermodulation in Microwave and Wireless Circuits (Artech House, 2003). His main research interests include CAD for nonlinear circuits and design of RF-microwave PAs. He has been a reviewer for several magazines. Dr. Carvalho is a member of the Portuguese Engineering Association. He is a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY and TECHNIQUES. He was the recipient of the 1995 Universidade de Aveiro and the Portuguese Engineering Association Prize for the best 1995 student at the Universidade de Aveiro, the 1998 Student Paper Competition (third place) presented at the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) and the 2000 Institution of Electrical Engineers (IEE), U.K. Measurement Prize.

579

José C. Pedro (S’90–M’95–SM’99) was born in Espinho, Portugal, in 1962. He received the Diploma and Doctoral degrees in electronics and telecommunications engineering from the Universidade de Aveiro, Aveiro, Portugal, in 1985 and 1993, respectively. From 1985 to 1993, he was an Assistant Lecturer with the Universidade de Aveiro, and a Professor since 1993. He is also a Senior Research Scientist with the Instituo de Telecomunicacoes, Universidade de Aveiro. His main scientific interests include active device modeling and the analysis and design of various nonlinear microwave and optoelectronics circuits, in particular, the design of highly linear multicarrier power amplifiers and mixers. He coauthored Intermodulation Distortion in Microwave and Wireless Circuits (Norwood, MA: Artech House, 2003) and has authored or coauthored several papers appearing in international journals and symposia. Dr. Pedro is a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was the recipient of the 1993 Marconi Young Scientist Award and the 2000 Institution of Electrical Engineers (IEE) Measurement Prize.

Wonhoon Jang received the B.S. degree in electronics from Kyungpook National University, Daegu, Korea, in 1997, and is currently working toward the Ph.D. degree in electrical engineering at North Carolina State University, Raleigh. From 1997 to 1999, he was with LG Precision Company, Kumi, Korea, where he was involved with military radios. His current research interests include nonlinear RF/microwave system analysis and modeling.

Michael B. Steer (S’76–M’82–SM’90–F’99) received the B.E. and Ph.D. degrees in electrical engineering from the University of Queensland, Brisbane, Australia, in 1976 and 1983, respectively. He is currently the Lampe Family Distinguished Professor of Electrical and Computer Engineering, North Carolina State University, Raleigh. In 1999 and 2000, he was a Professor with the School of Electronic and Electrical Engineering, The University of Leeds, where he held the Chair in microwave and millimeter-wave electronics. He was also Director of the Institute of Microwaves and Photonics, The University of Leeds. He has authored approximately 300 publications on topics related to RF, microwave and millimeter-wave systems, high-speed digital design, and RF and microwave design methodology and circuit simulation. He coauthored Foundations of Interconnect and Microstrip Design (Wiley, 2000). Prof. Steer is active in the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). In 1997, he was secretary of the IEEE MTT-S. From 1998 to 2000, he was an elected member of its Administrative Committee. He is the Editor-in-Chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2003–2006). He was a 1987 Presidential Young Investigator (USA). In 1994 and 1996, he was the recipient of the Bronze Medallion presented by the Army Research Office for “Outstanding Scientific Accomplishment.” He was also the recipient of the 2003 Alcoa Foundation Distinguished Research Award presented by North Carolina State University.

580

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Micromachined CMOS LNA and VCO By CMOS-Compatible ICP Deep Trench Technology Tao Wang, Hsiao-Chin Chen, Hung-Wei Chiu, Yo-Sheng Lin, Member, IEEE, Guo Wei Huang, Member, IEEE, and Shey-Shi Lu, Senior Member, IEEE

Abstract—Selective removal of the silicon underneath the inductors in RF integrated circuits based on inductively coupled plasma (ICP) deep trench technology is demonstrated by a complementary metal–oxide–semiconductor (CMOS) 5-GHz low-noise amplifier (LNA) and a 4-GHz voltage-controlled oscillator (VCO). Design principles of a multistandard LNA with flat and low noise figures (NFs) within a specific frequency range are also presented. A 2-dB increase in peak gain (from 21 to 23 dB) and a 0.5-dB (from 2.28 to 1.78 dB) decrease in minimum NF are achieved in the LNA while a 3-dB suppression of phase noise is obtained in the VCO after the ICP backside dry etching. These results show that the CMOS-process-compatible backside ICP etching technique is very promising for system-on-a-chip applications. Index Terms—Complementary metal–oxide–semiconductor (CMOS), inductively coupled plasma (ICP), low-noise amplifier (LNA), voltage-controlled oscillator (VCO).

I. INTRODUCTION

R

ECENTLY, many complementary metal–oxide–semiconductor (CMOS) cascode low-noise amplifiers (LNAs) with excellent performances have been reported [1]–[4]. A review of these works reveals that most of the state-of-the-art LNAs use off-chip bond-wire inductors for input impedance matching [1], [3], [4]. In order to further reduce the form factor and assembly cost toward the goal of a system-on-a-chip (SOC), it is imperative to have the matching network on-chip to embrace the advent and advance of flip-chip technology. However, the quality factor ( factor) of on-chip inductors is low due to the losses in the conductive silicon substrate as well as the series resistance of the metallization. Various factor, such methods have been proposed to enhance the as high-resistivity silicon [5], front-side and backside micromachining [6]–[10], porous silicon [11], [12], proton implantation [13], and patterned ground shields (PGSs) [14]. Only

Manuscript received May 30, 2005. This work was supported by the National Science Council of the R.O.C. under Contract NSC94-2215-E-002-032, Contract NSC93-2212-E-002-079, Contract NSC92-2212-E-002-091, Contract 91EC17A05-S10017, and Contract NSC93-2752-E-002-002-PAE. T. Wang, H.-C. Chen, and S.-S. Lu are with the Graduate Institute of Electronics Engineering and Department of Electrical Engineering, National Taiwan University, 106 Taipei, Taiwan, R.O.C. (e-mail: [email protected]). H.-W. Chiu is with the Department of Electronic Engineering and Graduate Institute of Computer and Communication Engineering, National Taipei University of Technology, 106, Taipei, Taiwan, R.O.C. Y.-S. Lin is with the Department of Electrical Engineering, National Chi-Nan University, 545 Puli, Taiwan, R.O.C. G. W. Huang is with the National Nano Device Laboratories, 300 Hsinchu, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2005.862715

very few of them have verified their high- inductors in RF active circuits. This is because most of the proposed methods are very difficult, if not impossible, to integrate into standard CMOS technology due to their inherent nonstandard CMOS processing steps. In addition, the front-side etching has inherent limitations as to how far circuits can be placed from the inductors [7]. The PGS method, while it is compatible with CMOS technology, has the drawbacks of limited improvement and reduction of self-resonant frequency . Fortuof nately, these problems can be largely improved by our proposed CMOS-compatible backside inductively coupled plasma (ICP) dry etching technology. In this study, the CMOS-compatible backside ICP deep trench technology, which selectively removes the conductive silicon substrate underneath the inductors in CMOS RF integrated circuits, is demonstrated. In Section II, the detailed process steps of the backside ICP deep trench technology are introduced. In Section III, design principles of a multistandard LNA with flat and low noise figures (NFs) within a specific frequency range are presented for the first time. In Section IV, a 5.15–5.825-GHz multistandard CMOS LNA, and a 4-GHz low-phase noise CMOS voltage-controlled oscillator (VCO) are designed. The LNA and the VCO were implemented in standard 0.25- and 0.18- m CMOS technologies, respectively, provided by the commercial foundry UMC. In Section V, the LNA and the VCO before and after ICP dry etching are measured and analyzed. Section VI presents the conclusions. II. DEEP TRENCH TECHNOLOGY The processing steps of our backside ICP deep trench technology shown in Fig. 1 are described as follows. First, the frontside (the side with the LNA/VCO) of the finished die was attached to a glass substrate with adhesive wax followed by mechanical lapping, which thinned the silicon substrate down to about 100 m. Second, the wax was softened by heating, so that the glass substrate in the front-side of the die could be removed. Then, the front-side of the die was cleaned with acetone. Third, the front-side of the 100- m-thick die was stuck to a glass substrate with adhesive S1813 followed by dropping photoresist SU8 on the backside of the sample. After standard photolithography processes on the backside of the die, the ICP dry etching was used to remove the silicon underneath the inductors of the LNA/VCO. The main gases used during the ICP etching process were an alternate cycle of SF (for etching) and C F (for passivation), which took approximately 17 s. The ICP etching rate

0018-9480/$20.00 © 2006 IEEE

WANG et al.: MICROMACHINED CMOS LNA AND VCO BY CMOS-COMPATIBLE ICP DEEP TRENCH TECHNOLOGY

Fig. 1.

581

Process steps of the backside ICP deep trench etching technology.

was about 2 m/min, so the total etching time was approximately 50 min. Finally, the adhesive and photoresist which covered the front-side and backside of the die, respectively, were removed for test purposes. A 5.15–5.825-GHz multistandard CMOS LNA and a 4-GHz CMOS VCO with schematics shown in Figs. 2(a) and 3(a), respectively, have gone through this backside ICP dry etching. The front-side die photographs of the LNA and the VCO before ICP dry etching are shown in Figs. 2(b) and 3(b), respectively. The backside die photographs of the LNA and the VCO after ICP dry etching are shown in Figs. 2(c) and 3(c), respectively, where the exposed front-side on-chip inductors are visible to the naked eye and the sidewalls of the “drilled” or micromachined holes are virtually vertical. Compared with the traditional backside wet bulk micromachining, the dry ICP etching has the advantages of forming vertical sidewalls and being fully CMOS process compatible since it is a standard processing technique in modern CMOS technology. III. PRINCIPLES OF MULTISTANDARD CMOS LNA DESIGN A multistandard low-noise LNA should attain a good input matching (i.e., dB) and a flat and low NF performance over the frequency band of interest. To achieve wide-band input matching, the frequency response of the input

(c) Fig. 2. (a) Schematic, (b) front-side die photograph, and (c) backside die photograph of the 5.15–5.825-GHz multistandard CMOS LNA.

582

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

can be expressed as

According to (1), the input return loss

(3) in which (4)

(5)

(6) Note that the in (6) is proportional to the defined by Shaeffer and Lee [15]. By plugging into (3), can be expressed as (7) Now, it is clear that is a standard notch function with 3-dB matching bandwidth equal to (or ) [16]. In general, should be smaller than 10 dB over the band of interest, i.e., dB Fig. 3. (a) Schematic, (b) front-side die photograph, and (c) backside die photograph of the 4-GHz CMOS LC VCO.

return loss has to be derived first. According to Fig. 2(a), the input impedance of a cascode LNA is given by (1) in which is the transconductance, is the gate–source capacitance of transistor , and is a correction factor that takes into accounts the Miller capacitance contributed by , i.e., the gate–drain capacitance of . The value of is about 1.61 for the 0.25- m CMOS technology used. The source-degenerative inductance can be determined by the following equation: (2)

By substituting the expression of some calculations, the solutions of tained as follows:

(8)

in (7) into (8) and after that satisfy (8) can be ob(9)

Therefore, the corresponding matching bandwidth equal to

is (10)

The above theory sets an upper limit for the total inductance of the inductors connected to the input transistor or with a desired input matching bandwidth of . That is, if the total inductance or is too large, the requirement for the inputmatching bandwidth will not be satisfied. Fig. 4(a) shows the calculated versus frequency characteristics of a 5-GHzband CMOS LNA (with various inductance inductors

WANG et al.: MICROMACHINED CMOS LNA AND VCO BY CMOS-COMPATIBLE ICP DEEP TRENCH TECHNOLOGY

583

wide input-matching bandwidth, low power, and low noise, the upper limit of the total inductance of the inductors connected to the input transistor or should be set by (10) or depending on which is smaller. In the following, we discuss the lower limit for the total inductance of the inductors connected to the input transistor or . If we only consider the transfer function of , it seems that we can pick a very small total inductance to achieve a very broad bandwidth. One of the corresponding drawbacks is that the value of the input circuit will be even lower than the lower limit (3.5) of set by the power-constrained NF condition [15]. Therefore, we conclude that lower limit for the total inductance of the inductors connected to the input transistor or should be set by while the upper limit should be set by (10) or depending on which is smaller. Furthermore, if gain is taken into account, we will see that a new lower limit of or total inductance of the inductors connected to the input transistor can be determined given that the input matching bandwidth is first satisfied. From the expressions of -parameters in terms of - or -parameters [17], it is found that the denominators, or the poles, of all -parameters are the same. With the information of poles and zeros plus dc or mid-band gain, the frequency response of voltage gain, or , of a circuit can be obtained easily [18]. For the cascode LNA circuit shown in Fig. 2(a), the transfer function of can be derived as follows:

(11) in which

S

Simulated: (a) input-matching ( ), (b) transconductance gain ( ), and (c) gain ( ) of a 5-GHz-band CMOS + ) connected to the cascode LNA with various inductance inductors ( input transistor.

Fig. 4.

G

s

( ), load impedance

Z s

S L

L

connected to the input transistor) by using (7). The center frequency is set as 5.467 GHz because it can be defined as the geometric mean of the targeted band-edge frequencies, which are 4.9 and 6.1 GHz, respectively, in this example. As can be seen, matching bandwidth decreases with the increase of inductance of (or ), which is consistent with (10). From a power-constrained NF condition [15], it is pointed out that should less than 5.5. Consequently, in order to simultaneously satisfy the requirements of sufficient

, and . In addition, and represent the equivalent series resistance and inductance of inductor , respectively. represents the transconductance gain if the input voltage adopts the input-terminal voltage (i.e., ). represents the equivalent load impedance seen at the drain terminal of the transistor M2. Fig. 4(b) and (c) shows the calculated and , and (i.e., ) versus frequency characteristics of a 5-GHz-band CMOS LNA (with various inductance inductors connected to the input transistor) by using (11). As can be seen, an input matching with low value will degrade gain. Therefore, the value should not be lower than 1.414 (4.552), which corresponds to a maximum flat response in the first part (i.e., ) of the transfer function of .

584

Fig. 5. Calculated normalized matching bandwidth characteristics of a CMOS cascode LNA.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

1f =f

versus Q

Based on (6) and (10), we can obtain a design equation of CMOS with normalized input-matching bandwidth cascode LNAs for the upper limit of the total inductance of the as follows: inductors connected to the input transistor or (12) Fig. 5 shows the calculated normalized input matching bandversus characteristics based on (12). To meet width , the dethe specification of the input-matching bandwidth sign point should fall into the area marked by the oblique lines. The constraints of (3.5 and 5.5) according to the power-constrained noise theory of Shaeffer and Lee [15] are also shown in Fig. 5. In addition, as mentioned before, to achieve a maximum ) of the transfer flat response in the first part (i.e., function of , the value should not be lower than 1.414. should not be lower than 4.552 for the 0.25- m That is, CMOS technology used. Therefore, the lower limit for the total inductance of the inductors connected to the input transistor or should be set by while the upper limit should be set by (12) or , depending on which is smaller, as is illustrated in Fig. 5. The gray region in Fig. 5 bounded by , and (12) represents a very interesting area with low noise, low power consumption, and high gain. The corresponding range is from 0.195 to 0.236 according to (12). This means that, leads for a given technology, only a specific range of to a low and flat NF performance over the band of interest with low power consumption and high gain. If the specified is outside this range, i.e., its corresponding is not between , NF, power consumption, 4.55–5.5, a tradeoff between and gain will be made. Consider the four cases indicated in Fig. 5. First, if the is 0.417, then it is required input-matching bandwidth impossible to achieve a very low and flat NF performance over the band of interest with low power consumption because all values that satisfy the input-matching of the bandwidth requirement do not fall into the range of , as shown in Fig. 5. For this case, the design point

because the corresponding should be chosen at value is closer to the range. Second, if the required is 0.25, then the upper limit input-matching bandwidth is 4.292 according to (12), because it is smaller than of is 3.5. For this case, choosing 5.5 while the lower limit of within can achieve a low and a flat NF performance over the band of interest with low power consumption but without optimum gain. Third, if the required input-matching bandwidth is 0.167, then the upper limit is 5.5 because it is smaller than 6.425 calculated by (12), of is 4.552 instead of 3.5 to achieve while the lower limit of a better gain. For this case, choosing a within can achieve a low and flat NF performance over the band of interest with low power consumption and optimum gain. Finally, for the 4.9–6.1-GHz multistandard CMOS LNA studied in this work, the required input-matching bandwidth is at least 1.2 GHz, i.e., if the center is set as 5.467 GHz. The upper limit of frequency is 4.9 according to (12) because it is smaller than 5.5 while is 4.552 instead of 3.5 to achieve a the lower limit of within better gain. For this case, choosing a can achieve a low and flat NF performance over the band of interest with low power consumption and optimum gain. A more detailed discussion regarding the design of the 5.15–5.825-GHz multistandard CMOS LNA studied in this work will be given in Section IV. IV. CIRCUIT DESIGN A. 5.15–5.825-GHz Multistandard CMOS LNA Fig. 2(a) shows the schematic diagram of our CMOS LNA targeted to cover the multistandards of 802.11a or Wimax with frequency ranges of 5.15–5.35 and 5.725–5.825 GHz. The input-matching bandwidth (i.e., the frequency range that satisfies dB) in design is chosen to be 1.2 GHz (i.e., from 4.9 to 6.1 GHz) to accommodate possible frequency shift due to process variation. The center frequency is set as 5.467 GHz because it is defined as the geometric mean of the band-edge frequencies, i.e., 4.9 and 6.1 GHz. The LNA was implemented in a standard 0.25- m CMOS process. Basically, this multistandard CMOS LNA is a cascode amplifier (M1 and M2) with two parallel-connected source-degenerative inductors ( and ) and one gate inductor for simultaneous input impedance and noise-matching. and function as the tuned load. A source follower composed of M3 and a current source was used as a buffer for test purposes [19]. To achieve flat and low NF versus frequency characteristics over the frequency band of interest, the theory introduced in Section III was used to determine the inductances of on-chip inductors , and , and the device size of transistor M1 of this multistandard LNA. The circuit parameters are: nH, nH, nH, pF, transistor size m/ m, and m/ m. The desired matching bandwidth for the LNA shown in Fig. 2(a) is GHz, i.e., from 4.9 to 6.1 GHz. Based on (10), the corresponding inductance connected to the input

WANG et al.: MICROMACHINED CMOS LNA AND VCO BY CMOS-COMPATIBLE ICP DEEP TRENCH TECHNOLOGY

transistor (i.e., , in which the following equation:

585

) should satisfy

nH

(13)

In addition, to achieve a maximum flat response in the first part (i.e., ) of the transfer function of , the value should not be lower than 1.414. From (6), there exists a lower limit for the total inductance of the inductors connected to the input transistor as follows: nH

(14)

Combining (13) and (14), we can conclude that, for a multistandard LNA with matching bandwidth from 4.9 to 6.1 GHz, the total inductance of the inductors connected to the input transistor should satisfy the following equation: nH

nH

(15)

In the design of our LNA, the total inductance of the inductors connected to the input transistor is equal to 4.3 nH, which falls into the range described above. This explains why the requirement of wide-bandwidth input matching and gain can be simultaneously met (see the results shown in Section V). In addition, by plugging the range of in (15) into (6), we can obtain the corresponding range as follows: (16) (i.e., GHz GHz GHz), which is also shown in Fig. 5. Clearly, this range falls into the final range, i.e., from 4.552 to 5.5. This explains why our implemented 5.15–5.825-GHz multistandard LNA can not only meet the requirements of wide-bandwidth (from 4.9 to 6.1 GHz) input matching and gain, but also achieve very flat and low NF performances over the band of interest (see the results shown in Section V). On the other hand, the results of power-constrained noise theory also mean that, if of the input transistor of a multistandard LNA is around 4.5 (i.e., average of 3.5 and 5.5) at the center frequency (i.e., 5.467 GHz), then the achievable NF over the band of interest will be relatively insensitive to frequency variation [i.e., generally changing by 0.1 dB or less; see Fig. 6(c)]. The optimized size of the input transistor can be determined as follows [15]: m (17) In our design, the device size is 110 m, i.e., , which is very close to 4.5. This explains again why our implemented 5.15–5.825-GHz multistandard LNA exhibited a very flat and low NF performance over the band of interest (see the results shown in Section V).

Fig. 6. Measured: (a) input-matching (S ) and output-matching (S ), (b) gain (S ) and reverse isolation (S ), and (c) NF of our 5.15–5.825-GHz multistandard CMOS cascode LNA before and after backside ICP dry etching. The measured NF of the previously reported state-of-the-art 5-GHz-band CMOS cascode LNAs are also shown for comparison.

B. 4-GHz CMOS VCO CMOS voltage-controlled oscillators (VCOs) with integrated inductors are well suited for wireless applications [20], [21]. To prevent the unwanted frequency-pulling effect, a VCO operating at twice the carrier frequency with a following divide-by-two stage can be utilized as the local oscillator in a direct-conversion transceiver. For wireless applications such as dynamic channel selection (DCS), personal communication services (PCSs), and wide–band code-division multiple access (WCDMA), a phase noise of 113 dBc/Hz at 600-kHz offset from the carrier frequency is the minimum requirement for

586

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

a 3.8–4.2-GHz VCO. When the operating frequency exceeds 3 GHz, losses caused by the induced eddy current in the silicon substrate begins to degrade the factor of inductors. For LC VCOs, the of the resonance tank, which is strongly related to the phase noise, will also be pulled down by such a painful loss. Therefore, considerable power consumption is usually necessary to maintain an acceptable phase-noise performance in VCOs. Fig. 3(a) shows the schematic diagram of our designed 3.9– 4.2-GHz monolithic low-phase noise CMOS VCO with 7.5-mW dc power consumption by using the standard 0.18- m CMOS technology. The circuit parameters are: PMOS transistor size: m/ m; resistor size: m/40 m; varactor size: m/ m; and inductor value nH. The negative conductance required to sustain a stable oscillation is generated by the cross-coupled PMOS pair. Although a NMOS or CMOS topology seems to be more powersaving, VCO cores consisting of NMOS usually suffer from exnoise. To prevent the up-conversion of low frequency cess noise, the tail current is defined by a polysilicon resistor instead of a field-effect transistor (FET) current source which may connoise. tribute considerable

TABLE I SUMMARY OF THE PRESENTED AND THE PREVIOUSLY REPORTED STATE-OF-THE-ART 5-GHz-BAND CMOS CASCODE LNAS

V. RESULTS AND DISCUSSIONS A. Micromachined 5.15–5.825-GHz CMOS LNA To verify the effects of backside ICP dry etching on a 5.15–5.825-GHz multistandard CMOS LNA, the CMOS LNA was tested before and after ICP etching. The bias conditions are V, mA, and mA. Measured input and output return losses ( and ) of the LNA before ICP (STD LNA) and after ICP etching (ICP LNA) are shown in Fig. 6(a). is below 17 dB for the STD LNA and 11 dB for the ICP LNA over the band of interest (5.15–5.825 GHz). In addition, the calculated by (7) is close to the measured , which verifies the validity of our proposed theory. The good input match ( 10 dB) between 5.15–5.825 GHz indicates that both LNAs are suitable for multistandard applications [3]. The “blue-shift” of the frequency band may be due to the reduction of parasitic capacitance after ICP. The output buffer achieves excellent output matching over the band of measurement (1–10 GHz) in both cases. Fig. 6(b) shows the measured gain and revere isolation for both LNAs. The maximum is 21 and 23 dB for the STD and ICP LNAs, respectively. That is, there is a 2-dB gain enhancement after ICP etching. Note that the voltage gain of the core amplifier is 6 dB higher than because the output source follower drives a matched load [19]. The calculated by (11) is close to the measured , which verifies again the validity of our proposed theory. The isolation is better than 36.5 dB over the band of interest for the STD and ICP LNAs. The NF performance measured by an ATN NP-5 system before and after ICP etching is shown in Fig. 6(c). Clearly, the reduction of NF can be achieved by backside ICP dry etching over the measured frequency range. The ICP LNA shows a relatively broad-band low-NF characteristic (below 2 dB) over the band of interest with a minimum NF as low as 1.78 dB at 5.4 GHz, which is again suitable for multistandard applications.

This is in contrast with [1] where NF degrades rapidly as frequency deviated from 5.75 GHz [see Fig. 6(c)], although the state-of-the-art NF of 1.8 dB at 5.75 GHz in 0.18- m CMOS technology is achieved. Table I is a summary of the presented and the previously reported state-of-the-art 5-GHz-band CMOS cascode LNAs. The NF of our ICP LNA for multistandard WLAN applications is 1.83 dB at 5.2 GHz and 1.88 dB at 5.7 GHz, respectively. The former is the state-of-the-art result and the latter is comparable to previous report on a 0.18- m cascode CMOS LNA with off-chip bonding wire inductors [1]. Namely, the simple ICP etching can enhance the performance of 0.25- m CMOS LNA with on-chip inductors to parallel and even exceed the performance of 0.18- m CMOS LNA with off-chip inductors. B. Micromachined 4-GHz CMOS VCO Fig. 3(a) shows the schematic diagram of the 4-GHz CMOS LC VCO. The VCO can operate from 3.9 to 4.2 GHz, as shown in Fig. 7(a). Fig. 7(b) shows that the phase noise can be suppressed by 3 dB after backside ICP dry etching. Table II is a summary of the state-of-the-art 4–6-GHz CMOS LC VCO, in which the “FOM” means the widely accepted figure-of-merit defined as follows [20]: dBc/Hz (18) means offset frequency, where means carrier frequency, and means phase noise. According to Table II, the FOM of our VCO after ICP dry etching is the best among the 4–6-GHz CMOS LC VCO with a practical tuning range ( 5%).

WANG et al.: MICROMACHINED CMOS LNA AND VCO BY CMOS-COMPATIBLE ICP DEEP TRENCH TECHNOLOGY

587

also has a wider low NF frequency range than [1]. The FOM of the VCO after ICP etching is the best among the 4–6-GHz CMOS LC VCO with a practical tuning range ( 5%). Finally, the CMOS process compatible ICP technology is also believed to increase the isolation between RF/analog and digital circuits and thus paves a way for SOC. ACKNOWLEDGMENT The authors are grateful for the support from the United Microelectronics Company (UMC) for integrated circuit fabrication under the UMC campus program, the Chip Implementation Center (CIC) for chip fabrication, and the National Nano Device Laboratories (NDL) for high-frequency measurements. REFERENCES

Fig. 7. Measured: (a) tuning range and (b) phase noise of the 4-GHz CMOS LC VCO. TABLE II SUMMARY OF THE PRESENTED AND THE PREVIOUSLY REPORTED STATE-OF-THE-ART 4–6-GHz CMOS LC VCO

[1] D. J. Cassan and J. R. Long, “A 1-V transformer-feedback low-noise amplifier for 5-GHz wireless LAN in 0.18-m CMOS,” IEEE J. SolidState Circuits, vol. 38, no. 3, pp. 427–435, Mar. 2003. [2] T. P. Liu and E. Westerwick, “5-GHz CMOS radio transceiver front-end chipset,” IEEE J. Solid-State Circuits, vol. 35, no. 12, pp. 1927–1933, Dec. 2000. [3] J. Bouras, S. Bouras, T. Georgantas, N. Haralabidis, G. Kamoulakos, C. Kapnistis, S. Kavadias, Y. Kokolakis, P. Merakos, J. Rudell, S. Plevridis, I. Vassiliou, K. Vavelidis, and A. Yamanaka, “A digitally calibrated 5.15–5.825 GHz transceiver for 802.11a wireless LAN’s in 0.18 m CMOS,” in ISSCC Dig. Tech. Papers, San Francisco, CA, Feb. 2003, pp. 352–353. [4] H. Samavati, H. R. Rategh, and T. H. Lee, “A 5-GHz CMOS wireless LAN receiver front end,” IEEE J. Solid-State Circuits, vol. 35, no. 5, pp. 765–772, May 2000. [5] J. N. Burghartz, D. C. Edelstein, K. A. Jenkins, and Y. H. Kwark, “Spiral inductors and transmission lines in silicon technology using copperdamascene interconnects and low-loss substrates,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 10, pp. 1961–1968, Oct. 1997. [6] J. Y.-C. Chang, A. A. Abidi, and M. Gaitan, “Large suspended inductors on silicon and their use in a 2 m CMOS RF amplifier,” IEEE Electron Device Lett., vol. 14, no. 5, pp. 246–248, May 1993. [7] H. Lakdawala, X. Zhu, H. Luo, S. Santhanam, L. R. Carley, and G. K. Fedder, “Micromachined high-Q inductors in a 0.18 m copper interconnect low-k dielectric CMOS process,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 394–403, Mar. 2002. [8] M. Ozgur, M. E. Zaghloul, and M. Gaitan, “Optimization of backside micromachined CMOS inductors for RF applications,” in Proc. IEEE Int. Symp. Circuits Syst., Geneva, Switzerland, May 2000, pp. V185–V-188. [9] R. P. Ribas, J. Lescot, J.-L. Leclercq, J. M. Karam, and F. Ndagijimana, “Micromachined microwave planar spiral inductors and transformers,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 8, pp. 1326–1335, Aug. 2000. [10] A. Wagemans, P. Baltus, R. Dekker, A. Hoogstraate, H. Maas, A. Tombeur, and J. Sinderen, “A 3.5 mW 2.5 GHz diversity receiver and a 1.2 mW 3.6 GHz VCO in silicon-on-anything,” in ISSCC Dig. Tech. Papers, San Francisco, CA, Feb. 1998, pp. 250–251. [11] Y. H. Xie, M. R. Frei, A. J. Becker, C. A. King, D. Kossives, L. T. Gomez, and S. K. Theiss, “An approach for fabricating high-performance inductors on low-resistivity substrates,” IEEE J. Solid-State Circuits, vol. 33, no. 9, pp. 1433–1438, Sep. 1998. [12] H. S. Kim, D. Zheng, A. J. Becker, and Y. H. Xie, “Spiral inductors on Si = substrates with resonant frequency of 20 GHz,” IEEE Electron Device Lett., vol. 22, no. 6, pp. 275–277, Jun. 2001. [13] C. Y. Lee, T. S. Chen, C. H. Kao, J. D. S. Deng, C. C. Yen, Y. K. Lee, J. C. Kuo, J. F. Chang, G. W. Huang, K. M. Chen, and T. S. Duh, “A simple systematic procedure of Si-based spiral inductor design,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 619–622. [14] C. P. Yue and S. S. Wong, “On-chip spiral inductors with patterned ground shields for Si-based RF IC’s,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 743–752, May 1998. [15] D. K. Shaeffer and T. H. Lee, “A 1.5-V, 1.5-GHz CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 745–759, May 1997. [16] A. S. Sedra and K. C. Smith, Microelectronic Circuits, 5th ed. New York: Oxford Univ. Press, 2004, pp. 1103–1105.

p p+

VI. CONCLUSION First, a CMOS process compatible backside ICP dry etching technology to form deep trenches underneath the inductors of RF ICs is developed to enhance the performance of RF ICs with on-chip inductors. Second, the experimental results show the 5.15–5.825-GHz 0.25- m CMOS LNA with on-chip inductors after ICP etching not only has a minimum NF (1.78 dB) comparable to that (1.8 dB) of the previous state-of-the-art 0.18- m cascode CMOS LNA [1] with off-chip bond wire inductors, but

588

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

[17] R. Ludwig and P. Bretchko, RF Circuit Design—Theory and Applications. Upper Saddle River, NJ: Prentice-Hall, 2000, p. 607. [18] S. S. Lu, Y. S. Lin, H. W. Chiu, Y. C. Chen, and C. C. Meng, “The determination of S-parameters from the poles of voltage-gain transfer function for RF IC design,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 52, no. 1, pp. 191–199, Jan. 2005. [19] A. Bevilacqua and A. M. Niknejad, “An ultra-wideband CMOS LNA for 3.1 to 10.6 GHz wireless receivers,” in ISSCC Dig. Tech. Papers, San Francisco, CA, Feb. 2004, pp. 382–383. [20] T. Y. Kim, A. Adams, and N. Weste, “High performance SOI and bulk CMOS 5 GHz VCO,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 93–96. [21] J. Maget, M. Tiebout, and R. Kraus, “Influence of novel MOS varactors on the performance of a fully integrated UMTS VCO in standard 0.25- m CMOS technology,” IEEE J. Solid-State Circuits, vol. 37, no. 7, pp. 953–958, Jul. 2002. [22] T. Song, S. Ko, D. H. Cho, H. S. Oh, C. Chung, and E. Yoon, “A 5 GHz transformer-coupled CMOS VCO using bias-level shifting technique,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 127–130.

Tao Wang was born in Taipei, Taiwan, R.O.C., in 1980. He received the B.S. degree from Chang Gung University, Taoyuan, Taiwan, R.O.C., in 2002, the M.S. degree from National Taiwan University, Taipei, Taiwan, in 2004, both in electronics engineering, and is currently working toward the Ph.D. degree in electronics engineering at National Taiwan University. His research interests are in the areas of radio-frequency integrated circuits and monolithic microwave integrated circuits.

Hsiao-Chin Chen was born in Taipei, Taiwan, R.O.C., on October 6, 1976. She received the B.S. and M.S. degrees in electrical engineering from National Taiwan University, Taipen, Taiwan, R.O.C., in 1998 and 2000, respectively, and is currently working toward the Ph.D. degree at National Taiwan University. From 2000 to 2003, she was with Airoha Technology Corporation as an RF IC Design Engineer. Her major research interests are RF IC and low-power transmitter design for wireless local area sensor network.

Hung-Wei Chiu was born in Taipei, Taiwan, R.O.C., in 1976. He received the B.S. degree from National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., in 1998, and the M.S. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, in 2000 and 2003, respectively, all in electrical engineering. In 2004, he joined the Taiwan Semiconductor Manufacturing Company (TSMC), as a Designer with the Mixed-Mode and RF Library Division. Since then, he has worked in the area of the automation of mixed-mode and RF circuit design. In August 2005, he joined the Department of Electronic Engineering and Graduate Institute of Computer and Communication Engineering, National Taipei University of Technology, Taipei, Taiwan, R.O.C., where he is currently an Assistant Professor.

Yo-Sheng Lin (M’02) was born in Puli, Taiwan, R.O.C., on October 10, 1969. He received the Ph.D. degree in electrical engineering from the National Taiwan University, Taipei, Taiwan, R.O.C., in 1997. His doctoral dissertation focused on the fabrication and study of GaInP–InGaAs–GaAs doped-channel field-effect-transistors and their applications on monolithic microwave integrated circuits (MMICs). He joined the Taiwan Semiconductor Manufacturing Company (TSMC), in 1997 as a Principle Engineer for 0.35-/0.32-m DRAM and 0.25-m embedded DRAM technology developments in the Integration Department of Fab-IV. Since 2000, he has been responsible for 0.18-/0.15-/0.13-m CMOS low-power device technology development with the Department of Device Technology and Modeling, Research and Development, and was promoted to a Technical Manager in 2001. In August 2001, he joined the Department of Electrical Engineering, National Chi-Nan University, Puli, Taiwan, R.O.C., where he is currently an Associate Professor. From June to September, 2004, he was a Visiting Researcher with the High Speed Electronics Research Department, Bell Laboratories, Lucent Technologies, Murray Hill, NJ. His current research interests are in the areas of characterization and modeling of RF active and passive devices, particularly 30–100-GHz interconnections, inductors and transformers for millimeter-wave (Bi)CMOS integrated circuits, and radio-frequency integrated circuits/monolithic microwave integrated circuits.

Guo Wei Huang (S’94–M’97) was born in Taipei, Taiwan, R.O.C., in 1969. He received the B.S. and Ph.D. degrees in electronics engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1991 and 1997, respectively. In 1997, he joined National Nano Device Laboratories, Hsinchu, Taiwan, R.O.C., where he is currently a Researcher. His current research interests focus on microwave device design, characterization, and modeling.

Shey-Shi Lu (S’89–M’91–SM’99) was born in Taipei, Taiwan, R.O.C., on October 12, 1962. He received the B.S. degree from the National Taiwan University, Taipei, Taiwan, R.O.C., in 1985, the M.S. degree from Cornell University, Ithaca, NY, in 1988, and the Ph.D. degree from the University of Minnesota at Minneapolis–St. Paul in 1991, all in electrical engineering. His master’s thesis was related to the planar doped barrier hot-electron transistor, while his doctoral dissertation concerned the uniaxial stress effect on the AlGaAs–GaAs quantum-well/barrier structures. In August 1991, he joined the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. His current research interests are in the areas of radio-frequency integrated circuits/monolithic microwave integrated circuits and micromachined RF components.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

589

Characteristics of Transmission Lines Fabricated by CMOS Process With Deep n-Well Implantation Kazuyasu Nishikawa, Kenji Shintani, and Satoshi Yamakawa

Abstract—We characterized the properties of transmission lines fabricated using a CMOS process with a deep n-well implantation and compared them with the properties of the transmission lines on the silicon substrate with other well formations, e.g., a p-well and those without well formations. The series inductance of the transmission line is nearly constant, for both the various well formations and the resistivities of the silicon substrate. The characteristic impedance of the transmission line on the silicon substrate with the deep n-well is higher than this value on the substrates with the p-well and those without well formations. This is because the capacitance of the transmission line on the silicon substrate with the deep n-well is larger due to the p-n junction. Moreover, the capacitance of the transmission line on the substrate with the deep n-well decreases when the dc bias voltage applied to the deep n-well is increased. The capacitance of the transmission line on the substrate with the deep n-well is nearly constant for the various resistivities of the silicon substrate, while the capacitance of the line on the substrate with the p-well decreases with higher resistivity of the substrate. Index Terms—Complementary metal–oxide–semiconductor (CMOS), coplanar waveguide, deep n-well implantation, microstrip line, silicon substrate, transmission line.

I. INTRODUCTION

A

S MINIMUM feature sizes continue to be scaled down with progress in high-speed performance, complementary metal–oxide–semiconductor (CMOS) technologies have become viable for radio-frequency (RF) circuit implementations and are expected to achieve system-on-a-chip (SOC) very large scale integrations (VLSIs) with high-speed digital processing and functional analog/RF circuits due to a reduction in cost [1], [2]. Several investigations have attempted to optimize RF performance with minimum disturbance to static behavior, as well ensuring compatibility with standard logic CMOS processes. The lossy nature of a silicon substrate can have a significant impact on the performance of an RF-SOC, such as increased loss and substrate coupling. Thus, several approaches have been reported for improving the performance of the RF-SOC. The most conservative approach to suppressing substrate coupling and achieving a high quality factor of the passive components is simply increasing the resistivity of the silicon substrate [3]. However, it is difficult to use high-resistivity substrates for RF-SOCs with digital circuits because the standard logic CMOS processes typically rely on low-resistivity substrates in order to minimize latch-up considerations. Thus, lightly Manuscript received June 13, 2005; revised October 7, 2005. The authors are with the Advanced Technology Research and Development Center, Mitsubishi Electric Corporation, Hyogo 661-8661, Japan (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862679

doped p-type substrates are more typically used in both CMOS and bipolar-CMOS (BiCMOS) approaches for RF-SOC applications; in these, the typical bulk resistivity ranges from a few cm to approximately cm. Another traditional approach to the problem of substrate coupling is the use of deep n-well (buried n-well) isolation, which is a standard option for many CMOS processes. Recently, the use of deep n-wells placed underneath active devices has been shown to be effective in suppressing crosstalk in BiCMOS technology [4] and in improving RF performance in CMOS technology [5]. In contrast, few works have reported on the properties of passive components fabricated on the silicon substrate with deep n-well formation. Therefore, it is necessary to investigate the properties of passive components, especially transmission lines. Today, due to high operating frequencies, interest in more accurate modeling of transmission lines has grown significantly with harmonics well into the microwave region. Much of the past work on transmission lines fabricated on lossy silicon substrates investigated transmission lines fabricated on a bulk silicon substrate with/without a p-well formation [6]–[8]. In this paper, we characterize the properties of microstrip lines (MSLs) and coplanar waveguides (CPWs) fabricated using a standard logic CMOS technology, with the addition of the deep n-well process and a mask. Moreover, we compare the properties of transmission lines fabricated on the silicon substrate with the deep n-well formation and those of lines on the substrate with/without the p-well formation. II. DESIGN AND FABRICATION A. Transmission Lines In order to investigate the properties of transmission lines, we designed and fabricated two types of transmission lines (MSLs and CPWs) using 0.18- m standard logic CMOS technology, with the addition of a deep n-well process and a mask. Fig. 1 shows the cross-sectional view of the test pattern for transmission lines. The signal lines are fabricated on the top metal layer, which is made of Al. The lines are embedded in a silicon dioxide film 4.5 m above the surface of the silicon substrate and are covered by passivation layers such as silicon nitride and polyimide films. The width and thickness of the signal line are 10 and 1.3 m, respectively. The line length is chosen to be from 1 to 3 mm, with additional ground–signal–ground (G-S-G) pads with 150- m pitch for on-wafer measurement. For all line types, RF ground lines are connected to the silicon substrate by small contact holes. CPWs are placed at the beginning and end of the line, while MSLs are placed periodically along the entire ground line.

0018-9480/$20.00 © 2006 IEEE

590

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 1. Cross-sectional view of test pattern for transmission lines.

The lateral distance between the microstrip signal line and the RF ground line is chosen to be greater than 100 m [7], [8]. The line spacing of the CPW is fixed by a commercial full-wave solver (Ansoft’s HFSS [9]) so that the CPW has the characteristic impedance of about 50 at 5.2 GHz. The thickness of the silicon substrate is 300 m, which is achieved by the backside polishing of the substrate after fabrication of the test patterns. Backside oxide is also removed by the backside polishing in order to provide contact during the on-wafer measurement. We use silicon substrates with three kinds of resistivity: 10 m cm, cm, and 2 k cm. Note that these values indicate the initial resistivity of the silicon substrate prior to processing. B. Well Formation We prepared the three types of well formation most commonly used in VLSI circuits, as illustrated in Fig. 2. Fig. 2(a) shows the deep n-well formation on the silicon substrate. A p-well is formed in a deep n-well, which in turn is formed on a p-type silicon substrate. In general, a deep n-well with low resistivity is placed underneath the active and passive devices, and it plays the role of an effective shield against signals emitted from nearby noise sources. Thus, the deep n-well is frequently used to improve device isolation. Ground lines are connected to both the p-well and the silicon substrate. Moreover, the deep n-well can be independently biased by a dc pad. Fig. 2(b) shows the p-well formation on the silicon substrate. In the p-well formation, a p-well is formed on a p-type silicon substrate. Ground lines are connected to the p-well. The p-well is most frequently used in VLSI circuits fabricated by standard CMOS processes. The silicon substrate without well formation is presented in Fig. 2(c). The transmission lines are fabricated using the standard CMOS process adding only one mask step, where ion implantations of the punchthrough stopper, p isolation, and p-well are omitted [10]. Hereafter, we refer to this structure as the w/o (without)-well. C. Measurements The -parameters are measured with an Agilent 8510C Network Analyzer and Cascade coplanar G–S–G probes. Reference

Fig. 2. Cross-sectional view of test patterns on silicon substrates with various well formations. (a) Silicon substrate with a deep n-well. (b) Silicon substrate with a p-well. (c) Silicon substrate without ion implantations of punchthrough stopper, p isolation and p-well [10]. In this paper, we describe this structure as the w/o (without)-well.

open pads are used to subtract the pad parasitics [11]. The complex characteristic impedance and the complex propagation constant ( and are attenuation and phase constant, respectively) of the transmission line are extracted from the -parameters using well-established techniques reported by Eisenstadt [11] and Shih [12]. The frequency-dependent equivalent-circuit parameters per unit length of the transmission line (RLGC parameters) shown in Fig. 3(a) are derived from the complex characteristic impedance and the complex propagation constant using the following equations: (1) and (2) The slow-wave factor constant as follows:

can be calculated from the phase (3)

, and are phase constant in a vacuum, light vewhere locity, and effective relative permittivity, respectively. The measurements of the MSL on the silicon substrate without well formation are in good agreement with the results simulated by the commercial full-wave solver, thus validating the measurements of the on-chip transmission line. Fig. 3(b) depicts a -type lumped frequency-independent equivalent-circuit model for on-chip transmission lines, which is compatible to Simulation Program with Integrated Circuit

NISHIKAWA et al.: CHARACTERISTICS OF TRANSMISSION LINES FABRICATED BY CMOS PROCESS WITH DEEP N-WELL IMPLANTATION

591

Fig. 3. (a) RLGC model and (b) lumped frequency-independent equivalentcircuit model for on-chip transmission line.

Emphasis (SPICE). An additional RL ladder includes the skin effects of both the signal line and the silicon substrate. Moreover, a parallel RC branch is used to take into account the coupling between the signal line and the lossy silicon substrate. We will discuss the model parameters of the transmission lines for various well formations later. III. RESULTS A. Characteristics of the MSLs for Various Wells Here, the characteristics of the MSL on the silicon substrate with the deep n-well are presented and compared with the other well formations (p-well and w/o-well). Fig. 4 shows the frequency dependence of the characteristics of the MSLs on the silicon substrates with various well formations. Solid, dashed, and dashed–dotted lines indicate the properties of the MSLs on the substrates with the deep n-well, the p-well, and the w/o-well, respectively. The resistivity of the silicon substrate is 10 cm. The deep n-well is biased to zero dc voltage for the MSL on the substrate with the deep n-well. The characteristic impedance of the MSL increases with higher frequencies. The characteristic impedance of the MSL on the silicon substrate with the deep n-well is the lowest among them, while that of the MSL on the substrate without well formation is the highest. The attenuation of the MSL increases with higher frequencies. The attenuation of the MSL on the substrate with the deep n-well is nearly equal to that of the MSL on the substrate with the p-well. In the lower frequency region ( 9 GHz), the attenuation of the MSL on the substrate with the deep n-well is the lowest among them. In the higher frequency region ( 9 GHz), the attenuation of the MSL on the substrate without well formation is the lowest. The slow-wave factor decreases with higher frequencies. The slow-wave factor of the MSL on the substrate with the deep n-well is the highest among them, while that of the MSL on the substrate without well formation is the lowest. Fig. 5 shows the dependence of the resistivity of the silicon substrate on the characteristics of the MSLs measured at

Fig. 4. Characteristics of MSLs with various well formations: (a) characteristic impedance Z and (b) attenuation and slow-wave factor = . The resistivity of the silicon substrate is 10 1 cm.

5.2 GHz. The circle, square, and triangle indicate the characteristics of the MSLs on the silicon substrates with the deep n-well, the p-well, and without well formations, respectively. The deep n-well is biased to zero dc voltage for the MSL on the substrate with the deep n-well. Here, the resistivity of the silicon substrate denotes initial resistivity before the fabrication process. It usually declines due to heat treatment during the CMOS process. In fact, spread resistance analysis shows that the substrate resistivity of 2 k cm drops to that of about 500 cm after fabrication due to heat treatment during the CMOS process. The characteristic impedance and the attenuation of the MSL on the substrate with the p-well increases when the resistivity of the silicon substrate is increased, while the slow-wave factor decreases. Although the characteristic impedance and the attenuation of the MSL on the substrate with the deep n-well increases slightly when the substrate resistivity is increased, the slow-wave factor is nearly constant within the range of 10 m cm–2 k cm. At the substrate resistivity of 10 m cm, the characteristics of the MSL on the substrate with the deep n-well are close in value to those of the p-well. The difference between the characteristics of the MSL on the substrate with the deep n-well and those of the MSL on the substrate with the p-well becomes larger when the resistivity of the silicon substrate is increased.

592

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 5. Characteristics of MSLs measured at 5.2 GHz. (a) Characteristic impedance Z . (b) Attenuation and slow-wave factor = .

Fig. 6 shows the frequency dependence of the RLGC parameters of the MSLs on the silicon substrates with various well formations. Solid, dashed, and dashed–dotted lines indicate the properties of the MSLs on the substrates with the deep n-well, the p-well, and the w/o-well, respectively. The resistivity of the silicon substrate is 10 cm. The deep n-well is biased to zero dc voltage for the MSL on the substrate with the deep n-well. The series resistance of the MSL increases as the frequency increases. Below 8 GHz, the series resistance of the MSL on the substrate with the deep n-well is nearly equal to that of the MSL on the substrate with the p-well, but above 8 GHz, it rapidly increases. The frequency dependence of the series resistance of the MSL on the substrate with the p-well is similar to that of the series resistance of the MSL on the substrate without well formation, though the series resistance of the MSL on the substrate with the p-well is higher than that of the MSL on the substrate without well formation. The series resistance of the MSL on the substrate without well formation is the lowest among them. The series resistance contains the resistances of both the signal line and its return path. The return path of the MSL is mainly through the silicon substrate and the well formed on the substrate. The return current can easily flow through material with lower resistivities. Therefore, the series resistance of the MSL on the substrate with lower resistivity is higher than that of the MSL

Fig. 6. RLGC parameters of MSLs with various well formations. (a) Series resistance R and conductance G. (b) Series inductance L and capacitance C . The resistivity of the silicon substrate is 10 1 cm.

on the substrate with higher resistivity, as shown in Fig. 7(a). Moreover, the series resistance of the MSL on the substrate with a well is higher than the resistance of the MSL on the substrate without well formation, because the resistivity of the p-well is higher than the substrate resistivity. Therefore, the series resistance of the MSL on the substrate with a well is higher than the resistance of the MSL on the substrate without well formations. In addition, around 0 GHz, the series resistance of the MSL without well formation is nearly equal to the dc resistance. The conductance of the MSL increases as the frequency increases, as well as the attenuation . The conductance of the MSL on the substrate with the deep n-well is the lowest below 8 GHz, and it becomes nearly equal to that of the MSL on the substrate with the p-well above 8 GHz. The conductance of the MSL on the substrate without well formation is the lowest above 8 GHz. The series inductance of the MSL is almost constant within the frequency range. The series inductance of the MSL on the substrate with the deep n-well is the highest among them. The series inductance of the MSL on the substrate with the p-well is nearly equal to that of the MSL on the substrate without well formation. Capacitance of the MSL decreases as the frequency increases. Capacitance of the MSL on the substrate with the deep n-well is the largest compared with other well formations, and capacitance of the MSL on the substrate without well

NISHIKAWA et al.: CHARACTERISTICS OF TRANSMISSION LINES FABRICATED BY CMOS PROCESS WITH DEEP N-WELL IMPLANTATION

Fig. 7. RLGC parameters of MSLs measured at 5.2 GHz. (a) Series resistance R and conductance G. (b) Series inductance L and capacitance C .

formation is the smallest. This fact is explained by the propagation space and the virtual ground plane. The space of the wave propagation in the silicon substrate with the deep n-well is restricted by the p-n junction. In contrast, compared with the well formation, the propagation space in the substrate without well formation is larger. Therefore, the distance between the silicon surface and the virtual ground in the silicon substrate with the deep n-well is smaller than the distance in the substrate without well formation. The RLGC parameters of the MSLs for the various resistivities of the silicon substrate measured at 5.2 GHz are shown in Fig. 7. The circle, square, and triangle indicate the characteristics of the MSLs on the silicon substrate with the deep n-well, the p-well, and without well formations, respectively. The deep n-well is biased to zero dc voltage for the MSL on the substrate with the deep n-well. The series resistance of the MSL increases when the resistivity of the silicon substrate is decreased. The series resistance of the MSL on the substrate with the deep n-well is slightly higher than that of the MSL on the substrate with the p-well. Conductance of the MSL decreases when the resistivity of the silicon substrate is decreased, as well as the attenuation . The conductance of the MSL on the substrate with the deep n-well is the lowest among them. At the substrate resistivity of

593

2 k cm, conductance has almost the same value for all well formations. The series inductance of the MSL slightly decreases as the substrate resistivity is decreased; 0.95 nH/mm at the resistivity of 10 cm and 0.9 nH/mm at the resistivity of 10 m cm. This fact is explained by the return current; that is, the eddy current. The return current flows through the silicon substrate by electromagnetic induction. The inductance resulting from the signal current is reduced by the return current because the magnetic flux resulting from the return current reduces the magnetic flux caused by the signal current. Since the return current can easily flow through material with lower resistivity, the series inductance of the MSL decreases as the substrate resistivity is decreased. The capacitance of the MSL on the silicon substrate with the p-well decreases when the substrate resistivity is increased. Since the area of wave propagation becomes larger with higher resistivities, the distance between the silicon surface and the virtual ground plane becomes larger with higher resistivities of the silicon substrate [13]. Thus, capacitance of the MSL on the substrate with the p-well decreases with higher resistivities. On the other hand, capacitance of the MSL on the substrate with the deep n-well is almost constant within the range of 10 m cm–2 k cm. Capacitance of the MSL on the substrate with the deep n-well involves oxide capacitance between the signal line and the surface of the silicon substrate, p-n junction capacitance, and substrate capacitance. Oxide capacitance and p-n junction capacitance are independent of the resistivity of the silicon substrate, while substrate capacitance depends on substrate resistivity, due to the area of wave propagation in the silicon substrate. Although the substrate capacitance of the MSL on the substrate with the p-well decreases with higher resistivities, the substrate capacitance of the MSL on the substrate with the deep n-well is almost constant, as can be seen in Fig. 7(b). This fact indicates that the return path of the MSL on the substrate with the deep n-well is mainly through the p-well. The return current in the silicon substrate is restricted by the pn diode between the deep n-well and the silicon substrate. Thus, the area of wave propagation in the silicon substrate is smaller than in other well formations. In other words, most of the signal energy is confined within the p-well and the deep n-well in the case of the MSL on the substrate with the deep n-well. Capacitance of the MSL is almost the same at the resistivity of 10 m cm for all well formations. From this, we see that the virtual ground plane of the silicon substrate with the p-well is placed near the virtual ground plane of the substrate with the deep n-well at the substrate resistivity of 10 m cm. B. Effect of Dc Bias Voltage on Characteristics of Microstrip Lines Here, we present the effect of dc bias voltage applied to the deep n-well on the characteristics of the MSL on the silicon substrate with the deep n-well. Fig. 8 shows the effect of the dc bias voltage on the characteristic impedance of the MSLs on the silicon substrate with the deep n-well for various resistivities of the silicon substrate. Solid, dashed, and dashed–dotted lines indicate the differences with the characteristic impedance at 5.2, 10.4, and

594

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 8. Effect of dc bias voltage on the characteristics of MSLs with deep n-well formation: the difference with real part of characteristic impedance Z at 0 V and at other voltages Z .

(real(1 ))

15.6 GHz, respectively. The circle and the square indicate the difference of the impedances of the MSLs at the substrate resistivity of 10 m cm and 10 cm, respectively. The dc bias voltage applied to the n-well varied from 0 to 3.3 V. At 5.2 GHz, the real part of the characteristic impedance of the MSL linearly increases when the dc bias voltage applied to the n-well is increased from 0 to 3.3 V. The real part of the characteristic impedance of the MSL at the substrate resistivity of 10 m cm is higher than that of the MSL at the resistivity of 10 cm. At the other frequencies, the real part of the characteristic impedance also increases as the dc bias voltage increases. However, the real part of the characteristic impedance increases to only about 80 m /mm even in the case of the applied voltage of 3.3 V and the substrate resistivity of 10 m cm, which creates the largest difference. The effects of the dc bias voltage on attenuation and slowwave factor of the MSL are presented in Fig. 9. Solid, dashed, and dashed–dotted lines indicate the differences in the properties at 0 V and at voltages of 5.2, 10.4, and 15.6 GHz, respectively. The circle and the square indicate the differences and of the MSLs at substrate resistivities of 10 m cm and 10 cm, respectively. The dc bias voltage applied to the n-well varied from 0 to 3.3 V. In Fig. 9(a), the difference of the attenuation is within the range of 0.004–0.001 dB/mm. Although attenuation tends to decrease as the dc bias voltage increases, dc bias voltage dependence on the attenuation is not clearly observed. of the MSL at the In Fig. 9(b), the slow-wave factor substrate resistivity of 10 m cm increases when the dc bias voltage is increased. At the substrate resistivity of 10 cm, the slow-wave factor also increases for higher dc bias voltages, except at the frequency of 5.2 GHz and the dc bias voltage of 1.8 V. Although the slow-wave factor tends to decrease as the dc bias voltage increases, the highest value of difference is small, at about 0.01. Next, we investigate the effect of dc bias voltage on the RLGC parameters of the MSL on the substrate with the deep n-well. Fig. 10 shows the effect of the dc bias voltage on the characteristics of the MSLs on the silicon substrate with the deep n-well for various resistivities of the silicon substrate. Solid, dashed, and dashed–dotted lines indicate the differences with

Fig. 9. Effect of dc bias voltage on the characteristics of MSLs with deep n-well formation. (a) Difference with attenuation at 0 V and at other voltages . (b) Difference with slow-wave factor = at 0 V and at the other voltages = .

(1 )

(1

)

Fig. 10. Effect of dc bias voltage on the characteristics of MSLs with deep n-well formation: the difference with capacitance C at 0 V and at other voltages C.

(1 )

the capacitances at 5.2, 10.4, and 15.6 GHz, respectively. The circle and the square indicate the difference of the capacitances of the MSLs at substrate resistivities of 10 m cm and 10 cm, respectively. The dc bias voltage applied to the n-well varied from 0 to 3.3 V. We clearly observe dc C bias voltage dependence on capacitance of the MSL, compared with other parameters RLG. Capacitance decreases when the dc bias voltage is increased, due to the extension of the depletion layer by the dc bias voltage.

NISHIKAWA et al.: CHARACTERISTICS OF TRANSMISSION LINES FABRICATED BY CMOS PROCESS WITH DEEP N-WELL IMPLANTATION

Fig. 11. Characteristics of CPWs measured at 5.2 GHz. (a) Characteristic impedance Z . (b) Attenuation and slow-wave factor = .

The difference decreases as the frequency increases. Moreover, the difference with capacitance at 0 V at the resistivity of 10 m cm and that at the other voltage is larger than the difference at the resistivity of 10 cm. In addition, the difference of the MSL at the resistivity of 2 k cm (not shown in the figure) is almost constant. As stated above, the frequency dependence of capacitance of the MSL on the substrate with the deep n-well is reasonable, because the depletion layer capacitance decreases with higher dc bias voltages. The deep n-well formation can be applied to small-signal circuits, e.g., low-noise amplifiers, due to its low loss and isolation. However, it is probably difficult to apply the deep n-well to some power handling circuits, e.g., power amplifiers, from the viewpoint of the pn diode-like properties of the deep n-well MSL. C. Characteristics of CPWs for Various Wells Here, we compare the characteristics of the CPWs with those of the MSLs. Fig. 11 shows the dependence of the resistivity of the silicon substrate on the characteristics of the CPWs measured at 5.2 GHz. The circle, square, and triangle indicate the characteristics of CPWs on the silicon substrate with the deep n-well, with the p-well, and without well formations, respectively. The deep n-well is biased to zero dc voltage for the CPW on the substrate with the deep n-well.

595

Fig. 12. RLGC parameters of CPWs measured at 5.2 GHz. (a) Series resistance R and conductance G. (b) Series inductance L and capacitance C .

The characteristic impedance of the CPW on the silicon substrate with the p-well slightly increases from 47 to 52 when the substrate resistivity is increased from 10 m cm to 2k cm. On the other hand, the characteristic impedance of the CPW on the substrate with the deep n-well is about 48 for various resistivities of the substrate. The attenuation of the CPW is about 0.4 dB/mm for various resistivities and well formations, and it is smaller than the attenuation of the MSL. The slow-wave factor of the CPW slightly decreases from 2.8 to 2.6 with higher resistivities of the silicon substrate. The RLGC parameters of the CPWs measured at 5.2 GHz are presented in Fig. 12. The circle, square, and triangle indicate the characteristics of MSLs on the silicon substrate with the deep n-well, the p-well, and without well formations, respectively. The deep n-well is biased to zero dc voltage. The series resistance of the CPW is 4–5 /mm within the range of the substrate resistivity. Conductance slightly increases with higher resistivities of the substrate. The series inductance of the CPW is nearly constant, as well as the of the MSL. Capacitance of the CPW on the substrate with the deep n-well is constant for various substrate resistivities. In contrast, capacitance of the CPW on the substrate with the p-well slightly decreases when the substrate resistivity is increased. The behavior of the characteristics of the CPWs with various well formations is similar to that of the MSL. However, the difference between the characteristics of the CPWs for both the

596

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 13. Dielectric loss tangent of MSLs for various well formations. The deep n-well is biased to zero dc voltage. The resistivity of silicon substrate is 10 1 cm.

well formations and the substrate resistivities is much smaller, compared with the difference between the MSLs. The effective permittivity of the CPW measured at 5.2 GHz is – for the various well formations, which is very close to the optimum of . In contrast, the effective permittivity of the MSL measured at 5.2 GHz is within the range of 10.2–12.9 for the various well formations, which is very close to the effective permittivity of the quasi-TEM mode 11.6. This indicates that the wave propagation in the CPW is mainly concentrated in the small gap between the signal and the ground lines [14] and that the mode of the wave propagation in the MSL is nearly equal to the quasi-TEM mode. Therefore, the effects of the silicon substrate and the well formation on the characteristics of the CPWs are much smaller compared with the case of the MSL. IV. DISCUSSION A. Dielectric Loss of MSL Here, we estimate dielectric loss of the MSL for the comparison between the MSLs on the substrate with various well formations. Fig. 13 shows dielectric loss tangent of the MSLs for the various well formations. The dielectric loss tangent is equal to . Solid, dashed, and dashed–dotted lines indicate the properties of the MSLs on the substrates with the deep n-well, the p-well, and the w/o-well, respectively. The resistivity of the silicon substrate is 10 cm. The deep n-well is biased to zero dc voltage for the MSL on the substrate with the deep n-well. The dielectric loss tangent of the MSL increases as the frequency increases. Above 1 GHz, the conductance of the MSL is not negligible, because the dielectric loss tangent is larger than 0.1. The dielectric loss tangent of the MSL on the substrate with the deep n-well increases to 10 GHz and then decreases beyond 12 GHz. The dielectric loss tangent of the MSL on the substrate without well formation decreases with higher frequencies beyond 6 GHz, while the dielectric loss tangent of the MSL on the substrate with the p-well becomes saturated. The dielectric loss tangent of the MSL on the substrate with the deep n-well is the lowest among them,

Fig. 14. Loss of the MSLs for various well formations. (a) Conductive loss . (b) Dielectric loss . The deep n-well is biased to zero dc voltage. The resistivity of silicon substrate is 10 1 cm.

due to large capacitance of the MSL on the substrate with the deep n-well. The loss of a transmission line normally involves three types of loss: conductive loss; dielectric loss; and radiation loss. Conductive loss includes losses of both the signal line and the return path of the transmission line. The loss of the dielectrics includes oxide film, passivation film and the silicon substrate. Although the electromagnetic wave radiates at the corner of the transmission line, radiation loss is usually small for the straight line. The dielectric loss is straightforward, estimated as in this paper. Thus, the conductive loss is obtained by the difference between attenuation and dielectric loss , where the radiation loss is ignored: . This is mainly because it is difficult to estimate the losses of the signal line and the return path in the silicon substrate. The conductive loss and the dielectric loss of the MSLs for various well formations are presented in Fig. 14. Solid, dashed, and dashed–dotted lines indicate the properties of the MSLs on the substrates with the deep n-well, the p-well, and the w/o-well, respectively. The resistivity of the silicon substrate is 10 cm. The deep n-well is biased to zero dc voltage for the MSL on the substrate with the deep n-well. The conductive loss of the MSL obtained from the above equation slightly decreases and then increases above 6 GHz, as shown in Fig. 14(a). The conductive loss of the MSL on the substrate with the p-well is about the same value as that of the MSL on the substrate with w/o-well formation. The conductive

NISHIKAWA et al.: CHARACTERISTICS OF TRANSMISSION LINES FABRICATED BY CMOS PROCESS WITH DEEP N-WELL IMPLANTATION

597

TABLE I EXTRACTED EQUIVALENT CIRCUIT PARAMETERS

loss of the MSL on the substrate with the deep n-well is the highest among them. The dielectric loss of the MSL on the substrate with the deep n-well increases and is saturated above 12 GHz. The dielectric loss of the MSL on the substrate with the p-well increases when the frequency is increased. The dielectric loss of the MSL on the substrate without well formations is highest in a frequency range less than 8 GHz. Above 12 GHz, it approaches the dielectric loss of the MSL on the substrate with the deep n-well. In contrast, the dielectric loss of the MSL on the substrate with the p-well increases as the frequency increases and is highest above 8 GHz. Thus, the dielectric loss of the MSL on the substrate with the deep n-well is superior to that of the MSL on the substrate with other well formations below 12 GHz. In higher frequencies, the dielectric loss of the MSL is dominant, compared with the conductive loss . Above 2 GHz, the dielectric loss of the MSL of the substrate with both the p-well and the w/o-well becomes larger than the conductive loss . On the other hand, around 5 GHz, the dielectric loss of the MSL on the substrate with the deep n-well is comparable to the conductive loss . From a loss point of view, in a frequency range less than 8 GHz, the MSL on the substrate with the deep n-well is superior to the MSL on the substrate with other well formations. B. Frequency-Independent Equivalent Circuit Parameters of MSLs In the previous sections, we investigated and discussed the properties of transmission lines using the frequency-dependent RLGC parameters. However, the RLGC parameters are often difficult to apply to the circuit simulator (SPICE) due to their frequency dependence. Thus, it is important to extract the frequency-independent parameters of the transmission line for circuit simulators. A -type or -type lumped equivalent-circuit model for on-chip transmission lines is commonly used to extract the frequency-independent parameters of the transmission line. Table I summarizes the extracted model parameters per millimeter for MSLs on the substrate with various well formations, where we use the -type lumped equivalent-circuit model shown in Fig. 3(b). The resistivity of the silicon substrate is 10 cm. The deep n-well is biased to zero dc voltage. Fig. 15 shows the comparison between the measurement and the model simulation for the MSL on the substrate with the deep n-well. The simulated results are in good agreement with the measurements. In other cases, good agreement between the measurements and the model simulation are demonstrated, thus validating the -type lumped equivalent-circuit model for on-chip transmission line.

Fig. 15. Comparison of the measurement and model simulation for MSL on the substrate with the deep n-well.

of the MSL on the In Table I, the extracted dc inductance substrate with various well formations is constant (0.8 nH/mm). and of the MSL on the subThe extracted resistances strate with the deep n-well are highest among them. The additional RL ladder in Fig. 3(b) represents the skin effects of both the silicon substrate and the signal line. In fact, the RL ladder involves not only the return current in the substrate but also the return current in the wells for the MSL on the substrate with a well. Therefore, the extracted parameters of the RL ladder of the MSL on the substrate with a well are larger than that of the MSL on the substrate without well formation. The parallel RC branch composed of , and in Fig. 3(b) is related to the coupling between the signal line and the silicon substrate. The extracted capacitance of the MSL on the substrate without well formation is the lowest, and is nearly equal to the oxide capacitance between the signal line and the substrate surface where the return current mainly flows. Since capacitance of the MSL on the substrate with the deep n-well involves the capacitances of both the oxide films and the p-n junction, capacitance of the MSL on the substrate with the deep n-well is larger than that of the MSL on the substrate without well formation. The extracted resistance of the MSL on the substrate with the deep n-well is the lowest, while that of the MSL on the substrate without well formation is the highest. The wave propagation volume, that is, the position of the virtual ground plane is related to resistance. Since the extracted capacitance is almost constant (64–65 fF/mm) for various well formations, the virtual ground of the MSL seems to be located at the same plane in the silicon substrate with various well formations. Therefore, the extracted resistance of the MSL on the substrate with a well becomes smaller than that of the MSL on the substrate without well formation. The loss tangent of the equivalent-circuit model shown in Fig. 3(b) is expressed as (4) At a lower frequency, the loss tangent is approximately given by . As can be seen in Table I, the value of the MSL on the substrate with the deep n-well is the

598

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

lowest, and that of the MSL on the substrate without well formation is the highest. Thus, the extracted parameters of the equivalent-circuit model can approximately express the properties of the transmission lines. V. CONCLUSION We characterized the properties of transmission lines fabricated by using a CMOS process with a deep n-well implantation and compared them with the properties of the transmission lines on the silicon substrate with other well formations, e.g., with a p-well and those without well formations. The series inductance of the transmission line was nearly constant for both the various well formations and the resistivities of the silicon substrate. The characteristic impedance of the transmission line on the silicon substrate with the deep n-well was higher than this value on the substrate with the p-well and those without well formations. This is because the capacitance of the line on the silicon substrate with the deep n-well was larger due to the p-n junction. Moreover, the capacitance of the transmission line decreased when the dc voltage applied to the deep n-well was increased. The capacitance of the transmission line on the silicon substrate with the deep n-well was almost constant for the various resistivities of the silicon substrate, while the capacitance of the transmission line on the substrate with the p-well decreased with the higher resistivity of the substrate. In addition, the dielectric loss of the transmission line with the deep n-well was lower, compared with the other well formations. ACKNOWLEDGMENT The authors wish to acknowledge Y. Hashizume and Y. Yoneda for fabrication of the test sample. The authors also thank M. Takeda and T. Oomori for their encouragement during this work. REFERENCES [1] L. E. Larson, “Silicon technology tradeoffs for radio-frequency/mixedsignal ‘systems-on-a-chip’,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 683–699, Mar. 2003. [2] C. H. Diaz, D. D. Tang, and J. Sun, “CMOS technology tradeoffs for MS/RF SoC,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 557–566, Mar. 2003. [3] K. Benaissa, J.-Y. Yang, D. Crenshaw, B. Williams, S. Sridhar, J. Ai, G. Boselli, S. Zhao, S. Tang, S. Ashburn, P. Madhani, T. Blythe, N. Mahalingam, and H. Shichijo, “RF CMOS on high-resistivity substrates for system-on-chip applications,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 567–576, Mar. 2003. [4] K. Joardar, “Signal isolation in BiCMOS mixed mode integrated circuits,” in Proc. Bipolar/CMOS Circuits Technol. Meeting, 1995, pp. 178–181. [5] J. G. Su, H. M. Hsu, S. C. Wong, C. Y. Chang, T. Y. Huang, and Y. C. Sun, “Improving the RF performance of 0.18-m CMOS with deep n-well implantation,” IEEE Electron Device Lett., vol. 22, no. 10, pp. 481–483, Oct. 2001. [6] H. Hasegawa, M. Furukawa, and H. Yanai, “Properties of microstrip line on Si–SiO system,” IEEE Trans. Microwave Theory Tech., vol. MTT-19, no. 11, pp. 869–881, Nov. 1971. [7] Y. Eo and J. Shim, “S-parameter-measurement-based high-speed signal transient characterization of VLSI interconnects on SiO –Si substrate,” IEEE Trans. Adv. Packag., vol. 23, no. 3, pp. 470–479, Aug. 2000. [8] W. Dürr, U. Erben, A. Schüppen, H. Dietrich, and H. Schumacher, “Investigation of microstrip and coplanar transmission lines on lossy silicon substrates without backside metallization,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 712–715, May 1998.

[9] 3D Full Wave EM Simulation Software, HFSS, Ansoft Corporation, Pittsburgh, PA, 1990. [10] T. Ohnakado, S. Yamakawa, T. Murakami, A. Furukawa, K. Nishikawa, E. Taniguchi, H. Ueda, M. Ono, J. Tomizawa, Y. Yoneda, Y. Hashizume, K. Sugahara, N. Suematsu, and T. Oomori, “A 0.8-dB insertion-loss, 17.4-dBm power-handling, 5-GHz transmit/receive switch with DET’s in a 0.18 m CMOS process,” IEEE Electron Devices Lett., vol. 24, no. 3, pp. 192–194, Mar. 2003. [11] W. R. Eisenstadt and Y. Eo, “S -parameter-based IC interconnect transmission line characterization,” IEEE Trans. Comp., Hybrids, Manufact. Technol., vol. 15, no. 4, pp. 483–490, Aug. 1992. [12] Y.-C. Shih, “Broadband characterization of conductor-backed coplanar waveguide using accurate on-wafer measurement techniques,” Microwave J., pp. 95–105, 1991. [13] A. Weisshaar, H. Lan, and A. Luoh, “Accurate closed-form expressions for the frequency-dependent line parameters of on-chip interconnects on lossy silicon substrate,” IEEE Trans. Adv. Packag., vol. 25, no. 2, pp. 288–296, May 2002. [14] T. Shibata and E. Sano, “Characterization of MIS structure coplanar transmission lines for investigation of signal propagation in integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 7, pp. 881–890, Jul. 1990.

Kazuyasu Nishikawa was born in Toyama, Japan, in 1967. He received the B.S. and M.S. degrees in physics from Keio University, Kanagawa, Japan, in 1989 and 1991, respectively, and the Ph.D. degree in electrical engineering from Nagoya University, Aichi, Japan in 2005. In 1991, he joined the Central Research Laboratory, Mitsubishi Electric Corporation, Hyogo, Japan, where he engaged in research on microwave application devices. In 1993, he was transferred to the Semiconductor Research Laboratory, where he was involved with the development of advanced CMOS technologies for giga-bit scale DRAMs. In 1995, he was transferred to the Advanced Technology Research and Development Center. Since 1999, he has been involved with the design and fabrication of RF/analog circuits. He is currently interested in RF/analog circuits and sensor devices. Dr. Nishikawa is a member of the Japan Society of Applied Physics.

Kenji Shintani was born in Hiroshima, Japan, in 1974. In 1993, he joined the Materials and Electronic Devices Laboratory, Mitsubishi Electric Corporation, Hyogo, Japan, where he engaged in developing advanced LCD technologies for thin-film technology. In 1995, he was transferred to the Advanced Technology Research and Development Center. From 1995 to 2003, he worked on the development of advanced CMOS technologies for gigabit-scale DRAMs. Since 2003, he has been involved with the design and fabrication of RF/analog circuits. He is currently interested in RF/analog circuits and sensor devices. Mr. Shintani is a member of the Japan Society of Applied Physics.

Satoshi Yamakawa was born in Gifu, Japan, in 1959. He received the B.S. degree in physics from Ehime University, Ehime, Japan, in 1983. He joined the LSI Laboratory, Mitsubishi Electric Corporation, Hyogo, Japan, in 1983. From 1983 to 1993, he engaged in developing solid-state visible and infrared image sensors. In 1993, he was transferred to the Semiconductor Research Laboratory, where he engaged in research on CMOS technology. In 1995, he was transferred to the Advanced Technology Research and Development Center. He is currently interested in analog circuits including RF CMOS and sensors. He is currently a Manager of the Circuit Design Technology Group, Advanced Technology Research and Development Center, Hyogo, Japan.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

599

Design of Variable Gain Amplifier With Gain–Bandwidth Product up to 354 GHz Implemented in InP–InGaAs DHBT Technology Jie-Wei Lai, Yu-Ju Chuang, Kurt Cimino, and Milton Feng, Fellow, IEEE

Abstract—A high-gain and wide-band variable gain amplifier (VGA) is developed using 300-GHz InP–InGaAs double-heteroquad is used to junction bipolar transistor (DHBT). Negativeenhance amplifier gain–bandwidth product. At maximum gain, the single-ended 21 of 17 dB and the associated 3-dB bandwidth of 50 GHz are measured to produce a gain–bandwidth product of 354 GHz in a VGA including a Gilbert multiplier and an output driver. The gain–bandwidth product is twice the value measured from the VGA designed by single resistor degeneration in the same process. The circuit is designed in terms of detailed stability considerations and the experimental results show it to be unconditionally stable over 0.5–50 GHz. The linearity of the VGA is affected by nonlinear effects in DHBTs, and different design approaches are analyzed. An output interception point of the third harmonic of 16.2 dBm is measured. Index Terms—Heterojunction bipolar transistors (HBTs), highfrequency amplifiers, high-speed integrated circuits.

I. INTRODUCTION HE bandwidth of integrated circuits is improved with the advances of device technology. It has been documented in the literature that pMOSFET demonstrates a cutoff frequency of 280 GHz [1]. SiGe bipolar transistor [2] and InP–InGaAs double-heterojunction bipolar transistors (DHBTs) [3]–[5] demonstrate cutoff frequency over 300 GHz. Other III–V technologies such as 562-GHz InP pseudomorphic high-electron-mobility transistor (pHEMT) [6] and over 500-GHz InP–InGaAs single-heterojunction bipolar transistors (SHBTs) [7], [8] are also reported. High-speed integrated circuits are designed using 300-GHz InP–InGaAs SHBTs [9], [10]. The technology advances are definitely advantageous to the operating speed of circuits. In addition to technology improvements, there are several design techniques widely used to extend bandwidth [11]. These techniques include gain peaking, which intentionally creates pole or zero at higher frequency [12], [13]. cancellation is based on junction charge compensation to reduce Miller effect [14]. Strong impedance mismatch is another approach to extend the bandwidth of cascaded stages [15]. To increase bandwidth, one can use a negative feedback through an emitter degeneration resistor, but can sacrifice gain due to a constant gain–band-

T

Manuscript received March 25, 2005. This work was supported in part by the Army Research Laboratory under Contract DAAD17-02-C-0115 and by the Defense Advanced Research Projects Agency. The authors are with the Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Champaign, IL 61801 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862676

TABLE I STATE-OF-THE-ART VGA PERFORMANCE BY InP HBTS

width product. If the gain–bandwidth product can be increased, the achievable bandwidth will be higher. In this study, we investigate the gain–bandwidth extension by using a negativeapproach. The conventional emitter degeneration resistor is replaced with negativequad in which two transistors are cross linked to create an equivalently negative resistance. The negative resistance can cause positive serial feedback and compensate the inherent impedance of the device to produce a higher transadmittance. The gain–bandwidth product is thus increased if the location of the dominant pole is determined only by the load at the output node. However, stability can be degraded. Detailed considerations to maintain circuit stability are made. Parasitic components inherent in circuit layout are also included in the analysis because the amplifier is designed for both high-gain and wide-band operation. The negativeapproach is implemented in a variable gain amplifier (VGA) based on Gilbert multiplier topology [16]. A Gilbert multiplier is a configuration that includes an input transadmittance stage (TAS) and a cascoded Gilbert cell serving as a controllable transimpedance stage (TIS). Since this configuration is similar to Cherry–Hooper topology, strong mismatch occurs and the dominant pole can be simply decided by the output nodes of the Gilbert cell. VGA and automatic gain control (AGC) amplifier integrated circuits (ICs) are the key components in high-speed transmission systems. Table I gives a comparison of recently reported VGA performance by using InP-based HBT technology. The device technology used in this study is an InP–InGaAs DHBT process with a device cutoff frequency of 300 GHz. A 354-GHz gain–bandwidth product is reported. In this paper, we present the design considerations of the VGA in Section III. Linearity of the VGA is discussed in terms of device characteristics and design approach. A large-signal model, including the nonlinear effects in the InP DHBT, is developed to analyze the linearity issues. The measuring results are presented in Section IV with discussions. The

0018-9480/$20.00 © 2006 IEEE

600

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 1. Block diagram of the VGA in this study.

design technique described in the paper can be extended to the applications of wide-band communications and RF circuits for gain boosting. II. INP DHBT TECHNOLOGY The device technology in this study is Vitesse VIP2 InP–InGaAs DHBT process [5]. The process features mesa isolated transistors on a semi-insulating InP substrate. In contrast to the conventional InP HBT process, in which wet etching and liftoff are used to define the self-aligned mesa, a new self-aligned process is developed that eliminates lithography-induced errors and limits. The newly developed process makes devices compact and highly scalable, and the manufacturability is also significantly improved. For the transistor with an emitter area of 0.5 m 4.0 m, the unity current gain cutoff frequency is 300 GHz and the maximum oscillation frequency is 337 GHz. Peak cutoff frequency occurs at a current density of 500 kA/cm . The process technology provides four levels of aluminum interconnection. The resistance of the thin-film resistor is 60 , and the capacitance of MIM capacitor is 0.5 fF/ m in this process. III. CIRCUIT DESIGN The block diagram of the VGA is shown in Fig. 1. The circuit is designed in differential operation but only single-ended input and output are measured. The amplifier includes a gain-controlling core based on a Gilbert multiplier and an output driver matching to an external 50- load. Two cascaded emitter followers are inserted between the Gilbert core and output driver. The input stage is another two cascaded emitter followers terminated by 50- resistors. A. Small-Signal Response Fig. 2 shows the schematics of the gain-controlling core based on the Gilbert multiplier. For the Gilbert multiplier with single resistor degeneration, the small-signal gain can be given by (1) The first term in (1) is the transadmittance of the input stage. is the emitter degeneration resistance and is the transconductance of Q1 and Q2. The second term in (1) is the gain tuning factor of the cascoded Gilbert cell. and are the transconductance of the transistors in the Gilbert cell, and their relative values are controlled by . By changing the value of and through , the overall gain can be controlled. Finally, is the

(a)

(b)

Fig. 2. Schematics of the Gilbert multiplier with: (a) single emitter degeneration resistor and (b) negative-R approach.

Fig. 3. Simulation of the frequency response of circuits shown in Fig. 2.

total load impedance at the output nodes of the Gilbert cell, which includes load resistance, the base–collector capacitance of the transistors, and the input impedance of the next stage. Fig. 2 also shows the Gilbert multiplier using negativequad. The negativequad is equivalent to the resistor with resistance of , and therefore the overall gain of the Gilbert multiplier is given by (2) Comparing (1) and (2), the magnitude of total gain can be increased because of the subtraction in the denominator of (2). Since CM1 and CM2 are low-impedance nodes, the dominant pole occurs at the output nodes of the cascoded Gilbert cell. Therefore, the gain–bandwidth product is improved. When and , simulation (Fig. 3) shows that the gain–bandwidth product of the circuit is improved from 176 to 383 GHz. However, ac current changes its flowing direction due to the crossing connections within the negativequad. The redirection of current flow is not going to affect the current-steering operation of the Gilbert cell, but it causes an output current coming out of the bases of Q3 and Q4 when ac voltage is applied. The negative real part of the input impedance is thus measured, and the circuit stability can be degraded. B. Stability The crossing quad is conventionally used to generate negative resistance in oscillator designs [19]. It is thus necessary to

LAI et al.: DESIGN OF VGA WITH GAIN–BANDWIDTH PRODUCT UP TO 354 GHZ IMPLEMENTED IN InP–InGaAs DHBT TECHNOLOGY

601

Fig. 4. Two one-port network representation of Gilbert multiplier with negative-R design using in the stability analysis.

understand the relevant stability issues when the similar design is used to build a stable amplifier. For a circuit to start oscillation, Barkausen’s criteria must be satisfied in the feedback loop whether it is an intentional design or a parasitic path. When the feedback loop can be decomposed into two one-port networks, Barkausen’s criteria is equivalent to (3) (4) is the equivalent input impedance of port 1 (NW1) where and is the equivalent input impedance of port 2 (NW2). The circuit shown in Fig. 2 is thus decomposed in the way illustrated in Fig. 4. Therefore, is the impedance looking into the emitter of Q1 and is half of the impedance looking into the collectors of Q3 and Q4. By using the small-signal -model shown in Fig. 5(a), and can be derived and given by

Fig. 5. Equivalent circuit models for stability analysis in this study. (a) Small-signal model of the transistor. (b) Equivalent circuit of the input impedance of NW1. (c) Equivalent circuit of the input impedance of NW2.

(5) and (6), shown at the bottom of this page. More analysis shows that (5) and (6) can be further modeled by equivalent RLC circuits. The equivalent circuit of is shown in Fig. 5(b), including an equivalent inductor whose value is determined by the source resistance , base resistance , cutoff frequency , and bias current. The equivalent circuit of is shown in Fig. 5(c). A negative resistance is in parallel to the equivalent capacitor which depends on bias current and associated resistances. Table II lists the values of the transistor model parameters and the corresponding values of the elements in the equivalent circuits. In general, the oscillation condition and oscillation frequency can be solved by using (3)–(6). If , it can be shown that the solution of oscillation frequency exists only when the condition of (7)

is satisfied. is the output resistance of the preceding stage. Using (3) and (7), it is derived that the oscillation frequency is located within , where (8) By using the model parameters given in Table II with is calculated and equal to 0.36 . This indicates that oscillation can possibly occur at the frequency within the frequency range from dc to one third of . Therefore, the condition given by (7) should be prevented for a stable operation of the amplifier. By choosing , the sum of , and must be larger than , and (7) can be avoided. In this case, it can be proven that the solution of oscillation frequency becomes . This result indicates that oscillation does not occur when . The relevant derivations are given in the Appendix.

(6)

602

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

TABLE II LIST OF THE VALUE OF MODEL PARAMETERS AND CIRCUIT ELEMENTS

In this study, because is the output impedance of the emitter follower which can be approximated by , the intrinsic oscillation does not occur as long as . However, stability problems can still be caused by the reactive parasitic components that reside in circuit interconnections. Parasitic inductance exists along with the interconnection between ports 1 and 2. In Fig. 4, this is shown in the dashed box. Even , this inductor can cause the solution of oscillawhen tion frequency to be a real number and is given by

TABLE III I –V RELATIONS OF TYPES OF DEGENERATION

(9) By using (3) and expressions in Fig. 5 again, it can be shown , where that the oscillation frequency is located within

(10) to cause oscillation can thus be The minimum value of solved by using (9) and (10) and is given by

(11) for stable operation of This result poses the upper limit of the amplifier. By using the values given in Table II, is 17.3 pH. Equation (11) also shows that circuits are getting easier to oscillate in high-speed technology with higher . As device technology is downscaled to achieve higher transistor speed, interconnections cannot be scaled accordingly, and it therefore becomes difficult to satisfy the condition of . The parasitic inductance is measured from 0.5 to 1 pH/ m in this process. Therefore, the length of the corresponding line should not be longer than 17 m. For an example with of 20 pH, (9) gives an oscillation frequency of 60 GHz, which is verified by simulation. It is noted that, not only is the interconnection shown in Fig. 4 detrimental to circuit stability, simulation indicates that other inductive components surrounding transistors Q1–Q4 can also cause unstable performance. It is thus important to keep the layout around this area as compact as possible. C. Linearity The linearity of the transadmittance in the TAS is expected to improve in the presence of crossing quad [20]. The

Fig. 6. Calculated results of transadmittance versus signal amplitude in terms of approaches listed in Table III are compared. Biasing current I is 7 mA, kT is 26 mV, and R is 30 in this calculation.

voltage by

across the emitter resistance

can be expressed (12)

The nonlinear distortion from the base–emitter junction is thus compensated within Q1 (Q2) and Q3 (Q4), and the switching current is thus proportional to . Detailed relations are derived and listed in Table III for three types of differential pairs. Fig. 6 shows the calculated results of transadmittance versus . In the case of a negativeapproach, the flatness of is limited by the finite of bipolar transistors. In addition to the nonlinear effect in the base–emitter junction, linearity can also be affected by the nonlinear effects from the base–collector junction of the InP–InGaAs DHBT. First, because highly doped grading layers are used to eliminate base–collector bandgap discontinuity, the nonlinear effects of base–collector junction capacitance depending on junction voltage are significant. The with hundreds of millivolts is usually needed to fully deplete the collector region in an

LAI et al.: DESIGN OF VGA WITH GAIN–BANDWIDTH PRODUCT UP TO 354 GHZ IMPLEMENTED IN InP–InGaAs DHBT TECHNOLOGY

(a)

603

(b)

Fig. 7. DHBT modeling: (a) dc and (b) RF results. The bias points are around the actual bias condition of the transistors inside the negative-R quad. Device emitter area is 0.5 m 3.0 m.

2

InP–InGaAs DHBT. Second, for InP–InGaAs DHBTs, the discontinuity in the conduction band at the base–collector junction creates a blocking effect, giving rise to dc, ac, and nonlinear characteristics that cannot be ignored at high current density. Sufficient is also required to reduce current blocking effect at high injection. However, the of one transistor in the negativequad is biased by the of the other. Therefore, the bias condition within the negativequad may not be able to achieve optimal linearity performance. To investigate the linearity of VGA in this study, conventional Si-bipolar transistor models are not sufficient because neither high doping layers nor current blocking exists in Si-bipolar transistors. Therefore, a DHBT large signal model is developed. The dc current blocking is reflected on the round-off of the knee in curves and is equivalent to an increase of collector resistance when the collector current is high. The RF current blocking is reflected on the rapid falloff with increasing current density. Since the falloff can also be the combining result of base push-out, velocity modulation, and self-heating, we derive a bias-dependent electron transit time component and model the base–collector space charge in such a way that current blocking and velocity modulation can be included together. Self-heating is considered by including a thermal RC circuit and is validated at various substrate temperatures. Fig. 7 shows the dc and RF modeling results around the bias point of the transistors inside the negativequad. Good fitting is achieved and, therefore, the improved device model can support a reliable circuit simulation. The nonlinear dependence of transadmittance versus signal amplitude is simulated by the large-signal model, and the result is shown in Fig. 6. Compared with the results of (15) in Table III, transadmittance drops more rapidly when voltage amplitude increases, indicating that the additional nonlinear effects degrade circuit linearity and further limit the input voltage swing. Based on model simulation, nonlinear effects coming from the base–collector region of the DHBT also degrade the overall VGA linearity performance. When VGA gain is 10 dB, simulation shows that the output interception point of the third harmonic (OIP3) at 10 GHz degrades from 16.6 to 13.9 dBm by replacing the degeneration resistor with negativequad. The degradation of linearity is observed in Section IV.

Fig. 8. Fabricated VGA.

IV. MEASUREMENT RESULTS Amplifiers with large gain and high operating speed should be designed carefully, including layout concerns. Other than certain stability-sensitive lines, all of the critical lines are shortened at the cost of uncritical ones. A photograph of the fabricated amplifier is shown in Fig. 8. The layout remains symmetric along the signal path. GGB industry Picoprobe card is used for dc bias. The equivalent RF model of the dc probe is considered in circuit design, including parasitic inductance of the probe tips and the associated bypass capacitors. On-chip 10-pF metal–insulator–metal (MIM) bypass capacitor in series to a 10- resistor is added in each dc path to further suppress the probing effects. The input and output feeding lines are coplanar waveguides (CPWs). Full electromagnetic (EM) simulation is supported by Momentum, and the design of the CPW is optimized. The -parameters of the VGA are measured on-wafer by an HP8510C network analyzer with Cascade Infinity Probes from 0.5 to 50 GHz. The measurement is first calibrated by on-wafer SOLT calibration set. The measurement results shown here include 6-dB loss due to the single-ended operation and another 6-dB loss from the external 50- load. The amplifier is biased at 6.4 V. Figs. 9 and 10 show the measured -parameters. The single-ended of 17 dB and the associated 3-dB bandwidth of 50 GHz are measured to produce a gain–bandwidth product of 354 GHz. Since the gain–bandwidth product is approximately twice the value measured from the circuit designed by single resistor degeneration in the same process, a higher bandwidth is expected at a given gain for this type of amplifier. In Fig. 11, the group delay derived from the phase of is within 6.5 ps over the gain ranges of 40 dB up to 50 GHz. This indicates that the linear transfer characteristic of the amplifier is maintained over gain tuning under wide-band operation. Since gain peaking is not used here, there is no large variation in group delay. For the VGA designed with gain peaking, a 20 m 30 m emitter capacitor is used in parallel to to produce bandwidth of 50 GHz. By using the negativequad, the capacitor is replaced by two transistors

604

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 9. S -parameters of the VGA designed by negative-R quad are measured and the corresponding in this work. Amplifier gain is controlled by V S -parameters are compared.

Fig. 10. Gain (S ) of the VGA designed by negative-R quad is controlled by the level of V . Highest gain is reached when V = 170 mV.

Fig. 11. Group delay as function of V the VGA in this study.

derived from the measured S

of

occupying a total area of 9 m 11 m. This area saving is thus advantageous to achieve a more compact layout. Stability factors are derived from the measured -parameters and shown in Fig. 12. Although circuit stability degrades at higher gain, remains well above 10, and the experimental results show it to be unconditionally stable over 0.5–50 GHz due to the low input/output return loss and good isolation from output to input port. The stable performance of this amplifier is also the result of design considerations described in Section III-B. First, the emitter resistance is chosen to be sufficiently large to avoid the condition of (7). Therefore, intrinsic oscillation of this design is avoided. Second, the length of the line between Q1 (Q2) and Q3 (Q4) is 4 m. This

Fig. 12. Stability factors as the function of V are derived from the measured S -parameters of the VGA designed by negative-R quad.

Fig. 13.

One-tone measurement results at a fundamental frequency of 1 GHz.

size corresponds to an of 2–4 pH, which is well below . Other interconnections around Q1–Q4 are also short. Therefore, the possibility of oscillation resulting from parasitic components is highly suppressed. The linearity of the amplifier is characterized by one-tone measurement. The output spectrum is recorded by Agilent 8565E spectrum analyzer which is capable of detecting signals up to 50 GHz. To measure the third harmonic, the source frequency is limited to 15 GHz. Fig. 13 shows the power measurement results when VGA gain is 15 dB and the source frequency is 1 GHz. OIP3 is 16.2 dBm and 1-dB gain compression point is 13.6 dBm. Fig. 14 shows the gain dependence of circuit linearity over the range 1–15 GHz. The increased third harmonic at large gain reflects the saturation effect of the following output stage. Excess distortion can also come from the base–collector junction distortion at the output nodes of the Gilbert cell. Linearity is examined with design approaches. To equalize the saturation effect of the output driver among different designs, the VGA gain is fixed at 10 dB for comparison. According to the one-tone measurement results, OIP3 is 13.3 dBm at 1 GHz and 12.1 dBm at 10 GHz for the VGA with negativedesign. Comparing to the circuit designed by single resistor degeneration, in which OIP3 is 17.5 dBm at 1 GHz and 13.0 dBm at 10 GHz, a degradation of linearity is observed. This indicates that, although the nonlinear distortion from the base–emitter junction can be suppressed in the negativequad, nonlinear effects from base–collector junction of DHBT with insufficient can cause extra distortion.

LAI et al.: DESIGN OF VGA WITH GAIN–BANDWIDTH PRODUCT UP TO 354 GHZ IMPLEMENTED IN InP–InGaAs DHBT TECHNOLOGY

605

TABLE IV PERFORMANCE SUMMARY OF THE VGA WITH NEGATIVE-R DESIGN

APPENDIX DERIVATION OF (7)–(10)

Fig. 14. Measured OIP3 and the third harmonic as the function of gain over the range 1–15 GHz. The input power is 20 dBm.

0

To overcome this shortcoming, ac coupling and extra dc bias circuits for the base of Q3 and Q4 in Fig. 4 can be used to imquad if a reduction of bandwidth near plement the negativedc is acceptable. Also, considering the design presented in this paper by using BiCMOS and CMOS technology, devices with better linearity such as short-channel MOSFETs can be used. quad in the configPMOS can also be used in the negativeuration of current folding to reduce supply voltage.

According to Fig. 5, we have (A1) (A2) To satisfy Barkausen’s criteria, we first examine the imaginary part of the sum of impedances. From (A1), (A2), and (4), we can write (A3)

V. CONCLUSION In this paper, we have discussed the design of high-gain and wide-band VGA implemented in 300-GHz InP–InGaAs DHBT technology. The Gilbert multiplier operates on the principle of current switching, and its bandwidth and gain–bandwidth and . For the transistor product are tied to technology is 300 GHz and with emitter area of 0.5 m 4.0 m, is 337 GHz in this technology. It is, therefore, expected that the record performance of integrated circuits based on a Gilbert multiplier can be achieved. The maximum gain is 17 dB design. The gain–bandwidth product by using the negativedesign, small-signal is 354 GHz. Regarding the negativeresponse, stability, and linearity are derived and analyzed. The theoretical results are examined by circuit simulation and verified by experiments. It is shown that the stability problem is avoided by appropriate design to maintain high-gain and wide-band operation. Circuit linearity is characterized. Alquad is capable of suppressing though the negativenonlinearity, measurement results show a degradation of linearity compared with the conventional VGA designed by single resistor degeneration in this DHBT technology. A large-signal DHBT model is developed to analyze this observation. It shows that the linearity degradation is the result of the nonlinear effects at the base–collector junction of InP–InGaAs DHBTs. Table IV gives a summary of the VGA in this study. The achieved performance is not only because of the technology advances, but also because of the relevant design considerations.

If

, it can be shown from (A3) that (A4)

where

(A5) (A6)

(A7) Since the polarities of and are always opposite, the only way to solve with a real solution is when . Therefore, this leads to the result of (A8) Case I: If (A8) is not satisfied, the oscillation frequency be derived from (A4) and given by

can

(A9)

606

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Case II: If (A8) is satisfied, the expressions of Fig. 5(b) and (c) can be simplified and given by

, and

in

(A10) (A11) (A12) (A13)

Digitally Synthesized Transmitter (TFAST) Program Manager Dr. J. Zopler and Army Research Laboratory (ARL) Contract Manager Dr. A. Hung for program support. The authors would also like to thank F. Stroili from BAE Systems, Nashua, NH, for the DARPA-TFAST program management support, R. Elder and D. Jansen for circuit discussion, and M. Le from Vitesse Semiconductor, Camerillo, CA, for wafer fabrication.

(A14) REFERENCES (A15) Substituting (A10)-(A15) into (3), the range of oscillation frequency can be solved to yield

(A16) If parasitic inductance shown in Fig. 4 is included in the calculation, then the oscillation condition (4) becomes (A17) and , the expressions of If Fig. 5(b) and (c) can be simplified and given by

, and

in

(A18) (A19) (A20) (A21) (A22) (A23) Substituting (A18)–(A23) into (A17), it can be shown that the oscillation frequency is

(A24) Substituting (A18)–(A23) into (3), it can be shown that

(A25)

ACKNOWLEDGMENT The authors would like to thank Defense Advanced Research Projects Agency (DARPA)–Technology of Frequency Agile

[1] M. Fritze, C. L. Chen, S. Calawa, D. Yost, B. Wheeler, P. Wyatt, C. L. Keast, J. Snyder, and J. Larson, “High-speed Schottky-barrier pMOSFET with f = 280 GHz,” IEEE Electron Devices Lett., vol. 25, no. 4, pp. 220–222, Apr. 2004. [2] M. Khater, J. Rieh, T. Adam, A. Chinthakindi, J. Johnson, R. Krishnasamy, M. Meghelli, F. Pagette, D. Sanderson, C. Schnabel, K. T. Schonenberg, P. Smith, K. Stein, A. Stricker, S. Jeng, D. Ahlgren, and G. Freeman, “SiGe HBT technology with f =f = 350=300 GHz and gate delay below 3.3 ps,” Int. Electron Devices Meeting Dig., pp. 247–250, Dec. 2004. [3] M. Ida, K. Kurishima, K. Ishii, and N. Watanabe, “High-speed InP/InGaAs DHBT’s with a thin pseudomorphic base,” in IEEE GaAs IC Symp. Dig., San Diego, CA, Oct. 2003, pp. 211–214. [4] T. Hussain, Y. Royter, D. Hitko, M. Montes, M. Madhav, I. Milosavljevic, R. Rajavel, S. Thomas, M. Antcliffe, A. Arthur, Y. Boegeman, M. Sokolich, J. Li, and P. Asbeck, “First demonstration of sub-0.25 m-width emitter InP-DHBT’s with >400 GHz f and >400 GHz ,” in Int. Electron Devices Meeting Dig., San Francisco, CA, Dec. f 2004, pp. 553–556. [5] G. He, J. Howard, M. Le, P. Partyka, B. Li, G. Kim, R. Hess, R. Bryie, R. Lee, S. Rustomji, J. Pepper, M. Kail, M. Helix, R. B. Elder, D. S. Jansen, N. E. Harff, J. F. Prairie, E. S. Daniel, and B. K. Gilbert, “Self-aligned InP DHBT with f and f over 300 GHz in a new manufacturable technology,” IEEE Electron Devices Lett., vol. 25, no. 8, pp. 520–522, Aug. 2004. [6] Y. Yamashita, A. Endoh, K. Shinohara, K. Hikosaka, T. Matsui, S. Hiyamizu, and T. Mimura, “Pseudomorphic In Al As/In Ga As HEMT’s with ultrahigh f of 562 GHz,” IEEE Electron Devices Lett., vol. 23, no. 10, pp. 573–575, Oct. 2002. [7] W. Hafez and M. Feng, “0.25 m emitter InP SHBT’s with f = 550 > 2 V,” in Int. Electron Devices Meeting Dig., San GHz and BV Francisco, CA, Dec. 2004, pp. 549–552. [8] J. W. Lai, W. Hafez, and M. Feng, “Vertical scaling of Type-I InP HBT with f > 500 GHz,” in High Performance Devices: Proceedings of the Lester Eastman Conference, Selected Topics in Electronics and Systems, R. E. Leoni III, Ed, Singapore: World Sci., 2005, vol. 35. [9] M. Rodwell, Z. Griffith, D. Scott, Y. Wei, Y. Dong, V. Paidi, M. Dahlstrom, N. Parthasarathy, C. Kadow, M. Urteaga, R. Pierson, P. Rowell, S. Lee, N. Nguyen, C. Nguyen, and B. Brar, “Transistor and circuit design for 100–200 GHz ICs,” in IEEE Compound Semicond. IC Symp. Dig., Monterey, CA, Oct. 2004, pp. 207–210. [10] J. W. Lai, Y. J. Chuang, D. Caruth, W. Hafez, and M. Feng, “Design and fabrication of RF front-end circuits using 300 GHz InP/InGaAs HBTs,” in Proc. Int. Electron Devices Material Symp., Hsin-Chu, Taiwan, Dec. 2004, pp. 383–386. [11] H. M. Rein and M. Moller, “Design considerations for very-high-speed Si-bipolar IC’s operating up to 50 GB/s,” IEEE J. Solid-State Circuits, vol. 31, no. 8, pp. 1076–1090, Aug. 1996. [12] J. Choma, “Active peaked broadband monolithic amplifier,” Proc. Inst. Elec. Eng., vol. 127, no. 2, pp. 61–66, Apr. 1980. [13] R. Reimann and H. M. Rein, “A single-chip bipolar AGC amplifier with large dynamic range for optical-fiber receivers operating up to 3 Gbit/s,” IEEE J. Solid-State Circuits, vol. 24, no. 6, pp. 1744–1748, Dec. 1989. [14] T. Wakimoto and Y. Akazawa, “A low-power wide-band amplifier using a new parasitic capacitance compensation technique,” IEEE J. SolidState Circuits, vol. 25, no. 1, pp. 200–206, Feb. 1990.

LAI et al.: DESIGN OF VGA WITH GAIN–BANDWIDTH PRODUCT UP TO 354 GHZ IMPLEMENTED IN InP–InGaAs DHBT TECHNOLOGY

607

[15] E. M. Cherry and D. E. Hooper, “The design of wide-band transistor feedback amplifiers,” Proc. Inst. Elec. Eng., vol. 110, no. 2, pp. 374–389, Feb. 1963. [16] B. Gilbert, “A precise four-quadrant multiplier with subnanosecond response,” IEEE J. Solid-State Circuits, vol. 3, no. 4, pp. 365–373, Dec. 1968. [17] K. W. Kobayashi, “A DC-40 GHz InP HBT Gilbert multiplier,” in IEEE GaAs IC Symp. Dig., San Diego, CA, Oct. 2003, pp. 251–254. [18] R. B. Elder and D. S. Jansen, private communication. [19] B. Razavi, “Chapter 14: Oscillators,” in Design of Analog CMOS Integrated Circuits. New York: McGraw-Hill, 2001, pp. 482–531. [20] R. Caprio, “Precision differential voltage-current convertor,” Electron. Lett., vol. 9, no. 6, pp. 147–149, Mar. 1973.

Kurt Cimino received the B.S. degree in electrical engineering from the University of Illinois at Urbana- Champaign, in 2004, and is currently working toward the M.S. degree in electrical engineering at the University of Illinois at Urbana-Champaign. His research interests include the measurement and modeling of the smallsignal, large-signal, noise, and linearity properties of high-speed transistors, and the design of high-frequency amplifier and mixer circuits.

Jie-Wei Lai received the B.S. and M.S. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 1996 and 1998, respectively, and the Ph.D. degree from the University of Illinois at Urbana-Champaign in 2005, all in electrical engineering. His research includes the development of high-speed heterojunction bipolar transistors, bipolar transistor models, and the design of high-frequency circuits including amplifiers, mixers, modulators, and dividers for high-speed communication. Dr. Lai was the recipient of the Gregory E. Stillman Fellowship in 2002, the Gregory E. Stillman Semiconductor Research Award in 2003, and the Outstanding Paper Award from IEDMS in 2004.

Milton Feng (SM’82–F’92) received the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign in 1979. From 1979 to 1983, he was Section Head of the Material and Device Group at Torrance Research Center, Hughes Aircraft Company, Torrance, CA. From 1984 to 1991, he was with Ford Microelectronics Inc., Colorado Springs, CO. He managed the Advanced Digital Integrated Circuit Development Program in 1K SRAM and 500 gate array. Later, he was the Director of advanced development and fabrication on both digital and microwave/millimeter-wave development programs and manufacturing technology. Since 1991, he has been a Professor of electrical and computer engineering and a member of the faculty with the Center for Compound Semiconductor Microelectronics, University of Illinois at Urbana-Champaign. He is the cofounder of Xindium, Champaign, IL, and a board member of Supertex, Sunnyvale, CA (NASDAQ), and Xindium. He has authored or coauthored over 120 journal papers and 130 conference papers and holds seven patents in the areas of microelectronics, RF microelectromechanical (MEMS), and opto-electronics. His research interests include ion-implantation technology in III–V technology, optoelectronics integrated circuits (ICs), high-speed mixed-signal heterojunction bipolar transistor ICs, microwave/millimeter-wave ICs on material, RF MEMS devices, and RF CMOS technology. Dr. Feng was elected as a Fellow of the Optical Society of America (OSA) in 2003. He was the recipient of the IEEE David Sarnoff Award in 1997 and the Dr. Pan Wen Yuan Award for outstanding contribution of noise in microelectronics. He was named the first Nick Holonyak, Jr. Professor of Electrical and Computer Engineering in 2000 the first Nick Holonyak Jr. Endowed Chair of Electrical and Computer Engineering in 2005.

Yu-Ju Chuang received the B.S. degree from National Taiwan University, Taipei, Taiwan, R.O.C. in 2002 and the M.S. degree from the University of Illinois at Urbana-Champaign, in 2004, both in electrical engineering. Her research includes the design of high-frequency circuits such as amplifiers and voltage-controlled oscillators. She has also been involved in the development of large-signal model and noise model for high-speed heterojunction bipolar transistors. Ms. Chuang was the recipient of the Gregory E. Stillman Semiconductor Research Award for the year of 2006.

608

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Experimental Validation of Generalized Equations for FET Cold Noise Source Design Mark H. Weatherspoon, Member, IEEE, and Lawrence P. Dunleavy, Senior Member, IEEE

Abstract—This work advances the capabilities of accurately quantifying the microwave noise temperature that exits port one of a two-port active device when port two is terminated with a complex load of known temperature. This noise temperature is of interest when characterizing and designing field-effect transistor (FET)-based cold noise sources or active cold loads that can be used as radiometer calibration reference standards. Unlike prior efforts, noise wave theory is used herein to derive an equation that embodies the complete effects of load mismatch, thus providing a new expression that correctly predicts the available noise temperature exiting port one of the two-port device. An electronic tuner is used to vary the impedance presented to port two of an on-wafer device while the port-one noise temperature is measured. The measured forward noise parameters of the device, the tuner impedance, and the tuner temperature (usually ambient) are used to compute the predicted output noise temperature values. Good agreement was observed between the noise wave-based predicted noise temperature and the measured noise temperature. Equations are developed for achieving minimum noise temperature, and a procedure including simulations and a flowchart are also presented for the design of FET-based synthetic cold loads. Index Terms—Active cold load (ACL), ACL design, cold noise source, cold noise source design, noise temperature, noise temperature measurement, synthetic cold load, synthetic cold load design, two-port noise source theory.

I. INTRODUCTION

N

OISE SOURCES employing transistors have been used to provide effective hot and cold temperatures. Early microwave (1–30 GHz) characterization of noise sources employing field-effect transistor (FET) devices can be traced back to 1981, when theory was first developed using metal–semiconductor FET (MESFET)-based noise sources [1]. In 1983, an electronically cold microwave artificial resistor was designed using an FET [2]. However, it was not until 1997 that practical relations for microwave one-port noise sources were developed in terms of conventional noise parameters and reported in [3]. This practical two-port noise source theory described the available output noise temperature at the input of a two-port device with the output terminated with a matched load and was based on the work in [4]. Since then, a number of other works have used this theory to quantify available noise temperature in applications such as high electron-mobility transistor (HEMT)-based cold noise sources (CNSs) [5] and metamorphic Manuscript received April 13, 2005; revised August 24, 2005. M. H. Weatherspoon is with the Electrical and Computer Engineering Department, Florida A&M University–Florida State University, Tallahassee, FL 32310 USA (e-mail: [email protected]). L. P. Dunleavy is with the Electrical Engineering Department, University of South Florida, Tampa, FL 33620 USA. Digital Object Identifier 10.1109/TMTT.2005.862674

Fig. 1.

Two-port noise source schematic.

high electron-mobility transistor (MHEMT)-based active cold loads (ACLs) [6]. This work will further expand upon the existing theory by developing an improved description of two-port noise source theory that accurately predicts the available one-port noise temperature at the input of a two-port device with the output terminated with a load. The load need not be matched to the output of the two-port device as with the previous theory [1], [3], [5]. Two key cases not addressed by the previous theory include the effects of: 1) a nonmatched, nonzero temperature load (which is the practical case) and 2) output noise power reflected from the nonmatched load and retransmitted through the two-port device to the input. Theory for predicting these effects likely is included in past treatments such as [7]–[9], but it has not to date been interpreted and applied to FET noise source design. In the next section, the existing two-port noise source theory is presented. Section III describes in detail the derivation of the improved noise wave-based two-port noise source theory. Section IV contains the results of the predicted available one-port noise temperature using the improved theory and the existing theory compared to measured data for various active devices. In Section V, a procedure is presented with simulations and a flowchart for the design of FET-based cold noise sources, and, in Section VI, conclusions are presented about the results of this work. II. EXISTING TWO-PORT NOISE SOURCE THEORY In Fig. 1, an amplifier is represented by its two-port -parameters with internal noise sources. The output of the amplifier is terminated with a load at temperature . When the load is matched to the amplifier without reflection , the available output noise temperature seen at the input of amplifier can be written in equation form as K

(1)

where is the reverse radiation noise temperature and is defined in [4] as the noise temperature seen at the amplifier input

0018-9480/$20.00 © 2006 IEEE

WEATHERSPOON AND DUNLEAVY: EXPERIMENTAL VALIDATION OF GENERALIZED EQUATIONS FOR FET COLD NOISE SOURCE DESIGN

609

when the output is matched (without reflection) to a load at 0 K. Thus, is the ideal case or the theoretical low temperature. is the sole noise parameter used to quantify the noise characteristics of the amplifier in Fig. 1, and, in equation form, can be expressed in terms of the conventional noise parameters ( , and ) as follows [3]: K

(2)

with (3) and K

(4)

where is the equivalent noise resistance, is the optimum noise conductance, and is the reverse available gain of the amplifier and in equation form is given by (5) with (6)

Fig. 2. Noise representation in linear two-ports networks: (a) classical and (b) noise waves.

with a voltage noise generator and current noise generator , as illustrated in Fig. 2(a). These noise generators represent random noise levels varying with time and are usually described by statistical averages (mean square average). The two noise generators may also be correlated. The equivalent circuit of Fig. 2(a) is used in the classical derivation of noise temperature and noise figure of linear twoports networks with the following results [8]:

is conventionally expressed in terms of the minimum noise figure as K

(7)

Considering the relationship between and , it is clear that approaches when approaches zero, since is not zero. Thus, for design purposes, minimizing is a goal for obtaining very close to . It has also been suggested in [3] that can be reduced by cascading two or more noise sources together (i.e., terminating the noise source with another noise source instead of the ambient load). can be calculation for a matched load at the input terminals (port 1) by interchanging subscripts 1 and 2 of (1), (3), (5), and (6), as was done in [4]. can be written as K

(9)

(8)

Finally, it should be noted that the noise temperature described in (1) does factor in the contribution of the load temperature regardless of whether the load is matched or mismatched to the amplifier. It also has some dependence due to dependence on . However, not included in the theory behind (1) is what happens when the amplifier output noise power is reflected from the load and travels back through the amplifier to the port-one side. Section III will present new theory and equations that take into consideration more fully the effect of nonmatched loads. III. IMPROVED TWO-PORT NOISE SOURCE THEORY Theory on noisy two-port networks is well established and is documented eloquently in the classic paper on noisy four poles by Rothe and Dahlke [10]. A noisy linear two-port network can be equivalently represented as a noiseless ABCD chain matrix

K (10) Determination of the traditional noise parameters , and can be accomplished through a number of measurements in which is varied, and then subsequent computations are performed. These traditional noise parameters are used with the theory in the previous section to predict the available noise temperature exiting one port of a two-port device when the other port is terminated by a load with a known temperature and reflection coefficient. However, an alternative theory will be used for the purpose of providing a more accurate prediction of the available one-port noise temperature of a two-port device. Fig. 2(b) shows the equivalent circuit used to represent a linear noisy two-port using noise waves. and represent the two noise waves (which may or may not be correlated) that can be defined in terms of noise sources of Fig. 2(a) and the complex normalization impedance that is dependent on the device. In equation form, and are written as [9] (11) (12) The use of noise waves to describe a linear noisy two-port device was done in work by Penfield in 1962 [7] prior to the work done by Meys [11]. Penfield quantified, in terms of the

610

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 3. Two-port noise wave representation with system and load connected at ports one and two, respectively, with a lossy noiseless two-port device.

Fig. 4.

traditional noise parameters, a set of alternative noise parameters that described a wave representation of two-port noise. He also presented a method to measure the alternative noise parameters. Meys developed some extensions to Penfield’s theory and demonstrated a method to measure the alternative noise parameters and subsequently calculate the traditional noise parameters of a transistor. Both of these works will be used to develop an improved cold noise source theory to predict available one-port noise temperature using the measured traditional noise parameters [ , and of (9)] of the two-port. To accurately apply the noise wave theory to the setup as seen in Fig. 1, a representation different than Fig. 2(b) must be used. Fig. 3 shows the noise waves for external noise sources ( and ), emergent voltage waves ( and ), and incident voltage waves ( and ) with respect to the two-port. The internal (or incident and emergent) noise waves of (11) and (12) are also shown. Now, it is possible to begin deriving the noise temperature incident on plane 1 from the two-port device of Fig. 3. The total noise wave that would be incident on plane 1 is

where, in terms of Boltzmann’s constant and noise bandwidth , we have

Signal flow diagram of a two-port device for gain calculation.

(19) (20) (21) (22) (23) (24) The power gains in (18) can be expressed in terms of the square of the voltage gains as

(25)

(26)

and ) of (13) are given in terms of raThe voltage gains ( tios of the emergent and incident voltage waves of Fig. 4. These ratios are then solved using Mason’s rule yielding the following:

Since (23) and (24) move the external noise sources inside planes 1 and 2, the available power gains of (18) can be described solely by the two-port device, independent of the terminals. These terminal invariant gains can be used in determining the noise temperature incident on plane 1 and are given as

(14)

(27)

(15)

(28)

(16)

Equation (22) is based on the assumption that no correlation exists between these internal noise waves when the optimum source impedance of the two-port device is used for of (11) and (12) as in [7]. Substituting (19)–(24), (27), and (28) into (18) and simplifying allows an expression for the noise temperature incident on plane 1 to be written as

(13)

where

(17) Because the internal noise waves embody the noise of the twoport and the external noise waves are not defined by the twoport, there is no correlation between the internal and external noise waves as in Meys [11]. Thus, the squared modulus of (13) is

(18)

K

(29)

where and are the alternate noise parameters as described in [7] and [11]. These alternate noise parameters can be expressed in terms of the traditional noise parameters as K

(30)

WEATHERSPOON AND DUNLEAVY: EXPERIMENTAL VALIDATION OF GENERALIZED EQUATIONS FOR FET COLD NOISE SOURCE DESIGN

611

2

Fig. 5. DUT: 4 50 m GaAs MESFET at 18 GHz. Comparison of measured (Tdut vec) and predicted input noise temperature versus 15 different tuner states presented to the output of the DUT. DUT was biased at V = 3 V and I = 10:14 mA at an ambient room temperature of 295 K.

K where

(31)

is given by (4), and (32)

Equation (32) is for a general, not necessarily matched, load and will reduce to (3) as defined in [4] for a matched load . For a load at the input terminal (plane 1) of the two-port device of Fig. 3, an expression for the noise temperature incident on plane 2, , can be obtained in a similar fashion as was derived. can be written as

2

Fig. 6. DUT: 4 50 m GaAs MESFET at 18 GHz. Spread of the 15 tuner states presented to the output of the DUT (0 ) versus S (triangle) and S (star). DUT biased as in Fig. 5.

K (33)

IV. RESULTS AND COMPARISONS Two different on-wafer measurements of microwave discrete devices are presented to validate the new theory. An electronic tuner was used to present a spread of impedances to the output (drain side) of each of the two-port devices-under-test (DUTs) while the noise temperature was measured at the intput (gate side) using the vector method as outlined in [12] and [13]. Forward noise parameters of the DUTs were also measured and were used with the tuner reflection coefficients and temperature to predict the noise temperature of the DUTs. Fig. 5 shows the comparison between measured and predicted results at the input of the DUT (plane 1 of Figs. 1 and 3) using the old and improved theory for a Hughes 0.5 m 200 m gallium–arsenide (GaAs) MESFET. The improved theory data tracks the measured data well and shows significant improvement over the old theory. The spread of the tuner states presented to the output of the DUT (plane 2 of Figs. 1 and 3) versus and appears in Fig. 6, and the spread of for the tuner states presented to the output of the DUT versus , and appears in Fig. 7. Fig. 7 illustrates that an input power match does not occur for the spread of impedances presented at the DUT output. The power match condition will be further discussed in Section V.

2

Fig. 7. DUT: 4 50 m GaAs MESFET at 18 GHz. Spread of 0 for the (triangle), 0 15 tuner states presented to the output of the DUT versus 0 (star), S (square), and S (circle). DUT biased as in Fig. 5.

Fig. 8 shows the comparison between measured and predicted results at the input of the DUT using the old and improved theory for a Texas Instruments 0.5 m 300 m GaAs MESFET. Again, the improved theory data tracks the measured data well and shows significant improvement over the old theory. The spread of the tuner states presented to the output of the DUT versus and appears in Fig. 9, and the spread of for the tuner states presented to the output of

612

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

2

Fig. 8. DUT: 4 75 m GaAs MESFET at 14 GHz. Comparison of measured (Tdut vec) and predicted input noise temperature versus 16 different tuner states presented to the output of the DUT. DUT was biased at V = 3 V and I = 9:2 mA at an ambient room temperature of 295 K.

2

Fig. 10. DUT: 4 75 m GaAs MESFET at 14 GHz. Spread of 0 for the (triangle), 0 16 tuner states presented to the output of the DUT versus 0 (star), S (square), and S (circle). The DUT is biased as in Fig. 8.

. This solution provides solution to (32) of the form an input power match at plane 1 of Fig. 3. Using (16), an expression can be developed for the port-2 reflection coefficient required to obtain the input power match condition and in terms of the -parameters and of the device is as follows: (34)

2

Fig. 9. DUT: 4 75 m GaAs MESFET at 14 GHz. Spread of the 16 tuner states presented to the output of the DUT (0 ) versus S (triangle) and S (star). The DUT is biased as in Fig. 8.

the DUT versus , and appears in Fig. 10. An input power match does not occur for the spread of impedances presented at the DUT output, as illustrated in Fig. 10. Again, the power match condition will be further discussed in Section V. V. DESIGN CONSIDERATIONS AND SIMULATIONS FOR FET CNSS In [1] and [6], CNSs and ACLs were designed with the objective of obtaining the smallest possible using the old two-port noise source theory. No formal design procedure to achieve this objective was presented in the literature. Now, with the demonstrated improved prediction capabilities of the new two-port noise source theory, a design guideline for obtaining a minimum can be established through analysis of the equations associated with . Further study of (29)–(31) reveals that can be minimized by setting equal to zero. This condition forces an apparent

Sometimes, however, the load impedance required to achieve an input power match results in a magnitude value greater than unity. As an alternative, feedback can be used as suggested in [14] and [15] and illustrated in Fig. 11 to obtain the input power match condition. Conversely, a case where approaches its maximum value also exists. This case occurs for the condition where of (29) and (30) approaches unity. Obviously, this condition should be avoided when the minimum available noise temperature is desired. For an actual design as seen in Fig. 11 and using the previously mentioned 4 75 m GaAs MESFET, a minimum value can be achieved by first determining the 14-GHz -parameters and noise parameters of the bare device. These measured values are given in Tables I and II (with ). Next, the load impedance at reference plane 2 is calculated using the following modified version of (34): (35) The -parameters and are measured between the primed reference planes in Fig. 11, and, when , these parameters represent those of the bare device. Since the calculated value for has a magnitude greater than unity (see Table II), feedback inductance must be added. Fig. 12 shows a plot

WEATHERSPOON AND DUNLEAVY: EXPERIMENTAL VALIDATION OF GENERALIZED EQUATIONS FOR FET COLD NOISE SOURCE DESIGN

613

TABLE III SIMULATED NOISE TEMPERATURES WITH RESPECT TO THE PRIMED REFERENCE PLANES

Fig. 11.

Design schematic for a two-port CNS or ACL.

TABLE I SIMULATED S -PARAMETERS BETWEEN THE PRIMED REFERENCE PLANES

TABLE II SIMULATED NOISE PARAMETERS AND DESIGN 0 WITH RESPECT TO THE PRIMED REFERENCE PLANES

Fig. 13.

Simulated T ; T ; T , and T versus feedback inductance for the 4 75 m GaAs MESFET at 14 GHz. Simulated using measured S -parameters and noise parameters from the DUT biased as in Fig. 8.

Fig. 12.

L

2

of simulated noise temperatures versus . Initially, should be selected to achieve minimum . As seen in Fig. 12, the minimum of 104.638 K occurs at 200 pH for as opposed to 104.852 K at 170 pH for . The difference in minimum noise temperatures seems small, but without (or with very little) feedback inductance, the difference in noise temperatures becomes large, as seen in Fig. 12 and Table III. Furthermore, the addition of feedback inductance provides a much greater reduction in , as evidenced by the simulated results in Table III.

Design flowchart for the CNS or ACL of Fig. 11.

The new -parameters and must be determined between the primed reference planes in Fig. 11 taking into consideration . The simulated results are provided in Tables I and II (with pH) and are used to calculate the new . Since the new calculated value for has a magnitude less than unity (see Table II), it is possible to design the output matching network necessary for the minimum available noise temperature at plane 1 of Fig. 11. Finally, the input matching network is designed under the conditions for a simultaneous optimum noise match and input power match. The latter match allowed for the derivation of (34) based on the condition that for minimum . This condition is indeed satisfied and is made evident by equaling in the simulations of Fig. 12, where (32) is substituted into (30). The former match is achieved by the following familiar expression: (36) includes the feedback inductance. A general design where procedure is outlined in the flowchart found in Fig. 13. VI. CONCLUSION A new and improved two-port noise source theory has been developed from a noise wave representation of a noisy two-port

614

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

device. Results are presented in the microwave range for two different devices with each confirming that the new theory provides improved prediction capabilities over the old theory. Equations were developed for achieving minimum available noise temperature for a two-port noise source terminated with a load. A procedure was presented for designing FET-based ACLs. Measured FET data were used in design simulations of a CNS to illustrate the effectiveness of the procedure. A design flowchart was also presented. The new theory can also be used to provide better noise temperature models for ACLs or amplifiers. Table-based models or artificial neural network models can use the expressions of (29) and (33) or data generated using these expressions as a basis for accurate model generation for the prediction of available noise temperature. ACKNOWLEDGMENT The authors are grateful to J. Randa, National Institute of Standards and Technology (NIST), Boulder, CO, for helpful discussions. REFERENCES [1] R. H. Frater and D. R. Williams, “An active ‘cold’ noise source,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 4, pp. 344–347, Apr. 1981. [2] R. L. Forward and T. C. Cisco, “Electronically cold microwave artificial resistors,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 1, pp. 45–50, Jan. 1983. [3] S. M. Lardizabal, “Bias and temperature dependent noise modeling of microwave and millimeter-wave field effect transistors,” Ph.D. dissertation, Elect. Eng. Dept., Univ. South Florida, Tampa, FL, 1997. [4] D. Wait and G. F. Engen, “Application of radiometry to the accurate measurement of amplifier noise,” IEEE Trans. Instrum. Meas., vol. 40, no. 2, pp. 433–437, Apr. 1991. [5] L. P. Dunleavy, M. C. Smith, S. M. Lardizabal, A. Fejzuli, and R. S. Roeder, “Design and characterization of FET based cold/hot noise sources,” in IEEE MTT-S Int. Microw. Symp. Dig., Denver, CO, Jun. 1997, pp. 1293–1296. [6] P. M. Buhles and S. M. Lardizabal, “Design and characterization of MMIC active cold loads,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, pp. 29–32. [7] P. Penfield, “Wave representation of amplifier noise,” IRE Trans. Circuit Theory, vol. CT-9, pp. 84–86, Mar. 1962. [8] S. W. Wedge and D. B. Rutledge, “Wave techniques for noise modeling and measurement,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 11, pp. 2004–2012, Nov. 1992. [9] J. Randa, “Noise parameter uncertainties: Monte Carlo analysis,” J. Res. Nat. Inst. Standards Technol., vol. 107, pp. 431–444, Sep.–Oct. 2002. [10] H. Rothe and W. Dahlke, “Theory of noisy four poles,” Proc. IRE, vol. 44, no. 6, pp. 811–818, Jun. 1956. [11] R. P. Meys, “A wave approach to noise properties of linear microwave devices,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 1, pp. 34–37, Jan. 1978.

[12] M. H. Weatherspoon, L. P. Dunleavy, A. Boudiaf, and J. Randa, “Vector corrected noise temperature measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, May 2002, pp. 2253–2256. [13] M. H. Weatherspoon and L. P. Dunleavy, “Vector corrected on-wafer measurements of noise temperature,” IEEE Trans. Instrum. Meas., vol. 54, no. 3, pp. 1327–1332, Jun. 2005. [14] H. A. Haus and R. B. Adler, “Optimum noise performance of linear amplifiers,” Proc. IRE, vol. 46, no. 8, pp. 1517–1533, Aug. 1958. [15] J. Engberg, “Simultaneous input power match and noise optimization using feedback,” in Proc. 4th Eur. Microw. Conf., Montreux, Switzerland, Sep. 1974, pp. 385–389.

Mark H. Weatherspoon (S’97–M’01) received the B.S. and M.S. degrees in electrical engineering from Florida State University, Tallahassee, in 1992 and 1994, respectively, and the Ph.D. degree in electrical engineering from the University of South Florida, Tampa, in 2002. From 1995 to 1996, he was with the ECI Division, Raytheon Systems, Saint Petersburg, FL. He is currently an Assistant Professor with the Electrical and Computer Engineering Department, College of Engineering, Florida Agricultural and Mechanical University, Florida State University. His research interests include microwave and millimeter-wave measurements, small-signal modeling of active devices, noise and noise temperature measurement and modeling, and microwave and millimeter-wave circuit design. Dr. Weatherspoon is an active member of the IEEE Microwave and Theory and Techniques Society (IEEE MTT-S) and the Automatic RF Techniques Group (ARFTG).

Larry P. Dunleavy (S’80–M’82–SM’96) received the B.S.E.E. degree from Michigan Technological University, Houghton, in 1982, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1984 and 1988, respectively. He is the founder, along with four faculty colleagues, of the Innovative Center for Center for Wireless and Microwave Information Systems, University of South Florida (USF), Tampa. In 2001, he co-founded Modelithics Inc., a USF spin-off company to provide a practical commercial outlet for developed modeling solutions and microwave measurement services. He has been involved in industry for E-Systems (1982–1983) and Hughes Aircraft Company (1984–1990) and was a Howard Hughes Doctoral Fellow (1984–1988). In 1990, he joined the Electrical Engineering Department, USF, where he is currently a Professor and guides a team of graduate students in various research projects related to microwave and millimeter-wave devices and circuit and system characterization and modeling. From 1997 to 1998, he spent a sabbatical year with the Noise Metrology Laboratory, National Institute of Standards and Technology (NIST), Boulder, CO. He has authored or coauthored over 70 technical papers. Dr. Dunleavy is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the Automatic RF Techniques Group.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

615

Combined Use of Genetic Algorithms and Gradient Descent Optmization Methods for Accurate Inverse Permittivity Measurement Maria E. Requena-Pérez, Antonio Albero-Ortiz, Juan Monzó-Cabrera, and Alejandro Díaz-Morcillo, Member, IEEE

Abstract—A novel inverse transmission-line method for the complex permittivity determination of arbitrary shaped materials is presented. Complex permittivity is inferred by using an inverse calculation procedure, which is based on a combined optimization strategy of both genetic algorithms and the gradient descent method. The optimization procedure matches the measured and simulated complex scattering parameters’ frequency behavior of materials within a WR340 waveguide. High accuracy and practical suitability are validated through experimental tests. The dielectric properties of PTFE and epoxy resin mixed with iron–oxide-doped fiberglass have been measured for different shapes and positions. Dielectric multilayer structures have been used to demonstrate that this technique is able to measure the individual permittivity of each element of the structure. Both twoand three-dimensional approaches have been carried out and their advantages and drawbacks discussed. Index Terms—Complex permittivity measurement, genetic algorithms (GAs), gradient descent (GD) method, microwave energy.

I. INTRODUCTION

T

HE knowledge of the complex permittivity is a key point in a great number of applications. In fact, the design of devices or systems in several microwave applications such as communications, microelectronics, radar, or industrial microwave heating [1], [2] requires the precise permittivity determination of the involved dielectric materials. During the last decades, many methods have been developed, analyzed, and employed for measuring the complex permittivity of dielectrics [3]. The most used measuring techniques are based on cavity perturbation, transmission lines, and free-space configurations [4]. Cavity or perturbational techniques have been used for low-loss materials successfully. Although these techniques provide good accuracy values in this case, the size and permittivity of the sample limit them, since the perturbation must be minimum and, moreover, the results are obtained only at discrete frequencies. In transmission-line techniques, on the contrary, the dielectric and magnetic properties can be determined over a wide frequency range by measuring the scattering parameters of a coaxial or waveguide structure with a dielectric sample completely [5]–[8] or partially [9] filling the cross

Manuscript received April 11, 2005; revised July 5, 2005. This work was supported in part by the Comisión Interministerial de Ciencia y Tecnología, Spain, under Project TIC2004-05037-C02-02. The authors are with the Departamento de Tecnologías de la Información y las Comunicaciones, Technical University of Cartagena, 30203 Cartagena (Murcia), Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862671

section. In contrast, the precision of transmission/reflection methods for low-loss materials is restricted by the uncertainty of the network analyzer. Furthermore, the waveguide or coaxial-line end methods require a homogeneous and very tightly contacting sample. The same algorithms that are used by transmission-line methods can be used by the free-space methods [10]. These methods use large samples, and, normally, they are nondestructive technique but suffer from reduced accuracy because of unwanted reflections of the surrounding object and the diffraction from the edges of the sample. Generally, direct methods are quick, but sometimes they do not provide the required accuracy. Moreover, these methods need the sample to have a canonical shape and, when a multilayer material must be measured in order to obtain the individual permittivities of its elements, they obtain an effective permittivity but are not able to measure the individual permittivity of each layer. Several inverse techniques have been previously employed to determine both the complex permittivity and/or permeability values. In [11], the calculation of dielectric and magnetic properties is made by an electromagnetic analysis of the test microstrip device together with a numerical optimization based on gradient method. In [12], a nonreciprocal waveguide cell is employed to measure the permeability through the use of the Levenberg–Marquardt method. Monte Carlo simulation and the finite-element method (FEM) are used in order to extract the permittivity of arbitrary materials within a microwave cavity in [13]. Complex permittivity is also reconstructed in [14] by using neural networking inverse measurement procedures. Additionally, the Newton–Raphson method has been employed in order to match experimental data and computed values of frequency shift and quality factor changes in cavity permittivity measurements [15]. This optimization technique has also been used for the permittivity inverse estimation of dielectrics within short-circuited waveguides [16]. Genetic algorithms (GAs) have been used in the last few years by the electromagnetic community as an optimization technique [17]. GAs are proving to be useful for solving complex electromagnetic problems such as electromagnetic devices design [18]–[20]. Regarding the specific use of GAs in permittivity inverse measurements, a free-space multilayer structure evaluation has been carried by using analytical equations in [21]. The GA and the method of moments (MoM) have been simultaneously applied in [22] and [23] to measure the dielectric constant of rectangular strips within rectangular waveguides by comparing the measured and computed reflection coefficients.

0018-9480/$20.00 © 2006 IEEE

616

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Furthermore, several studies have shown the successful use of coaxial sensors jointly with GA techniques to predict the permittivity of both saponification reactions [24] and solid materials [25]. Recently, the combination of GA and three-dimensional (3-D) electromagnetic (EM) commercial software based on the finite-integration technique (FIT) has proven that high-precision waveguide measurements can be carried out [26], although this approach suffers from high computing times due to both the high amount of generations needed by the GA to achieve convergence and the huge amount of computing resources employed by 3-D electromagnetic simulators. In this study, an iterative inverse method for the complex permittivity measurement of arbitrarily shaped samples within a waveguide is presented. In fact, some complex sample geometries used in this study, such as E-shaped or cylinder samples, have not been measured in transmission/reflection waveguide measurements yet since previous studies usually handle simpler sample geometries [22], [23], [26]. Additionally, dielectric multilayer measurements have been carried out to show how this technique can be employed to infer the permittivity of each element in the dielectric structure. Therefore, the waveguide measurement setups, evaluation functions, optimization strategies, and numerical methods employed in previous studies [22], [23] differ greatly from the ones proposed in this study. The inverse technique uses an in-house-made EM simulator based on FEM for two-dimensional (2-D) structures. For 3-D scenarios, a FIT commercial software is used [28]. Both 2-D and 3-D EM simulators are used for computing the EM fields and the scattering parameters of waveguide structures that contain a dielectric sample with an arbitrary geometry. These computed scattering parameters are then compared to the measured ones through the definition of an objective error function that must be minimized. In this case, a hybrid technique is used for minimizing the objective function and to reduce computing times. As a difference with other studies [26], this hybrid technique is based on the combined strategy of the GA and the gradient-descent (GD) method in order to combine the advantages of both optimization techniques. Furthermore, the results obtained by 2-D and 3-D software are compared in terms of accuracy and computational cost.

as a function of the sample permittivity (direct problem), the scattering matrix measurement of the waveguide cell filled with the dielectric, and the matching of the measured and computed scattering parameters (inverse problem) by using an optimization procedure. The relationship between the scattering parameters and complex permittivity is not straightforward since the shape and position of the sample is only restricted to keep constant along one space direction. As a consequence, the calculation of the dielectric properties from the measured scattering parameters requires an optimization method that uses the EM simulation of the problem under test. A. Scattering Parameter Computation: 2-D Approach An in-house 2-D FEM-based software has been employed to solve the wave equation (1) for each electric field component, by using the variational formulation as indicated in [27] where is the vector electric field, is the angular frequency, is the magnetic permeability, and is the dielectric complex permittivity of the medium defined as (2) where is the vacuum permittivity, is the dielectric constant, is the loss factor, and the loss tangent. This 2-D software is based on the online programming of the PDE MATLAB toolbox [27], allowing the mode excitation at the corresponding port and the definition of an absorbing boundary condition to provide adaptation in the other port through the use of a general Neumann boundary condition. In this way, the scattering parameters of the waveguide cell can be computed from the electric field computation as

(3)

(4)

II. INVERSE PROBLEM In this study, we have observed several WR-340 waveguide measurement cells containing different dielectric samples with arbitrary shapes and positions. In order to compare both 2-D and 3-D approaches, we have restricted the sample shapes to provide invariance along the shorter dimension of the waveguide cross section. However, the proposed algorithm could work with any kind of sample size, shape, or position by using the proposed 3-D approach. The hybrid inverse technique reconstructs the permittivity frequency behavior of arbitrarily shaped dielectric samples by minimizing the difference between the measured and the computed scattering parameters. Thus, this technique includes three interrelated stages: the modeling of the waveguide EM behavior

where is the total electric field at the center of port 1, is the total electric field at the center of port 2, and and are the incident electric fields at ports 1 and 2, respectively. It is important to remark that the 2-D approach can be used to compute the scattering parameters because the mode is invariable across the smaller dimension of the WR-340 waveguide and due to the fact that the dielectric sample geometry keeps constant along this dimension. B. Scattering Parameter Computation: 3-D Approach A more general approach is the use of 3-D EM simulators to compute the scattering parameters. These programs permit any kind of sample positions or shapes within the waveguide holder.

REQUENA-PÉREZ et al.: COMBINED USE OF GAs AND GD OPTIMIZATION METHODS FOR ACCURATE INVERSE PERMITTIVITY MEASUREMENT

617

In this case, the CST Microwave Studio 4.0 (CST MWS) commercial software has been used in order to compute the scattering parameters of the 3-D schemes of both the holder and the dielectric sample. CST MWS is a general-purpose electromagnetic simulator based on the FIT first proposed by Weiland [28]. FIT provides a general spatial discretization scheme that is applicable to various electromagnetic problems, such as static field calculations or high-frequency applications in the time or frequency domains. C. Description of Optimization Techniques The proposed optimization procedures used to carry out the permittivity measurements are based on GA, GD, and the combined strategy of both methods in order to make best use of their specific advantages. GA optimization methods are robust, stochastic search techniques modeled on the principles and concepts of natural selection and evolution. GAs are particularly effective when the goal is to find a global optimum, which, in this case, is the complex permittivity. In GAs, a population of potential solutions is caused to evolve toward a global optimal solution. The evolution toward a global optimum occurs as a result of two processes: on the one hand, the pressure exerted by a fitness-weighted selection process, and, on the other hand, the exploration of the solution space, which is accomplished by recombination and mutation of existing characteristics present in the current population [17]. The best individual of the last generation is the start point for the GD. GA optimization methods have been implemented as MATLAB function [29]. Gradient methods are generally efficient when the function to be optimized is continuous in its first derivative. These methods use information about the slope of the function to follow a search direction of the minimum. The best advantage of GD methods is that convergence can be very fast if the error function shows high gradients toward the global minimum. However, GD may get stuck on local minima. In this study, a multivariable optimization MATLAB function that implements the quasi-Newton method has been employed [30]. In this study, we suggest a hybrid optimization technique GA GD that employs the GA and GD at different stages of the search. The GA initiates the search and locates the zone of the global optimum, and then the GD searches the optimum [31]. Starting from this improved initial point, this search quickly converges. Obviously, it is assumed at this stage that, close to the global optimum, the objective function is differentiable and without local minima. This hybrid optimization technique has also been compared in terms of accuracy and computing times to both pure GA and GD optimization approaches. Fig. 1 shows the flowchart of the hybrid technique. First, an initial population is randomly generated. A population is a group of potential solutions or individuals, . Each individual consists of a sequence of genes representing the unknown parameters. In this case, the genes are the dielectric constant and the loss tangent of the sample, , and the generation is the iteration number during GA optimization.

Fig. 1.

Flowchart of the hybrid technique.

The objective function evaluates the difference between simulated and measured scattering parameters, and this fitness is assigned to the individual. Then, the Selection, Crossover, and Mutation operators are applied to results of each population until the solution converges [17]. The termination condition is the number of generations . The objective function used in this study is [24]

(5) where

is a weighting factor, , with and , are simulated scattering parameters expressed as linear magnitudes, is the number of evaluated frequencies, , with and , are measured scattering parameters, and and are the measured or computed phase

618

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 2. Sample 1 geometry and position in waveguide holder. M1.

Fig. 5. Sample 4 geometry and position in waveguide holder. M2.

Fig. 6.

Dielectric multilayer structure and position in waveguide holder. ML1.

Fig. 7.

Dielectric multilayer structure and position in waveguide holder. ML2.

Fig. 3. Sample 2 geometry and position in waveguide holder. M1.

Fig. 4. Sample 3 geometry and position in waveguide holder. M1.

of scattering parameters, respectively. In this study, fixed to 0.5 for all simulations.

has been

III. EXPERIMENTAL SETUP An Agilent 8720 vector network analyzer and several WR340 rectangular waveguides have been used to measure the scattering parameters . Figs. 2–5 show the different samples’

geometry and waveguide holders used during measurements. Sample 1 was a PTFE cylinder, while samples 2 and 4 were mechanized as two rectangular slabs of PTFE and epoxy resin mixed with fiberglass doped with iron–oxide materials, respectively. In sample 3, the PTFE was shaped into a capital E. Figs. 6 and 7 show the dielectric multilayer structures within the waveguide. In Fig. 6, the multilayer 1 (ML1) is composed of PTFE, fir wood, styrofoam, and polyester resin. Multilayer 2 (ML2) in Fig. 7 has five components: PTFE, Styrofoam, polycarbonate, fir wood, and epoxy resin. In both structures, all of the materials that make up the structure completely fill the waveguide cross section.

REQUENA-PÉREZ et al.: COMBINED USE OF GAs AND GD OPTIMIZATION METHODS FOR ACCURATE INVERSE PERMITTIVITY MEASUREMENT

619

TABLE I PERMITIVITY RESULTS FOR SAMPLE 1 (M1, CYLINDER)

Consequently, six materials have been employed for dielectric properties estimation: PTFE (M1), epoxy resin mixed with fiberglass doped with iron oxide (M2), fir wood (M3), Styrofoam (M4), polyester resin (M5), and polycarbonate (M6). PTFE has been used for validation purposes due to its wide use in literature. The frequency bandwidth ranged from 2.2 to 3.3 GHz for single materials’ measurements and from 2 to 2.75 GHz in the case of multilayer structures. The use of these low-loss materials is due to the fact that waveguide measurements are not very precise when dielectric losses are low. Thus, we have chosen the worst case to test the proposed inverse measurement technique. Finally, a 10 2 34 cm rectangular monomode cavity has been used in order to obtain additional data for the permittivity of the epoxy resin. The excited mode for the cavity was TE107 and the operating frequency set to around 3 GHz. In this case, the sample was a 0.234 2 1 cm rectangular sheet placed at the center of the cavity. For multilayer structure permittivity validation, the Nicholson–Ross method has been used [5] to measure the individual permittivity of each component.

TABLE II PERMITIVITY RESULTS FOR SAMPLE 2 (M1, RECTANGULAR)

TABLE III PERMITIVITY RESULTS FOR SAMPLE 3 (M1, E-SHAPED)

IV. NUMERICAL RESULTS AND DISCUSSION Several inverse measurements have been performed to illustrate the accuracy and computational cost of the proposed technique in the evaluation of complex permittivity. In order to test the accuracy of the 2-D inverse procedure, the value of complex permittivity of both M1 and M2 dielectrics has been measured by using an Agilent 8720 Network Analyzer and the Agilent 87051 software,1 yielding for M1 and for M2. This commercial software uses the Nicholson–Ross technique to obtain the complex permittivity [5]. Since the resolution of waveguide methods for low-loss material is restricted by the uncertainty of the network analyzer, the permittivity of M1 is compared to the permittivity

1Agilent Technol., Innovative Measurement Solutions Inc., Help 85071, ver. D1.00, 1988-2001

from literature [2], and the permittivity of M2 has been calculated through the use of a cavity measurement. All of the simulations were carried out in a personal computer with a 2.4-GHz Pentium IV processor and 512-MB RAM memory. A. 2-D Inverse Measurements Tables I–IV show the complex permittivity, the error obtained by (5), and the computation time with different techniques, namely GD, GA and the proposed hybrid technique GA GD for Samples 1–4, respectively. In all of these inverse measurements, the MATLAB 2-D in-house-made code was employed to model the waveguide cell behavior. In the particular case of Table I, two termination conditions are used: one is based on a maximum iteration number or maximum number of generations for GD or GA, respectively, and the other one is based on a required accuracy (2%) around the optimum value provided by the GA GD algorithm.

620

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

TABLE IV PERMITIVITY RESULTS FOR SAMPLE 4 (M2, RECTANGULAR)

For Tables II–IV, in the case of using a pure GD optimization technique, the initial point is shown, and the maximum iteration number is set to 25. For GA optimization, 25 individuals, 50 generations, and the ranges for M1 and and for M2 are used in simulations. Finally, for the hybrid optimization strategy GA GD , the GA stage uses five individuals and 25 generations while GD maximum iteration number is set to 10. The frequency discretization number was different for the different sample geometries in measurements and simulations. For Sample 1, five frequency points were used while, for Samples 2 and 4, ten points were used. Additionally, due to the particular scattering parameters’ frequency behavior of Sample 3, the frequency discretization was increased to 25 points. From the results in Table I, it can be concluded that GA GD is more effective than the GA or GD algorithms used individually. In fact, results demonstrate that, to obtain a similar accuracy for both dielectric constant and loss factor than the GA GD method, the computing time is much higher for both GD or GA methods used individually. In terms of iterations, GA needs 214 generations with five individuals in each generation and pure GD uses 41 iterations versus 25 generations plus ten iterations of the GA GD method. The number of iterations of GD is less than that for GA GD when the initial point is close to the solution (see case GD2), but, if the initial point is far from the solution, as is the case for GD1, then this optimization algorithm does not converge. From Table I, one also can conclude that the GA algorithm is not a suitable algorithm for increasing the accuracy of the permittivity at the final stages of the optimization process. This is due to the fact that GA does not converge as a function of the objective function as fast as other methods such as GD do. Thus, using GA during the whole optimization process may lead to high computing times when a required accuracy must be reached. From these data, several conclusions can be obtained. First of all, the convergence of the GD optimization method very strongly depends on the initial point. In fact, for all PTFE samples (Tables I–III), the algorithm does not converge to the reference value when the start point is 6.56- 3.92. Nevertheless, if the start point is set to 2.51- 0.7, the algorithm converges in most cases. Additionally, GD convergence times also depend very much on the measurement configuration and initial point. The GA converged to the reference value in all cases, and for all PTFE samples the dielectric constant relative error always kept below 2%. Sometimes, the convergence times were even better than those provided by GD optimization as in Table I.

Fig. 8. Magnitude of measured and computed scattering parameters for Sample 3 and GA + GD optimum value (" = 2:064 j 0:033).

0

Additionally, the error provided by (5) was lower with GA optimization than with GD for Tables I, III, and IV, which indicates that GA is able to match the measured and computed scattering parameters in a better way than GD technique. The hybrid optimization strategy GA GD always converged to the reference value and provided the best errors when applying (5) and, for Samples 1–3, the relative error for the dielectric constant was always lower than 2%. Sometimes, the GA GD convergence time was even better than for the GA optimization method in Tables II and IV. From these data, one can conclude that the proposed hybrid optimization technique provides the best accuracy of the optimization methods studied. Figs. 8 and 9 compare the measured and the computed scattering parameters for the best result of the GD GA optimization and Sample 3 both in magnitude and phase, respectively. Permittivity measurements in waveguides may introduce various complications, related both to formation of transverse modes or the appearance of resonance phenomena in measured objects. These phenomena have been observed for Sample 3 around 2.9 GHz. It can be observed that the proposed optimization strategy is able to predict the measured resonance around 2.9 GHz and the agreement between the computed and measured scattering parameters is fine. This agreement is due to the fact that the employed software takes into account all of the possible modes that may appear in the waveguide structure through the use of Maxwell’s equations. Similar results have been obtained for all the samples. Figs. 10 and 11 illustrate the behavior of both the magnitude and phase of measured and computed parameters for Sample 4. Again, the hybrid optimization technique and the 2-D EM simulator are able to closely follow the experimental behavior of measurements. B. 3-D Multilayer Inverse Measurements The CST MWS commercial software has been used to carry out inverse measurements for ML1 and ML2 structures pro-

REQUENA-PÉREZ et al.: COMBINED USE OF GAs AND GD OPTIMIZATION METHODS FOR ACCURATE INVERSE PERMITTIVITY MEASUREMENT

Fig. 9. Phase (radians) of measured and computed scattering parameters for Sample 3 and GA + GD optimum value (" = 2:064 j 0:033).

0

621

Fig. 11. Phase (radians) of measured and computed scattering parameters for Sample 4 and GA + GD optimum value (" = 3:75 j 0:032).

0

TABLE V PERMITTIVITY RESULTS FOR ML1

Fig. 10. Magnitude of measured and computed scattering parameters for Sample 4 and GA + GD optimum value (" = 3:75 j 0:032).

0

viding, in this way, the individual permittivities of each element of the multilayer. The inverse measurement has combined the use of both GA and GD optimization methods, and the obtained results have been compared to Agilent 87 501 commercial software based on Nicholson–Ross technique [5], which has been applied to each individual element. Both GA generation and individual numbers have been set to 50 in these measurements whereas GD maximum iteration number has been set to 100. Table V shows the permittivity of each layer of ML1.

From these results, it can be deduced that the method is able to provide an acceptable estimation for both the dielectric constant and loss factor of each multilayer component with a single measurement. However, the accuracy is poorer than inverse measurements carried out with single material samples. The inverse permittivity measurement for each element of multilayer in ML2 is shown in Table VI. In this case, the relative error between the Nicholson–Ross technique, and the proposed inverse algorithm is below 8% for all materials. Figs. 12 and 13 show the comparison of measured and computed and magnitudes and phases, respectively, for the obtained permittivity of ML1 structure. In both cases, the fitting between measured and computed scattering parameters is very good. The accuracy loss versus the previous measurements can

622

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

TABLE VI PERMITTIVITY RESULTS FOR ML2

Fig. 13. Phase (radians) of measured and computed scattering parameters for ML1 and GA GD permittivity optimum values, as indicated in Table V.

+

TABLE VII PERMITTIVITY RESULTS FOR PTFE CYLINDRICAL AND E-SHAPED SAMPLES

C. 3-D and 2-D Inverse Measurement Comparison Table VII compares the complex permittivity obtained by the 2-D simulator and the permittivity obtained in a 3-D scenario for Samples 1 and 3 and the hybrid optimization strategy GA GD . From these results, one can observe that the error computed by (5) is slightly smaller when using the 3-D simulator than the error provided by the 2-D simulator. However, the time needed by the 3-D simulator increases dramatically versus the 2-D approach (in some cases, up to 50–60 times), and this does not result in appreciable dielectric constant relative error reductions. Fig. 12. Magnitude of measured and computed scattering parameters for ML1 and GA GD permittivity optimum values, as indicated in Table V.

+

be explained due to the fact that the permittivity error of a single layer can be compensated by the error of another layer providing, thus, a good fitting for the scattering parameters, which are a macroscopic measurement of the whole waveguide structure. Therefore, it seems that additional measurements with different sample orientations should be carried out in order to increase the accuracy of this method when applied to dielectric multilayer structures. Additionally, the sensitivity level of the vector network analyzer also limits the accuracy of the procedure for low-loss materials, as described before.

V. CONCLUSION A novel inverse method for permittivity estimation employing a hybrid optimization strategy based on GA and GD methods has been implemented with an in-house-made 2-D EM software and validated with measurements and 3-D EM commercial software. Several optimization methods, namely GD, GA, and GD GA, have been used to determine the complex permittivity by matching the measured scattering parameters with the simulated scattering parameters. The results obtained with these three methods have been compared, and, as a result, the method that provided the best accuracy was a combined strategy of the GA with the GD. The obtained results indicate

REQUENA-PÉREZ et al.: COMBINED USE OF GAs AND GD OPTIMIZATION METHODS FOR ACCURATE INVERSE PERMITTIVITY MEASUREMENT

that this combined strategy constitutes an efficient optimization tool to calculate the complex permittivity of materials. The hybrid optimization algorithm has been applied to dielectric multilayer structures to obtain the individual permittivities of each layer. Although good matching between measured and computed scattering coefficients has been obtained, the accuracy of the elements’ permittivity is worse than that obtained when applying the algorithm to homogeneous samples. Further research is envisaged in this direction to increase accuracy for these nonhomogenous structures. The computing time comparison between 2-D and 3-D approaches indicates a time saving depending on the problem geometry, size, and frequency discretization, achieving, for some of the measurement setups, values around 98%.

623

[16] M. D. Deshpande, C. Jagadeswara Reddy, P. I. Tiemsin, and R. Cravey, “A new approach to estimate complex permittivity of dielectric materials at microwave frequencies using waveguide measurements,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 3, pp. 359–366, Mar. 1997. [17] Y. Ramat-Samii and E. Michielssen, Electromagnetic Optimization by Genetic Algorithms. New York: Wiley. [18] E. Domínguez-Tortajada, A. Díaz-Morcillo, J. Monzó-Cabrera, and Y. D. Sánchez-Hernández, “Application of genetic algorithms in the design of multifeeding cavities,” presented at the 9th Int. Microw. RF Heating Conf., Sep. 2003. [19] G. Fuat-Üler, O. A. Mohammed, and C.-S. Koh, “Design optimization of electrical machine using genetic algorithms,” IEEE Trans. Magn., vol. 31, no. 3, pp. 2008–2011, May 1995. [20] G. F. Üler, O. A. Moahammed, and C.-S. Koh, “Utilizing genetic algorithms for the optimal design of electromagnetics devices,” IEEE Trans. Magn., vol. 30, no. 6, pp. 4296–4298, Nov. 1994. [21] T. Zwick, J. Haala, and W. Wiesbeck, “A genetic algorithm for evaluation of material parameters of compound multilayered structures,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1180–1187, Apr. 2002. [22] M. Tedesco and R. Olmi, “Measuring the permittivity of thin samples band: A thickness-independent technique,” in Proc. 3rd Int. in the Microw. Millimeter Wave Technol. Conf., Beijing, China, Aug. 2002, pp. 118–121. 2002. [23] T. Chiu, “Dielectric constant measurement technique for a dielectric strip using a rectangular waveguide,” IEEE Trans. Instrum. Meas., vol. 52, no. 5, pp. 1501–1508, Oct. 2003. [24] K. Huang, X. Cao, C. Liu, and X.-B. Xu, “Measurement/computation of effective permittivity of dilute solution in saponification reaction,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2106–2110, Oct. 2003. [25] R. Omi, M. Bini, A. Ignesti, and C. Riminesi, “Non destructive measurement of solid materials,” Meas. Sci. Technol., vol. 11, pp. 1623–1629, 2000. [26] M. E. Requena-Pérez, A. Díaz-Morcillo, and J. Monzó-Cabrera, “Accurate permittivity measurement by means of inverse calculation based on genetic algorithms,” in Proc. IEEE AP-S Int. USNC/URSI Nat. Radio Sci. Meeting Symp., Monterey, CA, Jun. 2004, pp. 511–514. [27] Partial Differential Equation Toolbox User’s Guide, The MathWorks, Natick, MA, 2004. [Online]. Available: www.mathworks.com. [28] HF Design and Analysis Manual, Version 4, CST Microwave Studio, Darmstadt, Germany, 2002. [29] C. R. Houck, J. A. Joines, and M. G. Kay, “A genetic algorithm for function optimization: A MATLAB implementation,” The MathWorks, Natick, MA, NCSU-IE TR 95-09, 1995. [30] Optimization Toolbox User’s Guide, The MathWorks, Natick, MA, 2004. [Online]. Available: www.mathworks.com. [31] J. Heistermann, A Mixed Genetic Approach to the Optimization of Neural Controllers. New York: IEEE Press, 1992.

X

REFERENCES [1] T. Jacques, Microwaves: Industrial, Scientific, and Medical Applications. Norwood, MA: Artech House, 1992. [2] A. C. Metaxas and R. J. Meredith, Industrial Microwave Heating. London, U.K.: Peter Peregrinus, 1983. [3] J. Baker-Jarvis, R. G. Geyer, J. H. Grosvenor Jr., M. D. Janezic, C. A. Jones, B. Riddle, C. M. Weil, and J. Kupka, “Dielectric characterization of low-loss materials. A comparison of techniques,” IEEE Trans. Dielectr. Electr. Insul., vol. 5, no. 3, pp. 571–577, Aug. 1998. [4] J. Manuel Catalá, “Estudio de estructuras guiadas monomodo para aplicaciones de caracterización dieléctrica de materiales y curado de compuestos elastoméricos a frecuencias de microondas,” Ph.D. dissertation (in Spanish), Polytechnic Univ. Valencia, Valencia, Spain, Nov. 1999. [5] A. M. Nicholson and G. F. Ross, “Measurement of the intrinsic properties of materials by the time domain techniques,” IEEE Trans. Instrum. Meas., vol. MI-19, no. 6, pp. 377–382, Nov. 1970. [6] A.-H. Boughriet, C. Legrand, and A. Chapoton, “Noniterative stable transmission/reflection method for low-loss material complex permittivity determination,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 1, pp. 52–57, Jan. 1997. [7] W. B. Weir, “Automatic measurement of complex dielectric constant and permeability at microwave frequencies,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974. [8] J. Baker, E. J. Vanzura, and W. A. Kissick, “Improved technique for determining complex permittivity with the transmission/reflection method,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1096–1103, Aug. 1990. [9] J. M. Catalá-Civera, A. J. Canós, F. L. Peñaranda-Foix, and E. de los Reyes Davó, “Accurate determination of the complex permittivity of materials with transmission reflection measurements in partially filled rectangular,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 17–24, Jan. 2003. [10] D. K. Ghodgaunkar, V. V. Varadan, and V. K. Varadan, “Free space measurement of complex permittivity and complex permeability of magnetic material at microwave frequencies,” IEEE Trans. Instrum. Meas., vol. 31, no. 2, pp. 387–394, Apr. 1990. [11] P. Queffelec, P. Gelin, J. Gieraltowski, and J. Loäec, “A microstrip device for a broad band simultaneous measurement of complex permeability and permittivity,” IEEE Trans. Magn., vol. 30, no. 2, pp. 224–231, Mar. 1994. [12] P. Queffelec, M. Le-Floc’h, and P. Gelin, “A new method for determining the permeability tensor of magnetized ferrites in a wide frequency range,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 3, pp. 1344–1351, Aug. 2000. [13] K. P. Thakur and W. S. Holmes, “An inverse technique to evaluate permittivity of material in a cavity,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1129–1132, Jun. 2001. [14] E. Eugene, E. P. Kopyt, and V. V. Yakovlev, “Determination of complex permittivity with neural networks and FDTD modeling,” Microw. Opt. Technol. Lett., vol. 40, no. 3, pp. 183–188, Feb. 2004. [15] M. Santra and K. U. Limaye, “Estimation of complex permittivity of arbitrary shape and size dielectric samples using cavity measurement technique at microwave frequencies,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 718–722, Feb. 2005.

María E. Requena-Pérez was born in Alicante, Spain. She received the Dipl. Ing. degree in telecommunications engineering from the Universidad Politécnica de Valencia, Valencia, Spain, and is currently working toward the Ph.D. degree at the Universidad Politécnica de Cartagena, Cartagena, Spain. In 2000, she joined the Department of Information Technologies and Communications, Universidad Politécnica de Cartagena. Her current research areas are microwave-assisted heating and drying processes, microwave applicator design, permittivity measurement and optimization, and numerical techniques in electromagnetics.

Antonio Albero-Ortiz was born in Murcia, Spain, on July 1981. He received the Dipl. Ing. degrees in telecommunications engineering from the Universidad Politécnica de Cartagena, Cartagena, Spain, in 2005. In 2004, he joined the Department of Information Technologies and Communications, Universidad Politécnica de Cartagena.

624

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Juan Monzó-Cabrera was born in Elda (Alicante), Spain, in January 1973. He received the Dipl. Ing. and Ph.D. degrees in telecommunications engineering from the Universidad Politécnica de Valencia, Valencia, Spain, in 1998 and 2002, respectively. From 1999 to 2000, he was a Research Assistant with the Microwave Heating Group (GCM). In 2000, he joined the Departamento de Teoría de la Señal y Radiocomunicaciones, Universidad Politécnica de Cartagena, Cartagena, Spain, as an Associate Lecturer, and is currently an Associate Lecturer with the Departamento de Tecnologías de la Información y Comunicaciones. He has coauthored over 30 papers in refereed journals and conference proceedings. He holds one patent regarding microwave heating industrial processes. His current research areas cover microwave-assisted heating and drying processes, microwave applicator design and optimization and numerical techniques in electromagnetics. Dr. Monzó-Cabrera is a member of the Association of Microwave Power in Europe for Research and Education (AMPERE), a European-based organization devoted to the promotion of RF and microwave energy. He is a reviewer of several international journals.

Alejandro Díaz-Morcillo (S’95–M’02) was born in Albacete, Spain, in 1971. He received the Ingeniero (M.Eng.) and Doctor Ingeniero (Ph.D.) degrees in telecommunication engineering from the Polytechnic University of Valencia (UPV), Valencia, Spain, in 1995 and 2000, respectively. From 1996 to 1999, he was a Research Assistant with the Department of Communications, UPV. In 1999, he joined the Department of Information Technologies and Communications, Universidad Politécnica de Cartagena (UPCT), Cartagena, Spain, as a Teaching Assistant, where, since 2001, he has been an Associate Professor. He leads the Electromagnetics and Matter Research Group, UPCT. His main research interest focuses on numerical methods in electromagnetics and industrial microwave heating systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

W -Band Multiport Substrate-Integrated

625

Waveguide Circuits

Emilia Moldovan, Renato G. Bosisio, Life Fellow, IEEE, and Ke Wu, Fellow, IEEE

Abstract—Millimeter-wave passive circuits that are designed and fabricated in the form of substrate-integrated waveguide -band SIW 90 hybrid (SIW) are presented in this paper. A coupler and multiport SIW circuit are made and synthesized on alumina substrate using metallized slot arrays. In order to connect the SIW circuits with WR-10 standard rectangular waveguides for measurement purposes, a new transition is also proposed and developed. Such millimeter-wave integrated passive circuits are developed for applications in radar sensors operating at 94 GHz. Performances of these circuits are evaluated and discussed on the basis of a series of -parameter simulations and measurements. Excellent agreement between simulated and measured results is observed. Index Terms—Millimeter-wave technology, passive circuits, substrate-integrated waveguide (SIW), waveguide transition.

I. INTRODUCTION

T

HE RAPID development of advanced millimeter-wave systems and applications requires high quality and high density of circuit integration and packaging. System cost and component size with respect to demand for high performance are one of the most critical requirements of those systems and applications. Recently, a new concept of an integrated rectangular waveguide technique has been proposed to meet these requirements [1]–[3], and a number of components have already been studied and realized at microwave frequencies [4]–[6]. Substrate-integrated waveguide (SIW) technology, which is part of the substrate integrated circuits family, presents a new design scheme based on the concept that a standard metallic waveguide can be synthesized and fabricated with linear arrays of metallized via holes or posts, which are realized on the same planar substrate along with other planar circuits such as microstrip and coplanar waveguides. This dielectric-filled integrated waveguide presents a similarity to its rectangular counterpart even though it has a nonconventional width/high aspect ratio and different guided-wave modal behaviors. The SIW technology allows a high degree of integration of millimeter-wave circuits at low cost compared to standard waveguide technology. The resulting size of circuits can be significantly reduced because of the inherent dielectric effects [7].

Manuscript received May 16, 2005; revised September 20, 2005. This work was supported in part by the National Science Engineering Research Council of Canada. The authors are with the Poly-Grames Research Center, Départment de Génie Electrique, École Polytechnique de Montréal, Montréal, QC, Canada, H3T 1J4 (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862670

Fig. 1. Geometrical view and description of the proposed transmission line.

W -band SIW

In this study, a new -band SIW 90 hybrid coupler and a multiport circuit are designed and fabricated on a low-cost standard 254- m alumina substrate. Simulation and measurement results are presented and discussed in this paper. Commercial full-wave software (High Frequency Structure Simulator (HFSS) version 9.1) of Ansoft Corporation is used for the design and simulation of SIW circuits. To measure the -parameters of such circuits, an Anritsu 37 397C Network Analyzer is used. In order to connect the SIW circuit with the measurement equipment and other standard millimeter-wave circuits, a new transition to WR-10 standard waveguide is also proposed and developed in this study. The SIW passive circuits presented in this paper will be used in a radar sensor RF module at 94 GHz, which was described in [7]. II.

-BAND SIW TRANSMISSION LINE

A typical SIW transmission line operating over the -band frequency range was presented in [8]. This line was synthesized by two parallel arrays of metallized via holes. However, a high-quality metallization process for via holes and via hole space resolution are often difficult to accomplish at high-end millimeter-wave frequencies using current precision machining processes. In order to improve the return and transmission losses at -band frequencies, via-hole blocks are replaced in this study by metallized slots that are easier to implement. Comparative simulations have indicated approximately a 20% improvement of these parameters. Fig. 1 shows the proposed SIW transmission line using metallized slot arrays. This line is designed and fabricated on alumina substrate having a relative permittivity and a thickness m. The SIW transmission line has a width m. For this structure, the slot width and length are selected as m and m, respectively. The

0018-9480/$20.00 © 2006 IEEE

626

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

p

Fig. 2. Simulated transmission loss parameters for SIW transmission line against various values.

distance between adjacent slots is m. These dimensions are selected on the basis of minimizing radiation and return losses using optimizations in the HFSS simulator. The value is restricted by the metallization process of slots. The length of slots is chosen to be as long as possible in order to improve -parameter results with respect to mechanical robustness of the circuit. The slots and the top and bottom plates of the transmission line are metallized. The -like mode in the SIW has its dispersion characteristics almost identical to the mode of a dielectric-filled rectangular waveguide with an equivalent width of m. The cutoff frequency of the dominant mode is only related to the width of the SIW transmission line as long as its substrate thickness is smaller than its width [2] for the mode of interest. For this -band transmission line, the cutoff frequency is around 67.5 GHz. In order to optimize the design parameters of the -band SIW transmission line, an analysis on the return loss and insertion loss performances versus value is performed. Figs. 2 and 3 show the simulated -parameters of a -band SIW transmission line over a 6-GHz band, including the operating frequency of 94 GHz for different values of . The simulation results indicate that the SIW transmission line becomes better with a decreasing value. Unfortunately, the minimum value of this parameter is limited by our actual fabrication process (tolerance) to 122.5 m in order to maintain a minimum level of mechanical integrity of the structure. Nevertheless, even for this value, excellent results are obtained over a very wide frequency range. It should be mentioned that the distance between the measurement reference plane and the first slot is the same as the distance between two adjacent metallized slots and is equal to . III.

-BAND TRANSITION

In order to carry out precision measurements, a new transition between the proposed SIW circuits and the standard WR-10 rectangular waveguide is proposed and developed. Fig. 4 shows the structural outline of this transition.

p

Fig. 3. Simulated return loss parameters for SIW transmission line against various values.

Fig. 4.

W -band transition between the SIW and rectangular waveguide.

The operating mode in the standard waveguide is . In order to design the transition to a rectangular waveguide, two step transformers are used [9]. These transformers are designed using rectangular waveguides having the same standard width of mm, and they match the impedance between the standard WR-10 waveguide’s 484 and the SIW transmission line’s impedance of 123 . The step transformer lengths are optimized using the HFSS software, and they are approximately equal to a quarter of wavelength at the central frequency, which is 1.270 mm: mm for the first transformer and mm for the second one. The heights of these transformers, which are related to their characteristic impedances, are, respectively, m and m, as compared to the WR-10’s standard height of mm. Some corners of the machined waveguides were rounded, which is imposed by the milling machine requirements; the minimum radius is 406 m. A parallelepiped alumina probe is used to improve the scattering parameter results. The length of this probe, which is optimized using HFSS simulations, is 241 m. Its corresponding height and width are and , respectively, as mentioned in Section II. Figs. 5 and 6 show comparative -parameter simulation results of the proposed -band transition (with and without alumina probe) over the frequency band of interest.

MOLDOVAN et al.:

-BAND MULTIPORT SIW CIRCUITS

627

Fig. 7. Fig. 5. Simulated insertion loss parameters of the

Fig. 6. Simulated return loss parameters of the

Fabricated back-to-back

W -band transition.

W -band transition.

Excellent wide-band results of the insertion and return losses are obtained using an alumina probe of less than 0.1 dB and more than 30 dB, respectively, around the operating frequency of 94 GHz. In order to perform measurements using our standard equipments, a back-to-back transition is designed and fabricated. The machined rectangular waveguides are fabricated in a metal block of brass using a computer numerically controlled milling machine. A central cavity is machined to accommodate the SIW alumina circuit. The alumina substrate is processed using our laser micromachining equipment. The slots and the top and bottom of the alumina circuit are then metallized. The ports allow connection to the measurement equipment using standard WR-10 flanges. Fig. 7 shows the photograph of a longitudinal section of the proposed back-to-back -band transition. Comparative simulation and measurement results are presented in Fig. 8. Very good simulation results for the insertion loss are obtained in a 6-GHz frequency range. The magnitude of return loss is less than 20 dB over a 2-GHz frequency band and less than 30 dB in a 1-GHz frequency band, surrounding the operating frequency of 94 GHz. Measurement results show that the insertion loss is between 3–4 dB in a 2-GHz frequency band. This parameter presents more than 15 dB over a 4-GHz frequency band. The differences between simulations and measurements are related to the precision of the mechanical process which provides as high degree as possible of electrical contact

W -band transition.

W

Fig. 8. Simulated and measured insertion and return losses of the back-to-back -band transition.

between the metal of the central cavity and that of the alumina circuit. These errors, which affect the wave propagation, are also due to the tolerances in both milling and laser micromachining of about 25 m. These fabrication errors related to the dimensions of the SIW transmission line become important at high frequencies. A reduction of these errors will allow improved insertion and return loss performances. Due to intrinsic fabrication errors, the central frequency is shifted by about 1 GHz as compared to the simulations. The measured return loss magnitude of 28 dB at its central frequency is very satisfactory. An insertion loss of about 2 dB on each SIW to WR-10 transition can be estimated. IV.

-BAND SIW HYBRID COUPLER

The hybrid coupler is a basic component of the multiport circuits used in various millimeter-wave applications [7]. A new 90 hybrid coupler is designed and fabricated using the SIW technology. The coupler is mounted on a metallic fixture using WR-10 transitions to allow -parameter measurements. Fig. 9 shows a photograph of our developed -band SIW coupler. The central aperture is optimized using HFSS software in order to obtain better return losses and an equal power split at 94 GHz. The dimensions of this aperture are the following: mm and mm. The final dimensions of the coupler are 7.77 mm 11.18 mm.

628

Fig. 9.

Fig. 10.

Fig. 11.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

W -band SIW hybrid coupler.

Simulation results of the

W -band SIW hybrid coupler.

W -band SIW hybrid coupler on the metallic fixture.

Excellent simulation results of this coupler are presented in Fig. 10. The return loss value can be achieved with at least 25 dB at each port over a 2-GHz frequency band including the operating frequency. An input power split of 3.1 dB is obtained at this frequency. Fig. 11 shows the two parts of the metallic fixture containing the hybrid coupler. The standard WR-10 waveguides are machined in a metallic block of brass. The dimensions of the fixture are related to the diameter of the standard WR-10 flanges of 20 mm which are used to connect the SIW circuit to the network analyzer. The surface of the fixture is 25 mm 49 mm, and the height of the brass block is 12 mm. The SIW circuit is placed on the fixture on the right-hand side and the block on the left-hand side is precisely fastened on top of it using screws. The distances between the ports on a side are minimized in accordance to the diameter of a standard WR-10 flange.

Fig. 12. Measured return losses of the SIW hybrid coupler on the metallic fixture.

Fig. 13. Measured transmission losses of the SIW hybrid coupler on the metallic fixture.

Fig. 12 shows measured return losses at the input and the coupling ports. It can be seen that, for both ports, the return loss magnitude is more than 17 dB at the operating frequency of 94 GHz. Fig. 13 shows measurement results of the transmission -parameters. The equal power split of 6.1 dB is obtained exactly at 94 GHz, thus representing the central frequency. An additional insertion loss of 3 dB is introduced by the transitions to the WR-10 rectangular waveguide. If this loss is de-embedded of the total loss value, the measured input power split value is identical to the simulation one and the measurement results confirm those of simulations. V.

-BAND SIW MULTIPORT

A passive multiport circuit to be used in the RF module of a millimeter-wave radar sensor is also designed and fabricated for demonstrating the advantages of our proposed SIW technique. The multiport architecture is based on four 90 hybrid couplers as presented in Section IV. The block diagram and the operating principle of this circuit are presented in detail in one of our previous papers [7]. Fig. 14 shows a photograph of the SIW multiport circuit. This circuit is realized using the same technology and substrate as used for the previous circuits. Dimensions of the multiport circuit are again related to the WR-10 transition. They are 18.6 mm 35.7 mm. The multiport alumina circuit is mounted on a

MOLDOVAN et al.:

Fig. 14.

Fig. 15.

-BAND MULTIPORT SIW CIRCUITS

629

W -band SIW multiport circuit.

Developed

Fig. 17. port 1.

Simulated and measured return loss of the multiport circuit at output

Fig. 18. port 2.

Simulated and measured return loss of the multiport circuit at output

Fig. 19. port 3.

Simulated and measured return loss of the multiport circuit at output

W -band SIW multiport circuit on the metallic fixture.

Fig. 16. Simulated and measured isolation between input RF ports of the SIW multiport.

metallic fixture using the SIW to WR-10 transitions in order to perform -parameter measurements and to allow the connections with other microwave circuits. Fig. 15 shows the photograph of the whole metallic fixture. The SIW multiport circuit is seen mounted in the fixture on the right-hand side. The outer dimensions of the fixture are restricted by the physical dimensions of the standard WR-10 flanges. Therefore, these dimensions are 43 mm 50 mm. For radar sensor application, the multiport circuit plays the role of a phase discriminator by evaluating the phase difference between two RF input signals. The two input RF ports are connected to a reference signal (port 5) and to a receiving antenna (port 6), as presented in [7]. In order to improve the sensor performances, the input ports must be isolated from each other by as much as possible. The leakage of the reference signal to port 6 must be negligible compared to the received signal. Fig. 16 shows comparative simulation and measured results of this leakage parameter over frequency. At least 20 dB of isolation is obtained in a wide band, thus covering the operating frequency.

The phase difference between the two input signals is evaluated by linear combinations of four output signals, detected at ports 1–4 [7]. Power detectors are connected to the corresponding output ports. In order to reduce the dc offset caused by the multiple reflections at these ports, the circuit must have excellent return losses to the output ports at the operating frequency. Figs 17–20 present comparative simulation and measurement results of these return losses. It can be seen that approximately 20 dB of return loss magnitude is obtained at the operating frequency of 94 GHz.

630

Fig. 20. port 4.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Simulated and measured return loss of the multiport circuit at output

Fig. 21. Simulated and measured transmission S -parameter between input RF port 5 and output port 3.

Fig. 22. Simulated and measured transmission S -parameter between input RF port 5 and output port 4.

Fig. 23. Measured phases of the transmission S -parameters between an input RF port and outputs 3 and 4.

The four output waves can be obtained using the multiport transmission -parameters, as presented in [7] the following: (1) where represents the output waves and represents the input waves. Because each RF input signal passes through two hybrid couplers, an ideal multiport circuit has an equal split of 6 dB of the input signal at the central frequency. Simulation results presented in Figs. 21 and 22 are very close to this theoretical result. In the case of the proposed multiport circuit equipped with SIW to WR-10 rectangular wave guide transitions to all the ports, an additional loss of approximately 4 dB must be considered. Therefore, a transmission loss of about 10 dB is achieved. This value is confirmed by the measurement results presented in Figs. 21 and 22. Due to inherent errors of the fabrication process, additional losses up to 1 dB appear at the operating frequency. The expected results are obtained over a wide band, as is shown. Because 90 hybrid couplers are used, the phase difference between the transmissions’ -parameters must be multiples of 90 . Fig. 23 presents a typical measurement of these phases between one of the input ports and two of the output ports. As can be seen in this figure, an equal phase shift of around 90 is obtained in the frequency band. According to (1), the multiport output waves are linear combinations of the input waves. Measured -parameters are used

Fig. 24. Typical waveform of the output signal magnitude versus the phase shift between the RF input waves.

to calculate one of these output magnitudes versus the phase shift between the RF input waves. As illustrated in Fig. 24, for each output wave, periodical maximum and minimal values are obtained. The period of the output wave is 360 , as presented in [7]. In this calculation, the power of the each RF input signal is set to 0 dBm. Due to the constructive and destructive interferences, the output signals oscillate between a minimum and a maximum. The minimum value is theoretically zero. In practice, however, due to the constructive errors, this is a nonzero value and it represents a criterion of quality of the multiport design.

MOLDOVAN et al.:

-BAND MULTIPORT SIW CIRCUITS

As shown by results of the output magnitude, its minimum value is less than 3% of its maximum. Thus, it can be concluded that excellent measurement results are obtained, and it is expected that many types of multiport radar sensor applications [7] are possible with promising performances. Future multiport circuits will make use of integrated detectors. Thus, the proposed SIW-to-WR-10 transitions will not be necessary and the multiport transmission -parameters should be able to approach the optimal value of 6 dB. VI. CONCLUSION Novel -band millimeter-wave passive circuits fabricated with the SIW technology have been presented in this paper. The circuits are mounted on metallic fixtures having standard WR-10 waveguide access. A new transition has also been proposed to allow connection to standard millimeter-wave equipment and other components and circuits for measurement purposes. Simulation and measurement results of a -band hybrid coupler and a multiport circuit have been presented and discussed. The very good agreement between the simulation and measurement results suggests that the SIW is a promising technology for millimeter-wave applications. These circuits are to be used in low-cost and mass-producible millimeter-wave radar sensor applications. The present approach exhibits a great potential for the high-density integration and high-yield -band components for future volume production. ACKNOWLEDGMENT The authors would like to acknowledge the technical personnel of the Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada, for circuit fabrication. REFERENCES [1] K. Wu, “Integration and interconnect techniques of planar and nonplanar structures for microwave and millimeter-wave circuits-current status and future trend,” in Proc. Asia–Pacific Microw. Conf., Taiwan, R.O.C., Dec. 2001, pp. 411–416. [2] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [3] J. Hirokawa and M. Ando, “Single-layer feed waveguide consisting of posts for plane TEM wave excitation in parallel plates,” IEEE Trans. Antennas Propag., vol. 46, no. 5, pp. 625–630, May 1998. [4] D. Deslandes and K. Wu, “Single-substrate integration technique of planar circuits and waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 593–596, Feb. 2003. [5] S. Germain, D. Deslandes, and K. Wu, “Development of substrat integrated waveguide power dividers,” in Proc. Can. Electr. Comput. Eng. Conf., vol. 3, May 2003, pp. 1921–1924. [6] X. Xu, R. G. Bosisio, and K. Wu, “A new six-port junction based on substrate integrated waveguide technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2267–2273, Jul. 2004. [7] E. Moldovan, S. O. Tatu, T. Gaman, K. Wu, and R. G. Bosisio, “A new 94-GHz six-port collision avoidance radar sensor,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 751–759, Mar. 2004.

631

[8] D. Deslandes and K. Wu, “Integrated transition of coplanar to rectangular waveguides,” in IEEE Int. Microw. Symp. Dig., vol. 2, pp. 619–622. [9] R. G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance—Matching Netqworks and Coupling Structures. New York: McGraw-Hill, 1964, ch. 6, sec. 8.

Emilia Moldovan received the B.Sc. degree in electrical engineering from the Polytechnic University, Cluj-Napoca, Romania, in 1980, the M.Sc.A. degree from the École Polytechnique de Montréal, Montréal, QC, Canada, in 2001, and is currently working toward the Ph.D. degree at the École Polytechnique de Montréal. From 1982 to 1997, she was a Telecommunication Engineer with the Quality of Service Department, National Company of Telecommunications, RomTelecom, Bistrita-Nasaud, Romania. Her research interests include passive microwave circuit design, telecommunications, and radar systems.

Renato G. Bosisio (M’79–F’95–LF’04) was born on June 28, 1930, in Monza, Italy. He received the B.Sc. degree in mathematics and physics from McGill University, Montréal, QC, Canada, in 1951 and the M.A Sc. degree in electrical engineering from the University of Florida, Gainesville, in 1963. From 1951 to 1953, he was a Research Scientist with the Defense Research Telecommunications Establishment (Electronics Laboratory), Ottawa, ON, Canada. From 1953 to 1959, he was with the Canadian Marconi Company, Montréal, and was also with the English Electric Valve Company, Chelmsford, U.K., where he was involved with microwave magnetrons. From 1959 to 1964, he was with the Sperry Electron Tube Division, Gainesville, FL, where he performed research and development work on microwave-crossed field devices. From 1964 to 1965, he was with the Varian Associates of Canada, Georgetown, ON, Canada, where he was engaged in the development of traveling wave tubes. He then joined the faculty at the University of Montreal, Montreal, where he became Associate Professor in Electrical Engineering at the École Polytechnique de Montréal in 1965, Professor and Head of the Electromagnetic and Microwave Group in 1971, Head and founder of the Advanced Microwave Research Group (Grames) in 1990, and Emeritus Professor in 1995. In 1994, he founded and headed the Poly-Grames Research Centre, Montréal, for graduate student research in the design, fabrication, and measurement of microwave/millimeter wave devices, components, and subassemblies destined for use in advanced radar and communication systems. He has authored or coauthored 185 journal publications, 230 refereed conference presentations, and numerous technical reports and industrial consultations in microwaves and millimeter waves. He has also been involved in numerous microwave innovations and holds 12 patents. His research interests cover a wide area in microwave/millimeter wave related to advanced wireless local area networks, satellite/satellite/earth communication links, and point-to-point communications and automotive guidance systems. His research topics include automated and computer-aided microwave/millimeter wave instrumentation and measurements, characterization of dielectric materials and electron devices, high power microwave power applications, design/fabrication/test of microwave/millimeter wave monolithic and hybrid integrated circuits and the development of new microwave/millimeter wave six-port technology for direct digital receivers, radar and intelligent integrated sensors. Prof. Bosisio is a member of the Sigma Xi Research Society at McGill University, the Phi Kappa Phi Learned Society at the University of Florida (1963), and the l’Ordre des Ingénieurs du Québec (1965). He was the recipient of a number of awards including the McNaughton Medal of IEEE Canada (2004), the IEEE Canada Outstanding Educator Award (1996), the École Polytechnique Outstanding Professor Award (1973), Canada Patents and Development Limited The Inventor Award (1971).

632

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Ke Wu (M’87–SM’92–F’01) is a Professor of electrical engineering and the Tier-I Canada Research Chair in Radio-Frequency and Millimeter-Wave Engineering at the École Polytechnique, Montreal, QC, Canada. He was a Visiting or Guest Professor with many universities around the world. He also holds an honorary visiting professorship and a Cheung Kong endowed chair professorship with Southeast University, Nanjing, China, and an honorary professorship at the Nanjing University of Science and Technology, Nanjing, China. He has been the Director of the Poly-Grames Research Center as well as the Founding Director of the Canadian Facility for Advanced Millimeter-wave Engineering (FAME). He has authored or coauthored over 420 referred papers and several books/book chapters. His current research interests involve substrate integrated circuits, antenna arrays, advanced computer-aided design and modeling techniques, and development of low-cost RF and millimeter-wave transceivers. He is also interested in the modeling and design of microwave photonic circuits and systems. He serves on the Editorial Board of Microwave Journal, Microwave and Optical Technology Letters and Wiley’s Encyclopedia of RF and Microwave Engineering. He is an Associate Editor of the International Journal of RF and Microwave Computer-Aided Engineering. Dr. Wu is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities) and a member of the Electromagnetics Academy, Sigma Xi, and the URSI. He has held many positions in and has served on various international committees, including the Vice Chairperson of the Technical Program Committee (TPC) for the 1997 Asia-Pacific Microwave Conference, the General Co-Chair of the 1999 and 2000 SPIE’s International Symposium on Terahertz and Gigahertz Electronics and Photonics, the General Chair of the 8th International Microwave and Optical Technology (ISMOT’2001), the TPC Chair of the 2003 IEEE Radio and Wireless Conference (RAWCON’2003), and the General Co-Chair of the RAWCON’2004. He has served on the Editorial or Review Boards of various technical journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He served on the 1996 IEEE Admission and Advancement Committee and the Steering Committee for the 1997 joint IEEE Antennas and Propagation Society (AP-S)/URSI International Symposium. He has also served as a TPC member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium. He was elected to the Board of Directors of the Canadian Institute for Telecommunication Research (CITR). He is currently the chair of the joint IEEE chapters of MTTS/APS/LEOS in Montreal, QC, Canada, the chapter coordinator for MTT-S Region 7, and the vice chair of the IEEE MTT-S Transnational Committee. He was the recipient of a URSI Young Scientist Award, the Oliver Lodge Premium Award of the Institute of Electrical Engineer (IEE), U.K., the Asia-Pacific Microwave Prize, the University Research Award ”Prix Poly 1873 pour l’Excellence en Recherche” presented by the École Polytechnique on the occasion of its 125th anniversary, the Urgel-Archambault Prize (the highest honor) in the field of physical sciences, mathematics, and engineering from the French-Canadian Association for the Advancement of Science, and the 2004 Fessenden Medal of the IEEE Canada. In 2002, he was the first recipient of the IEEE MTT-S Outstanding Young Engineer Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

633

A High Stopband-Rejection LTCC Filter With Multiple Transmission Zeros Yng-Huey Jeng, Student Member, IEEE, Sheng-Fuh R. Chang, Member, IEEE, and Hsiao-Kuang Lin

Abstract—A low-temperature-cofired ceramic (LTCC) bandpass filter with high stopband rejection is presented for multistandard coexisted wireless communication applications, such as the integrated wireless local area network (WLAN)/wavelength code-division multiple access handset, the dual-band triple-mode WLANs, and the global system for mobile communications/global positioning system receivers. By improving the filter cell structure, 2 transmission zeros can be generated to achieve wide-band suppression from cascading filter cells. The presented method provides the design flexibility of locating these transmission zeros distributed in the lower and upper stopbands. An LTCC bandpass filter with four transmission zeros has been implemented for experimental demonstration. The measured insertion loss is less than 1.5 dB at 2500 MHz, and four transmission zeros are obtained at 1.64, 1.88, 4.36, and 5.32 GHz, respectively. These result in 48–59 dB for lower stopband suppression and 38–55 dB for higher stopband reduction. This paper demonstrates that the proposed filter is extremely suitable for the multiband RF transceivers where the cross-band interference must be adequately reduced. Index Terms—Bandpass filters, image parameter, low-temperature-cofired ceramic (LTCC), stopband rejection.

I. INTRODUCTION

T

HE development of multistandard coexisted mobile and wireless communications has been driving the RF transceiver to work at multiple different frequency bands since the coexisted wireless systems have their globally or regionally assigned operation frequency bands. For example, the wavelength code-division multiple access (WCDMA)/wireless local area network (WLAN) coexisted mobile terminal operates at 1.9 GHz for the WCDMA mode and 2.4 GHz for the WLAN mode. Thus, the RF transceiver architecture revolve from the conventional narrow-band architecture into various multiband forms, such as combined two narrow-band RF transceivers in parallel [1], [2] or concurrent architecture [3], [4]. This, in turn, requires new design considerations for both passive and active RF circuits of their original performance. Particularly for the RF band-selection bandpass filter, it must bear new requirement of stringent stopband suppression to reduce cross-band interference. This requirement becomes more difficult to be achieved when the operating frequencies of coexisted systems are close.

Manuscript received April 18, 2005; revised September 27, 2005. Y.-H. Jeng and S.-F. R. Chang are with the Department of Electrical Engineering and the Department of Communications Engineering, Center for Telecommunication Research, National Chung-Cheng University, Chia-Yi County 621, Taiwan, R.O.C. (e-mail: [email protected]; [email protected]). H.-K. Lin is with the RF Design Department, Fi-Win Corporation, Jhongli City 320, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862669

Therefore, the bandpass filter with multiple arbitrary transmission zeros is necessary for use in the growing multiband RF transceivers. In [5], the authors proposed a modified Chebeyshev bandpass filter to allow multiple transmission zeros that were arbitrarily distributed in the stopbands. Tang [6] adopted this schematic to design a 2.4-GHz bandpass filter with two transmission zeros in the lower stopband and one transmission zero in the upper stopband. By realizing the ten-layer low-temperature-cofired ceramic (LTCC) technology, the filter shows a good measured insertion loss of 2.0 dB, but the lower stopband transmission zeros are degenerated into one. Yeung and Wu [7] reported an LTCC bandpass filter with two transmission zeros based on the conventional schematic of a pair of inductive coupled resonators. The measurement results showed that two transmission zeros are located at the prescribed frequencies. Another work by Wada and Awai [8] employed the tapped half-wavelength open resonator to realize the Chebeyshev prototype and to generate two transmission zeros on each of the lower and upper stopbands. If tapped half-wavelength resonators are used, there are transmission zeros. In this paper, a different design approach from the above methods [5]–[8] is presented based on the image-filter synthesis technique [9]–[11]. The approach cascades multiple elementary filter cells to achieve the desired specifications, where each cell can have the low-pass, high-pass, or band-pass response and is impedance-matched with its adjacent cells. To have advantageous stopband transmission zeros, new filter cells with inherent stopband transmission zeros are proposed. This design approach has fewer components than the circuits in [5]–[7] based on the comparison of the same number of transmission zeros. In Section II, the new filter cells having two transmission zeros are described. The design procedure is given in Section III. For the compact wireless transceiver applications in WCDMA/WLANs, a demonstrated bandpass filter with four transmission zeros is implemented using a multilayer LTCC technology. The cross-layer coupling effect, which is ignored in the proposed method, is included by three-dimensional (3-D) electromagnetic (EM) simulation. The measurement results are discussed in Section IV, and this paper is summarized in Section V. II. FILTER CELLS WITH TWO TRANSMISSION ZEROS By the image-filter synthesis technique, a bandpass filter can be easily synthesized with a cascade of double- -derived filter cells [9]–[11]. The advantage is that this filter cell, which is illustrated in Fig. 1(a), provides two stopband transmission

0018-9480/$20.00 © 2006 IEEE

634

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

. At the transmission-zero frequencies, the input signal is totally reflected, resulting in infinite rejection. The circuit characteristics including the input image impedance , the output image impedance , and the transfer constant under the impedance match condition can be derived from (1) as follows: (2) (3) (4) For real power transfer to the matched load in the passband, the image impedances must be positive real and the transfer constant is purely imaginary. While in the stopband, the impedances are imaginary and the transfer constant becomes negative real. Therefore, from (2)–(4), the term in (1) vanishes at a certain frequency named the transition frequency . By taking one step further, at the center angular frequency of the passband, it is desired that the input impedance matches the source impedance and the output impedance equals the load impedance . Consequently, based on these criteria ( and ) and the definitions of transmission zero, the circuit elements can be written in terms of , and as follows: Fig. 1. Bandpass filter cells with two transmission zeros. (a) Conventional double- cell. (b) Proposed cell with lumped elements. (c) Proposed cell with hybrid of lumped and distributed elements.

(5)

zeros. However, this topology has the drawback of unrealistic inductance value when implemented with the LTCC foundry process. Therefore, an enhanced filter cell without , as shown in Fig. 1(b), is proposed. Furthermore, another form of the proposed filter cell is also investigated, which is a hybrid of distributed and lumped elements, as shown in Fig. 1(c).

(6)

A. Lumped Filter Cell

(7)

The matrix of the lumped filter cell in Fig. 1(b) can be expressed as

(8)

m

(9) This set of equations will be used as the first-step circuit design under the prescribed filter specifications. B. Hybrid Filter Cell

(1) where and denote the angular transmission-zero frequencies, which are generated from the series resonance of the shunt branches and

(as well as ) can be replaced The shunt branch by a step-impedance resonator, as shown in Fig. 1(c). The stepimpedance resonator has the electric lengths and at and the characteristic impedances and (let ). With the similar derivation as given in Section II-A, the matrix and circuit elements can be obtained as follows: (10)

JENG et al.: HIGH STOPBAND-REJECTION LTCC FILTER WITH MULTIPLE TRANSMISSION ZEROS

635

(11) (12) (13)

(14) (15) where

Fig. 2. Schematic diagram of bandpass filters. (a) Lumped- . (b) Lumped T-type. (c) Hybrid- . (d) Hybrid double- .

C. Cascade of Filter Cells Various types of bandpass filters with multiple transmission zeros can be constructed with cascade of above filet cells. If filter cells are employed, transmission zeros can be generated. The commonly used filter cells include , T-type, double- , and double-T architectures, where some of them are drawn in Fig. 2. The - and T-type architectures have four transmission zeros while the double- architecture has eight transmission zeros. Half of the transmission zeros are distributed over the lower stopband, and the other half are spread over the upper stopband. III. FILTER DESIGN WITH LTCC TECHNOLOGY To explain the circuit design details, an exemplary -type and double- bandpass filter is designed, and the -type bandpass filter will be implemented with a seven-layer LTCC foundry. The design goal is a 2.4-GHz industrial–scientific–medical (ISM)-band WLAN/WPAN bandpass filter with high cross-band rejection of 45 dB at 1.8–1.9 GHz (GSM mobile)

and 30 dB at 4.4–5.4 GHz (UWB). The design procedure is described in Section III-A. A. Circuit Element Estimation and Calculated Spectral Response The initial element values are calculated by selecting the following parameters: • center frequency : 2.45 GHz; • low-band transmission zero frequencies and : 1.7 and 1.9 GHz; • high-band transmission zero frequencies and : 4.25 and 5.25 GHz; • cutoff frequency : 2.3 GHz; • input and output impedance: 50 . The 1.9- and 4.25-GHz transmission zeros are provided from Cell and the 1.7- and 5.25-GHz transmission zeros are from Cell . Substituting these parameters into (5)–(9), the element values are obtained and tabulated in Table I for the lumpedstructure. For the hybrid- structure, we select and for Cell and and for Cell . Then,

636

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

TABLE I ELEMENT VALUES OF THE LUMPED- TYPE LTCC BANDPASS FILTER

TABLE II ELEMENT VALUES OF THE HYBRID- TYPE LTCC BANDPASS FILTER

the other parameters, , and are calculated by using (11)–(15). The element values are listed in Table II. By substituting the estimated element values into the lumped- , hybrid- , and hybrid double- networks in Fig. 2, their spectral responses can be obtained. The spectral characteristics of circuits are drawn in Fig. 3(a). The calculated return loss is 32 dB. Four transmission zeros are generated at 1.7, 1.9, 4.25, and 5.25 GHz, thus satisfying the required stopband rejection. Both realizations by lumped elements and hybrid lumped and step-impedance resonators have almost identical spectral responses. This shows that both lumped- and hybrid- networks can achieve the prescribed filter response and provide the designer with an option to choose available components in implementation. In Fig. 3(b), where doublecircuitry is used, eight transmission zeros are distributed over both the lower and upper stopbands such that a high stopband rejection can be achieved over a wide frequency range. B. LTCC Layout For experimental verification, the hybrid- circuit is implemented on a seven-layer LTCC substrate, which has a dielectric constant of 7.8, loss tangent of 0.0043, and a dielectric layer thickness of 90–90–135–90–90–90–180 m consecutively from the top. The layout and the microphotograph are shown in Fig. 4, where the circuit size is 5.4 mm 3.9 mm 0.765 mm. The coupling capacitor is implemented in layers 1 and 2 using the metal–insulator–metal (MIM) structure. Two inductors and using the planar spiral structure are located on layer 3. The capacitors and are formed by layer 5 with the ground layers 4 and 6, where is implemented with the MIM structure and with the vertically interdigital-capacitor (VIC) structure. The step-impedance resonators are placed on layer 7, which are shielded with two neighboring layers (6 and 7b) to minimize the interaction with other components.

Fig. 3. Calculated spectral response with the proposed method. (a) Lumped- and hybrid- network. (b) Hybrid double- network.

C. 3-D EM Simulation for Including Substrate Losses and Cross-Layer Coupling To include the dielectric and conductor losses and the cross-layer spurious coupling in the LTCC multilayer structure, a 3-D EM simulator HFSS1 was conducted. It was found from the simulation that the parasitic ground capacitance is on the order of subpicofarads, which will considerably affect the correct value and the step-impedance-resonator dimensions, as estimated in Section III-A. Therefore, each component dimension is fine-tuned to have the correct passband and satisfied insertion loss and stopband rejection. Fig. 5 compares the calculation results, based on the proposed method, with the HFSS simulation results. The calculation results of the hybrid- LTCC bandpass filter are illustrated by the dotted line, and the HFSS simulation results are drawn by the solid line in Fig. 5. The HFSS simulation results demonstrate a 1.8-dB insertion loss and a 15-dB return loss at 2450 MHz. The four transmission zeros are observed at 1.6, 1.9, 1

HFSS v9.1, Ansoft Corporation, Pittsburgh, PA, 2003.

JENG et al.: HIGH STOPBAND-REJECTION LTCC FILTER WITH MULTIPLE TRANSMISSION ZEROS

637

Fig. 6. Simulation and measurement results of the 2.45-GHz hybrid- bandpass filter.

Fig. 4. Designed 2.45-GHz hybrid- bandpass filter. (a) LTCC layout. (b) Microphotograph.

The measured insertion loss is less than 1.5 dB, and the return loss is better than 15 dB at 2500 MHz for Fig. 6. The four transmission zeros are measured at 1.64, 1.88, 4.36, and 5.32 GHz, respectively. Although the two higher transmission-zeros frequencies are slightly up-shifted, their resultant stopband rejection is not deteriorated. In the low band of 1.6–1.9 GHz, the stopband suppression of 48–59 dB is achieved and, in the high band of 4.1–5.4 GHz, suppression of 31–55 dB is obtained. The measurement results agree very well with the 3-D simulation, showing that the proposed design method is very effective in high-performance LTCC bandpass filter design.

V. CONCLUSION

Fig. 5. Comparison of the calculation results (based on our proposed method) and the HFSS simulation results.

4.2, and 5.25 GHz, respectively. The calculation and EM simulation agree very well in overall frequency response. Nevertheless, the passband bandwidth slightly widens in simulation due to the conductor and dielectric losses and the cross-layer coupling in the LTCC structure, which can be taken into account by HFSS simulation but ignored in the derived equations (5)–(9) and (11)–(15). IV. MEASUREMENT RESULTS AND DISCUSSION The ground–signal–ground (G–S–G) probes with 250- m pitch are used for on-chip measurement, which are connected to the vector network analyzer for obtaining scattering parameters.

An LTCC bandpass filter with high stopband rejection is presented based on the image-filter synthesis method for multiband RF transceivers in the multistandard coexisted wireless communication. New filter cell structures with stopband transmission zeros, which are suitable for the LTCC foundry process, are analyzed such that a compact LTCC bandpass filter with stopband transmission zeros can be accurately designed by the cascaded combination of such cells. These transmission zeros can be arbitrarily distributed in the lower and upper stopbands. The advantage of the proposed method provides a set of accurate and explicit equations for element value estimation to achieve the required filter specifications. Then, these values are substituted into an EM simulator for fine-tuning to include the LTCC substrate conductor losses and cross-layer coupling. An LTCC hybrid- bandpass filter has been implemented for WCDMA/WLAN coexisted application. In the range of 2400–2500 MHz, the measured insertion loss is less than 1.5 dB and the return losses are better than 15 dB. Four transmission zeros are obtained at 1.64, 1.88, 4.36, and 5.32 GHz, respectively, which results in 48–59 dB lower stopband suppression and 31–55 dB upper stopband suppression. These promising results demonstrate that the proposed filter has great application potential in multiband RF transceivers, where the stringent cross-band interference suppression is required.

638

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

REFERENCES [1] S. Wu and B. Razavi, “A 900-MHz/1.8-GHz CMOS receiver for dualband applications,” IEEE J. Solid-State Circuits, vol. 33, no. 12, pp. 2178–2185, Dec. 1998. [2] J. Tham, M. Margrait, B. Pregardier, C. Hull, R. Magoon, and F. Carr, “A 2.7 V 900-MHz dual-band transceiver IC for digital wireless communications,” IEEE J. Solid-State Circuits, vol. 34, no. 3, pp. 282–291, Mar. 1999. [3] H. Hashemi and A. Hajimiri, “Concurrent multiband low-noise amplifiers-theory, design, and applications,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 288–301, Jan. 2002. [4] S. F. Chang, W. L. Chen, S. C. Chang, C. K. Tu, C. L. Wei, C. H. Chien, C. H. Tsai, J. Chen, and A. Chen, “A dual-band RF transceiver for multistandard WLAN applications,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 2, pp. 1048–1055, Feb. 2005. [5] J. S. Lim and D. C. Park, “A modified Chebyshev bandpass filter with attenuation poles in the stopband,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 6, pp. 898–904, Jun. 1997. [6] C.-W. Tang, “Harmonic-suppression LTCC filter with the stepimpedance quarter-wavelength open stub,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 617–624, Feb. 2004. [7] L. K. Yeung and K. L. Wu, “A compact second-order LTCC bandpass filter with two finite transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 337–341, Feb. 2003. [8] K. Wada and I. Awai, “Design of a bandpass filter with multiple attenuation poles based on tapped resonators,” IEICE Trans. Electron, vol. E82-C, no. 7, pp. 1116–1122, Jul. 1999. [9] T. H. Shea, Transmission Networks and Wave Filters. New York: Van Nostrand, 1930. [10] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Dedham, MA: Artech House, 1980. [11] M. Hasler and J. Neirynck, Electric Filters. Boston, MA: Artech House, 1986.

Yng-Huey Jeng (S’05) received the M.S. degree in physics from National Chung-Cheng University, Douliou City, Taiwan, R.O.C., in 1997, and is currently working toward the Ph.D. degree at National Chung-Cheng University. Her research interests include microwave filter in LTCC and organic substrates and high-power microwave sources.

Sheng-Fuh R. Chang (S’83–M’92) received the B.S. and M.S. degrees in communications engineering from National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from the University of Wisconsin–Madison, in 1991. He was involved with high-power microwave and millimeter-wave sources such as free-electron lasers and Cherenkov masers at the Center for Plasma Theory and Computation, University of Wisconsin–Madison. In 1992, he joined the Hyton Technology Corporation, where he was responsible for C - and Ku-band satellite low-noise down-converters and multichannel multipoint distribution system (MMDS) transceivers. In 1994, he joined the Department of Electrical Engineering, National Chung-Cheng University, Douliou City, Taiwan, R.O.C., where he is currently a Full Professor with the Department of Electrical Engineering and is also the Vice Director of the Center for Telecommunication Research. His research interests include microwave and millimeter-wave integrated circuits with CMOS, heterojunction bipolar transistor (HBT), and pseudomorphic high electron-mobility transistor (pHEMT) technologies, multifunctional RF transceivers, smart-antenna RF system, and high-power microwave sources. Prof. Chang is a member of Phi Tau Phi and Sigma Xi.

Hsiao-Kuang Lin was born in Miaoli, Taiwan, R.O.C., in 1979. He received the M.S. degree in electrical engineering, National Chung-Cheng University, Douliou City, Taiwan, R.O.C., in 2004. He is currently a Research and Development Engineer with the RF Design Department, Fi-Win Corporation, Jhongli City, Taiwan, R.O.C. His research interests are WLAN communication systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

639

Sensitivity and Resolution of Evanescent Microwave Microscope Richard A. Kleismit, Member, IEEE, Marian K. Kazimierczuk, Fellow, IEEE, and Gregory Kozlowski

Abstract—A near-field evanescent microwave microscope is 4 coaxial TEM transmission-line resonator with a based on a silver-plated tungsten tip extending through an end-wall aperture. This microwave microscope is used to characterize local electromagnetic properties of dielectrics, conductors, and superconductors. The resolution of the probe is verified experimentally by scanning etched gold lines on a sapphire substrate. A first-order sensitivity estimation generated from a unified equivalent circuit model of the probe and sample is investigated. The sensitivity inherent to the resonant probe and system noise is discussed. Experimental validation of sensitivity is given. Index Terms—Complex permittivity, evanescent microwave microscopy, near-field, nondestructive evaluation, resolution, sensitivity, sensor, superconductors.

I. INTRODUCTION

M

ICROWAVE near-field probe designs are either broadband or resonant. Broad-band probes typically consist only of a transverse electromagnetic waveguide, while resonant structures are planar or coaxial and may employ a cavity and/or cutoff aperture. The hollow waveguide probes employing an aperture in the microwave regime have the advantage of the near field being simply evanescent, but the maximum resolution is limited to the diameter of the aperture. Aperture probes and tapered hollow waveguides have the disadvantage that inherent radiation will have to pass a cutoff region, which reduces throughput considerably. Aperture probes and tapered hollow waveguides are characterized by purely reactive impedance [1]. The estimated Johnson noise-limited sensitivity of a coaxial resonant cavity probe with a sharpened tip extending through an end-wall aperture [2] was reported to be approximately 10 . The authors claim that, to obtain such sensitivity, a microwave source with a frequency stability of is required, and they conclude that the sensitivity is limited to 10 by the stability of the voltage-controlled oscillator of their system. The spatial resolution was estimated by numerically calculating the contribution to frequency shift from small vertical columns [2] as a function of lateral location relative Manuscript received April 22, 2005; revised August 13, 2005. This work was supported by the U.S. Department of Defense at Wright-Patterson Air Force Base, Air Force Research Laboratory/Materials and Manufacturing Directorate. R. A. Kleismit is with the Department of Electrical Engineering, Wright State University, Air Force Research Laboratory/Materials and Manufacturing Directorate, Wright-Patterson AFB, Dayton, OH 45431 USA and also with the Anteon Corporation, Dayton, OH 45431 USA (e-mail: [email protected]). M. K. Kazimierczuk is with the Department of Electrical Engineering, Wright State University, Dayton, OH 45435 USA (e-mail: [email protected]). G. Kozlowski is with the Physics Department, Wright State University, Dayton, OH 45435 USA and also with the Anteon Corporation, Dayton, OH 45431 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862668

Fig. 1. Evanescent microwave microscopy measurement system.

to the center of the tip for materials of different dielectric constant. The numerically estimated resolution was reported to be about two orders of magnitude smaller than the tip radius at dielectric constants of [2]. A later publication reports that features of 100 nm were clearly resolved with a probe tip of less than 1 m [3]. The sensitivity of a planar strip-line resonant probe is defined separately for the internal probe characteristics (resonator sensitivity) and external probe interaction (probe sensitivity). The estimated resonator sensitivity was reported as 2.25 10 for a of 1000 [4]. The sensitivity of this probe was estimated to be 10 in metals, 10 in semiconductors, and 10 for dielectrics. The authors also reported experimental results of sensitivity of 10 in conductors, 10 in semiconductors, and 10 in dielectrics [5] with no corroborating evidence. The microwave probe design utilized in this study is coaxial resonant with a sharpened tungsten tip extending through an end-wall aperture. The objective of this study is to construct the sensor and investigate the resolution and sensitivity of the evanescent microwave probe. This study presents experimental results verifying the probe’s resolution utilizing the evanescent microscopy system shown in Fig. 1. An analysis of the theoretically estimated sensitivity related to dielectrics, conductors, and superconductors through a generalized equivalent circuit for the probe and sample is developed, and experimental sensitivity results are compared to theory. II. EVANESCENT MICROWAVE PROBE DESCRIPTION The resonator probe is based on an open-circuit transmission line and is constructed from an 0.085-in semirigid copper

0018-9480/$20.00 © 2006 IEEE

640

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 3.

Fig. 2. Probe construction with tuning network.

coaxial transmission line indicated in Fig. 2. This type of resonator behaves as a parallel-resonant circuit when the length is a multiple of and a series-resonant circuit for odd multiples of . In constructing the probe, the center conductor was removed along with the Teflon insulator. A sharpened tip was mounted on the center conductor and electroplated with approximately 1 m of silver. The transmission-line resonator was then reconstructed by casting the sharpened, plated, center conductor inside the outer shield with high-grade paraffin. A copper end-wall aperture was placed at the sharpened end of the coax. The aperture was sized for cutoff at the resonant frequency desired. The sharpened point of the center conductor extended beyond the end wall aperture of the resonator by approximately 20 m or less in order to maintain spatial resolution. The highly conductive silver plating along with the low loss tangent of paraffin increased the quality factor to nearly twice that of the standard Teflon-filled coaxial transmission line. It is necessary to keep as high as possible to achieve high sensitivity. The probing field emanates from the tip, so, as the tip radius decreases, the spatial resolution increases due to localization of the interaction between the tip and sample. The traveling wave in the resonator is mode converted to evanescent at the reflecting face of the end-wall aperture. The evanescent field starts decaying exponentially at this point, but the evanescent field intensity at the tip is of sufficient amplitude to penetrate a material such as a dielectric, provided that the tip-sample distance is of sufficient length. III. PRINCIPLES OF SENSOR OPERATION In the field of evanescent microwave microscopy, the tip of the probe operates in close proximity of the sample, where the tip radius and effective field distribution range are much smaller than the resonator excitation wavelength. The propagating field exciting resonance in the probe can be ignored and the probe tip-sample interaction can be treated as quasi-static. This can be used for localized measurements and images with resolved features governed essentially by the characteristic size of the

Block diagram of a microwave microscopy system.

tip. The field distribution from the probe tip extends outward a short distance, and, as a material is entered into the near field of the tip, it will interact with the evanescent field, perturbing the resonance of the probe. This perturbation is linked to the resonant structure of the probe through the air gap coupling capacitance between the tip and the material. This results in the loading of the resonant probe and alters the resonant frequency , quality factor , and reflection coefficient of the resonator. If the air gap distance from tip to sample is held constant, the , and variations related to the microwave properties of the sample can be mapped as the probe tip is scanned over the sample. The microwave properties of a material are functions of permittivity , permeability , and conductivity . A block diagram of the microwave microscopy system is shown in Fig. 3. The changes in the probe’s resonant frequency, quality factor, and reflection coefficient are tracked by a Hewlett-Packard 8722ES network analyzer through -port measurements, as the probe moves above the sample surface. The microwave excitation frequency of the resonant probe can be varied within the bandwidth of the network analyzer and is tuned to critical coupling by external capacitors. The tuning assembly consists of two variable 2.5–8-pF capacitors. The tuning network has one capacitor connected in line with the center conductor, and the other capacitor is connected from center conductor to ground. The – axis stage is driven with Coherent optical encoded dc linear actuators. The probe is frame mounted to a -axis linear actuator assembly and the height at which the probe is above the sample can be precisely set. The – stage actuators, network analyzer, and data acquisition and collection are controlled by the computer. The program that interfaces to the – stage actuators, serial port communications, 8722ES GPIB interface, and data acquisition is written in National Instruments Labview software. The complete evanescent microwave scanning system is mounted on a vibration-dampening table. IV. QUANTITATIVE MICROWAVE MICROSCOPY The evanescent microwave measurement system illustrated in Fig. 1 is capable of producing – scans of material properties at room (300 K) and cryogenic (79.4 K) temperatures. These properties are represented by changes in the resonant frequency, , and reflection coefficient magnitudes of the resonant probe.

KLEISMIT et al.: SENSITIVITY AND RESOLUTION OF EVANESCENT MICROWAVE MICROSCOPE

641

2

Fig. 4. Etched Ti–Au lines on sapphire magnification (20 ).

The system is also capable of producing local complex permittivity values for dielectric, conductor, and superconductor samples by measuring the resonant frequency shift of the resonant coaxial probe, as the tip indexes toward the sample surface. The resonant frequency shift data is produced by setting the reference resonant frequency at a distance above the sample surface and indexing the probe tip in micrometer segments toward the sample to a distance of 1 m from the surface. The resonant frequency shift data is best fit by a method of images model that produces the real part of the complex permittivity, thus allowing the characterization of dielectrics, conductors, and superconductors [6].

2

Fig. 5. Change in Q plot for 18 m 20 m scan of line that is 1 m in width. Note: higher peaks (10 –10 ) due to Au coating that has not etched away and lower peak (10 ) is of Ti.

V. PROBE RESOLUTION The resolution of the probe was verified using a sapphire polycrystalline substrate with titanium-gold etched lines of widths ranging from 10 to 1 m, shown in Fig. 4. The titanium was used to permit adhesion of the gold to the substrate and is approximately 100-nm thick, while the deposition thickness of the gold is approximately 1 m. The resonant frequency of the probe was tuned to 2.67 GHz for this experiment. The etched lines of the sample were scanned with the probe resulting in a change in frequency, , and magnitude of reflection plots. It has been shown [1]–[3] that the smallest physically resolvable feature for an evanescent probe is basically governed by the size of the tip radius, along with the height at which the tip is above this desired feature. For example, to resolve a 5- m physical feature, the probe tip radius must be less than or equal to 5 m and should be no more than m above it, where is the distance from tip to sample. The change in and change in magnitude of reflection coefficient images are illustrated in Figs. 5 and 6, respectively, show a 20 m 18 m scan area around the 1- m-wide etched line. The measured tip radius of the probe used was 1.2 m with a stand off height of 2 m and a 1- m data acquisition step. The location of the etched line is indicated on each plot by arrows with corresponding measurements in micrometers. The 1- m line was distinguishable in all three plots, which gives the probe at least 1- m topographical resolution. The values that are attainable with this tunable resonator range from 1.5 10 to well over 10 The dynamic range of the change in is approximately 5 10 , as shown in Fig. 5.

Fig. 6. Change in reflection coefficient in (in decibels) for 18 m scan of line that is 1 m in width.

2 20 m

VI. PROBE SENSITIVITY The sensitivity of the evanescent microwave probe described is inhere can be separated into two categories. The first herent to the resonator itself and directly proportional to its quiescent operating value . The other is external to the resonator and solely determined by the tip-sample interaction. A noise threshold has to be considered in an evanescent microwave system, which also affects sensitivity. The minimum detectable signal in an evanescent microwave microscopy system has to be greater than the noise threshold created by the resonator probe, tuning network, and coupling to the sample. The noise is generated by a resistance at an absolute temperature of by the random motion of electrons proportional to the temperature within the resistor. This generates random voltage fluctuations at the resistor terminal, which has a zero average value but a nonzero rms value given

642

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

by Planck’s black body radiation law and can be calculated by the Raleigh–Jeans approximation [7] as (1) J/K is Boltzmann’s constant, is where the temperature in kelvin, is the bandwidth of the system in hertz, and is the resistance in ohms. The resistance that results at critical coupling is the resistance that produces noise in the system. Therefore, the signal level is required to be above this noise level for detection.

Fig. 7. Equivalent circuit of probe coupled to superconductor. The two-fluid equivalent circuit [8] is used to represent the superconductor.

A. Resonator Sensitivity The sensitivity approximation internal to the resonator can be determined theoretically and experimentally. The theoretical value is analytically approximated by considering the lumped series equivalent circuit of the resonator, which has an inherent resonant frequency and associated with the lumped parameters and . This configuration and associated parameters can be viewed as if the probe tip is beyond the decay length of the evanescent field from a material or in free space. If the probe tip is brought into close proximity and electrically couples to the sample, the resonant frequency and are perturbed to a new value and , respectively, and are associated with new perturbed parameters , and . The total impedance looking into the terminals of the perturbed resonator coupled to a sample can be written as (2) The magnitude of the reflection coefficient by

is related to

(3) is the characteristic impedance of the resonant strucwhere ture. If we assume critical coupling, where the resonator is matched to the characteristic impedance of the feed transmission line at resonant frequency, then at and is defined in [5] as

Cooper-pair flow and is related to displacement current. The superconductor equivalent circuit contains the necessary circuit elements in the appropriate configuration to represent not only a superconductor, but a metallic conductor and a dielectric. The equivalent circuit model for the probe coupled to a superconductor is illustrated in Fig. 7, where the equivalent circuit model for the superconductor is derived from the two-fluid model [8]. The lumped circuit representation of the superconductor consists of capacitance , the inductance for normal carrier flow , and resistivity shunted by kinetic inductance . The parameters and are considered to have minimal effects [8] when the superconductor is subjected to low frequencies and is neglected in this analysis. The conductivity ratio is correlated to the impedance ratio and in the limit of large and [8]. The opposite extreme results in approaching 0, while advances toward infinity. The superconductive samples for this study were subjected to a frequency of approximately 1 GHz and are of an inductive nature. The superconductor with an inductive nature has . The impedance is the parallel combination of and and is represented as (5) The impedance which results in

is the series combination of

and

(4) where

.

,

(6) The impedance given by

is the parallel combination of

and

B. Probe Sensitivity The external sensitivity determined by tip–sample interaction of the resonator is based on a section of transmission line, with the lumped-parameter series equivalent circuit coupled to an equivalent circuit model of a superconductor shown in Fig. 7. The series lumped-parameter circuit for the resonator consists of , and and the probe tip coupling to the superconductor is represented by . The equivalent circuit model of the superconductor is comprised of , and , where the series combination of and represents the normal conduction. The element signifies the kinetic inductance of the

(7)

KLEISMIT et al.: SENSITIVITY AND RESOLUTION OF EVANESCENT MICROWAVE MICROSCOPE

The total impedance looking into the terminals of the probe coupled to a superconductor sample is

The complex impedance

643

is the effective tip area, and is where the London penetration depth. Therefore, the sensitivity for a superconductor is found by taking the derivative of with respect to in (12) and is given by (14)

can be represented as

The ability of the probe to differentiate between regions of different conductivity within a superconductor is defined as At resonance, the inductive and capacitive reactances cancel, therefore,

(8) This allows us to solve for perturbed frequency in terms of the perturbed lumped circuit parameters in an iterative process, where we will be taking a first-order approximation. The combination of (7) and (8) results in

(15) The probe couples to a metallic sample through the coupling capacitance and the conductor is represented as the series and . An equivalent circuit of a metallic combination of sample does not contain the circuit elements and in the two-fluid equivalent circuit. Therefore, and . The impedance is the series combination of , and and is represented as (16) The parallel combination of

and

results in

(9) and the impedance

is

Therefore, for the first iteration, we have the equation (10)

Solving for

(17) The total impedance looking into the terminals of the probe coupled to a conductor sample is

in (10) results in (11)

The complex impedance

can be represented as

where At resonance, the inductive and capacitive reactance cancel, therefore,

The Taylor expansion of (11) gives (12) The sensitivity

for a superconductor is defined as

(18) The impedance

(13)

is represented as (19)

644

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Rewriting (26) and taking the square root of both sides and neglecting higher order terms, we have the first-order approximation for the perturbed resonant frequency due to the coupling of the probe to a conductor

Taking the real part of (19), we have

(27) (20) The numerator and denominator of (20) are considered separately, so the numerator is expanded and results in

The Taylor expansion of (27) gives (28)

(21) The term in (21) is discarded due to insignificance and the denominator of (20) is expanded as

The sensitivity

for a conductor is defined in [5] as (29)

(22) Likewise, the term in (22) is neglected, and the combination of (21) and (22) appear as (23)

is the effective tip area, and is the where skin depth. Therefore, the sensitivity (29) for a conductor is found by taking the derivative of with respect to in (28) and results in (30) The ability of the probe to differentiate between regions of different conductivity is defined in [5] as

Factoring out in numerator and denominator of (23) and substituting the result into (18) produces

(24)

Reducing (24) and multiplying by

(31) is given in (1) and is the probe input voltage. where The probe also couples to a dielectric sample through the coupling capacitance and the dielectric is represented as the parallel combination of and . The equivalent circuit of an insulating sample does not contain the circuit elements and from the two-fluid equivalent circuit. Therefore, and . The impedance is the parallel combination of and and is represented as (32)

results in The series combination of

and

result in

(25) (33) The relation with as a zerothorder approximation to our iterative process is substituted into (25), producing a first-order approximation

is the parallel combination of The impedance is represented as

and

and

(26) (34)

KLEISMIT et al.: SENSITIVITY AND RESOLUTION OF EVANESCENT MICROWAVE MICROSCOPE

645

The total impedance looking into the terminals of the probe coupled to a dielectric sample is

The complex impedance

can be represented as

At resonance, the inductive and capacitive reactance cancel, hence,

(35) is factored out in the numerator and denomThe quantity inator of (34) and the result is placed into (35), giving Fig. 8. 2-D change in the of 6 bi-crystal [9].

Q plot of YBa Cu O

thin film in junction area

TABLE I SENSITIVITY AND ASSOCIATED PARAMETERS FOR SUPERCONDUCTORS

is neglected since it is large so

Therefore,

TABLE II SENSITIVITY AND ASSOCIATED PARAMETERS FOR CONDUCTORS

(36) Solving for

in (36) results in (37)

The Taylor expansion of (37) gives (38) The sensitivity

for a dielectric is defined in [5] as (39)

is the effective tip area, and is where the decay length of the evanescent wave, which is approximately 100 m. Therefore, the sensitivity for a dielectric is found by taking the derivative of with respect to in (38) to yield (40) The ability of the probe to differentiate between regions of different permittivity is defined in [5] as (41)

VII. EXPERIMENTAL RESULTS The experimental verification of the sensitivity for superconductors was performed on a YBa Cu O coated SrTiO bi-crystal of 6 orientation mismatch [6]. Resonant frequency-shift measurements were taken, resulting in complex permittivity values for two separate locations below at 79.4 K. The measurements were taken in the boundary at points and shown in Fig. 8. The sensitivities given by (4), (14), and (15) are listed in Table I. The sensitivity parameters consist of F, F, H, [8], S/m, and . The experimental results have shown that . The experimental verification of the sensitivity for conductors was also performed on the YBa Cu O coated SrTiO bi-crystal of 6 orientation mismatch [9]. The measurements were taken at the same locations for the superconductor sensitivity, in the boundary at points and (Fig. 8) at a temperature of 300 K. The sensitivities given by (4), (30), and (31) are listed in Table II. The sensitivity parameters consist of F, F, H, [8], S/m, and . The experimental results have shown that .

646

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

VIII. CONCLUSION evanescent microwave sensor has been fabricated The and tested. The sensor can be used for the characterization of local electromagnetic properties of materials. The resonator intrinsic spatial resolution has been experimentally demonstrated. A first-order estimation of the sensitivity related to the probe tip–sample interaction for conductors, dielectrics, and superconductors is provided. An estimation of the sensitivity inherent to the resonant probe was presented, and the experimentation validated the probe to be sensitive in the range of theoretically estimated values and of micrometer resolution. REFERENCES

Fig. 10. Network analyzer screen capture of frequency-shifted resonance with probe tip 1 m above SrTiO crystal sample at 302 K. Note the parameters at the upper right.

[1] S. M. Anlage, D. E. Steinhauer, B. J. Feenstra, C. P. Vlahacos, and F. C. Wellstood, “Near-field microwave microscopy of materials properties,” in Microwave Superconductivity, H. Weinstock and M. Nisenoff, Eds. Amsterdam, The Netherlands: Kluwer, 2001, pp. 239–269. [2] C. Gao and X.-D. Xiang, “Quantitative microwave near-field microscopy of dielectric properties,” Rev. Sci. Instrum., vol. 69, no. 11, pp. 3846–3851, Nov. 1998. [3] X.-D. Xiang and C. Gao, “Quantitative complex electrical impedance microscopy by scanning evanescent microwave microscope,” Mater. Characterization, vol. 48, pp. 117–125, Apr. 2002. [4] M. Tabib-Azar, D. Akinwande, G. Ponchak, and S. R. Leclair, “Novel physical sensors using evanescent microwave probes,” Rev. Sci. Instrum., vol. 70, no. 8, pp. 3381–3386, Aug. 1999. [5] M. Tabib-Azar and S. R. LeClair, “Novel hydrogen sensors using evanescent microwave probes,” Rev. Sci. Instrum., vol. 70, no. 9, pp. 3707–3713, Jun. 1999. [6] R. A. Kleismit, G. Kozlowski, R. R. Biggers, I. Maartense, M. K. Kazimierczuk, and D. B. Mast, “Characterization of local dielectric properties of superconductor YBa Cu O using evanescent microwave microscopy,” IEEE Trans. Appl. Supercond., vol. 15, no. 7, pp. 2915–2918, Jul. 2005. [7] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, pp. 251–300. [8] M. Hein, High-Temperature-Superconductor Thin Films at Microwave Frequencies. Berlin, Germany: Springer-Verlag, 1999, vol. 155, pp. 11–13. [9] R. A. Kleismit, M. ElAshry, G. Kozlowski, M. S. Amer, M. K. Kazimierczuk, and R. R. Biggers, “Local dielectric and strain measurements in YBa Cu O thin films by evanescent microscopy and Raman spectroscopy,” Supercond. Sci. Technol., vol. 18, pp. 1197–1203, Jul. 2005. [10] M. Trainer, “Ferroelectrics and the Curie-Weiss law,” Eur. J. Phys., vol. 21, pp. 459–464, Jul. 2000.

The experimental verification of the sensitivity for dielectrics was performed on single crystal SrTiO utilizing the ferroelectric dependence on temperature property of the material, i.e., . The probe tip was set to a 1- m distance above the sample and tuned to a resonant frequency of 1.114 787 GHz at a temperature of 300 K and is illustrated in Fig. 9. The temperature was raised in 0.2 K increments until the resonance shifted in frequency to 1.114 792 GHz at 302 K due to the change in dielectric constant and is shown in Fig. 10. The change in dielectric constant was determined using the Curie–Weiss law [10] and resulted in . The sensitivity parameters consist of F, F, F, and . The lowest theoretically estimated change in permittivity that can be detected by the sensor was .

Richard A. Kleismit (M’04) received the B.S., M.S., and Ph.D. degrees in engineering from Wright State University, Dayton, OH, in 1998, 2000, and 2005, respectively. From 2000 to 2004, he was a Teaching and Research Assistant with the Electrical Engineering Department, Wright State University, and an Adjunct Professor in 2005 with the Department of Electrical Engineering and the Physics Department. In 1998, he joined the Air Force Research Laboratory, Wright Patterson Air Force Base (WPAFB), Dayton, OH, as an Engineer IV (1998–2000) and a Research Scientist (2000–2005). He has authored or couathored several technical papers in the areas of superconductivity and evanescent microwave microscopy. His research interests are high-temperature superconductors, coated conductor technology, electromagnetic properties of materials, medical physics, nondestructive evaluation techniques, and nanotechnology.

Fig. 9. Network analyzer screen capture of tuned resonance with the probe tip 1 m above SrTiO crystal sample at 300 K. Note the parameters at the upper right.

KLEISMIT et al.: SENSITIVITY AND RESOLUTION OF EVANESCENT MICROWAVE MICROSCOPE

Marian K. Kazimierczuk (M’91–SM’91–F’04) received the M.S., Ph.D., and D.Sci. degrees from the Warsaw University of Technology, Warsaw, Poland, in 1971, 1978, and 1984, respectively, all in electronics engineering. From 1972 to 1978, he was a Teaching and Research Assistant and, from 1978 to 1984, an Assistant Professor with the Department of Electronics, Institute of Radio Electronics, Warsaw University of Technology, Warsaw, Poland. In 1984, he was a Project Engineer for Design Automation Inc., Lexington, MA. In 1984 and 1985, he was a Visiting Professor with the Department of Electrical Engineering, Virginia Polytechnic Institute and State University, Blacksburg. Since 1985, he has been with the Department of Electrical Engineering, Wright State University, Dayton, OH, where he is currently a Professor. He coauthored Resonant Power Converters (Wiley, 1995), Electronic Devices: A Design Approach (Prentice-Hall, 2004), and Lab Manual (Prentice-Hall, 2004). He has authored or coauthored over 250 technical papers, 95 of which have appeared in IEEE publications. He holds eight patents. His research interests are in high-frequency high-efficiency switching-mode tuned power amplifiers, resonant and pulsewidth modulation (PWM) dc/dc power converters, dc/ac inverters, high-frequency rectifiers, modeling and control of converters, high-frequency magnetics, power semiconductor devices, electronic ballasts, wireless communication transmitters, sensors, and superconductivity. He was an Associate Editor for the Journal of Circuits, Systems, and Computers. Prof. Kazimierczuk is a member of Tau Beta Pi. He was an associate editor for the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I—FUNDAMENTAL THEORY AND APPLICATIONS (1993–1995, 1997–2001, and 2002–2003). He serves as an associate editor for the IEEE TRANSCATIONS ON CIRCUITS AND SYSTEMS I—REGULAR PAPERS and the IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS. He was a member of the Superconductivity Committee of the IEEE Power Electronics Society. He was a chair of the IEEE Circuits and Systems Society Technical Committee of Power Systems and Power Electronics Circuits (2001–2002 and 2004–2005). He was a chair, co-chair, and Program Technical Committee member of the IEEE International Symposium on Circuits and Systems. He was the recipient of the IEEE Harrell V. Noble Award for his contributions to the fields of aerospace, industrial, and power electronics in 1991. He was also a recipient of the 1991 Presidential Award for Faculty Excellence in Research, the 1993 and 2000 College of Engineering and Computer Science Teaching Awards, the 1995 Presidential Award for Outstanding Faculty Member, the 1996–1999 Brage Golding Distinguished Professor of Research Award presented by Wright State University, the 1997 and 2002 College Professional Service Awards, and the 2004 Wright State University Board of Trustees’ Award.

647

Gregory Kozlowski received the M.S. and D.Sci. degrees from Wroclaw University, Wroclaw, Poland, in 1964 and 1975, respectively, and the Ph.D. degree from the Polish Academy of Sciences, Wroclaw, Poland, in 1969, all in physics. From 1965 to 1969, he was a Teaching and Research Assistant and, from 1970 to 1977, an Assistant Professor with the PAS. From 1978 to 1983, he was a Chairman of the Superconductivity Department, PAS, . From 1983 to 1984, he was a Distinguished Visiting Professor with the Department of Physics, University of Alberta, Edmonton, AB, Canada. From 1985 to 1987, he was an Assistant Professor with Wagner College, New York, NY. In 1988, he was a Senior Research Scientist with the Department of Mechanical Engineering, University of Houston, Houston, TX. From 1989 to 1999, he was with the Air Force Research Laboratory, Wright Patterson Air Force Base (WPAFB), Dayton, OH, as a National Research Council of Canada (NRC) Senior Fellow (1989–1992), and Senior Research Scientist (1993–1999). Since 2000, he has been with the Department of Physics, Wright State University, Dayton, OH, where he is currently an Associate Professor. He has authored or coauthored over 100 technical papers. He holds three patents. His research interests are magnetic phase transitions, magnetic domain structures, ac losses, high-temperature superconductors, coated conductor technology, coexistence between magnetism and superconductivity, pinning mechanisms, electromagnetic properties of materials, optical properties of metallic nanoparticles, and nanotechnology.

648

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Error Correction for Diffraction and Multiple Scattering in Free-Space Microwave Measurement of Materials Kai Meng Hock

Abstract—Metamaterials often have sharp resonances in permittivity or permeability at microwave frequencies. The sizes of the inclusions are of the order of millimeters, and this means that it is more convenient to carry out the measurement in free space. Time gating is often used in the free-space method to remove multiple scattering from the antennas and the surrounding objects. However, this lowers the resolution in the frequency domain, making it difficult to resolve the resonances reliably. Diffraction around the sample could also reduce measurement accuracy. A calibration procedure, based on the 16-term error model, which removes the need for time gating by correcting for both multiple scattering and diffraction, is developed. This procedure is tested on carbonyl iron composite and split-ring resonators, and the results are presented. Index Terms—Error correction, free-space measurement, metamaterials, microwave, split-ring resonators (SRRs).

I. INTRODUCTION

T

HIS STUDY is motivated by the need to measure sharp resonances in the permittivity or the permeability of metamaterials. These materials may consist of elements of straight wires, split rings, or even helices and could have a resonance width of 0.1 GHz or less [1]–[4]. The sizes of these elements are typically of the order of millimeters, and their resonances occur at microwave frequencies. A large variety of methods exist for the measurement of microwave properties of materials. For broad-band measurements, two of the commonly used methods are the free-space method [5]–[8] and the coaxial waveguide method [9], [10]. The sizes of the metamaterial elements make it difficult to insert enough of the material into a coaxial airline, which is typically 7 or 14 mm in diameter. While it is possible to make coaxial waveguides of larger diameters, the cylindrical symmetry of the guided plane wave would complicate the interpretation of the scattered waves. The free-space method, therefore, offers more convenience and greater simplicity in these respects. In the free-space method, a slab of the metamaterial may be placed in between two antennas facing each other, and the transmission and reflection coefficients measured. There are a few disadvantages to this setup. The near-field position of the sample means that the wavefront would not, in general, be planar. The finite size of the sample allows diffraction of

Manuscript received June 1, 2005; revised October 12, 2005. This work was supported by the Singapore Defence Science and Technology Agency. The author is with Temasek Laboratories, National University of Singapore, Singapore 117508 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862666

the microwave around the edges of the sample in a transmission measurement. There is also multiple scattering between sample and antennas. There have been attempts to produce planar wavefront and reduce diffraction by using spot-focusing antennas [5]. However, the effect of this is difficult to characterize for every setup. The focusing also reduces the number of elements in the metamaterials that are being sampled by the microwave. Multiple scattering can be removed by time gating. However, for a narrow resonance, the time-domain response of the metamaterial may extend beyond the first reflection from the antenna. If this happens, time gating would remove part of the response. This would reduce the frequency resolution, so that the resonance may not be properly resolved. It is possible to overcome this by increasing the distance between sample and antennas. However, to resolve a resonance width of GHz, the time gating should be carried out for a window region (after transforming to the time domain) that is at least ns wide. This corresponds to an antenna-to-sample distance of m. If better resolution is required, this distance could easily increase by a few times, and the setup would quickly become quite large, particularly since a region of the same radius must be cleared of all objects. Alternatively, a large anaechoic chamber may be used, but this is not always available. For a given sample size, the large distance would also increase diffraction around the sample, even if spot-focusing lenses are used. A calibration procedure that could correct for multiple scattering and diffraction would therefore be needed to overcome the above problems. With such a method, it would also be possible to have a smaller sample size. Not only does this mean a saving in material, but the wavefront would also be more planar over a smaller area, even if lenses are not used. This is an advantage, since the calculation of permittivity and permeability [11] assumes a plane wavefront. A smaller sample leads to larger diffraction which has to be corrected for. The TRL calibration [12], [13] used in [5] cannot be used because it assumes zero diffraction, as in the coaxial case. The “frequency response and isolation” calibration (which we shall call the “simple linear calibration”), which is available in the Hewlett-Packard VNA [13] that we used, could correct for the diffraction, but does not correct for multiple reflection within the cables and connectors. In this paper, we describe a procedure based on the 16-term error model [14]–[20], which has been used to correct for leakage in microwave measurements of microstrip devices. When applied to free-space measurement, the leakage would correspond to all possible paths of the wave traveling between

0018-9480/$20.00 © 2006 IEEE

HOCK: ERROR CORRECTION FOR DIFFRACTION AND MULTIPLE SCATTERING IN FREE-SPACE MICROWAVE MEASUREMENT OF MATERIALS

Fig. 1. Schematic diagram of the 16-term error model, showing the possible directions of signal flow between VNA and DUT.

path of ports 0 and 1, the DUT, and ports 2 and 3. Multiple scattering (represented by path A) between them is also taken into account. This is done by relating the signals at each part of the path to the transmission and reflection at each port (or DUT), using the matrix formulation below. A plausible scheme to apply the 16-term model to free-space measurement is given here. 1) Prepare a few samples with accurately known actual matrix, . These samples will be called the calibration standards. 2) For each calibration standard, carry out the measurements in the presence of the error box to obtain the measured matrix, . This refers to the raw data obtained without calibration. In general, it would be different from because of, e.g., multiple scattering at connectors. 3) Use the above data for and to solve for the error box, which can be represented by a 4 4 matrix called the matrix. 4) Measure the for an unknown sample. Use the matrix to compute for this sample. Our implementation of this calibration procedure is discussed in Section III. The mathematics of the 16-term error model is summarized here [17]. 1) The signals entering and leaving the four-port error box can be related by the matrix

Fig. 2. Schematic diagram for the free-space measurement setup, showing the location of the signals that correspond to the 16-term model in Fig. 1.

the two ports of the VNA that do not go through the sample. These would include diffraction around the edge of the sample and multiple scattering from all surrounding objects. The objective of this paper is a proof of concept that the 16-term model can indeed be applied successfully to free-space measurement.

649

(1)

2) The notations for the elements in the here as

matrix are defined

(2) II. ERROR MODEL The 16-term error model consists of a four-port error box with the vector network analyzer (VNA) on one side and the device under test (DUT) on the other, as shown in Fig. 1. The error box is represented by a 4 4 matrix which relates the values of the signal at each port. This allows for the possibility of direct coupling between the two ports of the VNA, which corresponds to a leakage of microwave energy. On the other hand, the free-space setup consists of a VNA connected to two antennas, with a sample between them, as shown in Fig. 2. The DUT in Fig. 1 corresponds to the sample in Fig. 2. In our case, this is a thin, square sheet of powder composite or metamaterial. The error box corresponds to all of the antennas, cables, and connectors, as well as all space and objects surrounding the sample. The signals entering and leaving each port in Fig. 1 are indicated at their corresponding positions in Fig. 2. Thus, a one-to-one correspondence between the free-space setup and the 16-term error model is possible. The leakage in Fig. 1 between ports 0 and 2 and between ports 1 and 3 corresponds to diffraction around the sample and multiple scattering from surrounding objects (represented by path B) in Fig. 2. The signal flow through sample, antennas, and all connectors corresponds to the flow along the

There are 16 elements which have to be solved for, hence the name 16-term error model. 3) Definition of actual matrix, , for each sample (3) 4) Definition of measured

matrix,

, for each sample (4)

5) Combining the above equations gives (5) 6) This can be rearranged to give (6), shown at the bottom of the following page. 7) Equation (5) can be rearranged to give the actual parameters (7)

650

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

The objective of the calibration procedure is to perform enough measurements to solve for all 16 terms in the matrix. For each calibration standard, four parameters in are measured. This leads to the four equations in (6). Therefore, to solve for the 16 unknowns, there must be at least 16 equations, which require the use of four calibration standards. However, a number of authors have found that the 16 equations from only four calibration standards are not linearly independent [18], [19] because of the nature of the 16-term model, and that unique solution is possible only with at least five standards, which give 20 equations. In contrast to the 16-term error model, the simple linear calibration, mentioned in Section I, assumes that there is no error box between the VNA and the antennas. However, it does allow for diffraction around the sample. The calibration uses two standards. In the measurement of transmission coefficient, for example, one standard is when there is nothing (THRU) in between the antennas, and the other could be a metal plate (REFL) of the same shape and size as the sample to be measured. With the metal plate, the total signal due to diffraction and scattering from surrounding objects is measured. This is subtracted from the straight through measurement . Subsequently, when a sample is measured, is also subtracted from the measured signal . The transmission coefficient is then given by (8) This calibration ignores multiple reflections within connectors and cables, which could lead to a larger error when diffraction increases. It also cannot correct for the extra multiple scattering, between sample and antennas, that is introduced when there is a sample. This has to be removed by time gating. Fig. 3 shows the time-domain transmission measurement of a sample of split-ring resonators (SRRs). This is obtained by Fourier transforming the data from 2 to 18 GHz. Notice the peak near ns. This can be identified as the first scattering from the antennas (using ). This arises because the microwave incident on the sample is partly transmitted and partly reflected. The transmitted part could be scattered from the receiving antenna back to the sample and then reflected back to the receiving antenna. Likewise, the reflected part could be scattered from the transmitting antenna back to the sample and then get transmitted to the receiving antenna, as illustrated by path A in Fig. 2. As the sample is midway between the antennas, both scattering would arrive at the receiving antenna at about the same time. Subsequent features correspond to scattering from surrounding objects. The diffraction component would form a continuous background. The time gating procedure numerically removes the data beyond a window centered at 0 ns. These may be set to zero or,

Sa11 Sa21 Sa12 Sa22

1

Sa11 Sa21 Sa12 Sa22

1

1

1

0Sm11 Sa11 0Sm11 Sa12 0Sm21 Sa11 0Sm21 Sa12

0Sm11 Sa21 0Sm11 Sa22 0Sm21 Sa21 0Sm21 Sa22

Fig. 3. Transmitted signal in the time domain, after simple linear calibration, through a 15 cm 15 cm 5 mm sample of the SRR-c in Fig. 4(b), which is midway between the two antennas separated by 60 cm.

2

2

in the case of the VNA we use, replaced by the time-domain data of a constant unit response from 2 to 18 GHz. We choose the window to be wide enough to retain the time-domain response of the SRR but narrow enough to remove the first reflections from the antennas. However, this could be difficult if the response overlaps with the antenna reflection, as is the case in Fig. 3. When the SRR sample is removed, a narrow peak of 0.1-ns width is observed. When the antenna distance is increased, the peak at 2.2 ns moves to the right. Hence, the broad peak from 0 to 2 ns may be attributed to SRR response. In the calibration procedure described in Section III, all information, which would normally be removed by time gating, would be utilized. The need for time gating is thereby removed, providing a direct solution to the actual -parameters of the sample—hence, the potential for much improved resolution in the frequency domain. III. CALIBRATION PROCEDURE In the application of the 16-term error model to microstrip device measurement, the calibration procedures make use of a large variety of calibration standards. Examples include “through,” “short,” “line,” “match,” “load,” and “open” [15]–[17]. Some of these are difficult to apply to the free-space setup. For instance, it would be difficult to find a “match” from 2 to 18 GHz in free space, as this would mean a perfect ultrawide-band absorber. The “open” is also difficult. In microstrip measurement, the

0Sm12 Sa11 0Sm12 Sa12 0Sm22 Sa11 0Sm22 Sa12

0Sm12 Sa21 0Sm11 0Sm12 0Sm12 Sa22 0Sm11 0Sm12 0Sm22 Sa21 0Sm21 0Sm22 0Sm22 Sa22 0Sm21 0Sm22 t0 t1

2 111 t15

=0

(6)

HOCK: ERROR CORRECTION FOR DIFFRACTION AND MULTIPLE SCATTERING IN FREE-SPACE MICROWAVE MEASUREMENT OF MATERIALS

open could mean a transmission of 0 and a reflection of 1 on the probe. However, in the free-space setup, leaving the antenna aperture open would not give a reflection close to 1, because the antenna is designed to match to free space. On the other hand, the “through” can easily be realized by having no sample between the antennas (THRU). “Short” can be implemented as a reflection, using a thin metal plate (REFL). Their

are assumed to be

and

, respec-

tively. For the THRU, this is a definition on which the error correction is based. For the REFL, it is an approximation which should be fairly accurate for a good conductor. The “load” may be implemented using composite materials of metal powder, for accurately. With such example, provided that we know the composites, standard samples of different permittivity and permeability may be fabricated to provide different . As mentioned in the last section, it is necessary to have at least five calibration standards to solve for the 16 unknowns. We decided to prepare seven standards and use a least square method based on the singular value decomposition (SVD) to solve the equations by minimizing error [21]. Except for the THRU, we need to fabricate six standards. The REFL is fabricated using thin kitchen aluminum (Al) foil to minimize the phase difference on the two sides. The calibration standards were fabricated using different concentrations of copper powder (Cu) or carbonyl iron powder (Fe) in polyurethane (PU). The way that the calibration standards were realized and measured turned out to be crucial. We shall therefore discuss two methods: our initial attempt which failed, and our second attempt which succeeded. In the first attempt, the PU-Cu and PU-Fe composites were made into square sheets, each with area 15 cm 15 cm and thickness 1.75 mm. Each of the samples to be measured after the calibration must have the same area and position, since these would affect the signals in Fig. 2. When each of these sheets was made from a mixture of metal powder and resin, a 7-mm coaxial sample was simultaneously made from the same mixture. The coaxial method was then used to measure the permittivity and permeability of the coaxial sample. With these values, the of the corresponding sheet may then be calculated, by assuming a plane-wave incident normally on an infinitely large sheet with a uniform thickness of 1.75 mm. The of each sheet is also measured. Using the and of all the standard samples, we computed the matrix. The 16-term model is then applied to measure the of test samples. This is done by first measuring and then calculating with (7). The results are so noisy that nothing meaningful was obtained. We subsequently realize that the results are very sensitive to the accuracy of . A simulation on hypothetical standards shows that an error of 1% in any one of the could produce enough noise to obscure the signal. Errors could have been introduced from many sources in the above process: the powder-resin mixture could be inhomogeneous, the coaxial sample could have gaps in the airline, the 15 cm 15 cm sheet may not have uniform thickness, and the radiated field from the antenna is not exactly planar. In the second attempt, in order to obtain accurate , we decide to measure them in situ. This time, each calibration standard is made from two sheets of composites of the same powder and concentration. The dimensions of each sheet remain the

651

same as before. The two sheets are stacked together, with an Al foil of the same area sandwiched in between. This prevents microwave from going through, so and are set to zero. The purpose is to reduce the sources of error. The and are then measured by time gating. This should be reliable because the composites do not have sharp resonances. There is no need to move the load at all between the and the measurements—hence, in situ. Thus, there is no need to obtain from a separate measurement of permittivity and permeability, so that all errors associated with these are absent. The in situ measurement also means that any imperfection in the load, such as air gaps, nonuniformity in thickness, and even nonplanarity of the wavefront, is irrelevant, as long as the actual and measured -parameters correspond to the same standard sample. This second attempt turns out to be successful, and we are able to obtain useful results for the SRR measurements, as shown in Section IV. The detailed procedure is given in Appendix C. There, we provide a procedure specific to the HP8722 VNA which we have used, as well as an algebraic procedure suitable for post processing after collection of the raw data. Once all the and have been measured for the seven standards, the data are recorded on a PC to be used as the basis of error correction for other samples. There are equations to solve for the 16 unknowns. We use a least square method. First, the equations are arranged in the format minu63pt (9) is where is a 16 1 column vector containing 28 16 matrix containing the coefficients from (6), and 0 is a null vector. The least square result is obtained by solving (10) This is explained in [21] when takes the form

is real and where the equation (11)

In our case, conjugate

is complex, so . Note that

generalizes to the Hermitian (12)

is a system of 16 linear equations with 16 unknowns. To obtain a nontrivial solution, we simply remove the last equation, set , and solve for . This gives the solution up to a constant factor. It is not necessary to know this factor as it cancels out in (7) when is calculated from for a test sample measurement. IV. RESULTS AND DISCUSSION We have tested the error correction method on one carbonyl iron sample and two SRR samples. In order to validate the accuracy, we also made separate measurements on the transmission and reflection coefficient for each of these, using simple linear calibration with time gating. We shall call this the time gating method, and it should be accurate for the carbonyl iron samples which do not have sharp resonance. For the SRR samples, the

652

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 4. Fabrication of SRR. (a) Copper clad polyester (LG4014) after etching. The split rings are cut into strips, rotated by 90 deg and stacked together. (b) One way of stacking the split rings. We shall call this configuration SRR-c. (c) Another way of stacking the split rings. We shall call this configuration SRR-u.

accuracy would depend on the width of the resonance. Even if the resolution is poor, the time gating results should be able to reproduce the trends and serve as a guide to check whether that 16-term error correction is correct. The measurements are made using an HP8722 VNA, at 1601 frequency points at equal intervals from 2 to 18 GHz. The antennas are from Flann Microwave, Woburn, MA—model DP240-AA, bandwidth 2–18 GHz. They are supported vertically, facing each other, on a wooden support. We place the sample horizontally, midway between the two antennas, and on a polystyrene foam board (50 cm 50 cm 5 cm). The foam board is supported at the edge by wooden strips, and the region between the antennas and foam board is kept clear. The distance of the sample from each antenna is about 30 cm. We use pen marks to indicate the sample position on the foam board. The measurement is carried out in an ordinary room (not an anaechoic chamber). The dimensions of the PU/Fe sample are 15 cm 15 cm 1.75 mm. For each SRR sample, the dimensions are 15 cm 15 cm 5 mm. They are shown schematically in Fig. 4, and are made from laser printing and etching on a flexible circuit material (LG4014 samples from Rogers Corporation). These are then cut into strips and stacked together using polystyrene form spacers. The copper layer on the LG4014 is 20- m thick, and the polyester film is 50 m. In the following analysis, we neglect the effect of the polyester film. As it turns out, the 16-term error correction produces results that generally agree with the time gating results. Fig. 5 shows the results for carbonyl iron. The generally good agreement demonstrates that the 16-term error correction works. Figs. 6 and 7 show the results for the SRR samples. Here, we have also included the results of simulation of a plane-wave incident normally on an infinitely large sample. The simulation method is explained in Appendix A. The following discrepancies are observed: 1) large oscillations of the 16-term results from 2 to 3 GHz in Figs. 5–7; 2) difference between 16 term results and simulation in Fig. 6(b);

Fig. 5. Measurement results of the PU-Fe sample, with 80.0 wt.% carbonyl iron powder, and dimensions 15 cm 15 cm 1.75 mm. The solid curve represents the result of the time gating method, and dotted curve that of the 16-term method.

2

2

3) noise in Fig. 6(b) which seems significantly larger than those in the other figures; 4) difference between the 16-term results and simulation in Fig. 7(a); 5) large oscillations in the time gating results in Figs. 6(b) and 7(b) from 14 to 18 GHz. Appendix B suggests some explanations for points 1 to 4, which are summarized here. Point 1 could be due to the of the calibration standards becoming more similar at lower frequency, resulting in greater sensitivity to errors and noise. Points 2 and 3 could both be due to random noise in the VNA and error in sizes and positions of the calibration standards. Point 4 may be explained by the nonplanar nature of the wavefront. Based on this understanding, some improvements could be suggested, which are: 1) fabrication of calibration standards with greater difference in permittivity or permeability; 2) making a sample slot in the foam for accurate positioning, and accurate machining of the calibration standards; and 3) increasing the distance between sample and antennas for flatter wavefronts.

HOCK: ERROR CORRECTION FOR DIFFRACTION AND MULTIPLE SCATTERING IN FREE-SPACE MICROWAVE MEASUREMENT OF MATERIALS

653

Fig. 6. Measurement results of the SRR-c sample, with dimensions 15 cm 15 cm 5 mm. The solid curve represents the result of the time gating method, the dotted curve that of the 16-term method, and the dashed curve shows the simulation result.

Fig. 7. Measurement results of the SRR-u sample, with dimensions 15 cm 15 cm 5 mm. The solid curve represents the result using the time gating method, the dotted curve that of the 16-term method, and the dashed curve shows the simulation result.

To explain point 5), we have carried out a measurement on a separate setup to determine the effect of changing the time gating window. We used two window sizes. The first one is from 2 to 2 ns, which is same as the window size used for the results measurement in Figs. 6 and 7. (This is the minimum size because of the SRR response in Fig. 3. We use from 1 to 1 ns for the of the calibration standards, because their time domain responses are much narrower.) The second window size is from 5 to 5 ns. (For this, the sample–antenna distance must be increased to 1 m.) We found that, when the window size increases, the oscillations from 14 to 18 GHz decreases. This suggests that time gating is the cause of these oscillations. It could be due to multiple scattering from the antennas falling within the window or it could be due to truncating of the SRR response. We have considered the effect of using fewer calibration standards to simplify the procedure. We found that it is possible to obtain similar agreement by using only five standards, i.e., THRU and REFL plus three others. This is the minimum required to produce a sufficient number of equations. However, not any combination of three out of the five standards gave good

results. Some produced more noise than others. The reason for this is not clear at the moment, though it is possible that the permittivity and permeability were too close, so that the resulting equations are not sufficiently independent. The general agreement in peak width in Figs. 6 and 7 shows that the SRR resonances are sufficiently wide to be properly resolved by the time gating method. While it may appear that the 16 terms are unnecessarily complicated in this case, we must emphasise that this paper is only intended as a proof of concept that the 16-term error correction can be applied to free-space measurement. The full potential of this method may be realized for metamaterials with narrower resonances. Using an HP8722 VNA, for example, the maximum number of sampling points is 1601 per sweep, from. For 2–18 GHz, this gives only ten points for an interval of 0.1 GHz. Thus, for resonance peak width below 0.1 GHz, not many points on the peak can be recorded if the time gating method is used. It would not be possible to reduce the sweep range to an interval of 0.1 GHz, since a wide bandwidth is required for high-resolution time gating. The 16-term error correction, on the other

2

2

2

2

654

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

hand, performs correction point by point. It does not require a wide bandwidth, except for the measurement of calibration standards . The measured can be interpolated over the small interval around the resonance peak if necessary. This should be reliable since the standards do not have sharp resonances. Thus, the 16-term method offers the possibility to zoom in on narrow peaks for more detailed measurement. The 16-term method also provides a means to measure the amount of leakage. This can easily be calculated once the matrix is solved. First,assume a perfectly absorbing sample, so that parameters are all zero. Then suppose that there is only but not . With these conditions, microwave input at in Fig. 1. Since no microwave goes through the sample or gets reflected from it, must be the leakage. This can be readily solved using (1), and the result is stated here as (13) A final point to note is the ease of using the 16-term method. The fabrication of the five standard samples has taken one week, once the metal powders, resin, and molds are ready. The calibration procedure requires the measurement of and for all of the standard samples. Using the HP 8722 VNA with 1601 points per sweep from 2 to 18 GHz, this could be done within an hour. After that, the raw -parameters of a number of unknown samples may then be measured, each of which would take only a few minutes. The data presented in this paper have been measured on and off over a few hours. The good agreement shows that the calibration does not drift significantly over such a time period. All data are transferred to a computer for processing. Our calibration software is written using MATLAB, and, on a 1-GHz computer, the computation of 1601 points of 16-term results for each sample takes only one to two minutes. APPENDIX A SIMULATION The simulation method used is an extension of the method described in [22]. The reader is requested to refer to [22] for details. We have made the following changes to shorten the simulation time and improve the accuracy. 1) Reference [22] is based on the periodic moment method. It describes a method that can be used to simulate scattering from a two-dimensional (2-D) periodic array of wire elements. Although not stated explicitly, it uses a finite difference approximation of the following relation [23] to compute the -field due to the current in each segment: (A1) The potential is calculated from the charge distribution on the source segment, which is in turn calculated from the continuity equation [23] (A2)

Fig. 8. SRR is approximated as a thin wire consisting of a series of straight segments. Each segment has a current and a charge distribution that produces an E -field on another segment.

Applying this to each segment in the time harmonic case gives (A3) Reference [22] assumes a constant current on each segment. For the isolated segment, this current terminates abruptly at the two ends of the segment, the x derivative in (A3) gives rise to a point charge at each end. This is used to calculate , while the current I is used to calculate . [22, eq. (14)] assumes that each point charge is spread out to a line charge of the same length and direction as the segment. In our simulation, we use the point charge instead for better accuracy. However, the use of point charge leads to convergence problems if the observation point (e.g., in Fig. 8) falls on or near the array plane of a point charge (e.g., ). While this may be avoided by selecting the observation points carefully, it could be difficult when there are many segments in different directions, as we have found for our SRR elements. This issue is addressed in point 4) below. 2) In [22], the potential gradient in (A1) is approximated by computing the potential at each end of an observation segment, and then dividing the difference by the length of the segment. Since we are using the point matching method, we compute the potential at a much smaller distance from the observation point instead for greater accuracy. We select the observation point, P, to be near the centre of the observation segment. We then choose two points ( and in Fig. 8) that are separated by 1/10 of the segment length and such that the line joining them is parallel to the segment and contains . The potentials are then computed at these two points, and the difference divided by the distance between them. 3) In order to reduce the number of segments required, we make use of the basis functions in [24]. We shall call these the NEC basis functions. They represent the current in each segment by means of the following linear combination: (A4)

HOCK: ERROR CORRECTION FOR DIFFRACTION AND MULTIPLE SCATTERING IN FREE-SPACE MICROWAVE MEASUREMENT OF MATERIALS

The charge distribution is derived from this using (A3). Note that the sudden change to zero at each end of an isolated source segment also gives rise to a point charge there. In addition, the sine and cosine components give rise to corresponding line charge distributions of the segment. These are not present in the original [22] formulation, but can be computed readily from (A3). The planewave sums for the sine and cosine current and line charge components can be derived in a similar way to those for the constant current and line charge, as in [22]. 4) Using the NEC basis functions, the condition that the current between adjacent segments is continuous is enforced. This also means that the effect of the point charges at the common end of adjacent segments cancels. This is because the equality of the current on two sides of the common end gives rise to equal and opposite point charges on the two sides, according to (A3). Thus, all point charges, except for the two at the extreme ends ( and in Fig. 8) of the whole wire element, can be ignored. This greatly alleviates the problem, mentioned in point 1) above of selecting observation points to avoid array planes containing the point charges. 5) For each segment, we take into account the skin effect by assuming an impedance per unit length equal to that of an infinitely long cylinder [25] of the same radius as the equivalent radius of the wire and the same resistivity as copper. We have developed a code based on the above modifications. To carry out the simulation, the copper strip of each SRR element must be approximated with a thin wire. First, the following parameters for an SRR element are obtained by magnifying and measuring the image in Fig. 4(a): • width of copper strip mm; • outer radius of SRR mm; • inner radius of SRR mm; • angle subtended by gap at center . Assuming that the array plane is in the -plane and that the plane of each element is in the -plane (as in Fig. 8), the interelement spacings are measured to be 4.8 mm in the -direction and 5.0 mm in the -direction. In our simulation, a thin wire approximation is used. The following parameters are used for the thin wire element: • number of segments ; • equivalent thin wire radius width of copper strip/4; • radius of thin wire SRR mm; • angle subtended by gap at center (no change). The printed copper strip has a thickness of 20 m (as given in the specification of the LG4014), which is small compared with the strip width of the difference between the outer and inner SRR radii above. We thus take the equivalent thin wire radius to be one quarter of the width of the copper strip [26]. It would seem more reasonable to take the radius of the thin wire SRR to be the average of the inner and outer radii of the original copper strip SRR. However, the resulting simulation gives resonance peaks that are about 0.5 GHz higher. The use of the outer radius gives much better agreement, as shown in Figs. 6 and 7. The reason could be due to the inaccuracy arising from approximating of the flat strip to a thin wire. This is the only “fitting” that was done in the whole simulation.

655

The remaining factors considered in the simulation are as follows. A copper resistivity of 1.68 10 m is used. Without this and the impedance introduced in point 5) above, the resonance peaks would be much sharper. The polystyrene foam used to make the spacers for the SRR is measured to have a permittivity of 1.075. After simulation, the frequency is divided by the square root of this value to correct for wavelength changes inside the foam. This causes a shift of about 0.5 GHz of the resonance peaks to the lower frequency. This treatment neglects multiple reflections at the foam–air interface, which is hopefully small. Next, the end cap current in the thin wire approximation is assumed to be zero. Reference [24] provides a formula for approximating the current flowing into the circular area at each end of a cylindrical thin wire, i.e., the end cap. Since we are actually simulating for a flat copper strip, whose end cap area is much smaller than that of a cylindrical wire with the equivalent radius given above, we have set the current at each end of the thin wire to zero. Finally, for comparison with experiment, the -plane in the simulation has to be shifted to the same position as the reference plane used in the measurement, i.e., the side of the REFL standard (Al foil) facing the incident beam. This corresponds to an offset of 2.5 mm from the center of the SRR elements in the case of the SRR-c sample and an offset of 3.5 mm in the case of the SRR-u (because of the way they are fabricated). Using the above method and parameters, we have carried out the simulation and obtained the results in Figs. 6 and 7, which agree quite well with the measurement. The main discrepancies are in Figs. 6(b) and 7(a), and some explanations for these are offered in Appendix B. (Note that we have assumed that the effect of the polyester film is small and have neglected it in the simulation.) APPENDIX B ERROR ANALYSIS In Appendix-B.A, we analyze the error due to the measurement process. The objective of this paper is to measure the material property, which can often be related to the transmitted and reflected coefficients of incident plane wave. In Figs. 6 and 7, for instance, reasonable agreement with the simulation of plane-wave incidence is obtained. Thus, in Appendix-B.B, we also discuss the effect of deviation from a planar wavefront. A. Measurement Error The possible sources of measurement errors are random noise and constant bias in the -parameters due to the VNA, and errors in sizes and positions of the calibration standards and samples. We check that the effect of constant bias is small by measuring a test sample of Teflon using the time gating method. The resulting permittivity of 2.05 0.05 from 2 to 18 GHz agrees closely with published result [26]. We next compare error due to the calibration standards and to random noise as follows. First, we take the difference between the of each calibration standard, and the of the REFL standard. We find that an estimate of this error using the expressions in point 4) below provides a reasonable bound for this measured difference. We then postulate that the errors depend more on the areas of

656

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

the standards and less on their positions, provided that the errors in both are small compared to their dimensions. We test this hypothesis by estimating the error bounds due to the areas as follows. 1) First, let the matrix computed and used in Figs. 5–7 be the actual matrix. 2) Assume that the of the calibration standards measured by the time gating method are the true . 3) Compute the “accurate” of the calibration standards from these, using (5). 4) Introduce error into the area of each standard sample. As the standards are fabricated using a ruler, there is an error of 1 mm on each side of the 150 mm 150 mm area. This gives a fractional error in the area of , which in turn gives rise to errors in and . Taking the REFL as the reference, the error would refer to the difference of the standard sample and the area of the REFL standard. We define the following symbols: of THRU of Al foil (REFL) of THRU of Al foil (REFL) of a calibration standard The simple linear calibration of is then given by ), since is the reflection background when there is no sample. This is before time gating. Since we only require an error estimate, this value should be sufficient as an estimate of . Note that of THRU and REFL are the reference standards, thus, they have no area errors. The errors in each calibration standard are then approximated by error error error The first expression is true because is the reflection, so if the area increases by a fraction of da, so would . The second expression is true because is the microwave going through the sample area when there is no sample, whereas is the microwave going around this area. (Recall that the calibration standard has a sandwiched Al foil, so microwave cannot go through it.) If the standard area is reduced by , assuming that the microwave is roughly uniform over the area, then the amount going around the area will be increased by da of that amount. The third expression is true because, as given above, is related to , which is of the standard, so it would have at least a similar error to . We assume that there is no error in since it is zero because of the sandwiched Al foil. These errors are added to and to create the perturbed -parameters. 5) The perturbed and are used to compute the perturbed matrix using the method described in the last paragraph of Section III.

Fig. 9. Error bounds for the 16-term measurement of the reflection from the SRR-c sample, due to errors in the areas of the calibration standards.

6) The ideal of the SRR samples are computed from the unperturbed T matrix from point 1) above, and the simulated in Figs. 6 and 7 with the help of (5). 7) The perturbed is then compute from this ideal , and the perturbed matrix from point 5), using (7). The result of this simulation for the reflection of the SRR-c sample is shown in Fig. 9. Notice that the error bounds agree fairly well with the noise magnitudes in Fig. 6(b) below 6 GHz. This partially justifies the above hypothesis that standards sizes are the main sources of error. We shall not analyze the error due to position here as this depends on the antenna radiation pattern and multiple scattering, which is quite complex. Instead, we shall show later that the error above 6 GHz may be attributable to random noise in . Even the large oscillation near 2 GHz of Fig. 6(b) is reproduced clearly in Fig. 9. This provides one explanation for this oscillation—if the standards size errors can be reduced, this oscillation may also decrease. However, it cannot explain why the oscillation does not occur at other frequencies. One possible reason is that the , and hence the , of the calibration standards, approach one another quite closely at lower frequencies. As a result, the (6) from one standard becomes more similar to those of another standard, so that the solution becomes more sensitive to errors (e.g., if two intersecting straight lines on a graph are nearly parallel, a small shift in one line would cause a large shift in the point of intersection.) Next, we consider the noise in the -parameters. By observing the time gated in the THRU configuration over a period of a few hours, we notice that a drift of up to 0.25 dB is possible. In fact, for a VNA, the uncertainty could depend on frequency, the -parameter, its value, and the connector type. As we only wish to know whether the -parameter noise is a significant source of error, we ignore this complex dependence and simply add a random noise with magnitude between dB to all of the and . We repeat the calculation from points 1) to 7) above, except that point 4) is replaced by adding a random noise to the magnitude of each -parameter. (We did not perturb the phase.) Fig. 10 shows the result. The noise level above 6 GHz agrees fairly well with

HOCK: ERROR CORRECTION FOR DIFFRACTION AND MULTIPLE SCATTERING IN FREE-SPACE MICROWAVE MEASUREMENT OF MATERIALS

657

Fig. 10. Error bounds for the 16-term measurement of the reflection from the SRR-c sample, due to errors in the random noise of the measured S -parameters.

Fig. 11. “Error bounds” for the transmission through the SRR-u sample, due to error in incident direction of a plane wave of 10 from normal.

the noise magnitudes in Fig. 6(b). The errors below 6 GHz are larger than the observed noise in Fig. 6(b), suggesting that the noise level there is below 0.2 dB.

APPENDIX C 16-TERM PROCEDURE

B. Wavefront Error The actual shape of the wavefront incident on the sample is not likely to be planar because of the proximity to the antennas and is likely to depend in a complex way on the antenna properties and the multiple scattering. Reference [28] provides a detailed analysis on the effect of a Gaussian beam on the measured transmission and reflection. Here, we use a simpler way to give an estimate on the effect of deviation from a planar wavefront. We consider that the actual wavefront as approximately the sum of a number of planar wavefronts incident in different directions. We next suppose that the maximum deviation may be estimated by considering the angle subtended by the sample at the antenna feed point. As this is about 20 for our experimental setup, the maximum incidence angle, with respect to normal, is taken as 10 . We then simulate of the transmission and reflection of incident plane waves at this angle for two cases: when the plane of incidence is in the -plane and when it is in the -plane. The transmission result for the SRR-u sample is shown in Fig. 11. Notice that the result for 10 incidence angle in the -plane actually offers a better agreement with the 16-term measurement result in Fig. 7(a). This partly justifies the estimate of 10 wave-front deviation above and provides a possible explanation for the discrepancy between simulation and experiment in Fig. 7(a). We now comment on the cases not discussed above—the measurement error for SRR-c transmission, SRR-u transmission and reflection, and the wavefront error for SRR-u reflection, SRR-c transmission and reflection. In all of these, the error bounds simulated using the above methods are much closer to the “accurate” result than those shown in Figs. 9–11. This is consistent with the fact that the corresponding cases in Figs. 6 and 7 also show better agreement between measurement and simulation. In particular, note that error in the time gating results from 14 to 18 GHz in Figs. 6(b) and 7(b) greatly exceeds those of the 16-term results, demonstrating the reliability of the 16-term method.

A. Using HP8722 VNA The specific procedure we have followed using the HP8722 VNA is presented here. The terminologies (in italics) used are therefore instrument specific and are explained in [13]. We list the steps required to obtain the all the and of the calibration standards required for computing the matrix. Step 1) Do response-isolation calibration with time gating (time span 2 ns in our case) for reflection and save state. Use Al foil for response, and nothing for isolation. Step 2) Do response-isolation calibration with time gating for reflection and save state. Use Al foil for response, and nothing for isolation. Step 3) Switch off error correction. Measure of THRU and REFL. Step 4) Switch on error correction. For each of the remaining calibration standard first measure . Step 5) Without moving the load, recall the state in Step 2) and measure . Step 6) Then recall the state in Step 3) and measure . Step 7) Since , Steps 5) and 6) give the of the calibration standard. B. Raw Data Processing Alternatively, it is possible to collect the for all of the calibrations standards first, and then process these on a PC. We do not know how exactly the HP VNA implements its response-isolation calibration and time gating. However, we have checked that the result of the simple linear calibration and time gating procedures suggested below matches closely with corresponding results of the HP VNA. We thus propose the following 16-term calibration procedure, which should lead to results similar to ours. Step 1) Let be the measured parameters of the ith calibration standard, measured by a VNA without any calibration. Thus in our case, where is for THRU, and is for REFL.

658

Step 2)

Step 3)

Step 4)

Step 5)

Step 6)

Step 7)

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

is measured at points at regular intervals from to . In our case, GHz, GHz, and . The frequency interval is . Thus, for each , there are four series of data: and for . Each is associated with the frequency . To perform the simple linear calibration, let , and . Let . Compute . Note that and . To perform time gating, some preparatory work is required. First define a discrete signal . Let be , which is 1, for . Extend this signal to by zero padding. Thus for , and for . Perform a discrete Fourier transform (DFT) [21] to give

(C1)

for

Step 8)

Step 9)

Step 10)

Step 11)

Step 12)

. is approximately a sinc function. Define the corresponding time , where . To perform time gating on , repeat Steps 5)–7), with replaced by . Let the resulting DFT be . It is here that the multiple scattering peaks from antennas are resolved. Specify a time (1 ns in our case) that is smaller than the time for the first reflection from the antenna. It is best to plot against graphically to see the peaks and decide. Let be the largest integer for which . Define a new signal . is obtained by replacing by for . Perform the inverse DFT to give

(C2)

Step 13) Step 14)

Step 15) Step 16)

for . This gives for . Now repeat Steps 4)–13), replacing by by and by . This gives . Together with , these give . Steps 4)–15) are repeated for to to obtain all the . (Remember that and are defined as in Section III for THRU and REFL.)

ACKNOWLEDGMENT The author would like to thank Dr. C. Linfeng, University of Arkansas, Fayetteville, for teaching the coaxial measurement method and Dr. S. M. Matitsine, Temasek Laboratories, National University of Singapore, Singapore, for helpful discussion. The author would also like to thank DSO National Laboratories, Singapore, and the Center for Superconducting and Magnetic Materials, National University of Singapore, for providing the microwave measurement facilities, and DSO for providing the carbonyl iron powder, Makin Metal Powders, Rochdale, U.K., for providing the copper powder, and Rogers Corporation, Singapore, for providing the copper clad polyester. REFERENCES [1] D. R. Smith, D. C. Vier, N. Kroll, and S. Schultz, “Direct calculation of permeability and permittivity for a left-handed metamaterial,” Appl. Phys. Lett., vol. 77, no. 14, pp. 2246–2248, Oct. 2000. [2] T. Weiland, R. Schuhmann, R. B. Greegor, C. G. Parazzoli, A. M. Vetter, D. R. Smith, D. C. Vier, and S. Schultz, “Ab initio numerical simulation of left-handed metamaterials: Comparison of calculations and experiments,” J. Appl. Phys., vol. 90, no. 10, pp. 5419–5424, Nov. 2001. [3] P. Gay-Balmaz and O. J. F. Martin, “Electromagnetic resonances in individual and coupled split-ring resonators,” J. Appl. Phys., vol. 92, no. 5, pp. 2929–2936, Sep. 2002. [4] C. R. Brewitt-Taylor, P. G. Lederer, F. C. Smith, and S. Haq, “Measurement and prediction of helix-loaded chiral composites,” IEEE Trans. Antennas Propag., vol. 47, no. 4, pp. 692–700, Apr. 1999. [5] D. K. Ghodgaonkar, V. V. Varadan, and V. K. Varadan, “Free-space measurement of complex permittivity and complex permeability of magnetic materials at microwave frequencies,” IEEE Trans. Instrum. Meas., vol. 39, no. 2, pp. 387–394, Apr. 1990. [6] A. Amiet and P. Jewsbury, “Free space microwave permittivity and permeability measurements,” in Proc. Microw. Conf., Dec. 3–6, 2000, pp. 445–448. [7] J. Musil and F. Zacek, Microwave Measurements of Complex Permittivity by Free-Space Methods and Their Applications. New York: Elsevier, 1986, pp. 44–60, 92–166. [8] A. L. Cullen, “A new free-wave method for ferrite measurement of millimeter wavelengths,” Radio Sci., vol. 22, no. 7, pp. 1168–1170, Dec. 1987. [9] E. J. Vanzura, J. R. Baker-Jarvis, J. H. Grosvenor, and M. D. Janezic, “Intercomparison of permittivity measurements using the transmission/reflection method in 7 mm coaxial transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 11, pp. 2063–2070, Nov. 1994. [10] L. F. Chen, C. K. Ong, C. P. Neo, V. V. Varadan, and V. K. Varadan, Microwave Electronics: Measurement and Materials Characterization. Chichester, U.K.: Wiley, 2004, pp. 182–187. [11] W. B. Weir, “Automatic measurement of complex dielectric constant and permeability at microwave frequencies,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974. [12] R. A. Soares, P. Gouzien, P. Legaud, and G. Follot, “A unified mathematical approach to two-port calibration techniques and some applications,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 11, pp. 1669–1674, Nov. 1989. [13] User’s Guide—Agilent Technologies—8719ET/20ET/22ET-8719ES/ 20ES/22ES-Network Analyzers, Agilent Technol., Palo Alto, CA, Jun. 2002. Part 08 720-90392. [Online]. Available: http://www.agilent.com, pp. 6–10, 6–11, 6–17–6–21. [14] D. K. Rytting, “Improved RF hardware and calibration methods for network analyzers,” Proc. RF Microw. Meas. Symp. Exhib., pp. 1–14, 1991. [15] H. Heuermann and B. Schiek, “15-term self-calibration methods for the error-correction of on-wafer measurements,” IEEE Trans. Instrum. Meas., vol. 46, no. 5, pp. 1105–1110, Oct. 1997. , “Results of network analyzer measurements with leakage er[16] rors-corrected with direct calibration techniques,” IEEE Trans. Instrum. Meas., vol. 46, no. 5, pp. 1120–1127, Oct. 1997. [17] K. Silvonen, “LMR 16-a self-calibration procedure for a leaky network analyzer,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1041–1049, Jul. 1997. [18] K. J. Silvonen, “Calibration of 16-term error model [microwave measurement],” Electron. Lett., vol. 29, no. 17, pp. 1544–1545, Aug. 1993.

HOCK: ERROR CORRECTION FOR DIFFRACTION AND MULTIPLE SCATTERING IN FREE-SPACE MICROWAVE MEASUREMENT OF MATERIALS

[19] J. V. Butler, D. K. Rytting, M. F. Iskander, R. D. Pollard, and M. V. Bossche, “16-term error model and calibration procedure for on-wafer network analysis measurements,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2211–2217, Dec. 1991. [20] H. Van Hamme and M. V. Bossche, “Flexible vector network analyzer calibration with accuracy bounds using an 8-term or a 16-term error correction model,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 6, pp. 976–987, Jun. 1994. [21] W. H. Press, S. A. Teukolsky, W. T. Vetterling, and B. P. Flannery, Numerical Recipes in C, The Art of Scientific Computing, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2002, pp. 503, 671–681. [22] H. K. Schuman, D. R. Pflug, and L. D. Thompson, “Infinite planar arrays of arbitrarily bent thin wire radiators,” IEEE Trans. Antennas Proag., vol. 32, no. 4, pp. 364–377, Apr. 1984. [23] J. D. Jackson, Classical Electrodynamics. New York: Wiley, 1999, p. 3, 239. [24] J. Burke and A. Poggio. (1981. UCID 18 834. [Online]. Available: http://www.nec2.org, Jan.) Numerical Electromagnetics Code (NEC)—Method of Moments, Part I: Program Description—Theory [25] S. Ramo, J. R. Whinnery, and T. Van Duzer, Fields and Waves in Communication Electronics, 3rd ed. New York: Wiley, 1994, pp. 180–185. [26] O. Einarsson, “The wire,” in Electromagnetic and Acoustic Scattering by Simple Shapes, J. J. Bowman, T. B. A. Senior, and P. L. E. Uslenghi, Eds. New York: Hemisphere, 1987, pp. 472–502.

659

[27] J. Baker-Jarvis, E. J. Vanzura, and W. A. Kissick, “Improved technique for determining complex permittivity with the transmission/reflection method,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1096–1103, Aug. 1990. [28] L. E. R. Petersson and G. S. Smith, “An estimate of the error caused by the plane-wave approximation in free-space dielectric measurement systems,” IEEE Trans. Antennas Propag., vol. 50, no. 6, pp. 878–887, Jun. 2002.

Kai Meng Hock was born in Singapore, in 1966. He received the B.A. (Hons.) and Ph.D. degrees from Cambridge University, Cambridge, U.K., in 1988 and 1992, respectively, both in physics. From 1992 to 1997, he was with DSO National Laboratories, Singapore, where he was involved with optical engineering and signal processing. From 1997 to 2001, he was a Principal Engineer with the Sony Singapore Research Laboratory, where he was involved with servo control and read/write mechanism of optical disc systems He is currently a Principal Research Scientist with Temasek Laboratories, National University of Singapore, Singapore. His research interest is in microwave materials.

660

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

A 5.25-GHz CMOS Folded-Cascode Even-Harmonic Mixer for Low-Voltage Applications Ming-Feng Huang, Chung J. Kuo, Senior Member, IEEE, and Shuenn-Yuh Lee, Member, IEEE

Abstract—This paper presents a 5.25-GHz folded-cascode evenharmonic mixer (FEHM) for low-voltage applications. This FEHM employs the folded technique to reduce the headroom voltage, a current reuse circuit in the RF stage to improve its linearity, and the frequency-doubling technique in the local oscillator (LO) stage to produce an LO double-frequency signal. In addition, the proposed technique exhibits the advantage of high conversion gain. In order to demonstrate the benefits and optimize the circuit design, the theoretical studies of conversion gain, linearity, and noise performance are described. For measurement, the proposed FEHM possesses conversion gain of 8.3 dB, third-order input intercept point (IIP3 ) of 0.03 dBm, and second-order input intercept point (IIP2 ) of 31.2 dBm under the supply voltage of 0.9 V and LO power of 5.5 dBm. The power consumption of the proposed mixer is about 4.95 mW at an IF frequency of 500 kHz. Index Terms—Current reuse, even-harmonic mixer (EHM), folded cascode, low power.

I. INTRODUCTION

T

HE low-voltage RF integrated-circuit (RFIC) development for portable communication equipments has been a focus due to the advances in device technology for high-speed applications. More and more designers have proposed RFIC for high-speed and low-voltage applications, such as low-noise amplifiers (LNAs), mixers, and voltage-controlled oscillators (VCOs) [1]–[8]. Moreover, due to the potential in reducing the operational frequency of the synthesizer and in decreasing the dc offset, the even-harmonic mixer (EHM) become an attractive topology when being applied to wireless communication systems. Therefore, the low-voltage EHM would be a novel challenge for portable communication equipments. The simplified direct conversion receiver is shown in Fig. 1. As , the local oscillator (LO) leakage is fed through to the mixer input, while the reflection factors such as LNA and the antenna can strengthen this leakage, resulting in a self-mixing behavior by the mixer [9]–[13]. This causes a time-varying dc offset and reduces the dynamic range of the baseband circuit. On the contrary, the self-mixing mechanism can be overcome using the EHM. For , the RF signal is mixed with the second harmonic of the LO Manuscript received June 11, 2005; revised August 29, 2005 and November 2, 2005. This work was supported in part by the Chip Implementation Center, by National Nano Device Laboratories, by the Wireless Communication Laboratories, and by National Science Council, Taiwan, R.O.C., under Grant NSC 93-2220-E- 194-013. M.-F. Huang and S.-Y. Lee are with the Department of Electrical Engineering, National Chung Cheng University, Chia-Yi 62107, Taiwan, R.O.C. (e-mail: [email protected]; [email protected]). C. J. Kuo is with the Magnetics and Microwave Business Unit, Components Business Group, Delta Electronics Inc., Taoyuan 333, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862665

Fig. 1. Simplified direct conversion receiver: n = 1 with time-varying dc offset and n = 2 without the time-varying dc offset.

signal and modulated as the desired output frequency. Since the LO frequency is equal to one half of the RF frequency, no dc component is generated by LO leakage, achieving the time-varying self-mixing-free result. Moreover, the LO fundamental leakage can be effectively cancelled by a low-pass filter (LPF) and cannot influence the baseband circuit [9]–[13]. Therefore, if the EHM is employed in direct conversion receivers, the dc offset resulted from self-mixing can be significantly improved and the desired operational frequency of the synthesizer can be relaxed. In recent years, active EHMs [10]–[19] suffer from either high power consumption, complex architecture with quadrature, high supply voltage, or low operational frequency. In this paper, the proposed folded-cascode EHM (FEHM) can reduce the required supply voltage using the folded technique, achieve the acceptable conversion gain and linearity using the current reuse structure, obtain low power consumption, and possess a simple architecture using the frequency-doubling circuit. In addition, theoretical studies of linearity, conversion gain, and noise figure are presented in this paper to facilitate the optimal design. The benefits of this proposed FEHM have also been demonstrated by the measured and simulated results. The remainder of this paper is organized as follows. Section II presents the mathematical analysis of the mixer architecture. In addition, the measured and simulated results are described in Section III, which demonstrate the theoretical analyses. Finally, Section IV briefly concludes this paper.

0018-9480/$20.00 © 2006 IEEE

HUANG et al.: 5.25-GHz CMOS FEHM FOR LOW-VOLTAGE APPLICATIONS

661

Fig. 3.

Fig. 2. Original topologies of the CMOS EHM: (a) without and (b) with complementary frequency-doubling circuits.

II. MIXER ARCHITECTURE A. Circuit Principle The origination of an EHM topology [17]–[19] is constructed as shown in Fig. 2(a). This topology consisting of a frequency-doubling circuit – , a current reuse pair ( and ), and the output load is built. When the LO signal is injected, the frequency-doubling circuit – ) provides a double-frequency signal mixed with the RF input signal from the current reuse circuit, thus achieving a demanded IF frequency . However, because of the asymmetric structure shown in Fig. 2(a), when the RF input signal is sufficiently large for push–pull operation, the equivalent -channel common-source amplifier has a larger enhanced gain (described Section II-B) than the -channel one. Hence, must be small to improve the linearity, thus causing a degrading conversion gain. Moreover, when the input signal is small, the second-order distortion from the current reuse circuit increases to degrade the second-order intercept point ( ) performance under a poor balanced IF port caused by the process variation. Therefore, the EHM with the frequency-doubling circuit pair is reconstructed with complementary property, as shown in Fig. 2(b). This topology consisting of a frequency-doubling circuit pair ( – and ), a current reuse pair ( and – – ), and – the output load is built. However, the headroom voltage is too large for low-voltage applications. In this paper, a modified FEHM is proposed in Fig. 3. This topology consisting of a current reuse pair ( – and ), a frequency-doubling circuit with the – – output load , and two high impedance current sources

Proposed CMOS FEHM.

( and ) is modified from the original topology shown in Fig. 2(b) using the folded technique. When LO signal is injected, the LO fundamental frequency and its all-odd harmonics can be cancelled and its even harmonic signals can be produced at the virtual-ground nodes and (in Fig. 3). Because the current sources ( and ) possess high impedances, the LO even harmonic signals are reflected and further injected into the current reuse circuit to be a time-vary mixing source. Moreover, since the overdrive voltages of the current reuse circuit function of the LO even harmonic signals, a mixing behavior is achieved. As mentioned, the current sources ( and ) provide high impedances; hence, the current reuse circuit at the push–pull operation can similarly possess the positive and negative enhanced gains to improve the circuit linearity. Furthermore, because of a near complementary structure in the FEHM, the second-order distortion from the current reuse circuit can be reduced. On the other hand, if those current sources ( and ) are implemented by LC tanks with the almost zero headroom voltage, the mixing frequency from the frequency-doubling circuit can be selected and the fourth harmonic and higher order ones of the LO signal can be filtered out. Therefore, the FEHM possesses similar performance as that of the original topology shown in Fig. 2(b) under the lower supply voltage. The subcircuits such as the frequency-doubling circuit and the current reuse circuit are also described in details in the next section. B. Circuit Analysis To analyze the circuit behavior, the inherent square-law current model is used. The inherent square-law current model is suitable for the circuit tendency analysis. Owing to the short-channel effects [17]–[19], the second-order term of the overdrive voltage affects the circuit trend larger than the higher order terms. Therefore, the inherent square-law model with the channel length modulation can be described as

(1) where

is the transconductance parameter, and are, respectively, defined as the input signal and the

662

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 4. Operation of frequency-doubling circuit.

overdrive voltage, is the channel-length modulation coefficient, is the threshold voltage, and . The LO stage is built using the frequency-doubling circuit as presented in Fig. 4. From (1), the drain currents ( and ) of the transistors – can be written as

(2) where is the LO sinusoidal input signal with amplitude and is the overdrive voltage of – . According to (2), the total current of the frequency-doubling circuit is equal to , i.e.,

(3) where (4) In view of (3), the fundamental frequency of the LO signal is cancelled and the LO double-frequency signal is produced at the nodes and . Therefore, the frequency-doubling circuit provides a double frequency and reduces the LO-RF leakage to improve the time-varying dc offset. The RF stage is built using current reuse circuit ( and ) as shown in Fig. 5(a). In this structure, both transistors ( and ) provide the transconductances to acquire high conversion gain under the same bias current [20], [21]. The proof is straightforward. For example, according to (1), can be obtained as

Fig. 5. Current reuse circuit is used to improve circuit linearity: (a) when V is a small signal with small signal gain, (b) when V is a positive large signal with negative enhanced gain, and (c) when V is a negative large signal with positive enhanced gain.

signal is large enough or around the input 1-dB compression point , the input signal is like a large input signal and, hence, the current reuse topology is just like a push–pull circuit possessing the ability of gain enhancement to increase its dynamic range. The phenomenon can also be interpreted as shown in Fig. 5(b) and (c) [17]–[19], where the positive and negative enhanced gains combine as a full waveform, resulted in an expansion of the circuit dynamic range. Therefore, the current reuse circuit improves linearity and reduces the influence of second-order distortion. In Fig. 3, the full FEHM is built using a current reuse pair, a frequency-doubling circuit, and two high impedance current sources. In general, is replaced by a buffer with high input impedance, and, thus, the current sources ( and ) are assumed to be of the same constant value . According to Kirchhoff’s current law, the sum of the drain currents ( – and – ) equals , i.e., (6) Hence, according to (1) and (6), the relationship between and is obtained as

(7) Similarly, the relationship between tained as

and

is ob-

(5) where

and are the dc currents of and , respectively. From (5), both transistors contribute the transconductance to increase the conversion gain, which coincides with [20]. At the same time, the second harmonic is eliminated mutually to avoid the more degraded from asymmetry caused by process variation. Moreover, when the input

(8) On the other hand, from (5), the time-varying transconductance related to the overdrive voltage can be written as (9)

HUANG et al.: 5.25-GHz CMOS FEHM FOR LOW-VOLTAGE APPLICATIONS

663

where and are the transconductances of the - and -transistors of the current reuse pair, respectively, and the subscript CR denotes the overall transconductance using the current reuse technique. Furthermore, according to [17]-[19], by assuming and using the Taylor’s series expansion, the resulting differential output voltage of the proposed FEHM becomes

TABLE I SUMMARY OF DESIGN RULE

(10) where

(11) and

input 1-dB compression point assuming the input impedance and thus can be described as dBm

(12) are the fundamental coefficients of the RF signal from - and -transistors, respectively, which are important for the noise figure, and

(13) is related to the conversion gain information at the up/down converter, and (14)

expressed in dBm and of 50 is defined in [22] (17)

where (18) In terms of the noise figure analysis, the drains of transistors are virtual ground, and the proposed FEHM is designed and fabricated at the IF frequency with 500 kHz (described in the next section). The RF stage flicker noise by RF feed-through signal dominates the noise contribution, and the noise figure (NF) can be approximated by the following expression [23]: (19)

is the major third nonlinear coefficient affecting the linearity. Hence, the RF input feed-through gain is shown as

where

(20) (15) is proportional to thermal noise and To mention that must be small to reduce the input-referred noise of the RF stage applied specially to the super heterodyne receivers. Also, according to (13), the conversion gain from the RF stage to IF output is

(21) with the definition (22) The subscripts and denote the channel types of transistors, and are the process-dependent constants, and are the oxide-silicon interface area, is Boltzmann’s constant, and is the absolute temperature. C. Design Considerations

(16) because is where the conversion gain is one-half of assumed to be the sinusoid functions. Furthermore, the desired

According to (7), (8), and (16)–(22), we have several rules of thumb (shown in Table I) for the circuit design of the proposed FEHM. From the conversion gain consideration,

664

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 6. Operational limitation of the current reuse circuit as the injected larger ). signal (i

, and must be large. When and are sufficiently large, and move toward the weak inversion region and the flicker noise is reduced simultaneously. On the other hand, if both and are located in the weak inversion region, the linearity is deincreases the conversion gain, graded. Moreover, a large but the linearity will be degraded. As a result, the embraceable and are necessary, and the tradeoff must be made between the transistor sizes and biases of the current reuse pair. D. Operational Limitations In the above theoretical studies, all of the transistors are assumed to work normally and are arranged at the saturation region. However, when some parameters (such as and ) increase or decrease gradually over a certain value, the transistor may be separated from the saturation region or be triggered by other parameters, resulting in a degraded performance (such as conversion gain). Therefore, the operational limitation is discussed as below. When LO power is injected, and (shown in Fig. 6) will modulate the LO double-frequency signal. Owing to the property of transistor current related to the square overdrive voltage, the current reuse circuit is induced a dc voltage from the LO double frequency signal, resulting in an increasing equivalent overdrive voltage. Therefore, the conversion gain will be degraded and the LO power property in Table I will be violated. For example, according to (4), the overdrive voltage of -transistor can be written as

(23) is the original overdrive voltage without the inwhere jected signal from transistor source. is the equivalent load from node . Since the transistor current is proportional to the square overdrive voltage, the squared is

(24) and thus the induced dc level

is (25)

Fig. 7. Operational limitation of the frequency-doubling (a) Frequency-doubling circuit with the constant current = constant and v = constant. (c) When V (b) V and v = constant. (d) When V V and v v





circuit. source.

V

Identically, the induced dc level at -transistor is similar to (25). As a result, by referring to Table I, we will have a peak on the curve of LO power tendency. Similarly, we assume that a proper and LO power are employed as shown in Fig. 7(a) and (b). Since the swing of is larger than the boundary under a dc level LO signal , the frequency-doubling circuit works normally. Thus, when is increased with the LO swing being larger than the boundary, the tendency will follow the theoretical studies shown in Table I. However, if increases gradually up to , the swing of LO signal becomes smaller than the boundary as shown in Fig. 7(c), and the frequency-doubling circuit could not be a switch, thus degrading the conversion gain. Therefore, we have a peak on the curve of tendency. In other words, as shown in Fig. 7(d), we can increase the LO power up to to let the frequency-doubling circuit work normally under the acceptable induced dc level from (25). Consequently, the parameters between the LO power and will be a tradeoff in system design. III. CHIP IMPLEMENTATION AND MEASUREMENTS The TSMC 0.18- m CMOS process with all required device models is adopted. To validate the theoretical tendency and operational limitation, the proposed FEHM is implemented as shown in Fig. 8, where the frequency-doubling circuit – , the current reuse pair – and – ), and a unit gain buffer (instead of ) without the resistor-tuning network are employed as the main EHM circuit. Moreover, instead of the high-impedance current source (shown in Fig. 3), the two LC-tanks ( and ) are also adopted to reduce the voltage headroom and select the LO double-frequency signal. To make sure that the input signal can be injected into the proposed FEHM and reduce the signal distortion, the RF matching network ( and ) and LO matching network ( and ) are constructed. The capacitors serving as the bias buffer are also adopted to reduce the impact on the parasitic inductance from dc power supply. Finally, the characteristics of the mixer are simulated and measured at an RF frequency of 5.25 GHz (port 1) and an LO frequency

HUANG et al.: 5.25-GHz CMOS FEHM FOR LOW-VOLTAGE APPLICATIONS

665

Fig. 8. Schematic of the proposed full monolithic FEHM. Fig. 10. Simulation of the transistor size property on the frequency-doubling circuit, where the unit finger M has 7.5-m transistor width.

Fig. 9.

Measurement setup.

2.625 GHz (port2) with an IF frequency 500 kHz (IF port), whose setup is illustrated in Fig. 9. A. Decision of Main Circuit Parameters The simulation of the conversion gain versus the transistor size of the frequency-doubling circuit, under the minimum length of 0.18 m, is shown in Fig. 10. Apparently, a larger transistor size or LO power can increase the conversion gain, which verify our theoretical studies. Moreover, to maintain the Line S (shown in Fig. 10) under a constant conversion gain, either a small transistor size with a large LO power or a large transistor size with a small LO power is required. Therefore, a tradeoff between the transistor size and LO power is necessary, and thus the 240- m transistor width is chosen owing to the reduction of the required LO power. Simulation results of the conversion gain, LO power, and are shown in Fig. 11. An extreme occurs on the conversion gain versus plot that is matched to the operational limitation of property. Further, using the efficiency between the dc power consumption and required LO power, we consider the only plot before reaching Line X. Hence, to maintain Line Y under a constant conversion gain, we can increase LO power and bias the at the weak inversion region to reduce the dc power consumption or can use a larger to decrease the required LO power. Therefore, for low LO power requirement, the 0.57-V and 3.6-dBm LO power are chosen for chip implementation.

Fig. 11. Simulation of the transistor bias and LO power on the frequencydoubling circuit.

For the transistor size of current reuse circuit, we find that the transistor size ratio about 3/2 is available under with one half , and hence 0.45 V at the weak inversion region is chosen. The simulation for transistor size versus the fundamental performances is also shown in Fig. 12. Apparently, we can get the extreme on the fundamental performance curves, which are matched to the theoretical tendency and operational limitation as (24)–(25). Moreover, for FEHM to work well, a larger transistor size biased at the weak inversion region is shown. In addition, the maximum conversion gain is obtained at and, thus, 240- m – and 160- m – at of 0.45 V are chosen for chip implementation. B. Measurement for Characteristics of the FEHM The conversion gain, NF, and from RF to IF ports are measured by sweeping the LO power and at the LO port, as shown in Fig. 13. Their tendencies are all consistent with our theoretical studies. That is to say, increasing LO power or increasing away from the weak inversion region can enhance the conversion gain and improve the noise figure. Moreover, linearity can be improved by reducing the LO power or biasing

666

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 12. Simulation of the transistor size property on current reuse circuit, where the unit fingers of - and -transistors are, respectively, with 7.5 (in micrometers) and 5 (in micrometers) transistor widths, and the solid arrow signifies the theoretical trend and the dotted arrow indicates the limited theoretical trend.

p 2N

n

2N

Fig. 14.

Measured results of the IIP and IIP performances.

limited. As shown in Fig. 13(a), owing to the induced dc voltage from the LO double-frequency signal at the current reuse circuit, when the LO power exceeds 2.5 dBm, the conversion gain and noise figure are worsened. Furthermore, when the LO power exceeds 0.5 dBm, the linearity is improved. As shown in Fig. 13(b), an excessive limits the switch operation of the frequency-doubling circuit. Therefore, when is larger than 0.625 V, the conversion gain and noise figure are worsened. Moreover, when is greater than 0.675 V, the linearity is improved. Overall, the tendencies of the measurement all agree with our studies. That is, the conversion gain, linearity, and noise figure can be optimized by choosing proper LO power and parameters. From the experimental data, we obtain 8.89-dB conversion gain, 24-dB noise figure, and 16.7-dBm under the 3.6-dBm LO power, 0.62-V , and 6.57-mW power consumption. Further, the input second and third intercept point ( and ) are measured by two-tone test using a down-converted center frequency of 500 kHz with 100-kHz space as plotted in Fig. 14. Apparently, after getting 25.07 dBm (desired signal amplitude), 76.35 dBm , and 69.88 dBm , 26.21 dBm and 2.665 dBm are obtained using

(26) (27)

Fig. 13. Measurement of the fundamental performance (such as conversion gain, IP , and NF), where the solid arrow signifies the theoretical trend and the dotted arrow indicates the limited theoretical trend. (a) LO power property. property. (b)

V

toward the weak inversion region. Moreover, since the excessive parameter’s value (such as LO power and ) may happen in the practical design, the theoretical trend could be

and are the second- and third-order intermodwhere ulation products, respectively. Hence, 17.32 dBm and 11.555 dBm are measured at the 8.89-dB conversion gain. The isolation from LO to IF ports is measured by scanning the LO power from 6.6 to 4.4 dBm, as shown in Fig. 15. Apparently, the 2LO-IF isolation is larger than the LO-IF isolation by at least 20 dB, whose property (compared with the conventional mixer under the same leaked frequency) is very suitable for super heterodyne receivers to reduce the impact on LO leakage. Moreover, even though the proposed FEHM is adopted in direct conversion receivers, the LO leakage can be well cancelled by an LPF and will not influence the baseband circuit. Therefore,

HUANG et al.: 5.25-GHz CMOS FEHM FOR LOW-VOLTAGE APPLICATIONS

667

Fig. 16. Measured results of the down-converted IF frequency versus the conversion gain and NF. Fig. 15.

Measurement of the isolation.

Fig. 15 shows that 2LO-IF isolation with 50.2 dB and LO-IF isolation with 18.87 dB are obtained under the 3.6-dBm LO power. The differential isolations from LO port to port 1 are also measured by scanning the LO power and shown in Fig. 15. As the LO power of 3.6 dBm is used, the 2LO-RF isolation with 64.7 dB and LO-RF isolation with 32.8 dB are obtained. Over the sweep, the proposed FEHM can get 2LO-RF isolation better than LO-RF isolation by at least 26 dB. In other words, even though all double-frequency leaked signals are all reflected by previous LNA, the proposed FEHM could still improve the dc offset by at least 26 dB. In order to quantify the influence of the time-varying dc offset, the equivalent RF input signal voltage can be employed as a measure of self-mixing as [10] (28) where is the leaked LO signal voltage, is the reflection factor at the LNA output port, and both and are the conversion gains of the mixer from RF and LO signal frequency to baseband, respectively. If the LO input power of 3.6 dBm with of 36.4 dBm and the general case 14 dB are used [10], of 89.29 dBm is measured by the worst of 30 dB. Therefore, from port 1 to IF port (as shown in Fig. 9) can be isolated by the proposed FEHM to reduce the impact on the time-varying dc offset. After sweeping the RF frequency, the IF frequency versus conversion gain and noise figure are shown in Fig. 16. Apparently, there will be an acceptable flicker noise corner around 500 kHz. For noise figure property, there will be an optimal value at an IF frequency of 5 MHz. As for conversion gain, it reaches a maximum value at an IF frequency of 500 kHz, which is very useful for direct conversion receivers for high-gain applications. Therefore, both conversion gain and noise figure are tradeoffs and are functions of the chosen IF output frequency. In conclusion, the measured results agreed with our theoretical studies. The only drawback of the proposed FEHM is the sensitivity of noise figure to the chosen IF output frequency. However, this problem can be solved either by shifting the IF output frequency to several megahertz or by employing a highgain LNA before the proposed FEHM.

Fig. 17. Measurement of RF power versus conversion gain, where the simulated result is the same configuration as before and uses the resistor-tuning network.

C. Measurement for Linear Improvement of the FEHM Owing to process variations and unmatched bonding-wire length, the small 17.32-dBm is obtained. In order to solve this problem, the resistor-tuning network is adopted from the outside to improve the linearity, as shown in Fig. 8. The resistor-tuning network using and cannot be too small, otherwise the conversion gain will be degraded seriously. Moreover, the resistor-tuning network can improve the circuit asymmetry by adjusting the output load of the current reuse circuit pair with the appropriate values to overcome the process variation. Hence, an off-chip 10-k variable resistor (instead of ) and an off-chip 1-k resistor (instead of ) are employed. On the other hand, to optimize the linear performance, we tune the bias to 0.424 V and to 0.453 V with 5.5-dBm LO power under 0.9-V supply voltage. We achieve 8.3-dB conversion gain with 15 dBm (shown in Fig. 17), 24.5-dB noise figure (with the flicker corner around 500 kHz), and 4.95-mW power consumption. For and measurements at a down-converted center frequency of 500 kHz with 100-kHz spacing shown in Fig. 18, we obtain with 18.07 dBm, with 75.64 dBm, and with 70.87 dBm. Hence, 31.2-dBm and 0.03-dBm are measured at the 8.3-dB conversion gain. As for isolation, 2LO-IF isolation with 43 dB, LO-IF isolation with 19 dB, 2LO-RF isolation with 58 dB, and LO-RF isolation with 33 dB are also measured.

668

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

our design with pure CMOS process exhibits low power consumption, higher operational frequency, acceptable power conversion gain , and linearity ( , and ). Note that the lower conversion gain is resulted from the operation frequency of the RF input signal [25]. Therefore, the proposed FEHM is suitable for low-voltage 5.25-GHz applications. IV. CONCLUSION

Fig. 18. Measured results of the resistor-tuning network.

IIP

and

IIP

performances with

TABLE II SUMMARY OF THE COMPARISON

In this paper, we proposed an FEHM with folded technique for low-voltage applications. The mathematical expressions for linearity, conversion gain, and noise figure using Taylor’s series expansion are described and verified by experimental data. Measured results show that the proposed FEHM achieves the goals of low supply voltage, large conversion gain, low complexity, and high operational frequency. Hence, the proposed even harmonic mixer with folded technique is suitable for low-voltage and high-frequency applications such as heterodyne receivers and direct conversion receivers. ACKNOWLEDGMENT The authors thank the anonymous reviewers for the valuable comments in improving the quality of this study. REFERENCES

Fig. 19.

Photomicrograph of the proposed FEHM chip.

Finally, comparisons with other recently published results are shown in Table II, and the chip photomicrograph is shown in Fig. 19. Apparently, under the lowest supply voltage of 0.9 V,

[1] M. Saito, M. Ono, R. Fujimoto, H. Tanimoto, N. Ito, T. Yoshitomi, T. Ohguro, H. S. Momose, and H. Iwai, “0.15-m RF CMOS technology compatible with logic CMOS for low-voltage operation,” IEEE Trans. Electron Devices, vol. 45, no. 3, pp. 737–742, Mar. 1998. [2] T. Manku, G. Beck, and E. J. Shin, “A low-voltage design technique for RF integrated circuits,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 45, no. 10, pp. 1408–1413, Oct. 1998. [3] F. Svelto, M. Conta, V. D. Torre, and R. Castello, “A low-voltage topology for CMOS RF mixers,” IEEE Trans. Consumer Electron., vol. 45, no. 3, pp. 299–309, May 1999. [4] J. R. Long, “A low-voltage 5.1–5.8-GHz image-reject downconverter RF IC,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1320–1328, Sep. 2000. [5] E. Abou-Allam, J. J. Nisbet, and M. C. Maliepaard, “Low-voltage 1.9-GHz front-end receiver in 0.5-m CMOS technology,” IEEE J. Solid-State Circuits, vol. 36, no. 10, pp. 1434–1443, Oct. 2001. [6] M. N. El-Gamal, K. H. Lee, and T. K. Tsang, “Very low-voltage (0.8 V) CMOS receiver frontend for 5 GHz RF applications,” Proc. Inst. Elect. Eng. Circuits, Devices Syst., vol. 149, pp. 355–362, Oct.–Dec. 2002. [7] U. Yodprasit and C. C. Enz, “Simple topology for low-voltage and lowpower RF quadrature oscillators,” Electron. Lett., vol. 40, pp. 458–460, Apr. 2004. [8] K. Kwok and H. C. Luong, “Ultra-low-voltage high-performance CMOS VCO’s using transformer feedback,” IEEE J. Solid-State Circuits, vol. 40, no. 3, pp. 652–660, Mar. 2005. [9] B. Matinpour, S. Chakraborty, and J. Laskar, “Novel DC-offset cancellation techniques for even-harmonic direct conversion receivers,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2554–2559, Dec. 2000. [10] T. Yamaji, H. Tanimoto, and H. Kokatsu, “An I/Q active balanced harmonic mixer with IM2 cancellers and a 45 phase shifter,” IEEE J. Solid-State Circuits, vol. 33, no. 12, pp. 2240–2246, Dec. 1998. [11] T. Yamaji and H. Tanimoto, “A 2 GHz balanced harmonic mixer for direct-conversion receivers,” in Proc. IEEE Custom Integrated Circuits Conf., May 1997, pp. 9.6.1–9.6.4. [12] Z. Zhang, Z. Chen, and J. Lau, “A 900 MHz CMOS balanced harmonic mixer for direct conversion receivers,” in Proc. IEEE Radio Wireless Conf., Sep. 2000, pp. 219–222. [13] S. J. Fang, S. T. Lee, and D. J. Allstot, “A 2 GHz CMOS even harmonic mixer for direct conversion receivers,” in Proc. IEEE Int. Symp. Circuits Syst. , vol. 4, 2002, pp. 807–810. [14] L. Sheng, J. C. Jensen, and L. E. Larson, “A wide-bandwidth Si/SiGe HBT direct conversion subharmonic mixer/downconverter,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1329–1337, Sep. 2000.

HUANG et al.: 5.25-GHz CMOS FEHM FOR LOW-VOLTAGE APPLICATIONS

[15] M. Goldfarb, E. Balboni, and J. Cavey, “Even harmonic double-balanced active mixer for use in direct conversion receivers,” IEEE J. Solid-State Circuits, vol. 38, no. 10, pp. 1762–1766, Oct. 2003. [16] Z. Zhang, L. Tsui, Z. Chen, and J. Lau, “A CMOS self-mixing-free front-end for direct conversion applications,” in Proc. IEEE Int. Circuits Syst. Symp., May 2001, pp. 386–389. [17] S.-Y. Lee, M.-F. Huang, and C. J. Kuo, “Analysis and implementation of a CMOS even harmonic mixer with current reuse for heterodyne/direct conversion receivers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 52, no. 9, pp. 1741–1751, Sep. 2005. [18] M.-F. Huang, S.-Y. Lee, and C. J. Kuo, “A CMOS even harmonic mixer with current reuse for low power applications,” in Proc. ACM Int. Low Power Electron. Design Symp., Aug. 2004, pp. 290–295. , “Design and analysis of a CMOS even harmonic mixer with cur[19] rent reuse circuits,” in Proc. IEEE Asia–Pacific Circuits Syst. Conf., Dec. 2004, pp. 269–272. [20] A. N. Karanicolas, “A 2.7-V 900-MHz CMOS LNA and mixer,” IEEE J. Solid-State Circuits, vol. 31, no. 12, pp. 1939–1944, Dec. 1996. [21] S.-G. Lee and J.-K. Choi, “Current-reuse bleeding mixer,” Electron. Lett., vol. 36, pp. 696–697, Apr. 2000. [22] B. Razavi, RF Microelectronics. Englewood Cliffs, NJ: Prentice-Hall, 1998. , Design of Analog CMOS Integrated Circuits, Singapore: Mc[23] Graw-Hill, 2001. [24] L. Sheng and L. E. Larson, “An Si–SiGe BiCMOS direct-conversion mixer with second-order and third-order nonlinearity cancellation for WCDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2211–2220, Nov. 2003. [25] E. A. M. Klumperink, S. M. Louwsma, G. J. M. Wienk, and B. Nauta, “A CMOS switched transconductor mixer,” IEEE J. Solid-State Circuits, vol. 39, no. 8, pp. 1231–1240, Aug. 2004.

Ming-Feng Huang was born in Kaohsiung, Taiwan, R.O.C., in 1977. He received the B.S. degree from the Yunlin University of Science and Technology, Yunlin, Taiwan, R.O.C., in 2001, the M.S. degree from the Institute of Electrical Engineering, National Chung-Cheng University, Chia-Yi, Taiwan, R.O.C., in 2002, and is currently working toward the Ph.D. degree at the Institute of Electrical Engineering, National Chung-Cheng University. He is currently involved with RF front-end transceiver design for low-power applications. His research interest is the low-power design for active RFICs.

669

Chung J. Kuo (S’88–M’90–SM’00) received the B.S. and M.S. degrees in power mechanical engineering from National Tsing Hua University, Tsing Hua, Taiwan, R.O.C., in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from Michigan State University (MSU), East Lansing, in 1990. In 1990, he joined the Electrical Engineering Department, National Chung-Cheng University (NCCU), Chia-Yi, Taiwan, R.O.C., as an Associate Professor and then became a Full Professor in 1996. From 1999 to 2002, he was the Chairman of the Graduate Institute of Communications Engineering, NCCU. In 1991, he was a Visiting Scientist with the Opto-Electronics and System Laboratory, Industrial Technology Research Institute. From 1997 to 1998, he was with the IBM T. J. Watson Research Center and a consultant to several international/local companies. From 2003 to 2004, he was the Director of the Research and Development Center of Components Business Group (CPBG), Delta Electronics Inc. In 2004, he became the Senior Director of Magnetics and Microwave Business Unit, CPBG, Delta Electronics Inc. He is the Codirector of the Signal and Media (SAM) Laboratories, NCCU. His interests are image/video signal processing, very large scale integration (VLSI) signal processing, and photonics. He was a Guest Editor for three special sections of Optical Engineering and 3D Holographic Imaging (to be published by Wiley). He is listed in Who’s Who in the World. Dr. Kuo is a member of the Optical Society of America, The International Society for Optical Engineers (SPIE), Phi Kappa Phi, and Phi Beta Delta. He was an invited speaker and Program Committee chairman/member for several international/local conferences. He serves as an associate editor for the IEEE Signal Processing Magazine. He was president of SPIE Taiwan Chapter (1998–2000). He was the recipient of the 1998 Distinguished Research Award presented by the National Chung-Cheng University, the 1997 Overseas Research Fellowship presented by the National Science Council (NSC), the 1997 Outstanding Research Award presented by the College of Engineering, NCCU, the 1995 Medal of Honor presented by NCCU, the Research Award presented by NSC for a consecutive 11 times, the 1989 Electrical Engineering Fellowship presented by MSU, and the 1987 Outstanding Academic Achievement Award presented by MSU.

Shuenn-Yuh Lee (M’98) was born in Taichung, Taiwan, R.O.C., in 1966. He received the B.S. degree from National Taiwan Ocean University, Chilung, Taiwan, R.O.C., in 1988, and the M.S. and Ph.D. degrees from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 1994 and 1999, respectively. Since 2002, he has been an Assistant Professor with the Institute of Electrical Engineering, National Chung-Cheng University, Chia-Yi, Taiwan, R.O.C. His current research activities involve the design of analog- and mixed-signal integrated circuits including filters, high-speed ADCs/DACs, and sigma–delta ADCs/DACs, biomedical circuits and systems, low-power and low-voltage analog circuits, and RF front-end integrated circuits for wireless communications. Dr. Lee is a member of the IEEE Circuits and Systems Society and the IEEE Solid-State Circuits Society.

670

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Sensitivity Analysis of Network Parameters With Electromagnetic Frequency-Domain Simulators Natalia K. Nikolova, Senior Member, IEEE, Jiang Zhu, Dongying Li, Mohamed H. Bakr, Member, IEEE, and John W. Bandler, Fellow, IEEE

Abstract—A new practical approach to sensitivity analysis of the network parameters of high-frequency structures with commercial full-wave electromagnetic (EM) solvers is proposed. We show that the computation of the linear-network parameter derivatives in the design-parameter space does not require an adjoint-problem solution. The sensitivities are computed outside the EM solver, which simplifies the implementation. We discuss: 1) features of commercial EM solvers which allow the user to compute network parameters and their sensitivities through a single full-wave simulation; 2) the accuracy of the computed derivatives; and 3) the overhead of the sensitivity computation. Through examples based on FEMLAB and FEKO simulations, comparisons are made with the forward finite-difference derivative estimates in terms of accuracy and CPU time. Index Terms—Adjoint-variable methods, design automation, frequency-domain analysis, sensitivity analysis.

I. INTRODUCTION

T

HE importance of the design sensitivity analysis of distributed systems stems from the need to improve their performance or to know their uncertainties [1]. The design sensitivity comprises the response derivatives with respect to shape or material parameters. Manufacturing and yield tolerances, design of experiments and models, and design optimization are aspects of the overall design, which can benefit greatly from the availability of the response sensitivity. The adjoint-variable method is known to be the most efficient approach to design sensitivity analysis for problems of high complexity where the number of state variables is much greater than the number of the required response derivatives [1]–[3]. General adjoint-based methodologies have been available for some time in control theory [1], and techniques complementary to the finite-element method (FEM) have been developed in structural [2], [3] and electrical [4]–[9] engineering. However, feasible implementations remain a challenge. The reason lies mainly in the complexity of these techniques.

Manuscript received June 16, 2005; revised October 24, 2005. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada under Grant STPGP 269760 and Grant 227660-03. N. K. Nikolova, J. Zhu, D. Li, and M. H. Bakr are with the Computational Electromagnetics Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1 (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). J. W. Bandler is with the Simulation Optimization Systems Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1 and also with Bandler Corporation, Dundas, ON, Canada L9H 5E7 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862663

Recently, a simpler and more versatile approach has been adopted [10]–[13] for analyses with the method of moments (MoM) and the frequency-domain transmission-line method. The effort to formulate analytically the system matrix derivative—which is an essential component of the sensitivity formula—was abandoned as impractical for a general-purpose sensitivity solver. Instead, approximations of the system-matrix derivatives are employed using either finite differences [10] or discrete step-wise changes [12], [13] as dictated by the nature of the discretization grid. Neither the accuracy nor the computational speed is sacrificed. All of the above approaches require the analysis of an adjoint problem whose excitation is response-dependent. Not only does this mean one additional full-wave simulation, but it also requires modification of the electromagnetic (EM) analysis engine due to the specifics of the adjoint-problem excitation. Notably, Akel and Webb [6] have pointed out that, in the case of the FEM with tetrahedral edge elements, the sensitivity of the -matrix can be derived without an adjoint simulation. Here, we formulate a general self-adjoint approach to the sensitivity analysis of network parameters. It requires neither an adjoint problem nor analytical system matrix derivatives. We focus on the linear problem in the frequency domain, which is at the core of a number of commercial high-frequency simulators.1 Thus, for the first time, we suggest practical and fast sensitivity solutions realized entirely outside the framework of the EM solver. These standalone algorithms can be incorporated in an automated design to perform optimization, modeling, or tolerance analysis of high-frequency structures with any commercial solver, which exports the system matrix and the solution vector. In Section II, we state the adjoint-based sensitivity formula and the definition of a self-adjoint problem. In Sections III and IV, we introduce the self-adjoint formulas for network-parameter sensitivity calculations. In Section V, we outline the features of the commercial EM solvers, which enable independent network-parameter sensitivity analysis. Numerical validation and comparisons are presented in Section VI. Section VII discusses the computational overhead associated with the sensitivity analysis. We give recommendations for further reduction of the computational cost whenever software changes are possible, and conclude with a summary.

1The network-parameter sensitivities with time-domain solvers deserve separate treatment and will be considered elsewhere.

0018-9480/$20.00 © 2006 IEEE

NIKOLOVA et al.: SENSITIVITY ANALYSIS OF NETWORK PARAMETERS WITH EM FREQUENCY-DOMAIN SIMULATORS

II. BACKGROUND A. Sensitivities of Linear Complex Systems A time-harmonic EM problem involving linear materials can be cast in a linear system of complex equations by the use of a variety of numerical techniques2 (1) is a function of the shape The system matrix and material parameters, some of which comprise the vector of designable parameters , i.e., . Thus, the vector of state variables is a function of . The right-hand side results from the EM excitation and/or the inhomogeneous boundary conditions. Typically, in a problem of finding the sensitivities of network parameters, is independent of , because the waveguide structures launching the incident waves (the ports) serve as a reference and are not a subject to design changes: .3 For the purposes of optimization, the system performance is evaluated through a scalar real-valued objective function . In tolerance analysis or model generation, we may consider a set of responses, some of which are complex. We first consider a single, possibly complex, function , and we refer to it as the response. It is computed from the solution of (1) for a given design. Through is an implicit function of . It may also have an explicit dependence on . Explicit dependence on a shape parameter arises when depends on the field/current solution at points whose coordinates in space are affected by a change in . An example is the explicit dependence of an antenna gain on the position/shape of the wires [10] carrying the radiating currents. Explicit dependence with respect to a material parameter arises when depends on the field/current solution at points whose constitutive parameters are affected by its change. An example is the stored energy in a volume of changing permittivity. The network parameters, however, are computed from the solution at the ports, whose shape and materials do not change. Thus, when is a network parameter, . The derivatives of a complex response with respect to the design parameters can be efficiently calculated using the adjoint-variable sensitivity formula [9], [13]

where is a row of the derivatives of with respect to the state variables , evaluated at the current solution . In the case of complex systems, it involves the and the imaginary parts of the state variables. real As detailed in [13], the complex-response analysis (2)–(4) is valid if is an analytic function of the state variables , in which case, the Cauchy–Riemann conditions [14] are fulfilled. A convenient form of the adjoint excitation is

(5)

B. Sensitivity Expression for Linear-Network Parameters For a network parameter sensitivity, the gradients vanish, which reduces (3) to

In a compact gradient notation, (2) becomes (3) as the response sensitivity. The adjoint-variWe refer to able vector is the solution to

and

(6) We emphasize that, in (6), is fixed, and only is differentiated, as in (2). The sensitivity formula (6) uses three quantities: the solution of the original problem (1), the set of system matrix derivatives , and the solution to the adjoint problem (4). The first one is available from the EM simulation. Also, we assume that the system matrix derivatives have been already computed, e.g., using finite differences [10] or Broyden’s update [15], [16]. We next show that, in the case of the network parameters, the adjoint solution is equal to multiplied by a complex factor . Thus, the solution of (4) is unnecessary. We employ the above adjoint-variable theory to determine for different network parameters. For that, we also need to know the dependence of the particular network parameter on the distributed field/current solution. We delineate this dependence below. III. SELF-ADJOINT -PARAMETER SENSITIVITIES The -parameters are extracted differently depending on whether the numerical solution is in terms of field vectors (e.g., FEM) or current distributions (e.g., MoM). A.

(2)

671

-Parameter Sensitivities With Field Solutions

To obtain the full scattering matrix of a -port structure for a particular mode solutions of (1) are carried out with one of the ports being excited while the rest of the ports are matched. We assume that the th port is excited and define the parameters as

(4) 2All matrices are in bold italics (one-dimensional matrices are lowercase, matrices of higher dimensions are uppercase). Vectors in space, e.g., field vectors, are bold uppercase. 3We define the gradient operator as a row operator [1], [3], e.g., for a scalar @ f =@ p 1 1 1 @ f =@ p . In the case of a column f ; r f function f; rp f is a matrix whose rows are the gradients of the respective elements of f .

=[

]

(7)

672

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Here,4 is the incident field of the mode at the th port, is the resulting -field solution, is the unit normal to , are the normalthe respective port surface, and ized real vectors representing the -mode -field distribution form an oracross the respective ports. The modal vectors thonormal basis

From (7), we see that is a linear and, therefore, analytic function of the field solution , as well as of , as implied by the linear relation (9). Then, the analysis with (4) and (6) applies. We first write (7) in terms of the field of the surface elements of the th port as -

(8)

-

-

(10)

if the modes and are the same, and where otherwise. They are obtained either analytically or numerically [17], [18]. The analytical expressions for the modes of a rectangular waveguide can be found in [17]. Our formulation (7) uses the approach in [17] where the output power wave in the th port is obtained by projecting the transverse components of the transmitted/reflected -field . onto the transverse components of the port modal vector In the denominator, the input power wave in the th port is obtained in the same manner. For a single-mode analysis, the typical incident field setup is where is a user-defined magnitude. Usually, . We note that an alternative formulation, see, e.g., [18], uses the orthonormal set (8) as well as its dual ( -field) vector set. Both -parameter definitions lead to the same final sensitivity result. We choose to work with (7). Since we consider the -parameter sensitivities of a single mode, for simpler notations, the superscript is omitted but implied in all formulas hereafter. Thus, with the th port being excited, the respective right-hand side of (1) is denoted by , and its respective solution vector is . It represents the field solution . such solutions , are available from the -parameter analysis of the structure. In the FEM, within each surface element at a port, the field is approximated via the -field components , tangential to the edges of the element [17] (9)

where we have

has been already defined in (7). Making use of (9),

-

(11) From (11), we find the derivatives of with respect to the edge field components of the element at the th port (12) where is the number of edges of the notation, (12) becomes -

element. In gradient

(13)

After the assembly of the FEM equations, each of the elements of becomes an element of the global adjoint exci. tation vector We now compare the elements of the adjoint excitation (13) with the elements of the excitation for the element of the th port in the original FEM problem [17] (14)

is the unit normal to the surface element, , and are the vector basis functions of the element. The column has the vectors as its elements, . Note that the vector of edge field components is a subset of the solution of (1). If is the response whose sensitivities we need, i.e., , we must consider the solution of the adjoint problem (4) where the respective adjoint excitation becomes . Instead of dealing with the global adjoint excitation vector , we can consider its elemental subset . Here,

the S -parameters are computed at planes different from their respective ports, deembedding is applied. It is in the form of an additional exponential where is the waveguide factor, e.g., for the reflection coefficient, it is e propagation constant and L is the distance between the port and the plane of deembedding. Also, if the ports have different impedances, a factor of Z =Z multiplying (7) must be included. These factors are parameter-independent and do not change the derivations which follow. They are omitted for brevity.

where (15) is the normalized for a single-mode incident field. Here, modal vector, is a user-defined magnitude (usually set as 1), and is the modal propagation constant of the port. The comparison reveals a simple linear relationship between the original and adjoint excitation vectors, and , given as follows: (16)

4If

and are obtained from their respective elemental Both excitations, and , through identical system-assembly procedures.

NIKOLOVA et al.: SENSITIVITY ANALYSIS OF NETWORK PARAMETERS WITH EM FREQUENCY-DOMAIN SIMULATORS

Next, we turn to the adjoint solutions resulting from . We note that the FEM system matrix is symmetric (see, e.g., [17]), (17) From (16) and (17), we conclude that all adjoint solutions needed for the -parameter sensitivities can be calculated from the original solution vectors , by a simple multiplication with a known complex constant

(18) They are then substituted into (6) where can be any of the elements of the -matrix. The self-adjoint nature of the solution derived above shows that the information necessary to compute the -parameter sensitivities is already contained in the full-wave solution provided by the FEM simulator. The sensitivity analysis is thus reduced to a relatively simple and entirely independent post-process, which does not require additional full-wave solutions. B.

-Parameter Sensitivities With Current Solutions

Similarly to the case of the field solution considered above, the -parameters depend on the current density solutions produced by the MoM solvers through simple linear relations. More specifically, the current solution at the ports is needed. Consider the calculation of the -parameters of a network of system impedance by FEKO [19] (19) Here, is the th port voltage source (usually set equal to 1) of internal impedance , and is the resulting current at the th port when the th port is excited (the rest of the ports are loaded with ). The right-hand side of (1) corresponding to is . If the structure consists of thin wires discretized into segments,5 the currents are the elements of the solution vector obtained with . Then, each partial derivative (20) gives the only nonzero element of the respective adjoint excitation vector . Its position corresponds exactly to the position of the only nonzero element of the original excitation at the th port . This is because is computed at the very same segment where is applied when the th port is excited. Thus,

(21) 5FEKO is primarily an antenna CAD software. It uses the electric-field integral equation (EFIE) for metallic objects and the EFIE with specialized Green’s functions for planar layered (printed) circuits. For dielectric objects, it uses a coupled field integral equation (PMCHW) technique. It also employs a fast multipole method (MLFMM) for large problems. The latter does not support specialized Green’s functions.

673

If the structure and, in particular, its ports involve planar or curved metallic surfaces, FEKO applies triangular surface elements accordingly and computes the surface current distribution [19]. In this case, each of the port currents is obtained from the current densities at the edge of its port (22) where denotes the port where the current is computed, and denotes the port being excited. is the component of the surface current density normal to the edge of the th element of port whose length is . The current densities , are elements of the solution vector , and is the set of their indices. We compute the elements of the adjoint excitation vector as the derivatives of with respect to as follows: (23) , are zero. All elements, for which On the other hand, the excitation vector , corresponding to the th port excitation of the original problem, also has nonzero elements, whose indices are those in . Moreover, to ensure uniform excitation across the port, these excitation elements are equal to the applied excitation voltage , scaled by the edge element [20] as (24) Comparing (23) and (24), we conclude that the adjoint vectors relate to the original excitation as in (21). If the MoM matrix fulfills the symmetry condition , then the adjoint solution vectors obtained relate to the original solution from the adjoint excitations vectors as6 (25) Thus, with the MoM, we have arrived at a self-adjoint relation similar to the case of the FEM field solution (18). However, the matrices arising in the large variety of MoM techniques are not always symmetric when a nonuniform unstructured mesh is used, which is usually the case. It would seem that, in the case of an asymmetric MoM matrix, the solution of the adjoint problem is unavoidable. On the other hand, a linear EM problem is intrinsically reciprocal, and, in the limit of an infinitely fine mesh, most MoM techniques tend to produce nearly symmetrical system matrices. In Section VI, we show an important result: if the mesh is suficiently fine to achieve a solution convergence error below 10%, then the asymmetry of the system matrix is negligibly small as far as the sensitivity calculation is concerned. Consequently, the self-adjoint sensitivity analysis using (25) is adequate with a convergent MoM solution. Its sensitivities are practically indistinguishable from those produced by solving the adjoint problem. 6A similar relation also exists if

A is a Hermitian matrix: A = A

A

=(

) .

674

C.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

-Parameter Sensitivity Expression for Linear Systems

To summarize the above theory, we state the sensitivity formula for the self-adjoint -parameter problem

one, . Compute the derivatives of the system matrix via finite differences (28)

(26) is a constant, which depends on the powers incident Here, upon the th and th ports, as per (18) and (25). IV. SELF-ADJOINT SENSITIVITIES OF OTHER NETWORK PARAMETERS The -parameters relate to all other types of network parameters through known analytical formulas [21]. Thus, the -parameter sensitivities can be converted to any other type of network-parameter sensitivities using chain differentiation. On the other hand, the MoM is well suited for the computation of the input impedance of one-port structures, e.g., antennas. Input-impedance sensitivities have been already considered in [10], [15], and [16]. There, the self-adjoint nature of the problem has not been recognized. As a result, the implementation uses in-house MoM codes, which are modified to carry out the adjoint-problem solution. Below, we give the coefficient in the self-adjoint sensitivity expression for computed with the MoM. Making use of the MoM port representation explained previously, the relation between the adjoint and the original excitation vectors is obtained as (27) regardless of whether the port consists of a single or multiple wire segments or metallic triangles. Thus, the self-adjoint sensitivity formula for is the same as (26) after replacing with and with . Here, is the complex current at the port known already from the system analysis. V. GENERAL PROCEDURE AND SOFTWARE REQUIREMENTS Assume that the basic steps in the EM structure analysis have already been carried out. These include: 1) a geometrical model of the structure has been built through the graphic user interface of the simulator; 2) a mesh has been generated; 3) the system matrix has been assembled; and 4) the system equations have been solved for all port excitations, and the original solution vectors , of the nominal structure have been found with sufficient accuracy. The self-adjoint sensitivity analysis is then carried out with the following steps. Step 1) Parameterization: Identify design parameters . Step 2) Generation of Matrix Derivatives:7 For each , perturb the structure slightly (with about 1% of the nominal value) while keeping the other parameters at their nominal values. Re-generate the system matrix , where is a vector whose elements are all zero except the th 7The described procedure uses forward finite differences to obtain the system matrix derivatives. In optimization, a more computationally efficient approach would be the Broyden update [15], [16].

are Note that (28) is applicable only if and of the same size, i.e., the two respective meshes contain the same number of nodes and elements. Moreover, the numbering of the nodes and elements must correspond to the same locations (within the prescribed perturbation) in the original and perturbed structures, i.e., the mesh topology must be preserved. Step 3) Sensitivity Computations: Use (26) with the proper constant . The above steps show that the EM simulator must have certain features, which enable the self-adjoint sensitivity analysis. First, it must be able to export the system matrix so that the user can compute the system matrix derivatives with (28). Second, it must allow some control over the mesh generation, so that (28) is physically meaningful. Third, it must export the field/current solution vector so that we can compute the sensitivities with (26). The second and third features are available with practically all commercial EM simulators. The first feature deserves more attention. The system matrix is typically very large. In the FEM, it is sparse and can be compressed and further stored in the computer RAM or in a disk file without excessive time delay. In the MoM, however, the matrix is dense, and writing to the disk may be time consuming. Also, only a few of the commercial simulators give access to the generated system matrices. This is why our numerical experiments are carried out with FEKO [19] and with FEMLAB [22]. The first solver, which is based on the MoM, has the option to export the system matrix to a file stored on the disk. It also exports the solution vector with the computed current distribution. FEMLAB is based on the FEM and supports all of these features. Moreover, we can access its system and solution matrices directly without the need to write to the disk. VI. VALIDATION We compute the network-parameter sensitivities with our self-adjoint formula and compare the results with those obtained by a forward finite-difference approximation applied directly at the level of the response. This second approach requires a full-wave simulation for each designable parameter. In all plots, our results are marked with SASA (for self-adjoint sensitivity analysis), while the results obtained through direct finite differencing are marked with FD. A. Sensitivity Solutions With FEM (FEMLAB) Here, we present two of our validation examples [23]: an -plane waveguide bend and a dielectric resonator filter [24], both analyzed in their dominant TE mode. Their -plane views are shown in Fig. 1. The only design parameter in the waveguide-bend example is the miter length (the angle is fixed at 45 ). We compute the -parameter derivatives with respect to in a frequency band from 5.16 to 7.74 GHz (15 frequency

NIKOLOVA et al.: SENSITIVITY ANALYSIS OF NETWORK PARAMETERS WITH EM FREQUENCY-DOMAIN SIMULATORS

675

Fig. 1. Top view of the waveguide structures used to validate the sensitivity analysis with the FEM. The waveguide (WR-137) cross section is the same in both examples, a 2 b = 3:48 2 1:6 cm. (a) H -plane bend where d is the design parameter, p = [d]. (b) Dielectric-resonator filter with three identical dielectric posts (" = 38:5; tan  = 2 2 10 ); p = [r=a s= t=a] .

and ImS Fig. 3. Derivatives of ReS waveguide-bend example at f = 7:74 GHz.

Fig. 2. Derivatives of ReS and ImS waveguide-bend example at f = 7:74 GHz.

with respect to d in the

points). The range of parameter values is cm with steps of 1 mm. Fig. 2 shows the derivatives of the real and imaginary parts of with respect to at 7.74 GHz. Fig. 3 shows the derivatives of at the same frequency. The perturbation of used in the computation of the system matrix derivative is 1%. The same perturbation is used in the FD computations as well. The agreement between the two sets of data plotted in Figs. 2 and 3 is excellent. This is true for the whole frequency band of interest. The dielectric-resonator filter [24] is built from three identical rectangular ceramic posts. The material of the posts has complex permittivity , where is the permittivity of vacuum. The design parameters are: the width of the posts normalized to the waveguide width , the distance between the posts normalized with respect to the guided wavelength , and the length of the posts normalized with respect to the waveguide width . Figs. 4–6 show the derivatives of and with respect to and , respectively.8 The results are for a frequency GHz. Again, very good agreement is observed between the self-adjoint derivatives and the respective finite-difference estimates. 8The derivative of the magnitude jF j of a complex response F = F + jF is obtained from the derivatives of its real and imaginary parts = jF j 1

F

+F

.

with respect to d in the

Fig. 4. Derivatives of jS j and jS j at 6.88 GHz for the dielectric-resonator filter with respect to r=a. The other design parameters are fixed at t=a = 0:2; s= = 0:32.

Fig. 5. Derivatives of jS j and jS j at 6.88 GHz for the dielectric-resonator filter with respect to s= . The other design parameters are fixed at r=a = 0:06; t=a = 0:2.

676

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

j j

j j

Fig. 6. Derivatives of S and S at 6.88 GHz for the dielectric-resonator filter with respect to t=a. The other design parameters are fixed at r=a : ; s= : .

0 06

= 0 32

=

Fig. 7. Top view of the printed structures used to validate the sensitivity analysis with the MoM. (a) Microstrip-fed patch antenna with design L W . (b) Microstrip bandstop filter with design parameters parameters p p L W . The views show the actual mesh.

=[

]

=[

Fig. 8.

f

Derivatives of Z

with respect to the length L of the patch antenna at

= 2:0 GHz. Width is at W = 85 mm.

]

B. Sensitivity Solutions With MoM (FEKO) The calculations of the sensitivities of the -parameters and the input impedance are validated through a number of FEKO analyses [20], two of which are described next (see Fig. 7). As before, our self-adjoint results are compared with the response derivatives obtained with the finite-difference approximation, which uses 1% parameter perturbation. 1) Input Impedance Sensitivities of a Microstrip-Fed Patch Antenna: The microstrip-fed patch antenna [15] is printed on a substrate of relative dielectric constant and height mm. The design parameters are its width and length shown in Fig. 7(a). The figure shows also the mesh of the metal layer. We compute the sensitivities of the antenna input impedance . Our derivatives with respect to the antenna length ( mm) for a width mm and a frequency of 2.0 GHz are plotted together with the finite-difference results in Fig. 8. 2) -Parameter Sensitivities of the Bandstop Filter: This simple microstrip filter [see Fig. 7(b)] is printed on a substrate of and mm. It is analyzed at GHz. The design parameters are the width and length of the open-end stub. We compute the sensitivities of the -parameter

Fig. 9. Derivatives of the S -parameter magnitudes of the bandstop filter with : mm. : GHz. Width is W respect to the stub length L at f

=40

=46

magnitudes and phases.9 Fig. 9 shows the derivatives of and with respect to the stub length when mm. Fig. 10 shows the derivatives of the respective phases. The self-adjoint sensitivities calculated with the MoM solver disregard the asymmetry of the system matrix as discussed in Section III-B. In Table I, we give quantitative assessment of this asymmetry in the two examples considered above in terms of three measures. 1) Maximum measure: (29) 2)

measure: (30)

j j

9The derivative of the phase  of a complex response F e is obtained from the derivatives of its real and imaginary parts

F

0F

.

= F + jF = jF j 1

NIKOLOVA et al.: SENSITIVITY ANALYSIS OF NETWORK PARAMETERS WITH EM FREQUENCY-DOMAIN SIMULATORS

677

TABLE II CONVERGENCE ERROR AND MATRIX ASYMMETRY MEASURES IN THE MESH REFINEMENT FOR THE FOLDED DIPOLE

Fig. 10. Derivatives of the S -parameter phases of the bandstop filter with respect to the stub length L at f = 4:0 GHz. Width is W = 4:6 mm. TABLE I ASYMMETRY MEASURES OF MoM MATRICES IN VALIDATION EXAMPLES

segment length, which is applied to the entire structure, with the result being a relatively uniform segmentation or mesh. We next perform mesh refinement starting from a coarse mesh of 32 segments. Each iteration of the mesh refinement involves: 1) a decrease of the mesh elements by a certain factor and 2) full-wave analysis with the current mesh. We decrease the maximum element size by approximately 50% for each of the two parallel wires of the folded dipole. The ratio of 5 between them is preserved. The mesh refinement continues until a convergence error less than 1% is achieved. The convergence error at the th iteration is defined as (32)

Fig. 11. Folded dipole and one of its coarse nonuniform segmentations in FEKO (32 segments). The radius of the wire is a = 10  and the spacing between the wires is s = 10 . L is a design parameter, 0:2 L 1:2. The arrow in the center of the lower wire indicates the feed point.

 

3)

measure:

(31)

The excellent agreement between the self-adjoint sensitivities and the finite-difference sensitivities shown in Figs. 8–10 asserts that the asymmetry measures summarized in Table I are minor as far as the sensitivity calculations are concerned. We need, however, a robust criterion, which can assure the accuracy of the sensitivity result without the need to check against a reference. 3) MoM Matrix Symmetry Versus Convergence of Solution: We carry out the following experiment. We analyze the folded dipole shown in Fig. 11. The radius of the wire is and the spacing between the two wires is . The length varies from to . The response is the antenna input impedance . We force the maximum segment size on one of the two parallel wires to be five times larger than that on the other wire. This leads to very different segment lengths along the two parallel wires (see Fig. 11). Since the two wires are very close, the MoM matrix is quite asymmetric. We emphasize that this is an abnormal (not recommended) segmentation allowing us to investigate a worst case scenario. Normally, the user sets a global maximum

and are the complex input impedances comHere, puted at the th and th analyses. Convergence is achieved with a mesh of 1088 segments. At each of the above analyses, we compute the matrix asymmetry measures, which are summarized in Table II. We see that, as soon as convergence is achieved, the asymmetry measures and become comparable to those in the validation examples (see Table I). At every iteration of the mesh refinement, we also compute the derivative (at ) with our self-adjoint approach, i.e., ignoring the system matrix asymmetry. We compare the self-adjoint result for each mesh with its respective reference sensitivity. The reference sensitivity is computed with our original adjoint technique [10], which solves the adjoint problem (4), i.e., it fully accounts for the asymmetry of the system matrix.10 We define the asymmetry error in the computed response derivative as (33) where is the reference derivative. In Fig. 12, we plot the asymmetry derivative error for and the matrix asymmetry measure versus the convergence error of the MoM solution [see (32)]. First, we see that increases as the convergence error increases with a slope, which is very similar to that of (unlike and ). Apparently, is the matrix asymmetry measure, which can serve as a criterion for an accurate self-adjoint sensitivity calculation. As long as its value is below 2%, we can expect 10We note that FEKO exports not only the MoM system matrix but also its L and U factors. Thus, the adjoint problem solution is very fast [10].

678

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 12.

Matrix asymmetry measure and the error of the computed derivative @Z =@L (at L = 0:5) as a function of the convergence error of the analysis in the folded-dipole example.

to be well below 1%. Second, we conclude that as soon as an acceptable convergence is achieved in the response calculation % , we can have full confidence in the self-adjoint response sensitivity calculation since its asymmetry error is well below , typically by two orders of magnitude. In summary, if the MoM solution is set up properly and it yields network parameters of acceptable accuracy,11 it can be used to compute accurate network-parameter sensitivities with the self-adjoint approach. This approach is robust and insensitive to the asymmetry of the MoM system matrix. For completeness, we note that our methodology is applicable when the MoM matrix is fully computed and is made accessible. The nature of the linear-system solver (direct or iterative) is unimportant in the self-adjoint analysis since an adjoint solution is not needed. However, MoM techniques based on fast multipole expansions do not compute the full matrix and are thus not well suited for adjoint-based sensitivity analysis. For them, specialized adjoint-based algorithms need to be developed and, at this stage, applications with commercial solvers do not seem feasible. Response sensitivities with finite differences, however, are an option. VII. COMPUTATIONAL OVERHEAD OF THE SELF-ADJOINT SENSITIVITY ANALYSIS A. CPU Time Overhead The computational overhead associated with the self-adjoint sensitivity analysis is due to two types of calculations: 1) the system matrix derivatives, , and 2) the row-matrix-column multiplications involved in the sensitivity formula (26). Compared to the full-wave analysis, the sensitivity formula (26) requires insignificant CPU time, which is often neglected. We denote the time required to compute one derivative with the sensitivity formula as . In comparison, the calculation of the system matrix derivatives is much more time consuming. Whether it employs finite differences or analytical 11Convergence analysis is desirable in order to verify that the solution accuracy is “acceptable.” Most commercial MoM solvers do not perform automated mesh refinement but manual refinement is always possible.

Fig. 13. Ratio between the time required to solve the linear system and the time required to assemble the system matrix in FEMLAB (FEM) and FEKO (MoM).

expressions, it is roughly equivalent to matrix fills.12 A matrix fill, especially in the MoM, can be time-consuming. We denote the time for one matrix fill as . Thus, the overhead time required by the self-adjoint sensitivity analysis is (34) On the other hand, if we employ forward finite differences directly at the level of the response in order to compute the derivatives of the network parameters, we need additional full analyses, each involving a matrix fill and a linear system solution. Thus, the overhead of the finite-difference sensitivity analysis is (35) is the time required to solve (1). where We can define a time-saving factor as the ratio , which is a measure of the CPU savings offered by our sensitivity analysis approach (36) Since

is negligible in comparison with

, we have (37)

is, the larger our Evidently, the larger the ratio time savings are. Notice that , i.e., our approach would never perform worse than the finite-difference approach. depends on the size of the problem—it grows as the number of unknowns increases. This dependence is stronger in the MoM. Fig. 13 shows the ratios of the FEKO and FEMLAB solvers. The FEKO data are generated with a sevenelement Yagi–Uda antenna [10], [25] analyzed with increasingly finer segmentations whereby the number of unknowns increases from 240 to 11 220. The FEMLAB data are generated with a dielectric-slab waveguide filter [26], [27] where we increase the number of unknowns from 254 to 16 495 through 12If the Broyden update is used to compute the system matrix derivatives, this overhead is negligible [15], [16].

NIKOLOVA et al.: SENSITIVITY ANALYSIS OF NETWORK PARAMETERS WITH EM FREQUENCY-DOMAIN SIMULATORS

TABLE III FEMLAB COMPUTATIONAL OVERHEAD OF SENSITIVITY ANALYSIS WITH THE SELF-ADJOINT METHOD AND WITH THE FINITE DIFFERENCES ( = 1)

N

TABLE IV FEKO COMPUTATIONAL OVERHEAD OF SENSITIVITY ANALYSIS WITH THE SELF-ADJOINT METHOD AND WITH THE FINITE DIFFERENCES ( = 1)

N

TABLE V FEMLAB COMPUTATIONAL OVERHEAD OF SENSITIVITY ANALYSIS WITH THE SELF-ADJOINT METHOD AND WITH THE FINITE DIFFERENCES ( = 50 000)

M

TABLE VI FEKO COMPUTATIONAL OVERHEAD OF SENSITIVITY ANALYSIS WITH THE SELF-ADJOINT METHOD AND WITH THE FINITE DIFFERENCES ( = 10 680)

M

679

B. Possible Further Reduction of Overhead We reiterate that, in optimization, the Broyden update is a far more efficient alternative to the computation of the system matrix derivatives [15], [16]. With it, becomes roughly pro, which is normally a very large portional to ratio. The application of this algorithm in optimization is to be discussed elsewhere. It is important to understand that in our implementation we do not have access to the meshing and matrix-assembly modules of the EM simulators. As a result, full re-meshing and a matrix fill are required to obtain the system matrix derivative for each of the design parameters. If the self-adjoint algorithm is to be implemented within an EM solver, which already has parameterization available, the time required for a perturbed-gemay be drastically reduced [10]. This ometry matrix fill is because the parameterization necessarily flags all mesh elements affected by a parameter perturbation. It is then a relatively simple task to link the affected mesh elements to the affected matrix elements, and recompute only the affected elements instead of recomputing the whole system matrix. The number of affected matrix elements is very small and the system matrix derivative is very sparse when a shape parameter is perturbed. When global material parameters are perturbed, many or all of the matrix elements change, and the system matrix derivative [12] is dense. VIII. CONCLUSION

mesh refinement. The plotted ratios are only representative since they depend on the type of the mesh (segments or triangles in FEKO) and on the type of the linear-system solver (direct or iterative). The trend of the ratio increasing with the size of the problem is general. We also emphasize that we record the CPU time only. With large matrices, a computer may run out of memory (RAM), in which case, part of the data is swapped to the disk. This causes a significant increase of and , which is machine and hard-drive dependent. This is not taken into account. In Table III, we show the actual CPU time spent for response sensitivity calculations with our self-adjoint approach and the finite-difference approximation using the FEMLAB solver. Table IV shows the same information for the FEKO analysis. We consider the case of one design parameter , i.e., a single derivative is computed. The size of the system varies. The increase of the time-saving factor as the number of unknowns increases corresponds closely to the ratio curves plotted in Fig. 13 in accordance with (37). The analyzed structures are the same as those used to investigate the ratios. We also carry out a time comparison between our approach and the finite-difference approach when the size of the system is fixed, but the number of design parameters varies. The FEM and MoM results are summarized in Tables V and VI, respectively. As predicted by (37), the time savings are practically independent of the number of design parameters.

We have proposed an adjoint-variable approach to the computation of the network-parameter sensitivities, which is independent of the EM analysis engine. It exploits the self-adjoint nature of the sensitivity problem in a linear medium thereby replacing the adjoint-variable solution with the properly scaled solution of the original problem. Not only does this reduce the computational overhead of the sensitivity computation but it significantly simplifies the implementation by making it completely independent of the EM analysis code. We discuss the implementation with particular commercial MoM and FEM EM solvers, which support the features enabling the sensitivity analysis. Our methodology, however, is general, and with the proper choice of the complex constant relating the adjoint and original solutions, it can be applied to other FEM or MoM simulators. We provide thorough investigation of the accuracy and the CPU time requirements of the self-adjoint algorithm. We show that the CPU time overhead of the sensitivity analysis can be significantly reduced by using our adjoint technique as compared to the direct finite differences at the response level. The larger the size of the problem is, the larger the time savings factor is. ACKNOWLEDGMENT The authors would like to thank Dr. C. J. Reddy, EM Software & Systems USA, Hampton, VA, and the team at FEKO Technical Support, Hampton, VA, for their patience and help regarding the technical details in handling the exported data from the FEKO simulator.

680

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

REFERENCES [1] D. G. Cacuci, Sensitivity & Uncertainty Analysis, Volume 1: Theory. Boca Raton, FL: Chapman & Hall/CRC, 2003. [2] A. D. Belegundu and T. R. Chandrupatla, Optimization Concepts and Applications in Engineering. Upper Saddle River, NJ: Prentice-Hall, 1999. [3] E. J. Haug, K. K. Choi, and V. Komkov, Design Sensitivity Analysis of Structural Systems. Orlando, FL: Academic, 1986. [4] P. Neittaanmäki, M. Rudnicki, and A. Savini, Inverse Problems and Optimal Design in Electricity and Magnetism. New York: Oxford Univ. Press, 1996, ch. 4. [5] H.-B. Lee and T. Itoh, “A systematic optimum design of waveguide-tomicrostrip transition,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 803–809, May 1997. [6] H. Akel and J. P. Webb, “Design sensitivities for scattering-matrix calculation with tetrahedral edge elements,” IEEE Trans. Magn., vol. 36, no. 4, pp. 1043–1046, Jul. 2000. [7] J. P. Webb, “Design sensitivity of frequency response in 3-D finite-element analysis of microwave devices,” IEEE Trans. Magn., vol. 38, no. 2, pp. 1109–1112, Mar. 2002. [8] Y. S. Chung, C. Cheon, I. H. Park, and S. Y. Hahn, “Optimal design method for microwave device using time domain method and design sensitivity analysis—Part I: FETD case,” IEEE Trans. Magn., vol. 37, no. 5, pp. 3289–3293, Sep. 2001. [9] N. K. Nikolova, J. W. Bandler, and M. H. Bakr, “Adjoint techniques for sensitivity analysis in high-frequency structure CAD,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 403–419, Jan. 2004. [10] N. K. Georgieva, S. Glavic, M. H. Bakr, and J. W. Bandler, “Feasible adjoint sensitivity technique for EM design optimization,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2751–2758, Dec. 2002. [11] S. M. Ali, N. K. Nikolova, and M. H. Bakr, “Recent advances in sensitivity analysis with frequency-domain full-wave EM solvers,” Appl. Comput. Electromagn. Soc. J., vol. 19, pp. 147–154, Nov. 2004. [12] M. H. Bakr and N. K. Nikolova, “An adjoint variable method for frequency domain TLM problems with conducting boundaries,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 408–410, Sep. 2003. [13] S. M. Ali, N. K. Nikolova, and M. H. Bakr, “Recent advances in sensitivity analysis with frequency-domain full-wave EM solvers,” Appl. Comput. Electromagn. Soc. J., vol. 19, pp. 147–154, Nov. 2004. [14] M. D. Greenberg, Advanced Engineering Mathematics. Upper Saddle River, NJ: Prentice-Hall, 1998, pp. 1138–1140. [15] N. K. Nikolova, R. Safian, E. A. Soliman, M. H. Bakr, and J. W. Bandler, “Accelerated gradient based optimization using adjoint sensitivities,” IEEE Trans. Antennas Propag., vol. 52, no. 8, pp. 2147–2157, Aug. 2004. [16] E. A. Soliman, M. H. Bakr, and N. K. Nikolova, “Accelerated gradientbased optimization of planar circuits,” IEEE Trans. Antennas Propag., vol. 53, no. 2, pp. 880–883, Feb. 2005. [17] J. Jin, The Finite Element Method in Electromagnetics, 2nd ed. New York: Wiley, 2002, p. 310, 311, 496, 497. [18] M. Salazar-Palma, T. K. Sarkar, L.-E. García-Castillo, T. Roy, and A. Djordjevic´ , Iterative and Self-Adaptive Finite-Elements in Electromagnetic Modeling. Norwood, MA: Artech, 1998, pp. 465–466. [19] FEKO User’s Manual, Suite 4.2, EM Software & Systems (USA), Inc., Hampton, VA, Jun. 2004. [Online]. Available: http://www.feko.info, http://www.emssusa.com/. [20] “Comput. Electromagn. Res. Lab.,” McMaster Univ., Hamilton, ON, Canada, Rep. CEM-R-23, Jun. 2005. [21] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, pt. 4. [22] User’s Guide, FEMLAB 3.1, COMSOL Inc., Burlington, MA, 2004. [Online]. Available: http://www.comsol.com.. [23] “Comput. Electromagn. Res. Lab.,” McMaster Univ., Hamilton, ON, Canada, Rep. CEM-R-21, Jun. 2005. [24] L. Minakova and L. Rud, “Spectral approach to the synthesis of the waveguide bandstop filters based on dielectric rectangular posts,” in Proc. Int. Math. Methods Electromagn. Theory Conf., vol. 2, Sep. 2000, pp. 479–481. [25] “Comput. Electromagn. Res. Lab.,” McMaster Univ., Hamilton, ON, Canada, Rep. CEM-R-24, Jun. 2005.

[26] “Comput. Electromagn. Res. Lab.,” McMaster Univ., Hamilton, ON, Canada, Rep. CEM-R-22, Jun. 2005. [27] A. Abdelmonem, J.-F. Liang, H.-W. Yao, and K. A. Zaki, “Spurious free D.L. TE mode band pass filter,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 2, May 1994, pp. 735–738.

Natalia K. Nikolova (S’93–M’97–SM’05) received the Dipl. Eng. degree from the Technical University of Varna, Varna, Bulgaria, in 1989, and the Ph.D. degree from the University of Electro-Communications, Tokyo, Japan, in 1997. From 1998 to 1999, she was with the Natural Sciences and Engineering Research Council of Canada (NSERC), during which time she was initially with the Microwave and Electromagnetics Laboratory, DalTech, Dalhousie University, Halifax, NS, Canada, and then for a year with the Simulation Optimization Systems Research Laboratory, McMaster University, Hamilton, ON, Canada. In July 1999, she joined the Department of Electrical and Computer Engineering, McMaster University, where she is currently an Associate Professor. Her research interests include theoretical and computational electromagnetism, high-frequency analysis techniques, as well as computer-aided design (CAD) methods for high-frequency structures and antennas. Dr. Nikolova is a member of the Applied Computational Electromagnetics Society. She is also an International Union of Radio Science (URSI) correspondent, Commissions B and D, and a representative of Commission D of the URSI Canadian National Committee. She was the recipient of an NSERC Post-Doctoral Fellowship from 1998 to 1999. She held a University Faculty Award of the NSERC from 2000 to 2005.

Jiang Zhu was born in Sichuan, China, in 1980. He received the B.Eng. degree in electrical engineering from Zhejiang University, Hangzhou, China, in 2003, and is currently working toward the M.A.Sc. degree at McMaster University, Hamilton, ON, Canada. In September 2004, he joined the Computational Electromagnetics Research Laboratory and the Simulation Optimization Systems Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, where he is currently a Research Assistant. His research interests include microwave computer-aided design, EM optimization, modeling of microwave circuits and antennas, and bio-electromagnetics.

Dongying Li received the B.Sc. degree in electrical engineering from Shanghai Jiao Tong University, Shanghai, China, in 2004, and is currently working toward the M.A.Sc. degree in electrical engineering at McMaster University, Hamilton, ON, Canada. During this time, he has been a Research Assistant with the Computational Electromagnetics Research Laboratory, McMaster University. His research interests include computational electromagnetics, optimization methods, and nondestructive testing methodologies.

NIKOLOVA et al.: SENSITIVITY ANALYSIS OF NETWORK PARAMETERS WITH EM FREQUENCY-DOMAIN SIMULATORS

Mohamed H. Bakr (S’98–M’00) received the B.Sc. degree in electronics and communications engineering with distinction (honors) and the M.Sc. degree in engineering mathematics from Cairo University, Cairo, Egypt, in 1992 and 1996, respectively, and the Ph.D. degree from McMaster University, Hamilton, ON, Canada, in 2000. In 1997, he was a student intern with Optimization Systems Associates Inc. (OSA), Dundas, ON, Canada. From 1998 to 2000, he was a Research Assistant with the Simulation Optimization Systems (SOS) Research Laboratory, McMaster University. In November 2000, he joined the Computational Electromagnetics Research Laboratory (CERL), University of Victoria, Victoria, BC, Canada, as a Natural Sciences and Engineering Research Council of Canada (NSERC) Post-Doctoral Fellow. He is currently an Assistant Professor with the Department of Electrical and Computer Engineering, McMaster University. His research areas of interest include optimization methods, computer-aided design and modeling of microwave circuits, neural-network applications, smart analysis of microwave circuits, and efficient optimization using time-/frequency-domain methods. Dr. Bakr was a recipient of the Premier’s Research Excellence Award (PREA) presented by the Province of Ontario, Canada, in 2003.

681

John W. Bandler (S’66–M’66–SM’74–F’78) was born in Jerusalem on November 9, 1941. He received the B.Sc. (Eng.), Ph.D., and D.Sc. (Eng.) degrees from the University of London, London, U.K., in 1963, 1967, and 1976, respectively. In 1966, he joined Mullard Research Laboratories, Redhill, Surrey, U.K. From 1967 to 1969, he was a Post-Doctorate Fellow and Sessional Lecturer with the University of Manitoba, Winnipeg, MB, Canada. In 1969, he joined McMaster University, Hamilton, ON, Canada, where he has served as Chairman of the Department of Electrical Engineering and Dean of the Faculty of Engineering. He is currently Professor Emeritus in Electrical and Computer Engineering and directs research in the Simulation Optimization Systems Research Laboratory. He was President of Optimization Systems Associates, Inc. (OSA), Dundas, ON, Canada, which he founded in 1983, until November 20, 1997, the date of acquisition of OSA by the Hewlett-Packard Company (HP). OSA implemented a first-generation yield-driven microwave CAD capability for Raytheon in 1985, followed by further innovations in linear and nonlinear microwave CAD technology for the Raytheon/Texas Instruments Joint Venture MIMIC Program. OSA introduced the computer-aided engineering (CAE) systems RoMPE in 1988, HarPE in 1989, OSA90 and OSA90/hope in 1991, Empipe in 1992, and Empipe3D and EmpipeExpress in 1996. OSA created empath in 1996, marketed by Sonnet Software, Inc. He is currently President of Bandler Corporation, Dundas, ON, Canada, which he founded in 1997. He has authored or coauthored over 370 papers from 1965 to 2005. He contributed to Modern Filter Theory and Design (New York: Wiley-Interscience, 1973) and Analog Methods for Computer-aided Analysis and Diagnosis (New York: Marcel Dekker, 1988). Four of his papers have been reprinted in Computer-Aided Filter Design (New York: IEEE Press, 1973), one in each of Microwave Integrated Circuits (Norwood, MA: Artech House, 1975), Low-Noise Microwave Transistors and Amplifiers (New York: IEEE Press, 1981), Microwave Integrated Circuits, 2nd ed.(Norwood, MA: Artech House, 1985), Statistical Design of Integrated Circuits (New York: IEEE Press, 1987), and Analog Fault Diagnosis (New York: IEEE Press, 1987). He joined the Editorial Boards of the International Journal of Numerical Modeling (1987), the International Journal of Microwave and Millimeterwave Computer-Aided Engineering (1989), and Optimization Eng. in 1998. He was Guest Editor of the International Journal of Microwave and Millimeter-Wave Computer-Aided Engineering Special Issue on Optimization-Oriented Microwave CAD (1997). He was guest co-editor of the Optimization and Engineering Special Issue on Surrogate Modeling and Space Mapping for Engineering Optimization (2001). Dr. Bandler is a Fellow of the Canadian Academy of Engineering, the Royal Society of Canada, the Institution of Electrical Engineers (U.K.), and the Engineering Institute of Canada. He is a member of the Association of Professional Engineers of the Province of Ontario (Canada) and a member of the Massachusetts Institute of Technology (MIT) Electromagnetics Academy. He was an Associate Editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (T-MTT) from 1969 to 1974 and has continued serving as a member of the Editorial Board. He was Guest Editor of the T-MTT Special Issue on Computer-Oriented Microwave Practices (1974) and Guest Coeditor of the IEEE T-MTT Special Issue on Process-Oriented Microwave CAD and Modeling (1992). He was Guest Editor of the IEEE T-MTT Special Issue on Automated Circuit Design Using Electromagnetic Simulators (1997). He was Guest Coeditor of the IEEE T-MTT Special Issue on Electromagnetics-Based Optimization of Microwave Components and Circuits (2004). He has served as chair of the MTT-1 Technical Committee on Computer-Aided Design. He was the recipient of the 1994 Automatic Radio Frequency Techniques Group (ARFTG) Automated Measurements Career Award and the 2004 Microwave Application Award presented by the IEEE MTT-S.

682

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Systematic and Rigorous Extraction Method of HBT Small-Signal Model Parameters Louay Degachi and Fadhel M. Ghannouchi, Senior Member, IEEE

Abstract—This paper presents a systematic and rigorous analytical parameter-extraction method for a heterojunction bipolar transistor (HBT) small-signal equivalent-circuit model. The proposed method relies exclusively on -parameter measurements. Exact closed-form equations are used for the direct extraction of circuit elements. The method is characterized by its simplicity and ease of implementation. It is applied to predict the small-signal characteristics of transistors from different foundries. Excellent agreement between modeled and measured -parameters is observed up to 20 GHz. Index Terms—Heterojunction bipolar transistor (HBT), parameter extraction, small-signal equivalent-circuit model.

I. INTRODUCTION

A

KEY issue in heterojunction bipolar transistor (HBT) modeling is the availability of an accurate and systematic model-parameter extraction procedure. Over the last decade, several analytical methods were proposed for the parameter extraction of HBT small-signal models [1]–[8]. Most of these methods make use of approximations when deriving appropriate equations allowing for the direct determination of model parameters. Of particular interest is the method developed by Bousnina et al. [5], where small-signal hybrid- model parameters are extracted rigorously and no approximations are used. However, certain shortcomings are noticed. On the one hand, the derivation of the intrinsic base–emitter resistance makes use of the involving parameters such as the formula junction temperature and junction emission coefficient, thus requiring a prior dc-parameter extraction. On the other hand, the base–emitter capacitance is derived as the solution of a seconddegree equation. Such an equation generally has two roots, and additional arguments are necessary to eliminate one of them. This paper presents an improved analytical extraction method compared to [5]. The proposed method is rigorous, simple, and relies exclusively on -parameter measurements. Therefore, it is very suited for automation. In Section II, a brief description of the adopted model is given. In Section III, the extraction method is described in detail. Section IV presents the extraction results. Section V concludes this paper.

Manuscript received June 20, 2005; revised September 13, 2005. L. Degachi is with the Poly-Grames Research Center, Electrical Engineering Department, École Polytechnique de Montréal, Montréal, QC, Canada H3C 3A7 (e-mail: [email protected]). F. M. Ghannouchi is with the Electrical and Computer Engineering Department, Schulich School of Engineering, The University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862661

Fig. 1.

Adopted HBT small-signal equivalent-circuit model.

Fig. 2.

HBT small-signal equivalent-circuit model after deembedding.

II. MODEL DESCRIPTION The adopted HBT small-signal equivalent-circuit model is shown in Fig. 1. Parasitic capacitances ( and ) and inductances ( and ) are extracted from -parameter measurements when the transistor is biased, respectively, in the cutoff and open-collector conditions [5]. and are the series resistances and they are determined from fly-back measurements. All these parasitic elements are deembedded from -parameter measurements following the procedure described by Dambrine et al. [9]. After deembedding, the circuit is reduced to the one shown in Fig. 2. is the intrinsic

0018-9480/$20.00 © 2006 IEEE

DEGACHI AND GHANNOUCHI: SYSTEMATIC AND RIGOROUS EXTRACTION METHOD OF HBT SMALL-SIGNAL MODEL PARAMETERS

Fig. 3.

683

T-5 transformation.

base–emitter capacitance. and are the intrinsic and extrinsic base–collector capacitances, respectively. and are the intrinsic base–emitter and base–collector resistances, respectively. Generally has a high value and, therefore, its effect might be neglected. Nevertheless, we include it in our model for the sake of completeness. and are the small-signal and dc transconductances, respectively. is the intrinsic base resistance. is the delay time.

Fig. 4. Final circuit after T-5 transformation.

where (10) (11) It follows that

III. PARAMETER-EXTRACTION PROCEDURE First, the well-known T- transformation is applied as described in Fig. 3. The parameters and are given by

(12) (13)

(1) (14) (2) (15) (3) At this point, the circuit parameters are determined analytically as follows.

where (4) (5)

A. Determination of

and

From (1) and (3), it can be written that (16)

(6) (7)

or, equivalently,

The final circuit is shown in Fig. 4 where (17) (8) (18) (9) The parameters follows [5]:

and

are related to

-parameters as

and are determined from the least squares lines fitting to and , respectively, considered as functions of the angular frequency . Equations (17) and (18) are illustrated in Figs. 5 and 6. B. Determination of Using (1) and (4)–(7),

can be written as

684

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 5. Plot of real(Z =Z ) versus frequency.

Fig. 7. Plot of F versus frequency.

Fig. 6. Plot of imag(Z =Z ) versus frequency.

Fig. 8.

Plot of real(F ) versus frequency.

or, equivalently,

(25) (26)

(19)

and are determined from the least squares line fitting to , considered as function of . Equation (23) is illustrated in Fig. 7. From (24) and (25), is then determined as

where (20) (21) (22)

C. Determination of

and

From (19), it can be written that

From (19), it can be deduced that

or, equivalently,

or, equivalently, (23) where (24)

(27) (28) and are determined from the least squares lines fitting to and , respectively, considered as functions of . Equations (27) and (28) are illustrated in Figs. 8 and 9.

DEGACHI AND GHANNOUCHI: SYSTEMATIC AND RIGOROUS EXTRACTION METHOD OF HBT SMALL-SIGNAL MODEL PARAMETERS

Fig. 9.

Fig. 10.

Plot of imag(F ) versus frequency.

Plot of imag(1=Z

D. Determination of

0 1=Z ) versus frequency.

Fig. 11.

Plot of mag(X=B ) versus frequency.

Fig. 12.

Plot of [- phase (X=B

1G

685

)] versus frequency.

and

From (20) and (21), the following linear system can be written:

or in a matrix form

This directly gives E. Determination of Once and forward to calculate

and

. and

are determined, it becomes straightand , respectively, from and .



0

Fig. 13. Measured ( ) and simulated ( ) S -parameters for a 2 emitter-area HBT (V = 3 V, I = 18:728 mA, I = 240 A).

2 20 m

686

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006



0

Fig. 14. Measured ( ) and simulated ( ) S -parameters for a 2 emitter-area HBT (V = 2 V, I = 19:302 mA, I = 240 A). TABLE I EXTRACTED SMALL-SIGNAL PARAMETERS EMITTER-AREA HBT

F. Determination of

,

FOR A

2

2 20 m



0

2 10 m



0

2 10 m

Fig. 15. Measured ( ) and simulated ( ) S -parameters for a 1 emitter-area HBT (V = 2 V, I = 5 mA, I = 24:5 A).

2 20 m

, and

Once the values of the intrinsic elements are calculated, parameters and are determined from (2) and (11), respectively. From (8) and (9), it can be written that (29) From (10), it can be written that (30) phase

(31)

and are then determined from the least squares lines fitting, respectively, to and phase . Equations (29)–(31) are illustrated in Figs. 10–12, respectively. IV. RESULTS AND DISCUSSION In order to validate and assess the accuracy of the extraction procedure, three transistors from two different foundries

Fig. 16. Measured ( ) and simulated ( ) S -parameters for a 1 emitter-area HBT (V = 3 V, I = 5 mA, I = 24:72 A).

were investigated. Measurements were performed with a microwave probing system and a vector network analyzer (VNA) over the frequency range of 1–20 GHz. The extraction procedure was implemented as a MATLAB program. The first transistor was an AlGaAs/GaAs HBT with a 2 20 m emitter area. Figs. 13 and 14 show comparisons between measured and simulated -parameters at the bias point V, mA, and A, and at the bias point V, mA, and A, respectively. Table I shows the extracted values of the different circuit elements. The second transistor was a GaInP/GaAs HBT with a 1 10 m

DEGACHI AND GHANNOUCHI: SYSTEMATIC AND RIGOROUS EXTRACTION METHOD OF HBT SMALL-SIGNAL MODEL PARAMETERS

TABLE II EXTRACTED SMALL-SIGNAL PARAMETERS EMITTER-AREA HBT



FOR A

1

2 10 m

0

Fig. 17. Measured ( ) and simulated ( ) S -parameters for a 1 emitter-area HBT (V = 2 V, I = 30 mA, I = 215:742 A).



0

Fig. 18. Measured ( ) and simulated ( ) S -parameters for a 1 emitter-area HBT (V = 3 V, I = 50 mA, I = 355:760 A).

TABLE III EXTRACTED SMALL-SIGNAL PARAMETERS EMITTER-AREA HBT

Fig. 19.

Plot of R

Fig. 20.

Plot of G

versus I for the 1

FOR A

687

1

2 100 m

2 10 transistor.

2 100 m

2 100 m

emitter area. Figs. 15 and 16 show comparisons between measured and simulated -parameters at the bias point V,

versus I for the 1

2 10 transistor.

mA, and A and at the bias points V, mA, and A, respectively. Table II depicts the extracted values of the different elements. The third transistor was a GaInP/GaAs HBT with a 1 100 m emitter area. Figs. 17 and 18 show comparisons between measured and simulated -parameters at the bias point V, mA, and A and at the bias points V, mA, and A, respectively. Table III depicts the extracted values of the different elements. Excellent agreement was obtained over the selected range of frequencies. The deviations occurring in at high frequencies (Figs. 15–18) are attributable to measurement problems. As expected, the value of is very high. The evolutions with bias of and for the 1 10 transistor are given in Figs. 19 and 20, respectively.

688

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Both parameters show smooth behaviors, which further validate the proposed extraction technique. V. CONCLUSION In this paper, a simple and systematic parameter-extraction method has been presented. The main features of this method are as follows. • The method relies exclusively on -parameter measurements. No dc parameter is required. • The extraction of all elements is rigorously achieved by skillfully deriving a number of relations without employing any approximation. These features make the method very suited for automation. Excellent agreement is obtained between modeled and measured -parameters for three transistors from two different foundries. The results indicate the accuracy and consistency of this method. REFERENCES [1] B. Li and S. Parsad, “Basic expressions and approximations in smallsignal parameter extraction for HBT’s,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 5, pp. 534–539, May 1999. [2] A. Ouslimani, J. Gaubert, H. Hafdallah, A. Birafane, P. Pouvil, and H. Leier, “Direct extraction of linear HBT-model parameters using nine analytical expression blocks,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 218–221, Jan. 2002. [3] H. C. Tseng and J. H. Chou, “An efficient analytical approach for extracting the emitter inductance of collector-up HBTs,” IEEE Trans. Electron Devices, vol. 51, no. 7, pp. 1200–1202, Jul. 2004. , “A pure analytic method for direct extraction of collector-up [4] HBTs small-signal parameters,” IEEE Trans. Electron Devices, vol. 51, no. 12, pp. 1972–1977, Dec. 2004. [5] S. Bousnina, P. Mandeville, A. B. Kouki, R. Surridge, and F. M. Ghannouchi, “Direct parameter-extraction method for HBT small-signal model,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 2, pp. 529–536, Feb. 2002. [6] M. Rudolph, R. Doerner, and P. Heymann, “Direct extraction of HBT equivalent-circuit elements,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 1, pp. 82–84, Jan. 1999. [7] B. Sheinman, E. Wasige, M. Rudolph, R. Doerner, V. Sidorov, S. Cohen, and D. Ritter, “A peeling algorithm for extraction of the HBT smallsignal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2804–2810, Dec. 2002.

[8] D. Costa, W. U. Liu, and J. S. Harris, “Direct extraction of the AlGaAs/GaAs heterojunction bipolar transistor small-signal equivalent circuit,” IEEE Trans. Electron Devices, vol. 38, no. 9, pp. 2018–2024, Sep. 1991. [9] G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 7, pp. 1151–1159, Jul. 1988.

Louay Degachi received the B.Eng. degree in engineering physics from the École Polytechnique de Montréal, Montréal, QC, Canada, in 1999, and is currently working toward the Ph.D. degree at the École Polytechnique de Montréal. His research interest is the modeling of HBTs.

Fadhel M. Ghannouchi (S’84–M’88–SM’93) received the B.Eng. degree in engineering physics and the M.S. and Ph.D. degrees in electrical engineering from the École Polytechnique de Montréal, Montréal, QC, Canada, in 1983, 1984, and 1987, respectively. He is currently an iCORE Professor with the Intelligent RF Radio Laboratory, Electrical and Computer Engineering Department, University of Calgary, Calgary, AB, Canada, and Tier-I Canada Research Chair in Intelligent RF Radio Technology. From 1984 to 2005, he was a Professor with the Department of Electrical Engineering, École Polytechnique de Montréal. He has taught microwave theory and techniques and RF communications systems. He held several invited positions at several academic and research institutions in Europe, North America, Japan, and North Africa. He has provided consulting services to numerous microwave and wireless communications companies. He is also the founder of AmpliX Inc., Montréal, QC, Canada, a company that offers linearization products and services to wireless and satellite communication equipment manufacturers. His research interests are in the areas of microwave instrumentation and measurements, nonlinear modeling of microwave devices and communications systems, design of power- and spectrum-efficient microwave amplification systems, and design of intelligent RF transceivers for wireless communications. He has authored or coauthored approximately 300 publications. He holds seven patents. Dr. Ghannouchi is a Registered Professional Engineer in the Province of Quebec, Canada. He has served on the Technical Committees of several international conferences and symposiums.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

689

Efficient Modeling of Microwave Integrated-Circuit Geometries via a Dynamically Adaptive Mesh Refinement—FDTD Technique Yaxun Liu, Member, IEEE, and Costas D. Sarris, Member, IEEE

Abstract—The finite-difference time-domain (FDTD) method is combined with an adaptive mesh refinement (AMR) technique, to achieve a fast, time-domain solver for Maxwell’s equations (AMRFDTD), based on a three-dimensional moving/rotating Cartesian mesh. This combination allows the proposed solver to adapt to the problem at hand, optimally distributing computational resources in a given domain as needed, by recursively refining a coarse grid in regions of large over time gradient of electromagnetic field energy. Several applications of the method to the analysis of microwave circuit geometries demonstrate its salient features and its outstanding efficiency as a microwave computer-aided design tool. Index Terms—Adaptive mesh refinement (AMR), finite difference time domain (FDTD), microwave integrated circuits.

I. INTRODUCTION

T

HE finite-difference time-domain (FDTD) technique [1] has been extensively employed in the modeling of microwave integrated circuits [2]. It is especially suitable for wideband applications since it allows for the characterization of a given structure in a broad frequency range, through a single simulation. However, the FDTD stability and dispersion properties impose severe limitations on the choice of the cell size and the time step of the method, rendering its application to complex structures computationally expensive. The challenge of accelerating FDTD simulations for practical geometries has been addressed in the past with a variety of static subgridding techniques [3]–[6]. According to those, local mesh refinement is pursued in a priori defined regions of a computational domain, as dictated by physical considerations. For example, the presence of metallic edges or high-dielectric permittivity inclusions would call for a locally dense mesh, embedded in a coarser global one. The use of local mesh refinement typically results in significant computational savings compared to the conventional FDTD method, despite the fact that its implementation is associated with additional interpolation and extrapolation operations in both space and time. However, this approach ignores the dynamic nature of timedomain field simulations. In fact, techniques such as FDTD and transmission-line matrix (TLM) essentially register the history of a broad-band pulse propagating in a device-under-test (DUT),

Manuscript received June 21, 2005; revised September 16, 2005. This work was supported by the Natural Sciences and Engineering Research Council of Canada under a Discovery Grant. The authors are with the Edward S. Rogers Sr. Department of Electrical and Computer Engineering, University of Toronto, Toronto, ON, Canada M5S 3G4 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862660

along with its multiple reflections from parts of the latter. Hence, a sharp edge of a microstrip structure is not continuously illuminated by the pulse excitation; on the contrary, it is so for a (potentially small) fraction of the total simulation time, during which a local mesh refinement around it is needed. Therefore, static mesh refinement, which is widely employed in frequencydomain simulations and has been incorporated in commercial finite-element tools, is only a suboptimal solution to the mesh refinement problem in the framework of time-domain analysis. More recently, a moving-window finite-difference time-domain (MW-FDTD) method was proposed for the tracking of the forward propagating wave in the two-dimensional (2-D) terrain environment of a wireless channel [7]. The single moving window used by the method was characterized by fixed size and velocity and, therefore, it could not track reflections (which were absorbed by terminating boundaries of the window). As a result, the MW-FDTD is is not well suited for microwave circuit simulations, where the modeling of phenomena as common as signal reflection and branching would require multiple and potentially rotating windows. In the context of computational fluid dynamics, the technique of adaptive mesh refinement (AMR) was introduced in [8], for the solution of hyperbolic partial differential equations. The application of AMR is based on the use of a hierarchical mesh, which is recursively developed through the refinement of a coarse root mesh, which covers the entire computational domain. The regions of the computational domain that need further mesh refinement are detected via error estimates or indicators such as gradients of the quantity for which to be solved. There may also be dense mesh regions, where the use of a dense mesh is not necessary after a certain time step. These can then be coarsened, again in a recursive manner. Dense and coarse mesh regions are organized via a clustering algorithm that is accompanied by regular checks (every certain time steps) of the error estimates, which guide the process of migration of a cell from one level of resolution to another. This procedure can be associated with the algorithm of [9] and [10], which used wavelet field expansions in order to track the spatio-temporal evolution of shock-wave and nonlinear optical pulse propagation problems, respectively. However, the generalization of such wavelet-based algorithms to three dimensions presents a significant added complexity, while the implicit relation between the actual field values and the wavelet expansion coefficients renders the application of boundary conditions, which are essential for the connection of nested meshes of different resolutions, computationally burdensome.

0018-9480/$20.00 © 2006 IEEE

690

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

In this paper, the conventional FDTD is combined with the AMR method, in order to formulate an efficient AMR-FDTD technique of superior performance, extending earlier work by the authors [11], [12]. Instead of using fixed subgrids, this method uses subgrids that are adaptively defined, according to the evolution of field distributions in space and time. As an example, when a Gaussian pulse propagating along a microstrip line is simulated, the AMR scheme successfully tracks the movement of the pulse, thereby refining only the region that surrounds the propagating pulse. In this case, the AMR accuracy is comparable to that of a uniformly (throughout the entire computational domain) dense mesh FDTD. The paper is organized as follows. The general structure of the AMR-FDTD algorithm is presented in Section II, while Section III refers to the update procedure of the tree of meshes that the algorithm uses in order to adaptively track the field evolution. Section IV outlines how cells that need further mesh refinement or cells that can be removed from the mesh are detected, clustered, and managed by the AMR-FDTD scheme. Finally, Section V presents an extensive set of time- and frequency-domain numerical results for realistic applications, which illustrate the advantages of the algorithm. Based on these results, accuracy and stability aspects of the technique are presented. II. AMR-FDTD: OVERVIEW OF THE ALGORITHM In general, a Cartesian FDTD mesh occupies a rectangular region

that is terminated by closed or absorbing boundaries. Inhomogeneous material properties can be readily assumed by letting the dielectric permittivity and magnetic permeability of the structure be generic functions of the space variables , respectively. Let us consider the subdivision of the domain in -Yee cells, indexed by a triplet . Each cell occupies a volume , where denote the cell sizes in the , and directions. The mesh of these cells is the coarse grid of region that the algorithm under development will selectively and locally refine. Let us now assume that there are cells within the domain whose refinement is necessary, according to certain accuracy criteria (the discussion of the latter is deferred to Section IV). These cells are first clustered together and then covered by rectangular subregions which belong to . Throughout the algorithmic development of the AMR-FDTD, it will be ensured that these subregions can share planar boundaries, yet they cannot overlap. This is important in order to preserve the possibility of further refinement of these subregions independently from each other, as required by the evolution of the field solution. Each rectangular region is subdivided in Yee cells of dimensions: . Hence, a refinement factor of 2 is used in every direction, reducing the Yee cell volume of the initial mesh by a factor of 8. To summarize, a coarse mesh has been defined in the rectangular region , enclosing finer meshes in rectangular subregions of the latter. The mesh of region , henceforth re-

Fig. 1. Sampling points of electric and magnetic field components in a Yee cell. Solid arrows are for electric field, whereas hollow arrows are for the magnetic field. The shaded areas represent refined Yee cells.

ferred to as mesh , will be called the root mesh or level 1 mesh. The meshes of regions , or meshes , will be called child meshes of or level 2 meshes. Recursively, each can be further refined to have its own child meshes, again refining the cell sizes involved by a factor of 2. Therefore, all of the meshes that are generated in this manner can be assembled in a tree structure, since its hierarchy has a one-to-one correspondence with the proposed order of AMR-FDTD grids. According to the convention of the Yee cell in FDTD (Fig. 1), electric field components are sampled at the center of the edges of each cell, while magnetic field components are sampled at face centers. The sampling points of a parent mesh may coincide or not with the sampling points of its child mesh, depending on whether the refinement factor is odd or even, respectively. In this study, the refinement factor is 2 (or powers of 2, with respect to the root mesh), and, hence, the grid points of child and parent meshes do not coincide. An alternative case, where the choice of a refinement factor of three renders the parent mesh sampling points also child mesh sampling points, can be found in [6]. The main difference between standard subgridded FDTD methods and AMR-FDTD is the dynamic mesh generation which is pursued in the latter, every time steps. In order to define the time step of AMR-FDTD, the following observations need to be made. For a level mesh, Yee cell dimensions are: , where are the root mesh Yee cell dimensions. Furthermore, the Courant number is fixed to a constant value in all meshes. This implies that the root mesh time step (from now on referred to as AMR-FDTD time step) is given as (1) where is the maximum phase velocity in the computational domain. Applying (1) for a mesh of level , keeping fixed, yields a time step for this mesh equal to (2)

LIU AND SARRIS: EFFICIENT MODELING OF MICROWAVE INTEGRATED-CIRCUIT GEOMETRIES VIA DYNAMICALLY AMR—FDTD TECHNIQUE

691

Case 2) Segment : This is a boundary between “sibling” meshes (SB). Case 3) Segments : These are boundaries between child and parent meshes (CPB). Evidently, it is possible that a boundary may belong to more than one of the aforementioned categories. Then, its classification is based on the following hierarchy:

Fig. 2.

Types of boundaries of a child mesh.

B. Update of the Mesh Tree For example, level 2 meshes are updated twice as many times as the root mesh. Thus, another shortcoming of the conventional FDTD is addressed; the minimum time step of the algorithm is only used for the update of regions of large field variations, as opposed to the whole domain, which is a salient feature that is also part of the fixed subgridding algorithms of [3] and [6]. The loop of the AMR-FDTD operations is as follows. Step 1) Check the number of time steps executed. If it is an integer multiple of , perform adaptive mesh refinement to create a new mesh tree, and carry the field values from the old mesh tree to the new mesh tree. Step 2) Update fields of the root mesh. Step 3) Copy fields from the root mesh to the boundary of the child meshes. Update fields of the child meshes times, where is the resolution level of the mesh. Copy fields from child meshes back to the root mesh, for the time steps of the latter. Step 4) Check whether the maximum time step has been reached. If so, terminate the simulation, otherwise return to Step 1). The next two sections are aimed at explaining these steps in detail. III. MESH TREE AND FIELD UPDATE PROCEDURE IN AMR-FDTD AMR-FDTD applies the well-known field update equations of FDTD for each mesh, yet the interconnection of the different resolution meshes that march in time at different time steps is an issue to be addressed explicitly. In this section, the types of interfaces that occur in an AMR-FDTD domain are presented, along with their treatment in the update process. A. Categorization of Boundaries of Child Meshes The different categories of child mesh boundaries that may practically occur are shown in Fig. 2. In order to facilitate the presentation of the different cases, a 2-D case is discussed (readily extensible to three dimensions). Consider two child meshes and , embedded in a root mesh . Three separate cases of boundaries can be identified as follows. Case 1) Segment : It is defined here as a physical boundary (PB), including absorbing and/or perfect electric conductor boundary conditions.

In the following, the update of a two-level mesh tree (with root and level 1 meshes) is discussed. The steps outlined here can be recursively extended to mesh trees of more levels, by considering, for example, level 2 meshes as roots for level 3 meshes is the time step of the root mesh, while and so on. As before, . Let us consider the the child level 1 mesh uses a time step order of updates, assuming that the time-marching procedure . As a result, it is assumed that has reached the time the root mesh contains the updated values of the electric field and those of the magnetic field component grid points at component grid points at . In addition, the child meshes contain the updated electric and magnetic field values and , respectively. Then, the following proat cedure is applied. 1) Backup magnetic field components of the root mesh at . Obtain their values at by applying the FDTD update equations. 2) Backup the electric field components of the root mesh at . Obtain their values at by applying the FDTD update equations. 3) For each child mesh, apply the update equations to obtain . the magnetic field values at 4) For each child mesh: a) Update the interior (nonboundary) electric field grid points to obtain their values at . b) Update the boundary electric field grid points, at boundaries of the PB, SB, CPB-type, to obtain their . Obviously, these updates are values at nontrivial, since they invoke grid points of the root mesh, calculated at time steps of the child mesh. Therefore, interpolation needs to be carried out in a way that is analyzed in the next subsection of this paper. 5) For each child mesh, backup the magnetic field compoand obtain their values at nents at by applying the FDTD update equations. 6) Repeat steps 4a) and 4b) to advance the electric field . values of the child meshes to 7) For each child mesh: a) put the spatially interpolated electric field nodal values back to the parent mesh, excluding CPB at grid points; b) put the spatially interpolated magnetic field nodal back to the parent mesh. values at

692

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 4. Interface between two meshes of the same level. Fig. 3. Sampling points for electric field on a CPB-type boundary. The solid arrows are for the child mesh. The hollow arrows are for the parent mesh.

C. Field Updates on CPB-Type Boundaries The electric field components of a child mesh tangential to its CPB-type boundary [steps 4a) and 4b)] are obtained from its parent through trilinear interpolation in space and time, as shown in Fig. 3. Since such a boundary is characterized by fixing one spatial variable and letting the other two vary, along with time, trilinear interpolation provides the expression employed in all of these updates. In order to interpolate a function in the range , by using values of the function at points and

, the following formula can be applied:

(3) field on a CPB-type boundary As an example, consider the located at . The parent mesh has , whereas the child mesh needs . If , with being integers. Then, and correspond to the following normalized spatial and temperal variables:

D. Field Updates on SB-Type Boundaries First, note that boundaries between child meshes of different levels of resolution can be treated as the CPB boundaries that were discussed above. Therefore, the treatment of SB-type boundaries can be limited to boundaries between child meshes of the same level. Since, by Yee cell convention, the electric field is sampled at cell edge centers and the magnetic field is sampled at cell face centers, two meshes of the same level share tangential electric field and normal magnetic field components at the interface between them (see, for example, Fig. 4). However, an inspection of the required grid points that the Yee’s algorithm invokes in the update of the field components indicated in Fig. 4 reveals that only the update of the tangential electric field component needs special handling. Referring to Fig. 4, which shows two meshes interfaced at , the update of in mesh 1 is based on the retrieval of the values of . In particular, the index of in mesh 2 should be transparent to mesh 1. For this purpose, the positions of the SB-type boundaries (between child meshes of the same level) are recorded in a table, after each mesh refinement (i.e., at each time steps). One specific difficulty arising in the update of an SB-type boundary comes from T-junction regions, where three sibling meshes share one common edge, and cross-junction regions, where four sibling meshes share one common edge. Ideally, SB-type boundaries should be transparent to incident waves, causing no reflections. However, handling those T-junctions and cross junctions could render the implementation of the algorithm excessively complicated by itself. Therefore, the current implementation treats these junctions as CPB-type boundaries. The numerical results show that any reflections caused by this approach at the junctions are acceptably small. E. Field Updates on PB-Type Boundaries

which vary within the interval . Since the child mesh regions belong to their root mesh region , the use of (3) allows for the determination of any sampling point of the child mesh from sampling points of its root mesh, enabling the transfer of data which is included in step 4b).

PB-type boundaries include absorbing and/or PEC boundary conditions. These conditions are enforced in both root and child meshes. For the applications that follow, Mur’s first-order boundary condition [13] has been used. However, any other type of boundary condition can be readily incorporated. Perfectly matched layer (PML)-type conditions would simply extend the computational domain by the number of the absorber cells. Since

LIU AND SARRIS: EFFICIENT MODELING OF MICROWAVE INTEGRATED-CIRCUIT GEOMETRIES VIA DYNAMICALLY AMR—FDTD TECHNIQUE

693

approximated as follows (assume that the computation is made at time step ):

(4)

Fig. 5.

Transition from old mesh tree to new mesh tree.

PMLs are terminated into PECs, the only type of PB boundaries occurring in a PML-terminated domain are those of PECs.

where is the volume of cell is the electromagnetic energy in this cell at time step , and are vector electric and magnetic field values at the center of the cell at time step , which can be approximated by space/time averaging. Then, the gradient of the energy is numerically approximated by a second-order finite-difference expression, as

F. Transition From Old to New Mesh Tree Each time steps of the root mesh, a new mesh tree is created, representing the adaptive mesh regeneration. Field samples stored in the old mesh tree are transferred to the new mesh tree. This is straightforward for the root mesh, since the new tree has the same root mesh as the old tree. On the other hand, the possibility of an overlap between any child mesh of the new tree and any child mesh of the old tree is checked. If there is such an overlap, the field samples in the overlapping region are transferred from the old to the new child mesh. For example, consider the situation shown in Fig. 5, where is the root mesh, is an old child mesh, and is a new child mesh. and overlap in region . Fields of within will be copied to directly, and the rest of is initialized by interpolating fields of . Note that if contains boundaries, where conditions, such as Mur’s first-order absorbing boundary condition, are applied, and then field values of the current and the last time step are needed. These are maintained and kept available, according to the proposed algorithm of Section III-B. Again, trilinear interpolating operations are employed to initialize the new mesh. Finally, it is noted that source conditions are always enforced in the root mesh. If a child mesh overlaps with the source region, the overlapping part of the source should also be enforced in the child mesh. IV. AMR Up to this point, the features of AMR-FDTD relevant to the enforcement of a nonuniform grid and the implementation of multiple subgrids within a root mesh have been explained. Standard interpolation operations, which are the common characteristic of any subgridding algorithm, have been proposed. What distinguishes AMR-FDTD from previous subgridding approaches is the AMR, which enables the adaptive movement of the subgrids. This part of the paper explains the core of AMR-FDTD, which is the detection of cells which need further refinement, the dilation of the detected region, to account for wave propagation during the AMR interval, and the clustering of the detected cells, to form child meshes.

(5) Defining thresholds and , a cell is marked for refinement if both of the following criteria are met:

(6) where (7) (8) (9) Therefore, the AMR is executed in a cell when both an instantaneous and a calculated over the whole simulated time threshold are exceeded. The first criterion takes into account the appearance of energy gradient peaks, while the second ensures that arbitrary field fluctuations, mainly stemming from numerical errors at the final steps of the simulation, will not create an unnecessary refinement process. On the other hand, as the AMR-FDTD simulation begins, all of the electric field components assume zero values, except for the ones excited by the source. The detection of cells to be refined at this time-marching stage is difficult, since the energy gradient is too small to surpass the threshold set. To overcome this difficulty, in addition to the cells detected by thresholding, the cells in the source region are also marked for refinement, for a certain period of time. For example, if a Gaussian excitation of the form

is used (with time .

), source region cells are refined up to

B. Modeling of Wave Propagation A. Detection of Cells That Need Refinement Every -steps, the following calculations are carried out. First, the energy of each cell of the root mesh is

The application of the previous criteria may result in a number of cells being marked for refinement. However, this refinement process takes place every time steps (to avoid loading

694

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

is split across the cut plane , and the resultant two Box boxes are shrunk to obtain boxes and . The determination of the position of the cut plane is detailed in [14]. D. Guidelines for Choosing AMR-FDTD Parameters

Fig. 6.

Splitting and shrinking of boxes (child meshes).

every time step with the mesh refinement operations). Within these time steps, wave propagation within the computational domain can clearly generate the need for a denser mesh in cells neighboring those that are already refined. To capture this field movement, cells within a distance (10) from a marked cell are also refined, where is the speed of light. The factor is a predefined, greater-than-one positive real number, which is called the spreading factor. To facilitate computations, the cell-to-cell distances can be defined in the sense of the Manhattan distance instead of the Euclidean distance . Physically, this distance is the maximum distance that a wave can travel within time equal to , multiplied by the spreading factor. Note that no assumption is being made as to the direction of the wave velocity, which in general is unknown. The effect of the spreading factor, as well as the thresholds defined in the previous section, will become evident in the numerical results of Section V. C. Clustering Since the cells that are marked for refinement would generally define irregularly shaped regions, they are first covered by a number of boxes, which are then divided into Yee cells. This procedure is called clustering. To evaluate the quality of clustering, the box coverage efficiency is introduced, which is defined as the ratio of the total volume of the marked cells covered by a box, to the volume of the box. For the implementation of this clustering procedure, the methodology proposed in [14] is followed. There are three predefined controlling parameters: the threshold for coverage efficiency , the minimum dimension of the box , and the maximum number of boxes . At the beginning, the bounding box enclosing all the marked cells is found, and its coverage efficiency is calculated. If the coverage efficiency is greater than or the dimension is less than , the algorithm stops; otherwise, the box is split into two boxes across a cut plane. Each of the new boxes is shrunk to just cover the marked cells. Then, the coverage efficiency of each box is calculated and compared with . Again, either box with coverage efficiency less than and dimension greater than will be split into two. This iterative process continues until the maximum number of boxes is reached. Fig. 6 illustrates the splitting and shrinking of box B. The black dots represent the marked cells. Box is the root mesh.

As discussed before, the accuracy and efficiency of AMR-FDTD depends on five parameters: , and . While a formal analysis of the dependence of numerical errors of the algorithm on the values of these parameters is beyond the scope of this paper, some empirical guidelines dictating their choice can be provided, based on the authors’ experience with the proposed technique. First, it should be noted that the best achievable accuracy by AMR-FDTD is that of the FDTD scheme applied at a mesh as dense as the finest child mesh in the AMR-FDTD hierarchy of meshes. Therefore, the comments on the accuracy of the technique are meant to be always referred to such an FDTD scheme. Let us also define the AMR coverage as the ratio of mesh-refined regions to the total volume of the computational domain. In general, decreasing the AMR coverage will reduce the execution time of the code but will also reduce its accuracy, since the mesh becomes coarser overall. Furthermore, determines how frequently the AMR operations are performed. Increasing this parameter leads to less AMR-related operations. A subtle side-effect of a large is the following: newly generated mesh-refined regions are always extended by a factor proportional to [see (10)], to account for wave propagation between successive mesh refinements. Hence, the coverage increases and, thus, so does the execution time. In general, the recommended values of are between 10–50. The most important source of errors in any static or dynamic mesh refinement scheme is the reflections at a CPB-type boundary. The parameter directly affects the wave amplitude at such boundaries and as such it is the most important controlling parameter. On the other hand, ensures that the refined regions enclose large energy gradient variations. In general, – and – . Finally, a value of seems to yield satisfactory results in all cases, while the coverage efficiency threshold is chosen between 0.6–0.8. Note that a large value of the latter enforces the generation of more smaller meshes, thus improving the AMR coverage. At the same time, it generates more CPBand SB-type boundaries, thus increasing the operations related to their management. These guidelines and the inherent tradeoffs in the choice of the parameters are further illustrated in the numerical results of Section V. V. NUMERICAL EXAMPLES Two questions remain to be addressed about the AMR-FDTD algorithm. First, what is the effect of the thresholding parameters, defined in the previous sections on the performance and accuracy of the algorithm, and second, whether the overhead that AMR-FDTD accumulates from the application of the mesh refinement can still leave some room for computational savings stemming from a reduced overall number of operations.

LIU AND SARRIS: EFFICIENT MODELING OF MICROWAVE INTEGRATED-CIRCUIT GEOMETRIES VIA DYNAMICALLY AMR—FDTD TECHNIQUE

Fig. 7.

695

Microstrip low-pass filter geometry. P1 and P2 denote ports 1 and 2.

Both questions will be addressed through the application of the technique to three microwave circuit geometries, namely, a microstrip low-pass filter, a branch coupler, and a spiral inductor. In all these experiments, a two-level AMR-FDTD is implemented, in order to facilitate the presentation of the effect of its parameters on its accuracy and execution time. Furthermore, an optical waveguide problem, solved with a multiple-level AMR-FDTD, is provided. All simulations were executed on an Intel Xeon 3.06-GHz machine.

Fig. 8. AMR coverage and number of child meshes at different time steps for the low-pass filter.

A. Microstrip Low-Pass Filter The first example is a microstrip low-pass filter, shown in Fig. 7. The dimensions indicated in the figure are mm, mm, mm, and mm. The substrate thickness is 0.8 mm and its dielectric constant is 2.2. The dimensions of the air box are 40 mm 40 mm 4 mm. Two conventional FDTD simulations were performed for comparison: one using a relatively coarse mesh (40 40 10 cells) and the second using a dense mesh (80 80 20 cells). AMR-FDTD simulations use a 40 40 10 cell root mesh and different controlling parameters for AMR. Both AMR-FDTD and conventional FDTD use Mur’s first-order absorbing boundary condition. A voltage source excitation is imposed at 3 mm from the edges. In all simulations, a Courant number of 0.7 is used for determining the time step. While AMR-FDTD and the coarse FDTD technique are run for 4096 time steps, the dense FDTD technique uses 8192 steps, since the of the latter is equal to one half the of the former. The AMR parameters are and . To demonstrate the evolution of the child meshes over time, the ratio of the volume of mesh-refined areas (occupied by child meshes) to the total volume (AMR coverage) of the domain and the number of child meshes as a function of the time step are shown in Fig. 8. In addition, Figs. 9–13 show the vertical-toground electric field component and child meshes on the plane mm at different time steps. The initial child mesh at is given in Fig. 9. As the wave propagates along the feed line, the coverage of refinement increases, until about . Between – , the coverage is relatively large (40%–60%) due to multiple reflections between the two open ends of the microstrip line. As the fields impinge upon the absorbing boundaries of the structure, the field values in the

Fig. 9. Vertical electric field magnitude at z mesh; AMR coverage is 4.3%.

Fig. 10. Vertical electric field magnitude at One child mesh; AMR coverage is 13.4%.

= 0 4 mm and = 0. One child :

z

t

= 0 4 mm and = 1001 . :

t

t

working volume of the domain decrease. Consequently, the spatial field variation becomes smoother, which causes the AMR coverage to decrease. In fact, after time , the coarse

696

Fig. 11. Vertical electric field magnitude at One child mesh; AMR coverage is 52.5%.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

z

= 0 4 mm and = 2001 . :

t

t

=04

Fig. 14. Vertical electric field magnitude at z : mm and the center of the microstrip line, which is 3 mm from the right edge.

Fig. 12. Vertical electric field magnitude at z Three child meshes; AMR coverage is 44.2%.

= 0 4 mm and = 5001 . :

t

t

Fig. 14 compares the vertical electrical field at mm and the center of the microstrip line, which is 3 mm from the right edge up to 40 000 time steps (32 ns). The time series deduced via AMR-FDTD cannot be distinguished from that of the reference FDTD simulation, whereas the result of FDTD using a coarser mesh has a significant difference. The absence of any late-time instability effects is also noted. The calculated scattering -parameters and their differences are shown in Fig. 15. The plot indicates the excellent approximation provided by AMR-FDTD to the result of FDTD using a dense mesh. This accuracy is quantified in Table I, which employs the following -parameter error metric:

(11)

Fig. 13. Vertical electric field magnitude at z Three child meshes; AMR coverage is 28.0%.

= 0 4 mm and = 8001 . :

t

t

root mesh captures the field solution sufficiently well, so that no child meshes are necessary.

is a discrete frequency within the modeled frequency where band (up to 30 GHz), is the element of the scattering matrix of the simulated circuit, as determined by the AMRFDTD or the coarsely meshed FDTD technique, and is the same element, which is determined by the densely meshed FDTD, which is used as a reference code. From Table I, it is concluded that AMR-FDTD can closely follow the accuracy of the reference FDTD method, while consuming only 5.4% of its total simulation time. These execution time savings are well above the savings expected from static subgridding algorithms that were previously reported in the literature and indicate the potential of AMR-FDTD. It should be noted that the ratio between the FDTD simulation times for the fine and the coarse mesh is larger than 16 : 1, which is the ratio between the operations carried out in the two cases.

LIU AND SARRIS: EFFICIENT MODELING OF MICROWAVE INTEGRATED-CIRCUIT GEOMETRIES VIA DYNAMICALLY AMR—FDTD TECHNIQUE

697

Fig. 16. Effect of threshold for gradient  . The rest of the AMR parameters = 10; and  = 2. are:  = 0:1;  = 0:7; N

Fig. 17. Effect of threshold for energy  . The rest of the AMR parameters = 10; and  = 2. are:  = 0:01;  = 0:7; N

Fig. 18. Effect of threshold for coverage efficiency  . The rest of the AMR parameters are:  = 0:01;  = 0:01; N = 10; and  = 2.

Fig. 15. Comparison of the scattering parameters of the low-pass filter of Fig. 7 obtained by FDTD and AMR-FDTD.

TABLE I COMPUTATION TIME AND S -PARAMETER ERROR METRIC E FOR THE MICROSTRIP LOW-PASS FILTER

Our simulation results show that, for small meshes, the simulation time tends to follow the 16 : 1 rule. However, once the mesh size exceeds a certain limit, the simulation time increases faster than 16 : 1. This is due to the increase in the memory access time for large meshes, which further extends their simulation time. A thorough investigation of memory-cache related effects in the execution time of time-discrete methods can be found in [15]. The effect of the AMR-FDTD controlling parameters on the simulation time and error of this technique is studied next and results are shown in Figs. 16–20. As expected, the decrease in and results in lower errors and longer simulation times. Essentially, as these two thresholds are lowered, the AMR-FDTD tends to become equivalent in operation and performance to the dense FDTD method. On the contrary, increasing these thresholds can reduce the overall computation time, without sacrificing accuracy, up to some point. It should be noted that the percentage error does not decrease monotonically as and decrease. This is because certain child meshes generated by

698

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 19. Effect of interval of AMR N . The rest of the AMR parameters = 2. are:  = 0:01;  = 0:01;  = 0:7; and 

Fig. 21. Microstrip branch coupler geometry. P1, P2, P3, and P4 denote ports 1–4.

Fig. 20. Effect of spreading factor of AMR  . The rest of the AMR parameters are:  = 0:01;  = 0:01;  = 0:7; and N = 10.

using smaller or may have larger reflections due to the irregularity of the shape of the refined regions. This problem can be alleviated by using higher order interpolation schemes at the mesh boundaries to reduce the reflections [4]–[6]. It is also noted that there is a sudden increase in the simulation time when is below 0.01 without any associated improvement in accuracy. At the late stage of the simulation, the field components assume some small values due to the reflections at the CPB- and SB-type boundaries. If is very small, a large number of child meshes can be generated as a result. Subsequently, the simulation time increases, without any improvement in accuracy. An appropriate choice of can essentially eliminate this problem. Also, when reaches 0.8, both the error and the computation time increase. The reason is that the numerical error triggers the automatic generation of multiple spurious child meshes that are clustered independently. As a result, their management by the algorithm adds an overhead that completely eliminates any savings due to the mesh refinement. Tradeoff effects related to the choice of and are also evident in Figs. 19 and 20. The appropriate values for and , indicated by these plots, have been used in all the aforementioned numerical experiments. B. Microstrip Branch Coupler The microstrip branch coupler geometry of Fig. 21 is analyzed next. The geometric parameters indicated in the figure are as follows: mm, mm, mm, mm, mm, and mm. The thickness of the substrate is 0.8 mm, and its dielectric constant is 2.2. The

Fig. 22. S for the microstrip branch coupler geometry of Fig. 21, as determined by FDTD and AMR-FDTD.

dimensions of the computational domain enclosing the structure are 40 mm 40 mm 4 mm. The AMR-FDTD method uses a 40 40 10 mesh and takes 4096 time steps. A reference FDTD simulation of a 80 80 20 mesh is used for comparison. The AMR control parameters are and . Figs. 22–25 show the -parameters and their errors, as determined by the AMR-FDTD, plain FDTD executed on the AMR root mesh, and the reference FDTD simulation. Comparisons regarding computation times and numerical errors of the AMR-FDTD are included in Table II. The data demonstrate AMR-FDTD’s ability to deduce the dense FDTD results with a greatly reduced computational effort, which is reflected on an execution time reduction by a factor of 20. Time-domain field waveforms up to 40 000 time steps are shown in Fig. 26. Again, the AMR-FDTD and dense-mesh FDTD waveforms coincide, without any sign of late-time instability.

LIU AND SARRIS: EFFICIENT MODELING OF MICROWAVE INTEGRATED-CIRCUIT GEOMETRIES VIA DYNAMICALLY AMR—FDTD TECHNIQUE

Fig. 23. S for the microstrip branch coupler geometry of Fig. 21, as determined by FDTD and AMR-FDTD.

699

Fig. 25. S for the microstrip branch coupler geometry of Fig. 21, as determined by FDTD and AMR-FDTD. TABLE II COMPUTATION TIME AND S -PARAMETER ERROR METRIC E FOR THE MICROSTRIP BRANCH COUPLER

Fig. 24. S for the microstrip branch coupler geometry of Fig. 21, as determined by FDTD and AMR-FDTD.

C. Microstrip Spiral Inductor As a last example, the geometry of a spiral inductor of Fig. 27 is analyzed. The parameters of this geometry are mm, mm, mm, mm,

mm, mm, and mm. The thickness of the substrate is 0.8 mm, and its dielectric constant is 2.2. The dimensions of the computational domain enclosing the structure are 60 mm 40 mm 4 mm. The air bridge is 0.8 mm above the substrate. The AMR-FDTD method uses a 60 40 10 mesh and 8192 time steps. A reference FDTD simulation of a 120 80 20 mesh is used for comparison. The AMR control parameters are and . Fig. 28 shows the -parameters and their errors, as determined by the three methods under comparison, while error and execution time data are shown in Table III. Time-domain results are also shown in Fig. 29. Compared to the low-pass filter and the branch coupler, the execution time savings achieved by AMR-FDTD over the conventional FDTD are smaller (yet large, at approximately 80%), while the associated errors are larger. These effects stem from the highly resonant nature of the spiral inductor, which necessitates the use of a significant number of time steps for the extraction of the -parameters. At late stages of the simulation, relatively small field values are easily contaminated by spurious reflections at the parent–child mesh interfaces. Coupling this method with extrapolation techniques such as Prony’s or Matrix Pencil [16] can readily alleviate this problem and maintain the

700

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 26. Vertical electric field magnitude at z = 0:6 mm, and the center of the microstrip line of port 3 is 6 mm from the edge. The excitation is imposed at 3 mm from the edge of port 1.

Fig. 27.

Spiral inductor geometry. P1 and P2 denote ports 1 and 2.

numerical advantages of AMR-FDTD. Note that, in this case, the error of the coarse mesh FDTD becomes excessively large as well. D. Discussion: Stability and Accuracy of AMR-FDTD Results Based on the previous examples, two further comments are in order. First, the time-domain results accompanying the three numerical experiments (see Figs. 14, 26, and 29) demonstrate the absence of late-time instability in the AMR-FDTD. In fact, the convergence of the number of AMR-FDTD child meshes to zero over time implies that only the root mesh is still present at a late stage of the code. Therefore, no spatial or temporal interpolation operations simulation, which are the primary sources of instabilities in adaptive mesh FDTD codes [6], are applied then. This is an additional advantage of using a dynamically adaptive instead of a statically adaptive mesh in time-domain simulations. Another aspect of the AMR-FDTD accuracy is associated with the reflections at dense/coarse mesh interfaces. A pulse propagating in a statically refined mesh will be reflected off

Fig. 28. S -parameters for the spiral inductor geometry of Fig. 27, as determined by FDTD and AMR-FDTD.

TABLE III COMPUTATION TIME AND S -PARAMETER ERROR METRIC E FOR THE MICROSTRIP SPIRAL INDUCTOR

LIU AND SARRIS: EFFICIENT MODELING OF MICROWAVE INTEGRATED-CIRCUIT GEOMETRIES VIA DYNAMICALLY AMR—FDTD TECHNIQUE

701

Fig. 30. Optical waveguide geometry.

=06

Fig. 29. Vertical electric field magnitude at z : mm and the center of the microstrip line, which is 6 mm from the right edge. The excitation is imposed at 3 mm from the left edge of the microstrip line.

such an interface, creating numerical errors. Note that, in AMRFDTD, such a pulse would always be enclosed in a dense mesh, while its retro-reflections might encounter dense/coarse grid interfaces before the AMR algorithm creates new meshes for them. This latter case produces errors in AMR-FDTD, which are evidently smaller than those arising in a static subgrid. However, the application of interpolations in space and time generates a degradation in the FDTD stability factor. This wellknown effect, also discussed in [6], can be alleviated by using higher order interpolation schemes. For the simple trilinear interpolations employed in this paper, the AMR-FDTD stability limit is observed to be 0.9 of the corresponding FDTD technique in 2-D cases and 0.85 of the corresponding FDTD one in 3-D cases. Finally, the comparison between coarse/dense FDTD results and AMR-FDTD results in the frequency domain, reveals the standard pattern of the results being in a relatively good agreement with each other up to the middle of the simulated frequency band and diverging afterwards. In these simulations, the coarse grid Yee cell size is about is each dimension. Therefore, up to the frequency , the so-called coarse mesh uses a sampling rate of at least , which is dense enough to determine the -parameters accurately. From that point on, the effect of the FDTD numerical dispersion becomes more severe, leading to the large errors shown in the figures. E. Multilevel AMR-FDTD To demonstrate the application of the proposed algorithm with multiple resolution levels, a 2-D TE-mode (with an

Fig. 31. Vertical electric field magnitude at t mesh and one level-3 child mesh.

= 1001 , with one level-2 child t

TABLE IV COMPUTATION TIME

AND TIME-DOMAIN ERROR FOR THE OPTICAL WAVEGUIDE

METRIC E

electric field component only) optical waveguide (shown in Fig. 30) is simulated. Its width is 0.3 m, and its dielectric constant is 10.24. The computational domain is 6 m 6 m and a 1- m-thick matched absorber is used to truncate it. Fig. 31 shows a snapshot of the electric field obtained by AMR-FDTD with a maximum number of levels equal to 4. The excitation is imposed at 1 m from the left edge of the waveguide, and the electric field is recorded at the center of the waveguide and 1.5 m from the right edge. The excitation is a modulated Gaussian pulse of the form

where ps, THz, m, and m. Table IV compares the accuracy and computation time of AMR-FDTD using a root mesh of 120 120 and 2–4 mesh levels, to a reference FDTD simulation using a

702

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 32. Vertical electric field magnitude at the center of the optical waveguide, 1:5 m from the right edge.

belongs to the class of multiresolution time-domain (MRTD) methods [17], although it does not implicate wavelet basis functions. However, it does implement multiresolution moving grids that enforce a space- and time-adaptive moving mesh in three dimensions, which are regenerated every certain time steps. The purpose of the applications that were shown, was to demonstrate whether the mesh adaptation overhead of AMRFDTD can still allow for important computational savings. The conclusion is that it does, because the method is optimally suited to the nature of time-domain simulations. The latter are characterized by spatially and temporally localized phenomena that call for a dense mesh not throughout space and time, but only at the certain time and space they happen. Although this paper investigates AMR-FDTD as a microwave-circuit CAD tool, the technique evidently holds a great promise for large-scale optical geometries and wireless problem simulations. REFERENCES

Fig. 33. Vertical electric field magnitude at the center of the optical waveguide, 1:5 m from the right edge,with 40 000 time steps.

1920 1920 mesh and several coarser FDTD schemes. The accuracy is quantified by employing the following time-domain error metric: (12) where is discrete time within the modeled time range (up to 16 ps), is the sampled electric field as determined by AMR-FDTD or the coarse mesh FDTD techniques, and is the sampled electric field determined by the reference FDTD simulation. All AMR-FDTD simulations use a refinement factor of two for successive levels, and and . Fig. 31 shows that AMR-FDTD can reach the accuracy of the reference FDTD method in a greatly reduced computation time. The time-domain simulation results of the AMR-FDTD with four levels and the reference FDTD code are compared in Fig. 32. The AMR-FDTD waveform is not visually discernible from the reference FDTD one. To demonstrate the absence of long-term instability in AMR-FDTD, 40 000 time steps of this simulation are also shown in Fig. 33. VI. CONCLUSION In this paper, a dynamic AMR-FDTD technique was presented in detail and applied to realistic microwave circuit and optical waveguide geometries. Essentially, this technique

[1] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 4, pp. 302–307, Apr. 1966. [2] D. M. Sheen, S. M. Ali, M. Abouzahra, and J. A. Kong, “Application of the three-dimensional finite-difference time-domain method to the analysis of planar microstrip circuits,” IEEE Trans. Antennas Propag., vol. AP-14, no. 7, pp. 302–307, Jul. 1966. [3] I. S. Kim and W. J. R. Hoefer, “A local mesh refinement algorithm for the time domain-finite difference method using Maxwell’s curl equations,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 6, pp. 812–815, Jun. 1990. [4] S. S. Zivanovic, K. S. Yee, and K. K. Mei, “A subgridding method for the time-domain finite-difference method to solve Maxwell’s equations,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 3, pp. 471–479, Mar. 1991. [5] M. W. Chevalier, R. J. Luebbers, and V. P. Cable, “FDTD local grid with material traverse,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 411–421, Mar. 1997. [6] M. Okoniewski, E. Okoniewska, and M. A. Stuchly, “Three-dimensional subgridding algorithm for FDTD,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 422–429, Mar. 1997. [7] R. Luebbers, J. Schuster, and K. Wu, “Application of moving window FDTD to prediction of path loss over irregular terrain,” in Proc. IEEE AP-S Int. Symp., vol. 2, Jun. 2003, pp. 610–613. [8] M. J. Berger and J. R. Oliger, “Adaptive mesh refinement for hyperbolic partial differential equations,” J. Comput. Phys., vol. 53, pp. 484–512, 1984. [9] E. Bacry, S. Mallat, and G. Papanicolaou, “A wavelet based space-time adaptive numerical method for partial differential equations,” Math. Modeling Numer. Anal., vol. 26, no. 7m, p. 793, 1992. [10] C. D. Sarris and L. P. B. Katehi, “Coupling front tracking and wavelet techniques for fast time domain simulations,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 2, pp. 747–750. [11] Y. Liu and C. D. Sarris, “AMR-FDTD: A dynamically adaptive mesh refinement scheme for the finite-difference time-domain technique,” presented at the IEEE AP-S Int. Symp., 2005. [12] , “Application of AMR-FDTD to microwave integrated circuits,” presented at the Eur. Microw. Conf., 2005. [13] G. Mur, “Absorbing boundary conditions for the finite difference approximation of the time domain electromagnetic field equations,” IEEE Trans. Electromagn. Compat., vol. EMC-23, no. 6, pp. 377–382, Nov. 1981. [14] M. Berger and I. Rigoutsos, “An algorithm for point clustering and grid generation,” IEEE Trans. Syst., Man, Cybern., vol. 21, no. 5, pp. 1278–1286, Sep./Oct. 1991. [15] T. Mangold, J. Rebel, W. J. R. Hoefer, P. P. M. So, and P. Russer, “What determines the speed of time-discrete algorithms,” in Proc. 16th Annu. Rev. Progress Appl. Computat. Electromagn., vol. 2, Monterey, CA, Mar. 2000, pp. 594–601.

LIU AND SARRIS: EFFICIENT MODELING OF MICROWAVE INTEGRATED-CIRCUIT GEOMETRIES VIA DYNAMICALLY AMR—FDTD TECHNIQUE

[16] Y. Hua and T. K. Sarkar, “Matrix pencil method for estimating parameters of exponentially damped/undamped sinuoids in noise,” IEEE Trans. Acoust., Speech Signal Process., vol. 38, no. 5, pp. 814–824, May 1990. [17] M. Krumpholz and L. P. B. Katehi, “MRTD: New time domain schemes based on multiresolution analysis,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 4, pp. 555–561, Apr. 1996.

Yaxun Liu (S’00–M’05) received the B.Eng. degree in information and control engineering from Xi’an Jiaotong University, Xi’an, China, in 1994, the M.Eng. degree in electrical engineering from National University of Singapore, Singapore, in 2001, and the Ph.D. degree in electrical engineering from the University of Waterloo, Waterloo, ON, Canada, in 2005. He is currently a Post-Doctoral Fellow with the Edward S. Rogers Sr. Department of Electrical and Computer Engineering, University of Toronto, Toronto, ON, Canada. His research interests include computational electromagnetics, microwave and optical integrated circuits, signal integrity, dielectric resonators, microstrip antennas, and dispersive and multilayered media.

703

Costas D. Sarris (M’03) received the Diploma degree (with distinction) in electrical and computer engineering from the National Technical University of Athens (NTUA), Athens, Greece in 1997, and the M.Sc. degree in electrical engineering, M.Sc. degree in applied mathematics, and Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1998, 2002, and 2002, respectively. In November 2002, he joined the Edward S. Rogers Sr. Department of Electrical and Computer Engineering (ECE), University of Toronto, Toronto, ON, Canada, where he is currently an Assistant Professor. His research interests are in the area of computational electromagnetics, with emphasis in high-order mesh-adaptive techniques. He is currently involved with basic research in novel numerical techniques, as well as applications of time-domain analysis to wireless channel modeling, wave-propagation in meta-materials and electromagnetic compatibility/electromagnetic interference (EMI/EMC) problems. Prof. Sarris has been the recipient of a number of scholarship distinctions, including Hellenic Fellowship Foundation (1993-1997) and Technical Chamber of Greece (1994-1997) Awards for academic excellence and an NTUA 1997 Class Bronze Medal. He was also the recipient of a Student Paper Award presented at the 2001 International Microwave Symposium for his research on a hybrid FDTD/MRTD numerical scheme and a Canada Foundation for Innovation New Opportunities Fund Award in 2004.

704

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Compact Wide-Band Branch-Line Hybrids Young-Hoon Chun, Member, IEEE, and Jia-Sheng Hong, Senior Member, IEEE

Abstract—Wide-band branch-line couplers are designed and tested. The proposed couplers feature compact size on a single circuit layer structure without via-holes. For the broad-band property and cost effectiveness, we have designed a four-branch hybrid with mixed distributed and lumped distributed elements. Analysis on the equivalent circuits was performed carefully in order to obtain a sufficient bandwidth with reduced design area. The fabricated hybrids have the fractional bandwidth larger than 56% at the center frequency of 2 GHz. They also show size reduction up to 55.2% compared with the conventional design method. Index Terms—Branch-line, broad-band, couplers, hybrids, lumped distributed elements, microstrip line, planar circuits.

I. INTRODUCTION

W

IDE-BAND circuits are now in demand as wide-band systems such as ultra-wideband (UWB) become practical. In general, a wide-band circuit requires a large design area or complicated structure such as a three-dimensional coupling structure or wire-bonding connections. Modern communication systems also need various hybrids to enable digital data transmit via microwave bands. Thus, several types of microwave quadrature hybrids have been reported for the realization of balanced circuits and matched attenuators and phase shifters [1]–[4]. The branch-line coupler is one of the most popular hybrids for the convenience of design and implementation. It, however, has narrow-band characteristics and requires a large circuit area. In order to reduce the size of branch-line hybrids, many authors have suggested several solutions [3]–[7]. While lumped or lumped distributed elements give us a chance to have a small design area, they cannot enhance the bandwidth. Only the cascaded branch line can enlarge the bandwidth when we choose it as a quadrature hybrid circuit. In fact, there are other circuits for a broad-band hybrid, such as a Lange coupler, tandem coupler, and so on. Although they show wide-band performances with small sizes, most of them need multilayered or air-bridged structures for tight coupling and signal routing (crossover) over a wide frequency range. The requirement for air-bridges results in more masks and fabrication processes, leading to more costs. Moreover, these air-bridges would represent a bottleneck for power handling and, hence, limit the applications of Lange and tandem couplers. To this end, it would be desirable to develop an alternative hybrid that can achieve a better tradeoff between bandwidth, size, and power handling. This study stemmed from

Manuscript received July 10, 2005; revised September 12, 2005. This work was supported in part by the U.K. Engineering and Physical Science Research Council under Grant GR/S68910/01. The work of Y.-H Chun was supported by the Korea Research Foundation under a Postdoctoral Fellowship Program. The authors are with the Department of Electrical, Electronic, and Computer Engineering, Heriot-Watt University, Edinburgh EH14 4AS, U.K. (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862657

Fig. 1. Size reduction scheme using lumped distributed elements. (a) Conventional transmission line. (b) Equivalent transmission line with a series transmission line and two open stubs. (c) Equivalent lumped-element model for calculating the cutoff frequency.

our recent development of high-power RF microelectromechanical systems (MEMS) switches for which 90 -hybrids with high power-handling capability are needed for designing high power single-pole–double-throw (SPDT) switches. The loaded line is a popular method to reduce the size of transmission-line circuits such as branch-line and ring hybrids, which is important for planar integrated circuits [5]–[7]. The results using a loaded line show good efficiency with regard to size reduction. Nevertheless, more consideration of analysis and design for wide-band applications is required. In [8], we have shown a highly miniaturized branch-line hybrid, as well as its simple analysis. In this paper, we further propose a novel design of a cascaded branch-line coupler, which has four branch lines using lumped distributed elements. The desired 90 hybrid should have a good performance such as return loss and isolation better than 20 dB over 55% or wider bandwidth, and a small size on a single-layer circuit without using any air-bridges. The investigation has led to the design of the proposed hybrids. For our design, we use an approach based on circuit models. Since an equivalent circuit may make the bandwidth shrink in general, and it can be critical when it is used for broad-band designs, we take into account the frequency responses of the equivalent circuit used and decide a proper configuration for broad-band circuits. Furthermore, the simulated and measured results of the proposed hybrids are also presented. II. ANALYSIS Fig. 1 shows a conventional transmission line and its equivalent circuit using lumped distributed elements. By applying a matrix formulation, the -parameters of the equivalent circuit shown in Fig. 1(b) can be deduced. Equating the

0018-9480/$20.00 © 2006 IEEE

CHUN AND HONG: COMPACT WIDE-BAND BRANCH-LINE HYBRIDS

705

-matrices for both the circuits shown in Fig. 1(a) and (b) results in (1), shown at the bottom of this page, where (2) is the input admittance of the open stubs in Fig. 1(b). From (1), two design equations can be derived as follows: (3) (4) Note that we assume for our applications and discussions, which makes in (3) always positive for a capacitive loading. We can also estimate the cutoff frequency for the low-pass filter-like structure in Fig. 1(b) and its equivalent circuit in Fig. 1(c). Each parameter in Fig. 1(c) is defined as follows [9]. Define a 3-dB cutoff frequency as follows: (5) We then obtain (6)

where is the cutoff frequency of the equivalent circuit in Fig. 1(b) and is, in general, a nominated operation frequency at which the equivalent lumped elements and are determined. In our case, can be taken as the center frequency of a coupler. Equation (6) sets out the higher frequency or bandwidth limit for the equivalent circuit, which depends on several design parameters. For wide-band operations, a larger ratio of is desirable, which, however, will be a tradeoff with size reduction. Using (4) and (6), Fig. 2 plots the cutoff frequency and the required characteristic impedance of series transmission line against the ratio of the electrical length and for given values of and . The ratio of and represents the size reduction of the transmission line. Its lower value ensures the compact design area. Fig. 2 indicates a guideline to choose a unit section. For a demonstration, we select a transmission line of Fig. 1(a) with the characteristic impedance and the electrical length as a unit line section. It can be replaced by an equivalent distributed lumped element circuit in Fig. 1(b) with the characteristic impedance of a series transmission line

and the cutoff frequency varying with the value of the electrical length of a series transmission line . This is shown in Fig. 2(a). Fig. 2(b) shows the ranges for and the cutoff frequency when the electrical length of the unit line section is 45 . For a broad-band circuit, we should choose a unit section with transmisthe higher cutoff frequency. As shown in Fig. 2, a sion line of Fig. 1(a) can hardly be converted into a single unit section of Fig. 1(b) for wide-band operation. In order to have more than 50% higher cutoff frequency, the maximum size reduction is approximately 10% when we look at Fig. 2(a). Compared with this result, a equivalent transmission line, which consists of two 45 unit sections, as shown in Fig. 2(b), has a higher cutoff frequency than the case of using a single unit section in Fig. 2(a). If the desirable size reduction is 50%, the ratio of and should be approximately 0.5. For this condition, the cutoff frequency can be improved from 0.8 to 1.5 when the unit length is shortened from 90 to 45 , which is found in Fig. 2(a) and (b). The cutoff frequency is, however, defined as a 3-dB degrade frequency for a unit section such as (5). Therefore, cascading unit sections shrink the bandwidth. For example, while a unit section has the cutoff frequency of 7.7 GHz, the cascade circuit with four unit sections has the cutoff frequency of 7.2 GHz. Moreover, the line impedance varies as the frequency goes near the cutoff frequency. Furthermore, the dimension of an open stub, as well as the unit length of a series line, influences the cutoff frequency. It arises from the frequency-dependent characteristic of a distributed element that has not only capacitive, but also inductive characteristics while the analysis is performed for a capacitive loaded line. The amplitude and phase responses of the reduced lines with the same series line and different open stubs are plotted in Fig. 3. As the impedance of an open stub decreases, the cutoff frequency increased. This is because the length of the open stub shrinks for a lower line impedance in order to have a desired admittance given by (3) and, thus, a shorter open-circuited stub with lower characteristic impedance leads to a better approximation to a lumped-element capacitor over a wide frequency range. For the case of using a 50- open stub, the cutoff frequency can go down up to 80% of the calculated cutoff frequency , and it can be enhanced by the use of a short open stub (low-impedance line stub). The higher limit for this value is close to the calculated value in (6), which is also shown in Fig. 4. From this condition, even if a 45 unit section could enhance the performance rather than a 90 unit section, we need higher cutoff frequency for wide-band applications, which require more than 50% fractional bandwidth. As you can find in Fig. 2(c), when we adopt a 30 line section for the unit section,

(1)

706

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 3. Block diagram of: (a) a miniaturized transmission line and its characteristics with different open stubs. (b) Amplitude responses. (c) Phase responses.

III. DESIGN OF WIDE-BAND HYBRIDS

Fig. 2. Z and the normalized cutoff frequency variations as a function of  = . (a) Z = 50 and  = 90 . (b) Z = 50 and  = 45 . (c) Z = 50 and  = 30 .

we can get a cutoff frequency ratio of 2.2, which guarantees a wide-band operation in spite of degradation due to cascading unit sections and adopting open stubs. From the results in this section, we can assume that unit element with the length of 12.5 (for a size reduction factor of and the impedance of an open stub of less than 50 make the fractional bandwidth more than 50%.

With the results in Section II, we can start to design hybrids with wide bandwidth—more than 50%. Initially, we followed a design method described in [10], and designed a cascaded branch-line coupler, which has four branch lines to achieve a fractional bandwidth of 60%, as shown in Fig. 5(a). The design parameters can be found as follows:

This design, however, occupies a large circuit area. In order to reduce the area, we adopted lumped distributed elements, as

CHUN AND HONG: COMPACT WIDE-BAND BRANCH-LINE HYBRIDS

707

TABLE I CHOICE OF OPEN STUB

Fig. 4. Comparison of frequency responses between distributed model in Fig. 1(b) (solid lines) and lumped-element model in Fig. 1(c) (symbolized lines) when the line impedance of the open stub is 15 .

Fig. 6. Simulation result of a prototype branch-line hybrid, which adopts ideal transmission-line elements with the calculation results from the Section III.

the parameters as follows because its cutoff frequency will be high enough and its dimension is practical to implement:

Fig. 5. Conventional branch-line hybrid with four branch lines. (a) Schematic diagram. (b) Designed prototype hybrid.

shown in Fig. 1. We should consider frequency responses for the equivalent circuit over a wide frequency range because it would be used in a broad-band circuit. Thus we chose to be 30 for the broad-band property, and to be 12.5 for the size reduction and implementation of the high characteristic impedance of . Once is determined, and can be calculated by (3) and (4). The dimensions for an open stub can also be determined by (2) and the layout conditions. We designed the initial values for a unit section, which operates as a transmission line with as follows:

S We can choose one of the parameters of open stubs in Table I with the susceptance of S. In this case, we decide

At the same time, we can design the 58- line as well by the identical method. Its design parameters are as follows:

S

Other four high impedance lines with and will maintain their parameters because the impedance of a series line for an equivalent circuit is too high to implement for a meaningful size reduction. Fig. 6 shows the simulation result for the parameters that are calculated here. All the elements in this simulation are ideal transmission lines. IV. SIMULATED AND MEASURED RESULTS For experimental demonstration, two hybrids were constructed using a dielectric substrate with a relative dielectric

708

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 7. Fabricated hybrids. (a) Type A: each open-stub is rearranged for size reduction. (b) Type B: high-impedance lines are meandered for further reduction.

Fig. 9. Measured phase difference between two quadrature outputs for hybrids of types A and B.

TABLE II SUMMARY OF PERFORMANCES FOR HYBRIDS

Fig. 8. Simulated and measured S -parameters of the hybrids: (a) type A and (b) type B. (Solid lines—simulated results, symbols—measured results.)

constant of 3.05 and a thickness of 1.54 mm. The two quadrature hybrids operated at the center frequency of 2 GHz were designed using the design parameters that were determined in Section III. We have performed both circuit modeled simulation and electromagnetic (EM) simulation using Agilent ADS. The lumped distributed elements make the frequency responses different from the prototype hybrid, which consists of conventional transmission lines. In order to achieve a good

frequency response, optimization was performed using Agilent ADS. The fabricated hybrids are shown in Fig. 7. Type A in Fig. 7(a) has open stubs, which are arranged to reduce the circuit area. The further size reduction can be achieved by meandering the highimpedance lines. The resultant coupler is shown in Fig. 7(b) as type B design. Scattering parameter measurements were performed using an Agilent 8753 D network analyzer over the frequency range from 1 to 3 GHz. Fig. 8 gives the simulated and measured responses of the hybrids in which the fractional band width were found to be over 55%. Furthermore, the phase unbalance between two quadrature outputs of less than 3 over the operating bandwidth was observed in Fig. 9. Comparing modeled and measured results reveals a very good agreement. It was believed that the little discrepancy between simulated and measured results is mainly caused by the junction discontinuities and the tolerance in fabrications. Table II shows a comparison of the bandwidth and the circuit areas occupied by the conventional hybrid design and those proposed in this paper. The size of the proposed branch-line coupler is from 44.8% to 54.0% of a conventional design, while the fractional bandwidth was similar to conventional hybrids. This level of size reduction is expected to be achievable for other frequencies and substrates.

CHUN AND HONG: COMPACT WIDE-BAND BRANCH-LINE HYBRIDS

V. CONCLUSION This paper has proposed a compact broad-band branch-line hybrid and has analyzed it. Following a design process through this analysis, we have designed and tested two types of wideband hybrids. It is promising for high-power and wide-band applications with a single-layered structure. The measurement of experimental demonstrators has demonstrated that the proposed quadrature hybrid does have a broad bandwidth and small size. This hybrid can be easily constructed by applying conventional monolithic-microwave integrated-circuit (MMIC) techniques. It could be an especially good choice for the application in which the operating bandwidth increases and the handling power goes higher. Furthermore, we hope that it helps to decrease the fabrication costs and increase the yields because it consists of no element that needs a multilayered or air-bridged structure. The application of this type of hybrid to the development of high-power RF MEMS SPDT switches is under consideration. REFERENCES [1] J. Lange, “Interdigitated strip-line quadrature coupler,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 12, pp. 1150–1151, Dec. 1969. [2] G. Carchon, W. De Raedt, and B. Nauwelaers, “Integration of CPW quadrature couplers in multilayer thin-film MCM-D,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1770–17 776, Oct. 2001. [3] D. P. Andrews and C. S. Aitchison, “Wide-band lumped-element quadrature 3-dB coupler in microstrip,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2424–2431, Dec. 2000. [4] Y.-C. Chiang and C.-Y. Chen, “Design of a wide-band lumped-element 3-dB quadrature coupler,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 476–479, Mar. 2001. [5] R. W. Vogel, “Analysis and design of lumped- and lumped-distributedelement directional couplers for MIC and MMIC applications,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 253–262, Feb. 1992. [6] K. W. Eccleston and S. H. Ong, “Compact planar microstripline branchline and rat-race couplers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2119–2125, Oct. 2003. [7] H. Ghali and T. A. Moselhy, “Miniaturized fractal rat-race, branch-line, and coupled-line hybrids,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2513–2520, Nov. 2004.

709

[8] Y.-H. Chun and J.-S. Hong, “Design of a compact broad-band branchline hybrid,” presented at the IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005. [9] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001, ch. 4, pp. 93–102. [10] M. Muracuchi, T. Yukitake, and Y. Naito, “Optimum design of 3-dB branch-line couplers using microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 8, pp. 674–678, Aug. 1983.

Young-Hoon Chun (M’00) received the M.S. and Ph.D. degrees in electronic engineering from Sogang University, Seoul, Korea, in 1995 and 2000, respectively. From 2000 to 2005, he was with the research staff of the Millimeter-Wave Innovation Technology (MINT) Research Center, Dongguk University, Seoul, Korea. In June 2004, he visited Heriot-Watt University, Edinburgh, U.K. Since July 2005, he has been a Research Associate with the Department of Electrical, Electronic, and Computer Engineering, Heriot-Watt University, Edinburgh, U.K. His research area includes microwave active filters, RF MEMS, passive and active millimeter-wave devices, and multifunctional integrated devices for RF front-ends.

Jia-Sheng Hong (M’94–SM’05) received the D.Phil. degree in engineering science from the University of Oxford, Oxford, U.K., in 1994. His doctoral dissertation concerned EM theory and applications. In 1994, he joined the University of Birmingham, where he was involved with microwave applications of high-temperature superconductors, EM modeling, and circuit optimization. In 2001, he joined the Department of Electrical, Electronic, and Computer Engineering, Heriot-Watt University, Edinburgh, U.K., as a faculty member leading a team concerned with research into advanced RF/microwave device technologies. He has authored and coauthored over 100 journal and conference papers. He also authored Microstrip Filters for RF/Microwave Applications (Wiley, 2001). His current interests involve RF/microwave devices, such as antennas and filters, for wireless communications and radar systems, as well as novel material and device technologies including RF MEMS, ferroelectric, and high-temperature superconducting devices.

710

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

A Low-Loss Silicon-on-Silicon DC–110-GHz Resonance-Free Package Byung-Wook Min, Student Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—This paper reports on the design and fabrication of a hermetic-compatible wafer-scale package for microwave and millimeter-wave devices. Coplanar waveguide (CPW) lines on a high-resistivity silicon wafer are covered with another silicon wafer using gold-to-gold thermo-compression bonding. Oxide is used as a dielectric inter-layer for CPW feed-throughs underneath the gold sealing ring. A 130- m-high cavity is etched in the cap wafer to remove an impact of capping wafer on CPW lines or RF devices. The designed feed-through has an insertion loss of 20 dB (per 0.05–0.26 dB at dc–110 GHz with a return loss of transition). The gold sealing ring is connected to the CPW ground to eliminate any parasitic resonance and leakage of the package. The whole packaged CPW line has a measured insertion loss of 20 dB at dc–110 GHz. 0.2–0.7 dB and return loss of Index Terms—Hermetic package, package leakage, package transition, parasitic resonance, RF microelectromechanical systems (MEMS), silicon micromachining, wafer bonding, waferscale packaging.

I. INTRODUCTION

N

UMEROUS microwave and millimeter-wave circuits using monolithic microwave integrated circuit (MMIC) and RF microelectromechanical systems (MEMS) technologies have been demonstrated with outstanding RF performance for various defense and commercial RF applications. High-performance devices, especially RF MEMS devices, should be encapsulated in a hermetic environment to provide protection to the internal circuits from the surrounding elements (humidity, contaminants) [1], [2]. These packages are required to exhibit minimum insertion loss, excellent match, good isolation between the ports, as well as no parasitic resonance in the package. Furthermore, these packages should be manufacturable at a reasonable cost since packaging is usually the most expensive step in the production process. High-performance wafer-scale packaging has been studied by many research groups. Several wafer-bonding techniques, such as polymer bonding [3], glass-frit bonding [4], [5], solder bonding [6], and gold thermo-compression bonding [7]–[10] have been used to achieve hermetic or near-hermetic sealing. Schöbel et al. used glass-frit bonding with 0.25–0.5-dB insertion loss of a CPW feed-through at 77 GHz [4]. Radant MEMS Manuscript received July 17, 2005; revised October 13, 2005. This work was supported by the U.S. Army Research Laboratories under the Collaborative Technology Agreement. B-W. Min is with the Electrical Engineering and Computer Science Department, The University of Michigan at Ann Arbor, Ann Arbor, MI 49109 USA (e-mail: [email protected]). G. M. Rebeiz is with the Electrical and Computer Engineering, Department, University of California at San Diego, La Jolla, CA 92093-0407 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862655

also presented a dc–40-GHz packaged RF MEMS switch with a return loss of less than 20 dB using glass-frit bonding [5]. Packages using nonconducting sealing material such as polymer and glass-frit are well established and very low cost. However, the polymer bonding does not provide a true hermetic seal, and the glass-frit bonding has potential limitations due to its very high bonding temperature (450 C–550 C). Muldavin et al. [7] and Margomenos and Katehi [8] used gold thermo-compression bonding with low insertion loss of a hermetic via-hole transition. However, the hermetic via-hole technique requires a very thin substrate at millimeter-wave frequency. At lower frequency, Jourdain et al. reported 0.1–0.15-dB insertion loss at 2 GHz with solder (SnPb) bonding using coplanar waveguide (CPW) feed-throughs [6]. Both the solder and thermocompression bonding use metallic seals with a bonding temperature of 220 C–360 C depending on the process. We have also demonstrated a wafer-scale package using thermo-compression bonding with low insertion-loss transitions for CPW feed-throughs [9], [10]. In this paper, we follow the previous CPW-based approach and apply it to a dc–110-GHz package. It is shown that grounding of the metal sealing ring is essential for obtaining a resonance-free package. The grounding also results in very low RF leakage between the input and output port transitions achieving high isolation in case of a series switch (in the open-state position). II. PACKAGE DESIGN The dc–110-GHz package is based on the gold-to-gold thermo-compression bonding technique of two silicon wafers (Fig. 1). A 25/50/25- m CPW line is used on the bottom wafer to achieve a 50- transmission line. The CPW dimension of 100 m is selected to be less than at 110 GHz to ensure single-mode operation. High-resistivity silicon wafers (1000 cm) are used for the bottom and cap wafers to decrease the CPW line loss. A 130- m cavity is etched in the cap wafer to remove the impact of placing a silicon wafer in the near proximity of the CPW lines or RF components. Therefore, the CPW lines or RF components do not need to be redesigned for use inside the package. A gold ring over an oxide inter-layer provides sealing for the hermetic package. The oxide inter-layer protects the CPW center conductor from being connected to the ground plane. The gold ring needs to be wide enough to achieve a hermetic seal, and a 40- m gold ring is used in this design [11]. A. Package Transition The width of the CPW center conductor underneath the gold ring is narrowed down to 10 m to compensate for the capaci-

0018-9480/$20.00 © 2006 IEEE

MIN AND REBEIZ: LOW-LOSS SILICON-ON-SILICON DC–110-GHz RESONANCE-FREE PACKAGE

711

Fig. 1. (a) Top view and (b) AA’ cross section of the dc–110-GHz package based on CPW transmission lines.

Fig. 3. (a)–(d) Fabrication flow and (e) a picture of a complete package.

line with a length of m has an impedance of 32 (for an oxide inter-layer of 3 m) and is matched by the inductive tapering in the CPW line. The simulated return and insertion losses of the transition using Sonnet1 is lower than 20 and 0.19 dB, respectively, at 110 GHz [see Fig. 2(b)]. The relatively high insertion loss at 110 GHz is due to the thin ( m) and narrow ( m) CPW center conductor underneath the gold ring. If the oxide layer is increased to 6 m, the CPW center conductor becomes 20 m and the insertion loss reduces to 0.12 dB at 110 GHz. This can be achieved with glass-frit bonding, but is hard to do with PECVD oxide without stress and cracking issues and potential loss of the hermetic seal. Fig. 2. (a) Top and side views and (b) simulated insertion and return losses of the gold-ring transition.

tive loading of the gold ring and to keep a constant impedance throughout the transition [see Fig. 2(a)]. The narrow capacitive

B. Gold-Ring Grounding The gold sealing ring is connected to the CPW ground all around the package, except at the input/output CPW lines. 1Sonnet,

ver. 9.52, Sonnet Software Inc., Syracuse, NY, 1986–2003.

712

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

SUMMARY

TABLE I MEASURED AND SIMULATED CHARACTERISTICS CPW LINE AND GOLD-RING TRANSITION

OF

OF

III. FABRICATION A. Fabrication Flow

Fig. 4. Microphotograph of: (a) a bottom wafer before bonding and the scanning electron microscope (SEM) photograph of: (b) an asymmetric package and (c) a symmetric package with 60-m gap in the CPW line after the cap is removed over a portion of the package.

Without grounding, the gold ring is floating over the oxide inter-layer, and results in package resonances at the frequencies where the package circumference is equal to multiples of wavelength. The gold-ring grounding also increases the isolation between the input and output ports in case of a open series switch. The gold-ring grounding removes any slotline modes when the package is not symmetric with respect to the CPW center conductor and the ground-plane widths inside the package are unequal [see Fig. 4(a)]. The reason is that the gold-ring grounding acts as an air bridge and equalizes the potential of the ground planes above and below the CPW center conductor [12].

The fabrication process is shown in Fig. 3. The CPW line is fabricated on a 280- m-thick silicon substrate. The substrate is passivated with 5000-Å-thick PECVD oxide layer. The first step is a liftoff process of Ti/Au/Ti 300/4400/300 Å, which patterns the CPW line and thin feed-through layer [see Fig. 3(a)]. This feed-through must be thin to make a relatively planar bonding surface. The next step is a PECVD deposition of 3- m oxide (SiO ), which is patterned using a reactive ion etch (RIE) process [see Fig. 3(b)]. This oxide layer provides the dielectric inter-layer between the CPW line and gold sealing ring. During the RIE process, the oxide passivation layer in the CPW slots is also removed everywhere, except under the gold-ring transition. The reason is that the oxide passivation layer increases the loss of CPW line due to charge trapping on the Si/SiO interface [13]. A 300/1000/300-Å Ti/Au/Ti seed layer is then sputtered and the CPW line and the bottom part of the sealing ring are electroplated to 2 m [see Fig. 3(c)]. On a separate 280- m-thick cap wafer, 2 m of the Au layer is sputtered first, and the top part of the sealing ring is patterned with wet etching. The next step is a liftoff process of align keys on the backside of the cap wafer for the future bonding process [see Fig. 3(a)]. A 1000-Å Al layer is sputtered and patterned to provide a deep-reactive-ion-etching (DRIE) mask of the 130- m-high package cavity [see Fig. 3(b)]. Before this cavity is etched, opening holes for the CPW probes are etched first using a photoresist mask [see Fig. 3(c)]. The 280- m silicon cap wafer is then completely etched through, and these openings can be used for stud bump interconnects or probe measurement. If via-holes are placed outside of the package for a standard surface-mount package, the 160- m DRIE step for the opening holes can be removed from the process. B. Gold-to-Gold Thermo-Compression Bonding The final step is the gold-to-gold thermo-compression bonding of the two silicon wafers [see Fig. 3(d)]. The wafers are heated to 360 C and a pressure of 7 MPa is applied for 1 h

MIN AND REBEIZ: LOW-LOSS SILICON-ON-SILICON DC–110-GHz RESONANCE-FREE PACKAGE

713

Fig. 5. Measured and simulated insertion loss of the CPW line and the packaged CPW line. The added loss between two lines includes the effects of two gold-ring transitions.

using the EV 5012 bonder. The bonding temperature and pressure are very critical for the CPW lines, as well as the bonding process itself. If the bonding temperature is over 380 C for 1 h, the electroplated gold is deformed and the CPW line shows very high loss. This thermo-compression bonding technique has shown proven high-reliability hermetic sealing [8], [11]. Notice from the gold-ring and silicon remnants in Fig. 4(b) and (c) that the gold-ring and silicon materials of the cap wafer remain bonded to the bottom wafer even after the silicon cap is removed. The hermeticity of our packages have not been tested, and this is why we refer to this work as hermetic-compatible. IV. SIMULATION AND MEASUREMENT A. Transition Loss Table I summarizes the simulated and measured RF characteristics of the CPW line and the gold-ring transition. -parameters of the CPW lines are measured on an HP 8510XF network analyzer using the thru-reflect-line (TRL) calibration method to deembed the probe-to-wafer transition and establish the reference planes shown in Fig. 1. The line-reflect-match (LRM) calibration method is also used below 40 GHz and is referenced to the CPW probe tips. The CPW characteristics are measured using numerous 1000- and 2000- m-long CPW lines with and without the silicon cap. The silicon cap, with a 130- m-high cavity, has no apparent impact on the CPW characteristics. The measured insertion loss of packaged and unpackaged CPW lines are shown in Fig. 5. The loss due to the gold-ring transitions can be estimated by their difference, and is summarized in Table I at 20, 40, 75, and 110 GHz per transition. The measured packaged line loss is 0.04–0.06 dB higher than the Sonnet simulation and is possibly due to the oxide passivation layer, which is charged under the CPW line. This oxide layer attracts minority carriers of the semiconductor and results in a localized low-resistivity inversion layer at the silicon surface [13]. The return loss of the package is mainly determined by the gold-ring transition and is better than 20 dB at dc–110 GHz for a symmetric layout [ see Figs. 1(a) and 6(a)] and an asymmetric layout [see Figs. 4(a) and 7(a)]. 2EV

501s, EV Group, Schaerding, U.K.

Fig. 6. Measured and simulated (HFSS) insertion and return losses of a symmetric package: (a) with and (b) without gold-ring grounding.

B. Package Resonance and Group Velocity Fig. 6 presents the HFSS3 simulated and measured insertion and return losses of the packaged CPW line. In Fig. 6(a), the gold ring is connected to the CPW ground, but in Fig. 6(b), the gold ring is floating on the oxide inter-layer. The results 3HFSS,

ver. 9.2, Ansoft Corporation, Pittsburgh, PA, 1984–2004.

714

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 8. Measured group delay of the CPW line and the packaged CPW line with and without gold-ring grounding.

Fig. 7. Measured and simulated (HFSS) insertion and return losses of an asymmetric package: (a) with and (b) without gold-ring grounding.

demonstrate the effect of the gold-ring grounding to the CPW ground: without grounding, there is a resonance frequency around 66 GHz where the circumference of the gold ring equals one guided wavelength ( mm). Fig. 7 also presents the simulated and measured insertion and return losses of an asymmetric packaged CPW line, where the CPW center conductor is not placed in the middle of the package [see Fig. 4(a)]. Fig. 7(b) shows two resonances: the first one at 65 GHz is due to the gold-ring resonance, and the second one at 95 GHz is due to undesired slotline mode propagation within the CPW line, which arises from the different size of the CPW ground planes above and below the center conductor [12]. This resonant frequency depends on the size and asymmetry of the package. As seen in Fig. 7(a), the gold-ring grounding removes these undesired resonances. The effect of the gold-ring grounding can also be shown in the group delay of the measured CPW line (Fig. 8). The packaged CPW line (symmetric or asymmetric) with gold-ring grounding has a relatively flat group delay, as in the case of the unpackaged CPW line. However, packaged CPW lines without gold-ring grounding have much more variation in the group delay due to the package resonances. The small ripples in the group delay at 60–110 GHz are due to the nonoptimal calibration.

Fig. 9. Measured and simulated (HFSS) isolation of a symmetric package with and without gold-ring grounding.

C. Isolation and Leakage Fig. 9 presents the input/output isolation of a symmetric packaged CPW line with a 60- m-long gap in the center conductor [see Fig. 4(c)]. This represents the case such as a series switch in the open-state position. With grounding, the isolation improves up to 8 dB at 65 GHz. The reason is that gold-ring grounding greatly reduces any leakage between the input/output transitions.

MIN AND REBEIZ: LOW-LOSS SILICON-ON-SILICON DC–110-GHz RESONANCE-FREE PACKAGE

715

Fig. 10. Circuit model of a package with the leakage path of: (a) the gold ring and (b) the simulated isolation of the paths without gold-ring grounding.

Fig. 12. Measured and simulated: (a) insertion and (b) return losses of an SP2T package with and without gold-ring grounding.

Fig. 11.

Top view of an SP2T package for a dc–26-GHz application.

Fig. 10(a) shows a simple intuitive circuit model of the package without gold-ring grounding. The parasitic capacitance of input/output transitions and gold ring is modeled as fF and a microstrip line with a characteristic impedance of 15 , respectively. One can see that there are two paths between the input and output ports: The direct path (CPW with gap) and the leakage path through the gold ring. At around 65 GHz, the leakage path resonates and change phase by 180 . At 80 GHz, the two paths add to zero (destructively interfere) in the response [see Fig. 10(b)]. This agrees quite well with the measurement of Fig. 9. V. EXTENSION TO A RESONANCE-FREE SINGLE-POLE- -THROW (SPNT) SWITCHES Many SPNT switch topologies require large packages for many switches and, as seen above, this may result in unwanted resonance modes. The CPW packaging technique is hereby

Fig. 13. Measured and simulated isolation of an SP2T package with and without gold-ring grounding.

applied to single-pole-two-throw (SP2T) switches on a glass substrate for a dc–26-GHz application. Fig. 11 shows the top view of SP2T switches where port1 is the input port, and port2 and port3 are the outputs ports. The simulated and measured insertion loss in Fig. 12 clearly shows package resonances at 11 and 15 GHz for the case without gold-ring grounding. With gold-ring grounding, the insertion and return losses are less than 1 and 20 dB, respectively, and no resonance is present.

716

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

As shown in Fig. 13, the isolation improves by up to 15 dB with gold-ring grounding. The leakage in the ungrounded gold ring is quite high due to the large currents on the gold-ring around the 15-GHz resonance frequency. These currents couple to the output port through the transition coupling capacitance and result in an isolation of only 23 dB (instead of 39 dB). VI. CONCLUSION This paper has demonstrated the design and fabrication of a wafer-scale package for dc–110-GHz applications. The sealing technique used a gold-to-gold thermo-compression bonding ring, which can result in unwanted resonance modes. The gold-ring grounding to the CPW ground plane eliminates all package resonances or slot-line modes and improves the group-delay flatness. The gold-ring grounding also improves the isolation because the grounded gold ring considerably reduces the leakage between the input and output ports. A packaged CPW line has an additional insertion loss of 0.05–0.26 dB at dc–110 GHz (per transition) with a return loss better than 20 dB for the entire structure. This technique was also applied to SP2T switches at dc–26 GHz and was shown to prevent the formation of resonance modes in large packages. VII. ACKNOWLEDGMENT The authors acknowledge the support of E. Adler and E. Viveiros, both with the U.S. Army Research Laboratories, Adelphi, MD. The authors also thank Dr. Y. Lee, Dr. J. Chae, S. Lee, and Dr. K. Entesari, all with The University of Michigan at Ann Arbor, for help in the fabrication. The authors further thank J. Chee and Dr. X. Gong, both with Purdue University, West Lafayette, IN, for help with measurements. REFERENCES [1] T. A. Midford, J. J. Wooldridge, and R. L. Sturdivant, “The evolution of packages for monolithic microwave and millimeter-wave circuits,” IEEE Trans. Antennas Propag., vol. 43, no. 9, pp. 983–991, Sep. 1995. [2] G. M. Rebeiz, RF MEMS: Theory, Design, and Technology. Hoboken, NJ: Wiley, 2003. [3] A. Jourdain, X. Rottenberg, G. Carshon, and H. A. C. Tilmans, “Optimization of 0-level packaging for RF-MEMS devices,” in Proc. Transducers, Boston, MA, Jun. 2003, pp. 1915–1918. [4] J. Schöbel, T. Buck, M. Reimann, M. Ulm, and M. Schneider, “ -band RF-MEMS subsystems for smart antennas in automotive radar sensors,” in Proc. Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 1305–1308. [5] S. Majumder, J. Lampen, R. Morrison, and J. Maciel, “A packaged highlifetime ohmic MEMS RF switch,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1935–1938. [6] A. Jourdain, K. Vaesen, J. M. Scheer, J. W. Weekamp, J. T. M. van Beek, and H. A. C. Tilmans, “From zero to second level packaging of RF-MEMS devices,” in Proc. Int. MEMS Conf., Miami, FL, Jan. 2005, pp. 36–39. [7] J. Muldavin, C. Bozler, S. Rabe, and C. Keast, “Wide-band low-loss MEMS packaging technology,” presented at the IEEE MTT-S Int. Microw. Symp., Long Beach, CA, Jun. 2005.

W

[8] A. Margomenos and L. P. B. Katehi, “Fabrication and accelerated hermeticity testing of an on-wafer package for RF MEMS,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 6, pp. 1626–1636, Jun. 2004. [9] B. Min, K. Entesari, and G. M. Rebeiz, “DC–50 GHz low-loss waferscale package for RF MEMS,” in Proc. Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 1289–1291. [10] B. Min and G. M. Rebeiz, “ -band low-loss wafer-scale package for RF MEMS,” in Proc. Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1535–1537. [11] M. B. Cohn, R. Roehnelt, J.-H. Xu, A. Shteinberg, and S. Cheung, “MEMS packaging on a budget (fiscal and thermal),” in Proc. Int. Electron., Circuits, Syst. Conf., Dubrovnik, Croatia, Sep. 2002, pp. 287–290. [12] G. E. Ponchak, J. Papapolymerou, and M. M. Tentzeris, “Excitation of coupled slotline mode in finite-ground CPW with unequal ground-plane widths,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 713–717, Feb. 2005. [13] Y. Wu, H. S. Gamble, B. M. Armstrong, V. F. Fusco, and J. A. C. Stewart, “SiO2 interface layer effects on microwave loss of high-resistivity CPW line,” IEEE Microw. Guided Wave Lett., vol. 9, no. 1, pp. 10–12, Jan. 1999.

W

Byung-Wook Min (S’03) was born in Seoul, Korea. He received the B.S. degree from Seoul National University, Seoul, Korea, in 2002, the M.S. degree in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 2004, and is currently working toward the Ph.D. degree at The University of Michigan at Ann Arbor. His research interests include RF MEMS and Si/SiGe RF integrated circuits (RFICs) for microwave and millimeter-wave applications. Mr. Min has been the recipient of The Samsung Lee Kun Hee Scholarship since 2002.

Gabriel M. Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree in electrical engineering from the California Institute of Technology, Pasadena. He is currently a Full Professor of electrical engineering and computer science (EECS) with the University of California at San Diego, La Jolla. He authored RF MEMS: Theory, Design and Technology (Wiley, 2003). His research interests include applying MEMS for the development of novel RF and microwave components and subsystems. He is also interested in SiGe RFIC design and in the development of planar antennas and millimeter-wave front-end electronics for communication systems, automotive collision-avoidance sensors, and phased arrays. Prof. Rebeiz was the recipient of the 1991 National Science Foundation (NSF) Presidential Young Investigator Award and the 1993 International Scientific Radio Union (URSI) International Isaac Koga Gold Medal Award. He was selected by his students as the 1997–1998 Eta Kappa Nu EECS Professor of the Year. In October 1998, he was the recipient of the Amoco Foundation Teaching Award, presented annually to one faculty member of The University of Michigan at Ann Arbor for excellence in undergraduate teaching. He was the corecipient of the IEEE 2000 Microwave Prize. In 2003, he was the recipient of the Outstanding Young Engineer Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He is a Distinguished Lecturer for the IEEE MTT-S.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

717

Design Methodologies of LTCC Bandpass Filters, Diplexer, and Triplexer With Transmission Zeros Ching-Wen Tang, Member, IEEE, and Sheng-Fu You

Abstract—A new method for designing the multilayered filter, diplexer, and triplexer is proposed in this paper. The main structure of the multilayered filter is a parallel-coupled line connected with a capacitor. By properly controlling the coupling coefficient between the parallel-coupled line and the capacitor, the transmission zero will appear at the lower or higher passband’s skirt. Moreover, such characteristics can be employed to design the diplexer as well for dual- or quad-band portable telephones. In order to miniaturize the size of the circuit and to improve its performance, multilayered structure and the low-temperature co-fired ceramic technology are employed to design and fabricate the filter. Theoretical analysis and design procedures are also provided. Measurement results of fabricated examples are shown to match well with the electromagnetic simulation, which validate the proposed structure.

Fig. 1. Architecture of the proposed second-order combline bandpass filter.

Index Terms—Bandpass filter, diplexer, low-temperature cofired ceramic (LTCC).

I. INTRODUCTION

M

ULTIPLE OR wide bands are the current trends in the modern telecommunication system. In order to realize multiband behavior, RF transceivers with larger bandwidth and higher flexibility are utilized. To simultaneously achieve multifunction, high performance, and smaller chip size, the technologies of integrating passive circuits are attractive for microwave and millimeter-wave applications. To this end, low-temperature co-fired ceramic (LTCC) [1]–[9] is one of the most efficient methods for miniaturizing and packaging technologies [10]–[13], as LTCC can bundle both passive and active components into a single module to meet the system-in-a-package (SiP) requirement. The bandpass filter is one of the most important components in the RF front-end. It can select passband frequencies and reduce interferences arising from adjacent frequency channels. Bandpass filters are used to design the diplexer and triplexer [14]–[18]. In this paper, we propose a novel design of a bandpass filter, as shown in Fig. 1, which has the advantage of controlling the location of a transmission zero via adjusting the coupling scheme of the parallel-coupled line and cross-coupled capacitor. A multilayered diplexer and triplexer developed with the proposed

Manuscript received July 20, 2005; revised October 5, 2005 and October 24, 2005. This work was supported in part by the National Science Council, Taiwan, R.O.C., under Grant NSC 94-2213-E-194-028. C.-W. Tang is with the Department of Electrical Engineering and Department of Communications Engineering, Center for Telecommunication Research, National Chung Cheng University, Chiayi 621, Taiwan, R.O.C. (e-mail: [email protected]). S.-F. You is with the Department of Electrical Engineering, National Chung Cheng University, Chiayi 621, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2005.862638

Fig. 2. Equivalent circuit of the second-order combline bandpass filter. (a) Transformed cross-coupled capacitor C . (b) Transformed parallel-coupled line.

bandpass filter are presented. This paper is organized as follows. In Section II, we first present a theorem that is required for filter synthesis. Structures of filters with transmission zeros are then provided in Section III. Finally, some designs and fabrications of both the diplexer and triplexer are given in the Section IV. Our conclusion is given in Section V. II. DESIGN THEORY To analyze the proposed filter, we will adopt the technique of the immittance inverter [19], [20]. The second-order combline bandpass filter is composed of a cross-coupled capacitor ,a parallel-coupled line, and two grounded capacitors . Fig. 2 shows the equivalent circuits of the transformed cross-coupled capacitor and the transformed parallel-coupled line. The equivalent circuit and even- and odd-mode line impedances can be expressed as

0018-9480/$20.00 © 2006 IEEE

(1)

718

Fig. 3. filter.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Equivalent circuit of the proposed second-order combline bandpass

Fig. 5. Transformations for the matching circuit in the source and load ports. (a) Positive J -inverter. (b) Negative J -inverter.

in

is resonance at the central frequency, which will result . The capacitor can be derived as

Fig. 4. Equivalent circuit of the generalized bandpass filter.

(11) (2) (3) and are, respectively, the odd- and even-mode where admittances of coupled transmission line, and is the corresponding electric length. Adding two circuits shown in Fig. 2, and shunting with two grounded capacitors at two ports separately yields the complete equivalent circuit of the bandpass filter, as shown in Fig. 3. Thus, we can apply the immittance inverter to analyze and design bandpass filters. The admittance inverter and capacitor are derived as

are electrical length and angular frequency at where and the central frequency, respectively. The bandpass filter with a transmission zero located at the angular frequency will make . at the center frequency and can be derived as (12) when (13) when

(4) (5) Following the equivalent circuit in Fig. 3, the equivalent circuit of the generalized bandpass filter can also be expressed as Fig. 4, which includes the admittance inverter. The admittance inverters, susceptance, and its slope parameter are, respectively, given by

The matching circuits located at source and load ports, as shown in Fig. 1, can be implemented using the following methods. Note that the quarter-wavelength transmission line is the simplest form of inverters and, on the other hand, the inductance or capacitance -network to substitute the -inverter is the other method. Since the negative inductance or capacitance cannot be employed to the source or load impedance, a transformation is needed, as shown in Fig. 5. These equations are revealed as

(6) (14) (7)

(15)

(8)

(16)

(9)

(17)

(10) where the ’s are the element values of the prototype lowpass filter, is the fractional bandwidth, and and are the impedances of source and load transmission lines, respectively [19].

III. FILTER DESIGN WITH TRANSMISSION ZERO Here, two examples are presented to explain the location of the frequency of the transmission zero, which will appear at the lower or higher skirt of the passband.

TANG AND YOU: DESIGN METHODOLOGIES OF LTCC BANDPASS FILTERS, DIPLEXER, AND TRIPLEXER WITH TRANSMISSION ZEROS

719

Fig. 6. 2.4-GHz bandpass filter with the transmission zero at the frequency of 1.9 GHz. (a) Filter architecture. (b) Simulated results.

Fig. 7. 2-GHz bandpass filter with the transmission zero at the frequency of 2.5 GHz. (a) Filter architecture. (b) Simulated results.

A. Circuit Model

A 2-GHz bandpass filter is taken as the second example. Its center frequency is set at 2 GHz and the frequency of the transmission zero is set at 2.5 GHz. The ripple, bandwidth, impedance, and electric length of the coupled transmission line are set as 0.01 dB, 7%, 30 , and 30 , respectively. The structure in Fig. 5(b) can be applied to the transformation for the matching circuit in the source and load ports. Fig. 7(a) shows the equivalent circuit of the bandpass filter with the transmission zero at the higher skirt of the passband. Here, the parameters of the cross-coupled capacitor , even- and odd-mode impedances and of the parallel-coupled line, the grounded capacitors , and source and load capacitors are calculated as 1.26 pF, 54.96 , 20.63 , 2.54 pF, and 0.8 pF, respectively. Simulation results of this 2-GHz bandpass filter are presented in Fig. 7(b).

The first example is a 2.4-GHz bandpass filter. Its center frequency is set at 2.4 GHz and the frequency of the transmission zero is set at 1.9 GHz. The ripple, bandwidth, impedance, and electric length of the coupled transmission line are chosen as 0.01 dB, 6%, 30 , and 12 , respectively. By making use of (1)–(17) derived in Section II, one can show that the parameters of the cross-coupled capacitor , even- and odd-mode impedances and of the parallel-coupled line, and grounded capacitors are equal to 3.93 pF, 39.24 , 24.28 , and 6.47 pF, respectively. Therefore, is 0.0206. A quarter-wavelength transmission line is utilized to form the matching circuit, and, hence, the impedance of this transmission line is 48.47 , which is close to 50 , which is the vale of the system impedance. Therefore, the matching circuit can be neglected and the secondorder combline bandpass filter can be connected directly to the input and output ports, as shown in Fig. 6(a). These derived parameters and are substituted into the circuit simulator such as ADS or equivalent software to carry out the circuit simulation. Simulation results of the 2.4-GHz bandpass filter are presented in Fig. 6(b).

B. EM Simulation and Measurement Prior to designing the circuit, the exact parameter values of ceramic sheets such as the dielectric constant and layer thickness should be known first. These values are very significant to extract physical parameters and can be critical in constructing the equivalent circuit [21].

720

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 9. Fabricated 2-GHz bandpass filter with transmission zero at the frequency of 2.5 GHz. (a) 3-D structure. (b) Measured and EM simulated results. Fig. 8. Fabricated 2.4-GHz bandpass filter with transmission zero at the frequency of 1.9 GHz. (a) 3-D structure. (b) Measured and EM simulated results.

Two filters mentioned above are fabricated with the substrate of Dupont 951. Their dielectric constant and loss tangent are 7.8 and 0.0045, respectively. The 2.4-GHz LTCC filter is designed on one upper layer with the sheet of 1.57 mil, six middle layers with the sheet of 3.6 mil, and three lower layers with the sheet of 1.57 mil. Its overall size is 110 mil 92 mil 28 mil. After circuit simulation, these values are converted into the LTCC structure. The simulation is carried out with the assistant of the full-wave electromagnetic (EM) simulator Sonnet from Sonnet Software Inc., North Syracuse, NY. In the 3-D structure, the parallel-coupled line is placed on the lower layer to reduce the coupling effect with other capacitors. Fig. 8(a) shows the three-dimensional (3-D) structure of 2.4-GHz LTCC bandpass filter. The on-wafer tester has been chosen to improve the accuracy of measurement. The network analyzer Agilent N5230A PNA_L is used to measure, and the short-open-load-through (SOLT) is adopted to calibrate.

As shown in Fig. 8(b), the frequencies of measured and EM simulated transmission zeros are 1.84 and 1.9 GHz, respectively. At the frequency of 2.4 GHz, the measured and EM simulated insertion losses are less than 1.44 and 1.3 dB, respectively; the return losses are greater than 15 and 17 dB, respectively. A 2-GHz bandpass filter is given as another design example. This 2-GHz LTCC filter is designed on five upper layers with the sheet of 3.6 mil, four middle layers with the sheet of 1.57 mil, and two lower layers with the sheet of 3.6 mil. Its overall size is 107 mil 102 mil 32 mil. The 3-D structure of the 2-GHz LTCC bandpass filter is shown in Fig. 9(a). In the 3-D structure, the parallel-coupled line is the same placed on the lower layer to reduce the coupling effect with other capacitors. As shown in Fig. 9(b), the frequencies of the measured and EM simulated transmission zeros are 2.45 and 2.5 GHz, respectively. At the frequency of 2 GHz, the measured and EM simulated insertion losses are less than 1.45 and 1.26 dB, respectively; the return losses are greater than 19 and 39 dB, respectively. The LTCC technology is a kind of thick-film process. In order to realize the physical 3-D circuit, the parasitic effect among ca-

TANG AND YOU: DESIGN METHODOLOGIES OF LTCC BANDPASS FILTERS, DIPLEXER, AND TRIPLEXER WITH TRANSMISSION ZEROS

721

Fig. 11. Diplexer designed with multilayered structure. (a) Photograph. (b) Measured responses.

Fig. 10. Diplexer designed with multilayered structure. (a) Equivalent circuit. (b) EM simulated responses.

can be obtained in the LTCC process and the resultant fabricated circuits are not sensitive to the temperature variation within the range of 40 C– C. IV. SYNTHESIS OF DIPLEXER AND TRIPLEXER

pacitors may cause their model differing from the ideal values provided by the circuit simulator. Figs. 8(b) and 9(b) show the measured and EM simulated responses, which involve the parasitic effect and substrate loss. These effects may cause the measured and EM simulated results such as Figs. 8(b) and 9(b) to not exactly be the same as the ones in Figs. 6(b) and 7(b). In this paper, we design our examples with the substrate of Dupont 951. Even though the thick-film process may provide the linewidth variation within 5% in the plane surface, it can still provide good repeatability.1 Compared with their EM simulation, the measured frequencies of transmission zeros in the fabricated circuits merely shifted 3.2% and 2% downward, as shown in Fig. 8(b) and 9(b), respectively. Moreover, with a fixed sintering profile, stable dielectric constant and layer thickness 1DuPont Green Tape Material Systems. [Online]. Available: http://www. mcm.dupont.com/MCM/en_US/Products/greentape/green_tape.html

The proposed second-order bandpass filter can be employed to develop the diplexer and triplexer. A. Diplexer The diplexer developed from 2- and 2.4-GHz bandpass filters is taken as the design example. Two filters are connected with the matching line to form a diplexer as shown in Fig. 10(a). There are two transmission zeros, which can increase the isolation between two frequency bands, generated by 2- and 2.4-GHz bandpass filters. Their frequencies are 2.58 and 1.86 GHz, respectively. Two passbands of 2- and 2.4-GHz bandpass filters are within 1.8–2 and 2.4–2.5 GHz, respectively. The EM simulated results of the diplexer are expressed in Fig. 10(b). The diplexer is fabricated with the substrate of Dupont 951. Its dielectric constant and loss tangent are 7.8 and 0.0045, respectively. The LTCC diplexer is designed based on four upper

722

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 13. Triplexer designed with multilayered structure. (a) Photograph. (b) Measured responses. Fig. 12. Triplexer designed with multilayered structure. (a) Equivalent circuit. (b) EM simulated responses.

layers with the sheet of 1.57 mil, six middle layers with the sheet of 3.6 mil, and four lower layers with the sheet of 1.57 mil. Its overall size is 250 mil 100 mil 34 mil. The photograph of LTCC diplexer is shown in Fig. 11(a). The on-wafer tester has been utilized in order to improve the accuracy of measurement. As shown in Fig. 11(b), the frequencies of the measured transmission zeros are 1.85 and 2.55 GHz. The measured insertion losses within two passbands of 1.8–2 and 2.4–2.5 GHz are less than 1.66 and 1.7 dB, respectively; the return losses are greater than 11.2 and 14.4 dB, respectively. The measured isolation (not shown in this paper) between two passbands is greater than 30 dB. Figs. 10(b) and 11(b) show that the measured results also match well with the EM simulation. B. Triplexer The diplexer developed in Section IV-A and the proposed second-order bandpass filter connected with the matching line

could form the triplexer shown in Fig. 12(a). Three passbands of 1-, 2-, and 2.4-GHz bandpass filters are within 0.9–1, 1.8–2, and 2.4–2.5 GHz, respectively. There is a transmission zero generated by the 1-GHz bandpass filter at the frequency of 1.9 GHz. There are two transmission zeros generated by the diplexer composed of 2- and 2.4-GHz bandpass filters at the frequencies of 2.56 and 1.88 GHz, respectively. The EM simulated results of triplexer are expressed in Fig. 12(b). The triplexer is fabricated with the substrate of Dupont 951 as well. Its dielectric constant and loss tangent are 7.8 and 0.0045, respectively. The LTCC triplexer is designed based on four upper layers with the sheet of 1.57 mil, six middle layers with the sheet of 3.6 mil, and four lower layers with the sheet of 1.57 mil. Its overall size is 239 mil 214 mil 34 mil. The photograph of the LTCC triplexer is presented in Fig. 13(a). The on-wafer tester has been employed in order to improve the accuracy of measurement. As shown in Fig. 13(b), the frequencies of measured transmission zero are 1.88, 2.44, and 1.89 GHz for the 1-, 2-, and 2.4-GHz bandpass filters, respec-

TANG AND YOU: DESIGN METHODOLOGIES OF LTCC BANDPASS FILTERS, DIPLEXER, AND TRIPLEXER WITH TRANSMISSION ZEROS

tively. The measured insertion losses within three passbands of 0.9–1, 1.8–2, and 2.4–2.5 GHz are less than 1.9, 1.55, and 2.4 dB, respectively; the return losses are the greater than 11, 13.6, and 10.8 dB, respectively. The measured isolation (not shown in this paper) among three passbands is greater than 25 dB. As shown in Figs. 12(b) and 13(b), the measured results also match well with the EM simulation. V. CONCLUSION The newly designed LTCC bandpass filters have been proposed in this paper. This filter can be used to develop the diplexer and triplexer as well. The fabricated bandpass filters, diplexer, and triplexer with the advantages of high integration and small size are very suitable for implementation in the multichip module. With the parallel-coupled line and the cross-coupled capacitor, transmission zeros, which can increase isolation of different passbands, can be easily generated at lower or higher passband skirts. Agreement between measurement and theoretical prediction has evidenced the feasibility of our study. ACKNOWLEDGMENT The authors would like to thanks the reviewers of this paper’s manuscript for their helpful comments. REFERENCES [1] K. Kunihiro, S. Yamanouchi, T. Miyazaki, Y. Aoki, K. Ikuina, T. Ohtsuka, and H. Hida, “A diplexer-matching dual-band power amplifier LTCC module for IEEE 802.11a/b/g wireless LANs,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., 2004, pp. 303–306. [2] J. Muller and H. Thust, “3D-integration of passive RF-components in LTCC,” in Pan Pacific Microelectron. Symp. Dig., 1997, pp. 211–216. [3] C. Q. Scrantom and J. C. Lawson, “LTCC technology: Where we are and where we’re going—II,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, pp. 193–200. [4] Y. Rong, K. A. Zaki, M. Hageman, D. Stevens, and J. Gipprich, “Low temperature cofired ceramic (LTCC) ridge waveguide bandpass filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1999, pp. 1147–1150. [5] D. Heo, A. Sutono, E. Chen, Y. Suh, and J. Laskar, “A 1.9 GHz DECT CMOS power amplifier with fully integrated multilayer LTCC passives,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 249–251, Jun. 2001. [6] C. W. Tang, J. W. Sheen, and C. Y. Chang, “Chip-type LTCC–MLC baluns using the stepped impedance method,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2342–2349, Dec. 2001. [7] W. Y. Leung, K. K. M. Cheng, and K. L. Wu, “Design and implementation of LTCC filters with enhanced stop-band characteristics for Bluetooth applications,” in Proc. Asia–Pacific Microw. Conf., Dec. 2001, pp. 1008–1011. [8] C. W. Tang, Y. C. Lin, and C. Y. Chang, “Realization of transmission zeros in combline filters using an auxiliary inductively-coupled ground plane,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2112–2118, Oct. 2003. [9] C. W. Tang, “Harmonic-suppression LTCC filter with the step impedance quarter-wavelength open stub,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 617–624, Feb. 2004. [10] Y. L. Low and R. C. Frye, “The impact of miniaturization and passive component integration in emerging MCM applications,” in IEEE MultiChip Module Conf., 1997, pp. 27–32.

723

[11] A. B. Frazier, R. O. Warringtun, and C. Friedrich, “The miniaturization technologies: Past, present, and future,” IEEE Trans. Ind. Electron., vol. 42, no. 5, pp. 423–430, Oct. 1995. [12] A. Matsuzawa, “RF-SoC—Expectations and required conditions,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 245–253, Jan. 2002. [13] K. L. Tai, “System-in-package (SIP): Challenges and opportunities,” in Proc. Asia and South Pacific Des. Autom. Conf., 2000, pp. 211–216. [14] H. Miyake, S. Kitazawa, T. Ishizaki, T. Yamada, and Y. Nagatomi, “A miniaturized monolithic dual band filter using ceramic lamination technique for dual mode portable telephones,” in IEEE MTT-S Int. Microw. Symp. Dig., 1997, pp. 789–792. [15] C. Quendo, E. Rius, and C. Person, “An original topology of dual-band filter with transmission zeros,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, pp. 1093–1096. [16] C. H. Chang, H. S. Wu, H. J. Yang, and C. K. C. Tzuang, “Coalesced single-input single-output dual-band filter,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, pp. 511–514. [17] C. W. Tang and S. F. You, “Design the duplexer and dual-band filter with multiple capacitively loaded coupled lines,” in IASTED Int. Antennas, Radar, and Wave Propag. Conf., Banff, AB, Canada, Jul. 8–10, 2004, pp. 161–165. [18] T. Ohno, K. Wada, and O. Hashimoto, “Design methodologies of planar duplexers and triplexers by manipulating attenuation poles,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2088–2095, Jun. 2005. [19] G. L. Matthael, L. Young, and E. M. Jones, Microwave Filters, Impedance-Matching Network, and Coupling Structures. Norwood, MA: Artech House, 1980. [20] J. S. G. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [21] C. W. Tang, “Harmonic-suppression LTCC filter with the step impedance quarter-wavelength open stub,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 617–624, Feb. 2004.

Ching-Wen Tang (S’02–M’03) received the B.S. degree in electronic engineering from Chung Yuan Christian University, Chungli, Taiwan, R.O.C., in 1991, and the M.S. and Ph.D. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1996 and 2002, respectively. In 1997, he joined the RF Communication Systems Technology Department, Computer and Communication Laboratories, Industrial Technology Research Institute (ITRI), Hsinchu, Taiwan, R.O.C., as an RF Engineer, where he developed LTCC multilayer circuit (MLC) RF components. In 2001, he joined Phycomp Taiwan Ltd., Kaohsiung, Taiwan, R.O.C., as a Project Manager, where he continues to develop LTCC components and modules. Since February 2003, he has been with the Department of Electrical Engineering and Department of Communications Engineering, Center for Telecommunication Research, National Chung Cheng University, Chiayi, Taiwan, R.O.C., where he is currently an Assistant Professor. His research interests include microwave and millimeter-wave planar-type and multilayered circuit design, and the analysis and design of thin-film components.

Sheng-Fu You was born in Changhua, Taiwan, R.O.C., on December 12, 1980. He received the B.S. degree in electronic engineering from Feng Chia University, Taichung, Taiwan, R.O.C., in 2003, and the M.S. degree in electrical engineering from National Chung Cheng University, Chiayi, Taiwan, R.O.C., in 2005. His research interests include the design of microwave planar and multilayered filers and associated RF components.

724

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

A Theory of Multimode Traveling-Wave Modulators for RF Photonics Andrea Di Donato and Tullio Rozzi, Fellow, IEEE

Abstract—This paper presents a rigorous and general approach to the analysis of multimode traveling-wave electrooptical modulators. Starting from the linear model derived by Di Donato et al. in 2004, where we demonstrated the accuracy of this approach for single mode electrooptic modulators and for quasi-degenerate modes, we now provide a compact, analytical solution to the system of coupled transmission-line equations describing multimode and multipolarization interaction. In addition, the analysis will consider the joint effect of a continuous and a time-space varying voltage. Small dielectric perturbations induced by the microwave and large difference between the optical and fields modulating signal frequencies are the only hypotheses used in deriving the linear model by Di Donato et al. Some examples are discussed in order to demonstrate the main characteristics of the electrooptic modulators and some suggestions on the electrooptic modulator design are provided in order to realize polarization-independent devices.

(1

)

Index Terms—Anisotropic waveguide, electrooptic modulation, telegrapher’s equations.

I. INTRODUCTION

E

LECTROOPTIC traveling-wave modulators play a relevant role in optical networks offering ultrawide bandwidths for optical communications. Recently, there has been a renewed interest in their applications owing to the development of high-speed digital transmission systems and to the increasing demands of multimedia communications [1]–[4]. At the same time, novel sensing systems, based on the optical coherence modulation, are emerging as an interesting alternative to the existing techniques [5]. For these reasons, there is still great need for larger bandwidths and lower driving voltages of optical modulators. Moreover, problems like the linearization of the transfer function and the design of polarization-independent modulators actually represent an interesting research area [6]–[10]. In fact, the response of electrooptic modulators to an arbitrary electrical driving signal is inherently nonlinear, which limits the dynamic range especially for traveling-wave devices. At the same time, polarization dependence appears to be the principal limiting factor for many integrated devices used in optical links [8]. In general, the presence of different waves propagating inside an anisotropic waveguide makes the analysis difficult for the analytical and numerical approaches. In this context, an accurate model for multimode traveling-wave modulators is a useful tool in the study of the aforementioned problems. In fact, it is important to understand the link between

Manuscript received July 22, 2005. The authors are with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche, 12 I-60100 Ancona, Italy (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862637

all of the physical quantities involved in the electrooptic effect and the desired performance of the modulator. In this study, we analyze, by means of a novel and rigorous approach, the interaction between a finite number of propagating optical modes and an electromagnetic field modulating the refractive index of the dielectric waveguide. Examples of these devices are polarizations converters, where two or more polarizations may propagate in a single waveguide. Also, in directional couplers, two or more supermodes interact inside a system of coupled waveguides. For these components, the theory of electrooptic traveling-wave modulators has been widely studied in the past [11]–[15]. The approach of [13] is based on the concept that the modulating field induces coupling between the optical propagating modes; in order to obtain an analytical solution, a slowly varying envelope of the optical field along the propagation direction and an isotropic media in absence of modulating field, are assumed. The electrooptic modulation can also be analyzed by means of an improved coupled mode theory, as in [14], where the model is applied to an arbitrary anisotropic dielectric waveguide, under some constraints on the propagating constants and on the longitudinal optical field components. In the present study, by extending and refining the linear electrooptic model of [16], we focus our analysis on multimode devices and, in addition, we provide a compact and analytical solution to the general problem of a structure characterized by the permittivity tensor (1) where denotes the dielectric tensor in absence of modulation and are, respectively, the permittivity variations induced by the electrooptic effect in presence of a dc-bias voltage and a modulating field. The elements depend on the longitudinal and time coordinates and , due to the presence of the traveling field at microwave frequencies. In this approach, the analysis will consider the joint effect of a continuous and a time-space varying voltage. From a physical point of view, the presence of a dc voltage induces not only a variation of the propagation constants, but also of the density of the energy stored by the modal fields. Consequently, a dielectric perturbation modifies the group velocities of the propagating fields. In some research, this problem has been dealt with by considering the effects separately [9]. In reality, we cannot evaluate the global effect as the superimposition of the single perturbation effects, as the system is intrinsically nonlinear. By this, we mean that the optical variations are not directly proportional to the input electrical drive signal. In this study, small dielectric variations of the permittivity tensor, caused only by the microwave traveling wave, are assumed , since electrooptic modulation only induces small changes of the

0018-9480/$20.00 © 2006 IEEE

DI DONATO AND ROZZI: THEORY OF MULTIMODE TRAVELING-WAVE MODULATORS FOR RF PHOTONICS

refractive indices. The system of hyperbolic partial differential equations derived in [16] is treated rigorously with few assumptions and solved analytically by applying a multiscale perturbation technique combined with the method of the characteristic curves. It is shown that existing analyses of electrooptic modulation are recovered as particular cases of the general theory derived in the following. II. COUPLED TRANSMISSION-LINE MODEL A. Generalized Telegrapher’s Equations In this section, we briefly recall the general system from the field analysis of [16], of which we will derive the multimode solutions. In [16], the linear electrooptic model is derived from the generalized telegrapher’s equations for a time- and spacevarying anisotropic media, assuming the entire time variation as the superimposition of a fast optical variation and of a slow microwave variation

In (5) the permittivity tensor

725

is considered in its form (6)

B. Wave Equations For the sake of simplicity, we suppose in the following the crystal axes to coincide with the waveguide reference system: this implies that the unperturbed tensor has diagonal form. Equation (5) can be discretized by expanding the total transverse field components and by means of a finite number of guided modes propagating in the unperturbed waveguide

(7) (2) This is possible due to the slow time variation introduced by the microwave modulating field with respect to the fast optical variation. The condition allows to express the inverse operator of (2) as

(3) By introducing relations (2)–(3) in Maxwell’s equations

In the uncoupled case, the coefficients and are just proportional to the propagation term , where denotes the complex propagation constant of the th mode. We consider guided modes only and neglect substrate and radiation modes in the field expansion, in view of the small distributed electrooptic perturbation. After inserting (7) into (5), we apply the ortho-normalization condition of the transverse fields (8) , where the brackets define the integration over the cross section and exploit Green’s theorem [16], which yields the following system of coupled linear partial differential equations:

(4) defined for anisotropic dielectric media, in the source-free case and in the presence of the electrooptic polarization , we derive the telegrapher’s equations for anisotropic media involving only the transverse electromagnetic field components and [16]

(9)

(5)

We also exploit the conditions for the transverse modes and of a uniform waveguide with a diagonal relative permittivity tensor , in the absence of modulation [16], [17]. In this problem, the expression of the perturbation vector takes a different form with respect to that of [16]. In fact, under the effect of a dc-bias voltage and assuming the hypothesis of small microwave perturbation and large difference between the propagating and modulating signal frequencies, the transverse

726

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

with different group velocities and interacting due to an arbitrary perturbation [21]. In this description, the matrix

and longitudinal parts of the perturbation vector can be written as

(12)

(10) Combining the expansions (10) with the system (9), we derive, in matrix notation, the following system of linear partial differential equations of the hyperbolic type:

has a particular meaning, and its terms, which are directly linked to the group velocities of the guided modes, have a relevant role in the traveling-wave modulator modeling. The real matrices and are independent of the electrooptic effect and reflect the electromagnetic characteristics of the unperturbed system. The term represents the energy stored by the transverse magnetic/electric field, while the term represents the energy stored by the longitudinal magnetic/electric components (see the Appendix). The matrices have diagand onal form, as shown in [18], while the terms do not depend on the slow temporal variation . This follows from the hypothesis [16] which imposes that only the continuous voltage modifies the modal energies and acts directly on the energy exchange between the optical waves. A direct consequence of this perturbation is the variation of the group velocities of the modes, defined, according to the ortho-normalization condition (8) as (13)

(11) The final expression of the system (11) is obtained by neglecting terms of second order [16]. This means that only the dc voltage can affect the energy and the group velocity of each mode. Column vectors and are the expansion coefficients in (7). In Appendix A, we report the expressions of the square matrices in system (11). The electrooptic effect, induced by an external modulating fields, is involved in the elements ; in fact, they involve the optical waveguide geometries, the modal field distributions, the microwave electrode characteristics, the overlap factor between optical and modulating transverse fields, and the electromagnetic properties of the anisotropic crystal used in realizing the modulator. In particular, the matrix elements and define the electromagnetic coupling, induced by the perturbation, between the transverse and longitudinal electric field components, while and are of inductive and capacitive nature respectively, as highlighted in the expressions reported in Appendix A. By means of the electrooptic effect, an external modulating field causes a variation in the energy and in the propagation constants of the guided modes. This is clearly expressed by the coefficients and in the left hand-side term of the system (11), and by the elements, contained in terms on the the right-hand side of (11). C. Wavefront Velocities The final system of partial differential equations (11) has the typical form used to describe a system of waves propagating

In the next sections, we will underline the primary importance of the group velocities of the optical modes in electrooptic device modeling with respect to the phase velocities. The eigenvalues of the matrix are computed by solving the relation

(14) The eigenvalues represent the speeds of the wavefronts of each progressive and regressive guided mode. These values are different from the group and phase velocities which are derived from the dispersion relation of the system (11) [21]. The terms are complex due to the matrix whose elements are purely imaginary quantities (see the Appendix). This is the proof of the presence, in the anisotropic waveguide, of leaky modes which are characterized by distributed losses. This phenomena is a consequence of the off-diagonal elements inside the perturbed permittivity tensor [22]. If the crystal cut is properly chosen so as to cancel the terms, the wavefront speeds are real and distinct, as derived by the equation

(15) The solutions of (15) have the form and define the wavefront velocity of each progressive and regressive eigenmode of the perturbed system.

DI DONATO AND ROZZI: THEORY OF MULTIMODE TRAVELING-WAVE MODULATORS FOR RF PHOTONICS

D. Multiple-Scale Perturbation Analysis

727

with the initial conditions at the input crystal face

As introduced in [16], in order to find an analytical solution of the hyperbolic system (11), we apply multiple-scale perturbation, that is a generalized perturbation technique. Multiple-scale perturbation can be usefully applied to a very wide area of physical problems that are characterized by the presence of a small disturbance [19]. The use of perturbation techniques, for electrooptic modulator analysis, was proposed in [15], where the frequency response of a general class of directional couplers was derived. The approach of [15] is based on a small-signal analysis and the solution is expanded around the electrooptic perturbation by retaining only the first-order terms. Only two guided modes and a diagonal permittivity tensor were considered. In the following method, we change the point of view by defining an appropriate “small” parameter . This approach can lead to a more accurate and faster convergence of the perturbation expansion as compared to the classical perturbation methods [19]. In systems involving electrooptic phenomena, two kinds of time and space variations can be recognized: a slow variation, depending on the electrooptic modulation, and a fast one deriving from the phase progression of the traveling modes. We apply this method by considering the small disturbance given by the following adimensional parameter:

(20) Equation (17) allows us to describe the longitudinal variation as a superimposition of the slow and fast variations

(21) Equations (19)–(21) are now substituted into system (18). In this way, by equating coefficients of like powers of in the original system, we derive a sequence of a differential equations. The problem of solving a single complex system is reduced to the problem of solving several simpler systems of partial differential equations. The solution of (18) converges very quickly because, at each order, it is imposed the additional condition that the secular terms do not appear in the next order. The first-order expression suffices for a complete description of the interaction between propagating modes of the dielectric waveguide. III. MULTIMODE SOLUTION

(16) which. for long interaction lengths, is a very small quantity. The parameter is the propagation constant of the first guided mode. A new set of variables are introduced in order to describe the different spatial variations (17) where is the length of the perturbed region, and and are two differently scaled distances, one for the slow and the other for the fast variation, respectively. The variables , and are treated as independent variables. Due to the above relations, the system (11) takes a new form

A. Copropagating Modes In this section, we will extend the model in [16] by focusing our analysis to the case of a finite number of propagating optical modes having different propagation constants . Our purpose is the definition of a compact solution from which to derive the principal modulation parameters. In electrooptic devices, the permittivity tensor perturbation is very small even for relevant voltages. As a consequence, the perturbation induced by the electrooptic modulation is small and distributed along the modulator length . For this reason, it is possible to neglect the reflected waves at the input crystal face. The system (11) can be expressed in terms of the forward and backward traveling waves by means of the linear transformation (22)

(18) where denotes the identity matrix. Under the above assumptions, the relation (22) leads to the equality

with

where the matrices and can be directly deduced by the system (11). As it appears from (18), the electrooptic effect induces small variations on the electromagnetic characteristics of the propagating modes. The modal amplitudes and are expanded by means of the series

and the system (11) becomes

(23)

(19)

denotes the mawhere trix of the total energy of the unperturbed system while , and

728

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

mode propagating without coupling or energy exchange with other modes. They satisfy the following uncoupled equations:

(27) Fig. 1.

By applying the method of the characteristic curves [20], the zeroth-order solutions for the progressive waves take the form

Electrooptic interaction inside an anisotropic waveguide.

. The analytical solution of the coefficients is described as a superimposition of propagating waves, each of these with a different variable amplitude

where

(28) (24) having considered only the first-order terms. Each amplitude is characterized by a slow and by a fast spatial variation (Fig. 1). The fast solution of each order is derived by solving the equation

The solutions of the above partial differential equations (27) are in perfect agreement with the results reported in [13]. The coefficients depend on the boundary conditions at the input face of the crystal, and is the total complex energy stored by the electromagnetic field of the th mode. The terms and are characterized by the same time and space variations, according to the definition of the perturbation vector in (10). The arguments of the exponentials in (28) are composed by two terms. In particular, the dc-voltage contribution

(25)

(29)

is defined in Appendix A and . where the operator The slow dependence is deduced by means of the constraint imposed on the secular terms. The convergence of the series (24) is guaranteed, for a finite perturbation length , by the following condition [23]:

represents the complex propagation constant variation of the th mode propagating in absence of interaction with others waves, induced by a permittivity tensor perturbation . The same formulation is obtained by applying the variational theorem [22] for a single mode propagating inside an anisotropic waveguide. The value of the term , inside the ac-voltage contribution in (28), represents the perturbed group velocity of the th mode. This term is complex due to the presence of the leaky modes originated by the complex coefficients . Its value is influenced by the presence of the applied continuous voltage. This means that a dc field yields a change of the modulation characteristics of the system, as a consequence of the density variations of the modal energy. The zeroth-order terms contain only the information related to a pure phase modulation induced by the electrooptic perturbation (Fig. 2). Pure phase modulation is the primary effect that the electromagnetic fields components undergo in electrooptic modulation, as remarked in [13], where it is outlined how amplitude modulation can also be described by the coupling between normal modes with a pure phase modulation. As highlighted in (27), each wave has a different modulation index, being and with . As expected, only the terms and take part in the phase modulation due to the symmetry .

(26) According to the above relation, the maximum error, which affects a first-order solution, is equal to the square of (26) [23]. The values usually assumed by the terms . , and satisfy the aforementioned condition. In Section III-B, we will underline the physical meaning of the terms appearing in (24) and how they affect the propagating characteristic of each mode. B. Zeroth-Order Solution The zeroth-order terms are completely determined by (27) which reflects the condition that the secular terms do not appear in the first order solution [19]. The zeroth-order functions describe the effect of the electrooptic perturbation on each single

DI DONATO AND ROZZI: THEORY OF MULTIMODE TRAVELING-WAVE MODULATORS FOR RF PHOTONICS

Fig. 2.

729

Electrooptic phase-modulated waves.

C. First-Order Solution If we had retained just the zeroth-order terms in the expansion (24), we would not be able to describe the multimode interac. In tion induced by the off-diagonal elements of the matrix fact, (27) describes a system in which no coupling is present between the propagating modes. A complete description of the problem can be achieved by introducing the higher order terms. These terms define the coupling between the guided modes and the amplitude modulation induced by means of the permittivity perturbation . The first-order solution can describe, with a good accuracy, these effect provided that the condition (26) is satisfied. The amplitude of the transverse field of the th mode is obtained by solving (25) and by annihilating the secular terms appearing in the next order

Fig. 3. Electrooptic coupling between guided modes.

matrices , and , as remarked in [5], [13]. The functions describe, instead, the interaction between the guided fields and they represent, fundamentally, a perturbation term which reduces the electrooptic effect on the optical modulation index. The presence of a dc-voltage acts directly on the operators and modifying, in this way, the amplitude modulation index together with the velocity of the electrooptic perturbation. By combining the zeroth-order and the first-order (19) and (28), together with (29)–(32), we derive the final expressions for a multimode system

(30)

(33) In the above expression, and are functions of the zerothorder solutions and they assume the form

for

and having assumed

(31) The operators and are reported in Appendix A. For the purpose of evaluating the expressions (31) and the constant , we impose on the first-order amplitudes the following conditions, as shown in [19]: (32) , and have the same The coefficients time–space variation. As it clearly appears from (30), the first-order solutions describe both the modulating and the coupling effects between a finite number of pure phase-modulated waves (Fig. 3). In particular, the function contains the information regarding the amplitude modulation induced by the coefficients and . According to (30), the amplitude modulation is a higher order effect and its modulation index depends only on the off-diagonal elements of the

(34) Equation (33) is obtained by neglecting the reflections at the interface between the unperturbed and perturbed regions. This condition is well satisfied thanks to the small dielectric variations of the permittivity and thanks to the large propagation constant mismatch between the progressive and regressive waves of each mode. IV. ELECTROOPTIC MODULATOR CHARACTERIZATION The model presented in the preceding sections was derived assuming no restrictions on the electrooptic perturbation and an arbitrary microwave modulating signal. Now, we consider some specific configurations of the permittivity tensor , which are practically used, in order to give an accurate characterization of

730

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

the electrooptic modulator parameters. We assume, as external modulating field, a harmonic microwave voltage

provide useful suggestions toward designing devices that are independent of the polarization. To this aim, starting from (36) we derive the following conditions: constant constant

where dB/cm GHz and trooptic coefficient , , and the sum of a dc and a time-varying term

. Each elecwill be described by

A. Phase Modulation In a multimode guide, the pure phase modulation of each mode can be achieved by a proper cut and orientation of the crystal axes, combined with a particular modulating field direction. The permittivity tensor must assume a diagonal form in the reference system of the dielectric waveguide

(37) The first condition can be satisfied by choosing a particular transverse modulating field distribution and by adopting a proper electrode configuration, as studied in [8]. The second condition is related to a perfect match between the microwave and the optical energy velocities. It can be guaranteed, in absence of losses and for a modulator supporting only two guided modes, by defining a microwave group velocity equal to the mean value between the optical velocities. Clearly, this result reduces to the electrooptic modulation index. B. Amplitude Modulation In pure amplitude modulation the crystal is described by a permittivity tensor constituted only by the extra-diagonal elements

This choice, together with the hypotheses of quasi-TE and quasi-TM propagating fields, yields the following simplification in (33):

In this way, no electromagnetic coupling between the guided waves is possible and the electrooptic effect modulates only the phase of each guided mode

The operators and , appearing in (31), and describing completely the wave interaction, assume the analytical expression reported in Appendix B. Let us concentrate our attention on the function that characterizes principally the amplitude modulation response. This is given by

(35) The wave amplitudes describe the eigenmodes of the perturbed system. The modulation index and the phase can be expressed as

(38)

where

with (36) We note again that, for a full perturbation tensor , the energy velocity is a complex quantity. Equation (36) characterizes the modulator frequency response, and it is in perfect agreement with the results reported in [5] and [11]. From the results of (36), it appears clearly that multimode modulators are intrinsically dependent on the polarization. As known [5], in fact, each mode has a different modulation index . At the same time, (36) can

The coefficients , and are reported in Appendix B. In this case, with respect to phase modulation, in which no coupling is present, the modulator response of each wave contains an additional term which introduces a nonlinear distortion. This is what we expect in presence of coupling between different phase-modulated modes. The nonlinear response is typical of any phenomena of interference and coupling between

DI DONATO AND ROZZI: THEORY OF MULTIMODE TRAVELING-WAVE MODULATORS FOR RF PHOTONICS

731

waves. In pure phase modulation, this term is not present due to the absence of any interaction. This concept was outlined in [13], where the amplitude modulation in the electrooptic devices is derived by means of the interference between the normal modes of the perturbed anisotropic waveguide. These nonlinear effects can be neglected only when the modulating signal is small compared to the period of the nonlinear modulator response. In which case, from (38), follows the condition

(39)

that quantifies the influence of the nonlinear distortion for a fixed modulator length . Each linear term in the summation (38) has the same normalized frequency response deduced in the phase modulation case, as shown in [13]. All of the above considerations confirm the accuracy of this model and outline how the convergence of the solution is faster with respect to that arising from the usual perturbation techniques, in which nonlinear terms only appear starting from the second order solutions. All of the terms in (36) and (38) show the primary importance, for the modulation efficiency, of the matching condition between the energy velocities of the waves interacting in a modulated crystal. The same suggestions that (37) introduced in the previous section with regard to polarization independent devices can also be applied to the case of amplitude modulation.

Fig. 4. Traveling-wave electrooptic modulator. The SiO layer (" = 3:9) reduces the optical losses and modifies the propagation velocity of the microwave mode. W = 7 m, a = 3 m, and d = 0:7618 m. The titanium penetration depths are de = 3:69 m and do = 3:66 m. The distance d is the geometrical parameter which is varied in order to satisfy the first equation in (37).

V. RESULTS AND COMPARISONS The model proposed in the preceding sections is applied to a typical waveguide modulator [8] in which two nondegenerate guided modes are present. The guide is deposited on an LiNbO substrate with with a diagonal permittivity tensor

The system is in the configuration -cut and -propagating, as shown in Fig. 4. The microwave modulating electric field has two components: , acting on both polarizations propagating in the dielectric guide. The modulating signal is guided by symmetric coplanar electrodes having 50- characteristic impedance for [24]. The microwave field distribution in the substrate and the microwave effective index were computed according to the relations found in [25], [26] where it is assumed the electrodes thickness to be very small with respect to the longitudinal dimension . The microwave effective index is set equal to

In practice, this is achieved by fixing the SiO layer thickness. Under the assumption of slow refractive index variation, the optical propagating modes are described by a quasi-TE

Fig. 5. Comparison between the phase modulation indices for the two = 20 V, and fm = 20 GHz. (a) TE mode. polarizations (TE,TM). V (b) TM mode.

and quasi-TM fields. For this reason, the propagation constants and the modal distributions can be calculated accurately by means of a scalar variational expressions [22]. For a working wavelength m, the values are m and m . The permittivity variation induced by the electrooptic effect is described by the matrix shown in (40) at the bottom of the following page. Although the off-diagonal coefficients are not negligible, the mode coupling is small due to the high material birefringence which increases the difference . As a consequence, phase modulation is dominant with respect to amplitude perturbation. As outlined in (37), by changing the modulator geometry it is possible to make the modulator response insensitive to polarization. This is demonstrated by varying the distance d in order to satisfy the first equation in (37). Fig. 5 shows how this condition is met. The value , taken from the curves, agrees with [8]. In Fig. 6(a) and (b), we report the phase-modulation index obtained by means of (33) and compared with [14]. In [14], the optical wavefront velocities coincide with the phase velocities

732

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

(a) Fig. 7. (V

Comparison between amplitude modulation indices for TE polarization

= 20 V, V = 1 V, and fm = 20 GHz).

(b) Fig. 6. (a) Comparison between the phase modulation indices for the TE V, V V, and and fm GHz) assuming polarization (V a mismatch v between the optical and microwave energy velocities. (b) Comparison between the phase modulation indices for the TM polarization V, V V, and fm GHz) assuming a mismatch v (V between the optical and microwave energy velocities.

= 20

1

= 20

=1

=1

= 20

= 20

1

of the modes. In our theory, instead, they are equal to the energy velocities of the unperturbed system. As a consequence, the curves in Fig. 6(a) and (b) show a slight shift, that is more evident for larger distances. The value of the distance , which is obtained by the curves in Fig. 5, allows the same modulation index for both polarizations. This is possible not only thanks to the fulfillment of condition (37), but also due to the very small mode coupling induced by the off-diagonal elements of the tensor (40). For strong mode coupling and quasi-degenerate waves, it is necessary to introduce a correction term in (37), as shown by (33). The difference between the methods compared in this section appears more evident in computing the amplitude modulation index. In fact, due to wave coupling, the

Fig. 8.

V

Nonlinear distortion of the amplitude modulation index for the voltage

= 1 V, V = 3 V, and V = 10 V (V = 20 V, fm = 20 GHz).

amplitude modulation is strongly dependent on the difference . The main assumption used in [14] to deduce the analytical solution is the quasi-degenerate modes condition. This is the reason for the overestimate of the modulation index appearing in Fig. 7. The amplitude modulation index distortion arising from the nonlinear modulator response is featured in Fig. 8 for different values of the modulating amplitude voltage . Many factors contribute to this effect, such as the applied voltage, the microwave attenuation and the perfect match between the microwave and optical energy velocities. In particular, the bias voltage modifies the working-point position on the nonlinear modulator response (Fig. 9). As reported in Fig. 9, the distortion of the amplitude modulation index increases for low bias voltages, the nonlinear behavior of the modulator being more pronounced in this range (Fig. 10).

(40)

DI DONATO AND ROZZI: THEORY OF MULTIMODE TRAVELING-WAVE MODULATORS FOR RF PHOTONICS

733

APPENDIX A Under the hypothesis of and the matrix elements appearing in (13) are given by

Fig. 9. Nonlinear distortion of the amplitude modulation index for different = 1 V, V = 2 V, and V = 5 V (V = 1 V, working point V fm = 20 GHz).

Fig. 10.

Optical TE power (V

= 1 V, fm = 20 GHz).

VI. CONCLUSION In this study, we have derived a general theory of multimode electrooptic traveling-wave modulators. Our basic idea is that the microwave field induces a slow modulation of the optical waves in the time and space domains (3). The main result of this theory is given by the system (11) and its solution (33), which describe the coupling between normal modes having pure phase modulation. This result can be used in order to investigate the general characteristics of electrooptic modulators as it highlights the link between the physical quantities involved in the electrooptic effect and the desired performance of the modulators. In Section IV, some examples are discussed in order to demonstrate the main characteristics of electrooptic modulators and some suggestions are provided in order to realize polarization-independent devices. The present approach can be extended to the modeling of first-order nonlinearities (frequency shift).

where represents the complex propagation constants of the optical guided modes. APPENDIX B The functions appearing in (41) are given by

734

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

REFERENCES [1] B. Mason, A. Ougazzaden, C. Lentz, and L. Ketelsen, “Integrated photonic devices for fiber optic communication systems,” Proc. IEEE LEOS 15th Annu. Meeting, vol. 2, pp. 443–444, Nov. 10–14, 2002. [2] F. Gan and F. X. Kartner, “High-speed silicon electrooptic modulator design,” IEEE Photon. Technol. Lett., vol. 17, no. 5, pp. 1007–1009, May 2005. [3] S. Yongqiang, Y. Lianshan, and A. E. Willner, “High-speed electrooptic modulator characterization using optical spectrum analysis,” J. Lightw. Technol., vol. 21, no. 10, pp. 2358–2367, Oct. 2003. [4] J. Shin, C. Ozturk, S. R. Sakamoto, Y. J. Chiu, and N. Dagli, “Novel T-rail electrodes for substrate removed low-voltage high-speed GaAs/AlGaAs electrooptic modulators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 636–643, Feb. 2005. [5] N. Dagli, “Wide-bandwidth lasers and modulators for RF photonics,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1151–1171, Jul. 1999. [6] F. Rahmatian, N. A. F. Jaeger, R. James, and E. Berolo, “An ultrahighspeed AlGaAs–GaAs polarization converter using slow-wave coplanar electrodes,” IEEE Photon. Technol. Lett., vol. 10, no. 5, pp. 675–677, May 1998. [7] M. M. Tomeh, S. Goasguen, and S. M. El-Ghazaly, “Time-domain optical response of an electro-optic modulator using FDTD,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2276–2281, Dec. 2001. [8] A. Kaplan and S. Ruschin, “Layout for polarization insensitive modulation in LiNbO3 waveguides,” IEEE J. Sel. Topics Quantum Electron., vol. 6, no. 1, pp. 83–87, Feb. 2000. [9] R. Spickermann, M. G. Peters, and N. Dagli, “A polarization independent GaAs–AlGaAs electrooptic modulator,” IEEE J. Quantum Electron., vol. 32, no. 5, pp. 764–769, May 1996. [10] U. V. Cummings and W. B. Bridges, “Bandwidth of linearized electrooptic modulators,” J. Lightw. Technol., vol. 16, no. 8, pp. 1482–1490, Aug. 1998. [11] R. C. Aferness, “Waveguide electro-optic modulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 8, pp. 1121–1137, Aug. 1982. [12] M. Yu and A. Gopinath, “Seventeen-GHz directional coupler optical modulator,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2198–2202, Sep. 1995. [13] A. Yariv, Optical Electronics in Modern Communications, 5th ed. New York: Oxford Univ. Press, 1997. [14] T. Feng, W. Yi-Zun, and Y. Pei-Da, “Improved coupled-mode theory for anisotropic waveguide modulators,” IEEE J. Quantum Electron., vol. 24, no. 3, pp. 531–536, Mar. 1988. [15] H. Chung and W. S. C. Cahng, “Normal-mode small-signal analysis of traveling-wave directional couplers,” IEEE J. Quantum Electron., vol. 28, no. 5, pp. 1353–1359, May 1992. [16] A. Di Donato, D. Mencarelli, and T. Rozzi, “Accurate time-domain analysis of microwave and optical signal interaction in electrooptic devices,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2704–2711, Dec. 2004. [17] T. Rozzi and M. Farina, Advanced e.m. Analysis of Passive and Active Planar Structures. London, U.K.: IEE Press, 1999, ch. 2. [18] R. E. Collin, Field Theory of Guided Waves, 2nd ed. New York: IEEE Press, 1991.

[19] M. Bender and S. A. Orszag, Advanced Mathematical Methods for Scientists and Engineers, 2nd ed. New York: Springer-Verlag, 1999. [20] P. R. Garabedian, Partial Differential Equations. New York: Wiley, 1964. [21] P. R. Taniuti and P. R. Nishihara, Nonlinear Waves. London, U.K.: Pitman, 1983. [22] T. Tamir, Integrated Optics, 2nd ed. New York: Springer-Verlag, 1982. [23] N. Piskunov, Differential and Integral Calculus, 4th ed. Moscow, Russia: Mir Press, 1999. [24] O. Ramer, “Integrated optics electrooptic modulator electrode analysis,” IEEE J. Quantum Electron., vol. QE-18, no. 3, pp. 386–392, Mar. 1981. [25] K. Kubota, J. Noda, and O. Mikami, “Traveling wave optical modulator using a directional coupler LiNbO3 waveguide,” IEEE J. Quantum Electron., vol. QE-16, no. 7, pp. 754–760, Jul. 1980. [26] S. Ramo, J. R. Whinnery, and T. Van Duzer, Fields and Waves in Communication Electronics, 3th ed. New York: Wiley, 1993.

Andrea Di Donato received the Laurea degree (summa cum laude) in electronics engineering from the University of Ancona, Ancona, Italy, in 2003. He is currently with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche, Ancona, Italy. His research interests are in the development of analytical/numerical methods for the analysis and modeling of integrated optical devices.

Tullio Rozzi (M’66–SM’74–F’90) received the Dottore degree in physics from the University of Pisa, Pisa, Italy, in 1965, the Ph.D. degree in electronic engineering from The University of Leeds, Leeds, U.K., in 1968, and the D.Sc. degree from the University of Bath, Bath, U.K., in 1987. From 1968 to 1978, he was a Research Scientist with Philips Research Laboratories, Eindhoven, The Netherlands. In 1975, he spent one year with the Antenna Laboratory, University of Illinois at Urbana–Champaign. In 1978, he became the Chair of Electrical Engineering with the University of Liverpool. In 1981, he became the Chair of Electronics and Head of the Electronics Group, University of Bath, where he was also the Head of the School of Electrical Engineering on an alternate three-year basis. Since 1988, he has been a Professor with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche, Ancona, Italy, where he is also Head of the department. Dr. Rozzi was the recipient of the 1975 Microwave Prize presented by the IEEE Microwave Theory and Technique Society (IEEE MTT-S).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

735

A Low-Power CMOS Direct Conversion Receiver With 3-dB NF and 30-kHz Flicker-Noise Corner for 915-MHz Band IEEE 802.15.4 ZigBee Standard Trung-Kien Nguyen, Student Member, IEEE, Nam-Jin Oh, Student Member, IEEE, Viet-Hoang Le, Student Member, IEEE, and Sang-Gug Lee, Member, IEEE

Abstract—A low-power low flicker-noise receiver front-end for 915-MHz-band IEEE 802.15.4 standard in 0.18- m CMOS technology is implemented. A power-constrained simultaneous noise and input matching low-noise amplifier (LNA) can be achieved by using a conventional inductive degeneration cascode amplifier with an extra gate–source capacitor. In combination with the LNA, a noise performance is adopted to passive mixer showing low convert the RF signal directly to the baseband signal. The measured results show a conversion gain of 30 dB and a noise figure noise corner frequency of 30 kHz. Two-tone test of 3 dB with measurements indicate 5-dBm input third-order intercept point and 45-dBm input second-order intercept point. The RF receiver front-end dissipates 2 mA from a 1.8-V supply.

TABLE I TARGET MARKETS AND APPLICATIONS OF IEEE 802.15.4 STANDARD

1

+

1

Index Terms—CMOS, direct conversion, IEEE 802.15.4, low noise, receiver. power, noise optimization,

1

TABLE II FREQUENCY BAND AND DATA RATE OF IEEE 802.15.4 STANDARD

I. INTRODUCTION

R

ECENTLY, the demand for a low-cost low-power wireless transceiver utilizing standard CMOS technology has increased significantly [1], [2]. Especially with the introduction of the IEEE 802.15.4 ZigBee standard, which is a low-rate, low-cost, and low-power network [3], these demands tend to dominate transceiver developments. Table I shows the target markets and applications of the IEEE 802.15.4 ZigBee standard. As can be seen in Table I, there are numerous applications for this low data-rate standard such as industrial and commercial, home automation, consumer electronics, personal health care, games, etc. that should be able to operate for six months or two years on just button cells or battery [4]. The direct conversion receiver (DCR) has recently attracted widespread attention for its simple architecture and easy integration with the baseband circuit, as well as for its low power consumption and potentially low manufacturing costs [5], [6]. However, the DCR has some disadvantages such as dc offset, even-order distortion, flicker noise, in-phase/quadrature (I/Q) mismatch, local oscillator (LO) leakage, etc. [6]. DC offset can be removed by using digital calibration [7] or a feedback-loop in the analog part [8]. The even-order distortion and I/Q issues can be solved by careful layout and symmetric tracing of RF and LO paths or by using digital calibration [9]. Manuscript received July 26, 2005; revised October 26, 2005. This work was supported in part by MOST/KOSEF (Intelligent Radio Engineering Center) under the SRC/ERC Program. The authors are with the School of Engineering, Information and Communications University, Daejeon 305-714, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862636

With the key point of low-power and a low noise RF receiver front-end, the solution we are presenting is based on the low-power low-noise LNA followed by a passive mixer, which shows low noise performance [10]. This paper is organized as follows. Section II describes the receiver architecture and the radio specifications of the IEEE 802.15.4 standard such as the noise figure (NF), third- and second-order nonlinearity characteristics [input third-order intercept point (IIP3), input secondorder intercept point (IIP2)], dc offset, and required filter characteristic. The receiver circuits design is described in Section III. Section IV summarizes experimental results of the implemented receiver front-end, and Section V concludes this study. II. RECEIVER ARCHITECTURE AND SPECIFICATIONS The 868/915-MHz-band IEEE 802.15.4 standard employ a direct sequence spread spectrum (DSSS) with three optional modulation types [3], i.e., binary phase-shift keying (BPSK), offset quadrature phase-shift keying (O-QPSK), and parallel sequence spread spectrum (PSSS) shown in Table II.

0018-9480/$20.00 © 2006 IEEE

736

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

TABLE III RECEIVER TARGET SPECIFICATIONS

The overall performance of the ZigBee transceiver can be characterized by the packet error rate (PER) that defines the average fraction of transmitted packets that are not detected correctly. The required PER should be less than 1% measured over a random PHY service data unit (PSDU) data. The PER is related to the bit error rate (BER) by where is the number of bits per packet, which is approximately 20 B or 160 bits. Therefore, 1% PER corresponds to 0.00625% BER. The probability BER of the BPSK modulation system is given by [11] (1) . With 1% where the signal-to-noise ratio is denoted by PER, the required SNR is approximately 8.5 dB. Employing the DSSS technique, the receiver has a processing gain of approximately 12 dB. The receiver processing gain can be calculated from the ratio of the chip to bit rate [12] (600 kchip/s to 40 kb/s). Therefore, the required minimum SNR, , is approximately 3.5 dB. The sensitivity of the receiver system can be expressed as [13]

dBm/Hz

(2)

and is the where the channel bandwidth in denoted by total NF of the receiver system. Considering the minimum sensitivity of 92 dBm for BPSK and the bandwidth of 1.2 MHz for the 915-MHz band specified in the IEEE 802.15.4 ZigBee standard, the required NF of the receiver system calculated from (2) is approximately 24.5 dB. Assuming approximately 5-dB digital losses in the receiver, the maximum allowed system NF of the analog front-end (including RF and baseband sections) is approximately 19.5 dB. Similarly, for the O-QPSK modulation scheme, the required SNR is approximately 8.5 dB, and then is 3.5 dB considering 6-dB processing gain (1000 kchip/s to 250 kb/s). With the minimum sensitivity of 90 dBm specified in standard and 5-dB loss of the digital part, the required maximum NF of the analog front-end is approximately 14.5 dB. With the PSSS modulation scheme, the required SNR for 1% PER is approximately 5.8 dB [14]. Therefore, the required maximum NF of the analog front-end is 18.5 dB considering 8-dB processing gain (1600 kchip/s–250 kb/s), 92-dBm sensitivity, and 5-dB digital loss. The required NFs of analog for three different modulation schemes are compared in Table III. The channel selection low-pass filter (LPF) requirement can be derived from the conditions of jamming resistance. The IEEE 802.15.4 standard requires 0-dB rejection at the adjacent

Fig. 1. Interferer profile.

channel (2 MHz apart) and 30-dB rejection at an alternate channel (4 MHz apart), which has some margin, 40-dB rejection at 4 MHz apart from the wanted signal is sufficient and, therefore, the third-order Butterworth-type LPF, which has approximately 47-dB rejection with corner frequency of 600 kHz, can be chosen for the channel selection filter. The standard does not specify the receiver nonlinearity requirements such as or . However, the nonlinearity requirements can be inferred from an interferer profile, as shown in Fig. 1, where two interfering channels are 2 and 4 MHz apart from the desired signal, respectively. As can be seen from Fig. 1, can be given by [13] Margins

(3)

where is the signal power in dBm and is the power ratio of carrier to interferer in decibels. Assume the signal power is 3 dB higher than the minimum sensitivity level, the calculated IIP3 for BPSK, O-QPSK, and PSSS modulation schemes are 34, 32, and 34 dBm, respectively, considering 10-dB reasonable margins. Similarly, the second-order nonlinearity characteristic can be given by Margins

(4)

is the power of the blocking interferer. With the where blocking power of 30 dB, the calculated is 10 dBm for three different modulation schemes under the assumption that the reasonable margin is 10 dB. The receiver spurious-free dynamic range (SFDR) is calculated from [13] (5) where dBm/Hz . From (5), the required SFDR is approximately 43.5, 41, and 42.5 dB for BPSK, O-QPSK, and PSSS modulation, respectively. Fig. 2 shows the block diagram of the receiver path adopting a direct conversion scheme. The RF incoming signal is amplified by a low-noise amplifier (LNA) and then down-converted directly to the baseband by an I/Q mixer. The first stage in the baseband chain is the combination of the amplifier and firstorder filtering. The baseband signal is then amplified by a variable gain amplifier (VGA) and filtered by a second-order LPF before it is fed into the digital part.

NGUYEN et al.: LOW-POWER CMOS DCR

737

Fig. 3.

Schematic of the RF Front-end.

Fig. 2. Receiver architecture.

III. CIRCUITS DESIGN For a DCR, strong interferers leaked to the LO port of the mixer or LO signal through various leakage paths (from the LO to RF port of the mixer, to the input of the LNA or to the antenna) can make self-mixing, thus producing a dc component at the mixer output. This dc component will corrupt the wanted signal and, hence, saturate the analog baseband amplifiers. To overcome this problem, a dc offset cancellation loop circuit is added in the analog baseband blocks. The dc offset loop is implemented by connecting a feedback loop in the VGA, as shown in Fig. 2. The IEEE 802.15.4 standard requires the turnaround time to be less than 12 symbols [3]. With the 915-MHz band applications, the symbol rate is 40, 50, and 62.5 ksymbols/s for BPSK, PSSS, and O-QPSK modulation, respectively. As a result, the turnaround time is approximately 300, 240, and 192 s for BPSK, PSSS, and O-QPSK modulation, respectively. A fast settling time of the dc offset cancellation loop is preferable and 1% setting time is set to be 30, 24, and 19 s, the required corner frequencies of the LPF need to be less than 25, 30, and 35 kHz for BPSK, O-QPSK, and PSSS modulation, respectively. Those required corner frequencies are calculated based on the relationship between the settling time and the bandwidth of the loop filter [15]. The relationship between the BER and the receiver power with several values of the cutoff frequency of has been simulated using Agilent’s ADS simulator tool. The obtained results show that the cutoff frequency of can be chosen within 5% of the cutoff frequency of the LPF and the receiver needs only just 1 dB stronger signal power to have the same BER performance. With 600-kHz cutoff frequency of the LPF, the cutoff frequency of is 30 kHz. Although the required cutoff frequency of obtained from calculation is different for each modulation scheme, it has been found from simulation that 30-kHz cutoff frequency of can be used for three different modulation schemes with an acceptable increase in the received power. The overall target specification of the receiver is summarized in Table III. As can be seen in Table III, three modulation schemes have almost the same radio specifications, except the required NF. Therefore, this study attempts to design the receiver that satisfies the lowest NF requirement, meaning that the receiver can be used for three modulation schemes.

In order to achieve the main goal of low-power and low noise, an RF front-end, shown in Fig. 3, is chosen. In this configuration, direct down-conversion is implemented by passive mixers without dc current. By this approach, the noise contribution from the mixers is made negligible. In this design, a single-ended RF drive of double-balanced mixers is used, which allows single-ended RF gain circuitry and reduces current consumption compared to a differential one. The output of the LNA is connected to one terminal of the differential RF input of the mixer via coupling capacitor , while the second terminal of the mixer is connected to the ac ground through a bypass capacitor . From simulation results, we have found that, by using this approach, the gain of overall receiver front-end is reduced by 3 dB while taking full advantages of the differential circuitry. A. LNA Design The LNA has been designed to have very low-noise lowpower consumption and to provide enough gain to sufficiently reduce the input referred noise contribution of the subsequent stages. Besides, the LNA should have 50- input impedance to maximize the transferred signal from the antenna. Typically, an inductive degeneration cascode LNA topology is widely used since it provides high gain, low noise, wide-band, high isolation, etc. [16], [17]. In this configuration, the inductive degeneration is used to achieve simultaneous noise and input matching since generates the real part of the input impedance. This is important because there is no real part in the input impedance without degeneration, while there is in the optimum noise impedance [18]. Therefore, helps to reduce the discrepancy between the real parts of the optimum noise impedance and the LNA input impedance. Furthermore, the imaginary part of input impedance is changed by , and this is followed by nearly the same change in the optimum noise impedance, especially with advanced CMOS technology. However, under low power consumption, meaning low gate–source overdrive voltage or small transconductance , the required value that satisfies the simultaneous noise and input matching condition has to be very large. The problem is that for to be greater than some value, the minimum NF of a given technology can be increased significantly [19]. As a result, the minimum achievable

738

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

TABLE IV LIST OF SYMBOLS

Fig. 4. Small-signal equivalent circuit of the LNA shown in Fig. 3 for noise analysis.

NF of the LNA can be considerably higher than its , spoiling the idea of simultaneous noise and input matching. To overcome this problem, the proposed LNA topology shown in Fig. 3 is used. As can be seen in Fig. 3, the LNA differs by one additional capacitor compared to the typical cascode LNA. The insertion of this capacitance adds a degree of freedom to play with to achieve a simultaneous noise and input matching at very low power consumption. This LNA topology was first introduced in [20] as a solution to reduce the NF of the LNA at low power dissipation; however, the potential and theoretical analysis as a power-constrained (i.e., low power) simultaneous noise and input matching (PCSNIM) LNA topology has not been recognized. Fig. 4 shows the simplified small-signal equivalent circuit of the LNA amplifier shown in Fig. 3 for noise analysis. In Fig. 4, the effects of common-gate transistor on the noise and frequency response are neglected [16], [17]. The noise parameter expressions for a circuit with series feedback, shown in Fig. 4, can be obtained by applying Kickoff’s law and were derived by Nguyen et al. in [21] as follows:

change in in (8), especially with advanced technology considering the value of is higher than 0.4 and becomes lower than 1 [23], [24]. For the LNA circuit shown in Fig. 3, the conditions that allow the simultaneous noise and input matching are now

(10)

(6)

(11) (12) (7) (8) and other parameters are defined in where Table IV. As can be seen from (6) and (8), and are not affected by the addition of . In other words, by using , the minimum NF and noise resistance expressions of the LNA topology shown in Fig. 3 are the same as those in the conventional inductive degeneration topology [18]. From Fig. 4, the input impedance of the LNA is given by (9) In (9), the source degeneration generates a real part at the input impedance. Furthermore, from (9), the imaginary part of is changed by , and this is followed by nearly the same

(13) As mentioned above, for the advanced CMOS technology parameters, (13) is approximately equal to (11). Therefore, (13) can be dropped, which means that, for the given value of , the imaginary value of the optimum noise impedance becomes approximately equal to that of the input impedance with opposite sign. The design parameters that can satisfy (10)–(12) are now then (or ), , and . Since there are three equations and four unknowns, (10)–(12) can be solved for an arbitrary value of by fixing the value of one of the design parameters that can be the power dissipation or . In other words, this LNA design optimization technique allows us to design simultaneous noise and input matching at any given amount of power dissipation. The qualitative description of the PCSNIM design process is explained in details by Nguyen et al. in [21]. In this LNA topology, by changing the bias voltage of the cascode transistor , we are able to vary the power gain. As the basic operating principle for the CMOS transistor suggests,

NGUYEN et al.: LOW-POWER CMOS DCR

739

CMOS have high linearity with low gain in the linear region and low linearity with high gain in the saturation region. When is in high-level state, two input transistors remain in the deep saturation region and high gain is obtained. Since the input signal is very small, the distortion is relatively low. On the contrary, when the input signal is high and is in the low-level state, the input transistor stays in the linear region and low gain with low distortion can be obtained [25]. B. Mixer Design High-performance mixers in CMOS are either active based on current switching or passive based on voltage switching mixers. One may argue that the passive mixer dissipates no dc current and gives high linearity. Besides, the absence of dc current through the switches also makes it possible to eliminate the noise, which otherwise is a problem for DCRs. Normally, nMOS transistors have better switch performance than pMOS transistors thanks to the higher mobility of electrons than holes [20]. Therefore, nMOS transistors were chosen for the switch. In Fig. 3, the two parameters that designers can play with are the device’s size and the LO characteristics. In order to have low noise performance, the width of the transistor should be large enough in order to provide a sufficiently low on resistance. However, when sizing the switches there will be tradeoff between the mixer noise performance and the gain of the LNA. The load impedance of the LNA consists of a parallel resonance circuit made up of the parasitic switch capacitance and the output inductor. If the switch capacitance is increased, the inductance must be decreased in order not to change the resonant frequency. The gain of the LNA will then decrease due to the lower load impedance. In this design, the optimum switching transistor’s width is found to be 130 m. The characteristics of the LO signal will affect the mixer performance [27]. The dc level of the LO signal is an important factor since it controls the switching mode. In the balanced drive case, the voltage conversion gain is theoretically equal to . If the switches are set to have less on time than off time, often referred to as “break-before-make,” the conversion gain will maximally equal 1 [27], but the mixer will also be less linear. Thus, there will also be a tradeoff between the mixer conversion gain and linearity. In order to eliminate noise, it is important that transistors are biased at the condition where there is no dc current flowing through the switch. In other words, the source and drain terminals are bias at , while their gate voltage is (14) is the threshold voltage of transistors. It has been where found from simulation that when is around 1.4 V with mV, this mixer shows noise-free operation. The conversion gain and NF of the passive mixer can be improved by applying high LO amplitude. However, in this study, 0-dBm LO power is applied considering the measured results of the fabricated voltage-controlled oscillator. C. Baseband Amplifier and First Filter The baseband amplifier and first filtering shown in Fig. 5 is implemented as a transimpedance amplifier with an RC combination as the feedback network. The bandwidth of this circuit

Fig. 5.

Schematic of the baseband amplifier and first filter.

Fig. 6. Measured input return loss (S ) and conversion gain of the RF receiver front-end.

can be controlled by capacitor; however, considering the bandwidth of the ZigBee standard, the bandwidth of this circuit is 1 MHz so that, in a zero-IF configuration, signals with a bandwidth of 2 MHz can be received. This circuitry not only provides some gain, but also filters high-order intermodulation products that affect the linearity of the following stages. To improve linearity of the circuit itself, the transistors have been degenerated resistively. From simulation, we have found that noise of the overall receiver front-end is mainly contributed by the first baseband amplifier and filter. In this design, the transistors were designed for a noise corner frequency below 30 kHz considering 30-kHz cutoff frequency of the dc-offset feedback loop used in the VGA. In other words, the noise caused by the baseband amplifier is removed by using the dc-offset feedback [22] with the lower cutoff frequency of 30 kHz. IV. EXPERIMENTAL RESULTS The receiver front-end with ESD protection is fabricated in a 0.18- m CMOS technology and consumed 2 mA from a 1.8-V supply. The testing board has been built by directly bonding the die on a two-layer FR4 substrate. To supply a differential signal at the input LO port, a commercial passive balun has been used and 5 dB of balun loss according to its measurement has been deembedded from the measurement. Fig. 6 shows the measured input matching and conversion gain of the receiver front-end sweeping the LO frequency

740

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

TABLE V SIMULATED AND MEASURED RF RECEIVER PERFORMENCES

Fig. 7.

Measured and simulated NF of the RF receiver front-end.

Fig. 8.

Measured IIP3 of the RF receiver front-end.

across the entire target band (902–928 MHz). From Fig. 6, we can see that the input return loss of the receiver is lower than 13 dB and the conversion gain is approximately 30 dB and almost flat in the whole operating frequency band. The conversion gain variation of 10 dB is obtained by changing of the LNA shown in Fig. 3. The receiver front-end NF was measured with the aid of a spectrum analyzer based on the method described in [29]. The measured NF result is shown in Fig. 7, which is approximately 3 dB in the center of the band with 30 kHz noise corner frequency. The simulated NF of this circuit is also plotted in Fig. 1 for comparison. As can be seen in Fig. 7, the measured NF result shows only 0.5 dB higher than the simulated one. Considering 30-kHz cutoff frequency of the dc-offset loop, we can conclude that the receiver front-end achieves very excellent noise performance. Fig. 8 shows the measured IIP3 result of the receiver front-end, which is approximately 5 dBm when the two-tone signal spaced by 500 kHz are applied. The other nonlinearity properties such as IIP2, input 1-dB compression point, and LO-RF leakage of the receiver front-end are also measured. The overall simulated and measured performances of the receiver front-end are compared and summarized in Table V. Fig. 9 shows the microphotograph of the fabricated receiver front-end, which has an active area of 1 mm . The silicon area is mainly occupied by the baseband amplifier and filter circuit.

Fig. 9.

Microphotograph of the fabricated chip.

V. CONCLUSION An IEEE 802.15.4 ZigBee standard for low-cost low-power purposes has been finalized. Based on the physical layer requirements, the radio specifications for three modulation types, i.e., BPSK, O-QPSK, and PSSS, have been realized for a direct conversion architecture. The demonstrator receiver front-end is fabricated in a 0.18- m CMOS process and consists of an LNA, a passive down-conversion mixer, and a combination of a baseband amplifier and first filtering circuit. For the LNA design, to achieve PCSNIM, the conventional inductive degeneration cascode amplifier with an extra gate–source capacitor is employed. noise, a passive With the main goal of low-power and low noise mixer dissipating no dc current and showing excellent is adopted to convert an RF signal directly to a baseband signal. The flicker-noise-free operation can be obtained by choosing the correct bias voltage of the switching transistors. The measured results show conversion gain of 30 dB and an NF of 3 dB noise corner frequency of 30 kHz. Two-tone test meawith surements indicate 5-dBm IIP3, 4-dBm IIP2. The receiver front-end dissipates 2 mA from a 1.8-V supply.

NGUYEN et al.: LOW-POWER CMOS DCR

REFERENCES [1] L. E. Larson, “Integrated circuit technology options for RFICs—Present status and future directions,” IEEE J. Solid-State Circuits, vol. 33, no. 3, pp. 387–399, Mar. 1998. [2] B. Razavi, “CMOS technology characterization for analog and RF design,” IEEE J. Solid-State Circuits, vol. 34, no. 3, pp. 268–276, Mar. 1999. [3] Low Rate Wireless Personal Area Networks, IEEE P802.15.4/D18, Draft Standard, Jan. 2005. [4] J. Zheng and M. J. Lee, “Will IEEE 802.15.4 make ubiquitous networking a reality?: A discussion on a potential low power, low bit rate standard,” IEEE Commun. Mag., vol. 42, pp. 140–146, Jun. 2004. [5] A. A. Abidi, “Direct conversion radio transceivers for digital communications,” IEEE J. Solid-State Circuits, vol. 30, no. 12, pp. 1399–1410, Dec. 1995. [6] B. Razavi, “Design considerations for direct conversion receivers,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 44, no. 1, pp. 428–435, Jun. 1997. [7] J. Strange and S. Atkinson, “A direct conversion transceiver for multiband GSM application,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2000, pp. 25–28. [8] A. Parssinen, J. Jussila, J. Ryynanen, L. Sumanen, and K. A. I. Halonen, “A 2 GHz wide-band direct conversion receiver for WCDMA applications.,” IEEE J. Solid-State Circuits, vol. 34, no. 12, pp. 1893–1903, Dec. 1999. [9] I. Vassiliou, K. Vavelidis, T. Georgantas, S. Plevridis, N. Haralabidis, G. Kamoulakos, C. Kapnistis, S. Kavadias, Y. Kokolakis, P. Merakos, J. C. Rudell, A. Yamanaka, S. Bouuras, and I. Bouras, “A single-chip digitally calibrated 5.15–5.825-GHz 0.18 m CMOS transceiver for 802.11a wireless LAN,” IEEE J. Solid-State Circuits, vol. 38, no. 12, pp. 2221–2231, Dec. 2003. [10] T. H. Lee, The Design of CMOS Radio-Frequency Circuits. Cambridge, U.K.: Cambridge Univ. Press, 1999. [11] L. W. Couch, Digital and Analog Communications Systems, 5th ed. Upper Saddle River, NJ: Prentice-Hall, 1997. [12] A. J. Viterbi, CDMA: Principles of Spread Spectrum Communications, 1st ed: Addison-Wesley, 1995. [13] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: PrenticeHall, 1998. [14] A. Wolf, “PSSS proposal—Parallel reuse of 2.4 GHz PHY for the sub 1-GHz bands,”, Tech. Paper, 2004. [Online]. Available: http:// grouper.ieee.org/groups/802/15/pub/. [15] R. Schaumann and M. V. Valkenburg, Design of Analog Filters. Oxford, U.K.: Oxford Univ. Press, 2001. [16] P. R. Gray, P. J. Hurst, S. H. Lewis, and R. G. Meyer, Analysis and Design of Analog Integrated Circuits, 4th ed. New York: Wiley, 2001. [17] B. Razavi, Design of Analog CMOS Integrated Circuits, 1st ed. New York: McGraw-Hill, 2001. [18] D. K. Shaeffer and T. H. Lee, “A 1.5 V, 1.5 GHz CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 745–758, May 1997. [19] J. K. Goo, H. R. Ahn, D. J. Ladwig, Z. Yu, T. H. Lee, and R. W. Dutton, “A noise optimization technique for integrated low-noise amplifiers,” IEEE J. Solid-State Circuits, vol. 37, no. 8, pp. 994–1002, Aug. 2002. [20] P. Andreani and H. Sjoland, “Noise optimization of an inductively degenerated CMOS low noise amplifier,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 48, no. 9, pp. 835–841, Sep. 2001. [21] T.-K. Nguyen, C.-H. Kim, G.-K. Ihm, M.-S. Yang, and S.-G. Lee, “CMOS low-noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1433–1442, May 2004. [22] A. Van Der Ziel, Noise in Solid-State Devices and Circuits. New York: Wiley, 1986. [23] G. Knoblinger, P. Klein, and U. Baumann, “Thermal channel noise of quarter and sub-quarter micron nMOS FET’s,” in Proc. IEEE Microelectron. Test Structures, 2000, pp. 95–98. [24] G. Knoblinger, P. Klein, and M. Tiebout, “A new model for thermal channel noise of deep-submicron MOSFET and its applications in RF-CMOS design,” IEEE J. Solid-State Circuits, vol. 36, no. 5, pp. 831–837, May 2001. [25] W. C. Song, C. J. Oh, G. H. Cho, and H. B. Jung, “High frequency/high dynamic range CMOS VGA,” Electron. Lett., vol. 36, pp. 1096–1098, Jun. 2000. [26] Y. P. Tsividis, Operation and Modeling of the MOS Transistor. New York: McGraw-Hill, 1987. [27] A. R. Shahani, D. K. Shaeffer, and T. H. Lee, “A 12 mW wide dynamic range CMOS front-end for a portable GPS receiver,” IEEE J. Solid-State Circuits, vol. 32, no. 12, pp. 2061–2070, Dec. 1997.

741

[28] E. A. M. Klumperinl, L. J. Gierkink, A. P. Vander Wel, and B. Nauta, “Reducing MOSFET 1=f noise and power consumption by switched biasing,” IEEE J. Solid-State Circuits, vol. 35, no. 7, pp. 994–1001, Jul. 2000. [29] “Development library,” Nat. Instrum., Austin, TX, 2000. [Online]. Available: http://zone.ni.com/devzone/conceptd.nsf/webmain/ 78A610B94390680486256D0B005403E8.

Trung-Kien Nguyen (S’04) was born in Hanoi, Vietnam, in 1977. He received the B.S. degree in radiophysics from Hanoi National University, Hanoi, Vietnam, in 1999, the M.S. degree in electronics engineering from the Information and Communications University, Daejeon, Korea, in 2004, and is currently working toward the Ph.D. degree at the Information and Communications University. From September 1999 to February 2001, he was with the Laboratory of Research and Development of Sensor, Institute of material Science (IMS), Vietnamese Academy of Science and Technology (VAST). He is currently with the Micro-Radio Laboratory, Information and Communications University.

Nam-Jin Oh (S’04) was born in Daejeon, Korea. He received B.S. degree in physics from Hanyang University, Seoul, Korea, in 1992, the M.S. degree in electrical engineering from North Carolina State University, Raleigh, in 1999, and is currently working toward the Ph.D. degree at the Information and Communications University, Daejeon, Korea. From 1992 to 1997, he was with the LG Corporate Institute of Technology, Seoul, Korea. From 1999 to 2001 he was with Samsung Electronics, Suwon, Korea. He is currently with the Micro-Radio Laboratory, Information and Communications University.

Viet-Hoang Le (S’04) was born in Hanoi, Vietnam, in 1978. He received the B.S. degree in electronics and telecommunication from the Hanoi University of Technology, Hanoi, Vietnam, in 2001, and is currently working toward the M.S. degree at the Information and Communications University, Daejeon, Korea. From 2001 to 2002, he was with the Vietnam Investment and Development Televisions Company (VTC), Ministry of Posts and Telecommunications of Vietnam, where he was involved with high-power transmitters and mobile and satellite communication systems. He is currently with the Micro-Radio Laboratory, Information and Communications University.

Sang-Gug Lee (M’04) was born in Gyungnam, Korea in 1958. He received B.S. degree in electronic engineering from Gyungbook National University, Gyungbook, Korea, in 1981, and the M.S. and Ph.D. degrees in electrical engineering from the University of Florida at Gainesville, in 1989 and 1992, respectively. In 1992, he joined Harris Semiconductor, Melbourne, FL, where he was engaged in silicon-based RF integrated-circuit (IC) designs. From 1995 to 1998, he was an Assistant Professor with the School of Computer and Electrical Engineering, Handong University, Pohang, Korea. Since 1998, he has been with the Information and Communications University, Daejeon, Korea, where he is currently a Professor. His research interests include the silicon-technology-based (bipolar junction transistor (BJT), BiCMOS, CMOS, and SiGe BiCMOS) RF IC designs such as LNAs, up/down mixers, oscillators, power amps, etc. He is also active in designing high-speed IC designs for optical communication such as transimpedance amplifiers, driver amps, limiting amps, etc.

742

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Quasi-Dual-Mode Microstrip Spiral Filters Using First and Second Harmonic Resonances Frederick Huang

Abstract—The range of bandwidths that can be implemented using spiral microstrip resonators has been extended using a structure with two patterned metal layers plus a ground plane, which also enhances compactness. Each microstrip section can be regarded as a dual-mode half-wave resonator employing the fundamental and second harmonic or as two coupled quarter-wave resonators. A fourth-order and an eighth-order filter are presented, both with 50% fractional bandwidth and 600-MHz center frequency, using basic printed-circuit-board fabrication. The eighth-order filter has an active area of approximately 45 mm 18 mm, and a linewidth of 0.5 mm. The topology, suitably scaled for higher frequency, may be suited for low-temperature co-fired ceramic filters. Index Terms—Dual mode, filter, microstrip, multilayer, spiral, wide-band.

I. INTRODUCTION

T

HE microstrip line is a very popular implementation for microwave filters because of its economy and small size. Compactness has been enhanced by special types of resonators such as step-impedance hairpins [1], meander lines [2], and dumbbell shapes [3]. A particularly promising compact resonator is the spiral [4], which shows a favorable tradeoff between size and quality factor ( ) [4]. In this paper, the range of fractional bandwidths, which can be implemented with spirals, is extended using two layers of spirals in conjunction with quasi-dual-mode half-wave resonators operating at their fundamental and second harmonic frequencies. It emerges that such a resonator can also be interpreted as two quarter-wave resonators, coupled by a parallel capacitor, as used previously for straight microstrips [5]–[7], coplanar lines [8], [9], and quasi-lumped filters [10]. Examples of existing wide-band layouts are presented in [11]–[13]. After a discussion on the resonators, a fourth-order and an eighth-order filter will be presented, both with 50% fractional bandwidth and approximately 600-MHz center frequency using basic printed-circuit-board fabrication. However, it is expected that the filter topology, scaled to higher frequencies, will also be appropriate for low-temperature co-fired ceramic (LTCC) technology. Practical aspects have been explored by other researchers [14] using a lower order spiral LTCC filter. Manuscript received July 29, 2005; revised October 6, 2005. This work was supported by the U.K. Engineering and Physical Sciences Research Council under Grant GR/S60471/01. The author is with the Department of Electronic, Electrical and Computer Engineering, University of Birmingham, Edgbaston, Birmingham B15 2TT, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862635

Fig. 1. First (fundamental) and second harmonic current distributions in a spiral resonator.

The design procedure is based on an iterative cycle [15] where an initial design is simulated, the resonant frequencies and the actual coupling coefficients obtained are found by curve fitting and compared with their desired values, and then the microstrip lengths and spacings are corrected. The iterations converge rather slowly because of certain approximations. First, narrow bandwidth is assumed; corrections such as presented in [16] have not yet been adapted for the current filters. Furthermore, the equivalent circuit assumes only capacitive coupling. Resonator frequencies also depend on proximity of other resonators, and this is not initially taken into account. Fortunately, one frequency sweep in a full-wave simulation, by using a coarse cell width equal to the microstrip linewidth, takes only approximately 90 s on a 3-GHz computer (for the eighth-order filter), and is sufficiently accurate for the first few iterations. II. QUASI-DUAL-MODE SPIRAL RESONATORS A double spiral is shown in Fig. 1. To illustrate current distributions in various modes, it can be imagined to be unwound into a straight line. The current distributions for the first harmonic and second harmonic at a particular moment are shown on the left-hand side. (In this paper, the “first” harmonic is just the fundamental.) After a time equal to half a period of the first harmonic, it is as shown on the right-hand side. The sum of the first and second harmonics at the two time instants are also shown. It is clear that energy is transferred from the left-hand half of the resonator to the right-hand half. The two harmonics are analogous to the symmetric and antisymmetric modes of a pair of coupled resonators. The difference is that the transfer takes a fraction of a cycle, instead of many cycles, as appropriate when a large coupling coefficient is required. A very large bandwidth would be possible were it not for the third harmonic, which would form a spurious response in the stopband. It is also clear that the left-hand half of the double spiral can be

0018-9480/$20.00 © 2006 IEEE

HUANG: QUASI-DUAL-MODE MICROSTRIP SPIRAL FILTERS USING FIRST AND SECOND HARMONIC RESONANCES

Fig. 2. Typical dimensions of a dual-spiral resonator (in millimeters). When  l > 4:5 mm, the microstrip bends through an additional 90 corner. The minimum linewidth is 0.5 mm, and the dielectric constant is 10.2.

considered to be one resonator, coupled to a second resonator, which is the right-hand half. To vary the coupling coefficient, the center part of the resonator is widened (Fig. 1). It can be a step change, as used here, or a gradual one. This decreases the inductance of the microstrip. The first harmonic, which has a current maximum at the center, consequently experiences an increase in frequency. The wide portion of the microstrip also has a larger capacitance so the second harmonic, because of its voltage maximum at the center, has its resonance shifted downwards. Decreasing the separation between these two peaks is equivalent to decreasing the coupling coefficient. Meanwhile, the third harmonic is shifted upwards, which is important since a reasonable stopband width is usually required. This dual-mode interpretation illustrates that very wide bandwidths are possible. The double spiral can also be considered to be two quarterwave resonators, coupled by a parallel capacitor at the center, as described in Section I. For narrow bandwidths, the capacitor would have to be large, to form a near short circuit, but for wideband filters, its size is very reasonable. Another situation with a blurred distinction between a dual-mode resonator and two coupled single-mode resonators appears in [17]. An example of a double spiral loaded by a wide microstrip is shown in Fig. 2, while simulated center frequency and coupling coefficient are given in Fig. 3. The electromagnetic software used is SONNET-LITE. Here, is the resonant frequency of an imaginary isolated single-mode resonator, which approximates one quarter-wave spiral together with a capacitor, which acts as a near-short. III. COUPLING BETWEEN DOUBLE SPIRALS It is also necessary to find the coupling coefficient between two resonators in different metal layers such as the spiral denoted in black and the left-hand hatched spiral in Fig. 2. The magnitude of the coupling is varied by adjusting the distance , where corresponds to having one spiral directly over the other, and mm implies no overlap. Each quarterwave resonator could have consisted of one spiral plus a large

743

Fig. 3. Coupling coefficient k between left- and right-hand- halves of the hatched dual-resonator shown in Fig. 2, and center frequency f as a function of dimensions l and l .

Fig. 4. Coupling coefficient between two spirals in different metal layers. 1 and 2: windings in opposite directions; positive and negative d, respectively. 3 and 4: windings in the same direction.

earthing capacitor on one end. Alternatively, the capacitor was replaced by extra microstrip line with the total length chosen to make each resonator have a resonance at approximately when isolated. A sample of values is given in Fig. 4. Polarity is taken such that the capacitative (i.e., electric) coupling is positive, and is determined by the phase of the graphs. It is important to check that the two resonant peaks are not merely due to two different resonant frequencies of the two spirals. When this happens, changing the length of one spiral shifts only one of the peaks, leaving the other hardly changed. It was confirmed in spot checks for several of the data points shown that this did not occur. In cases 1 and 2, the spirals are wound in opposite directions (i.e., one clockwise and the other counterclockwise, following the track inwards to the center of the spiral). In cases 3 and 4,

744

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 5. Layout of fourth-order filter: 600-MHz center frequency, 50% fractional bandwidth.

Fig. 6.

Approximate lumped-element equivalent of the fourth-order filter.

one of the spirals has been flipped so the windings are both in the same direction. This reverses the sign of the magnetic coupling . Thus, if cases 1 and 2 give , then 3 and 4 represent . When mm, as in the filters to be described, dominates. For a large coupling coefficient, there must be an overlap, and the spirals must be wound in opposite directions. The latter requirement makes it impossible to intertwine the resonators, as in [18]. Otherwise, wide-band single-layer filters would be possible. For mm, magnetic coupling dominates, which is consistent with previous research [15], where the spirals are on the same metal layer so no overlap is permitted. IV. FOURTH-ORDER FILTER The layout of a fourth-order Chebyshev filter is shown in Fig. 5, and its simplified lumped equivalent circuit is shown in Fig. 6. It is symmetrical to reduce the number of parameters at the cost of reduced flexibility. The four LC resonators shown are the four spirals, while the parallel coupling capacitor between resonators 2 and 3 represents a short wide transmission line. Coupling between resonators 1 and 2, and between 3 and 4 is mainly capacitive so capacitors are shown. The capacitors in resonators 1 and 2, together with the coupling capacitor, form a pi (or delta) network, which could be replaced by a T (or star) equivalent; this converts the circuit to one of the standard forms in [19]. The dielectric layers are RT Duroid with a dielectric constant of 10.2, and thicknesses of 1.27 and 0.64 mm, the copper layers are 0.0175-mm thick, while mm. Minimum linewidth is 0.5 mm. Other dimensions are similar to Fig. 2. The filter was designed using standard data from [19] together with graphs of , examples of which are given above, together with an iteration procedure [15]. The input and output coupling technique is described in [4]. Initially, the simulated lower transition region had a much gentler slope than the upper transition. A large space between two spirals on the left-hand side and the two on the right-hand side was then introduced (Fig. 5), and the calculated response became more symmetrical, as shown in Fig. 7. This indicates that the unwanted couplings between resonators, other than from resonator 1 to 2, 2 to 3, and 3 to 4, are significant. Measured and simulated results are compared in Fig. 7, showing good agreement. Maximum ripple is approximately 0.3 dB, about twice the simulated ripple. It is deliberately

Fig. 7. Simulated and measured responses of the fourth-order filter. The graph at the lower left-hand side shows the passband magnified.

smaller near the upper passband edge to compensate for a higher resistive loss; the right-most dip has virtually disappeared. The corresponding zero in at approximately 720 MHz is also indistinct so only three of the expected four zeroes are clearly visible. The ripple, the slightly smaller bandwidth, and the slightly lower center frequency can presumably be corrected in a second iteration. The filter presented here was overetched by approximately 0.05–0.15 mm, and shows slightly less ripple than a more accurately etched version. A possible partial explanation is that simulations with finite cell width (in this case, 0.125 mm) do not fully allow for current and charge crowding at the edges and, therefore, might, in fact, accurately simulate a narrower linewidth. This was also observed in [4]. Misalignment between the top and bottom spirals can occur during assembly. A 0.5-mm shift of the lower layer upwards or downwards increases simulated ripple to 0.3 and 0.7 dB, respectively, while bandwidth is increased by 20 and 30 MHz. Center frequency is virtually unchanged. V. EIGHTH-ORDER FILTER The eighth-order Chebyshev filter used the same substrates and similar sizes of spirals. The layout is illustrated in Fig. 8. From the left-hand side to the center, the values of are 3.125 and 3.75 mm. The overall dimensions are 45 mm 18 mm, excluding a border to avoid interactions with any neighboring devices. On the top layer, the dual spiral consists of two spirals wound in opposite directions, while in the middle layer, they are in the same direction. The original intention was to have control over unwanted cross-coupling terms, as shown in [20], but this is yet to be explored. In addition to experience gained from an aborted less ambitions design, it required four iterations with the coarsest available mesh and then six iterations using a fine mesh with cell width of 0.125 mm. The CPU times for the lossless case using a 3-GHz computer were 90 s and 40 min, respectively, using

HUANG: QUASI-DUAL-MODE MICROSTRIP SPIRAL FILTERS USING FIRST AND SECOND HARMONIC RESONANCES

745

Fig. 8. Layout of the eighth-order filter: 600-MHz center frequency, 50% fractional bandwidth.

Fig. 10.

Simulated and measured responses of the eighth-order filter.

Fig. 9. Simulated response of an early eighth-order filter using various terminations (source and load). Resistances are the resultant of 50 plus an additional parallel resistor.

SONNET-LITE and SONNET per frequency sweep from 200 to 1000 MHz. Most of the effort in the iterations was in the curve fitting, which has still not been automated. In the first few iterations, the curve fitting to find the achieved filter parameters is easier if a similar filter with reduced source and load resistance is also simulated. One way to do this is to introduce parallel resistors to earth at points A and B (Fig. 8). The response in an early iteration is shown in Fig. 9. With the resistances reduced, the eight peaks expected from an eighth-order filter are all visible, making curve fitting easier. Fitting the original filter is then simplified since most of the parameters are known at least approximately. This technique may be adaptable for an automated routine. For curve fitting to , the curves should be shifted upwards before using . The measured response had approximately 0.8 dB of ripple. This was approximately 0.2 dB less in a deliberately overetched copy of the filter, which has linewidths of 0.44 mm. A partial explanation was given with the fourth-order filter. If the lid is removed, the radiation loss can be estimated by using the “free-space” boundary in the simulation; loss increases by only 0.02 dB. Spirals radiate less than straight microstrips. A guide to the magnitude of the adjustments required to reduce ripple was given by simulations, where the lengths of the microstrip lines were varied and the effect on responses noted. The stubs at C and D (Fig. 8) were shortened by 4.5 mm with a knife, and the spiral lengths just above E and F were increased by 1 mm using copper adhesive tape. No attempt was made to adjust center frequency and bandwidth. The final measured response appears in Fig. 10, together with the simulation. A good

Fig. 11. Simulated (lossless) responses of the eighth-order filter when the lower patterned layer is misaligned relative to the top by a 0.125-mm shift to the left, up, or down. (Ripple peaks 7 and 8 in the errorless case have merged because a coarse cell size has been used.)

response has been achieved. Since the ripple was intended to be smaller at the high-frequency end of the passband to compensate for higher loss, it has taken only a small error to cause two ripple peaks to almost merge so the corresponding sixth and seventh zeroes in have also joined. Maximum ripple is approximately 0.35 dB. This can probably be further improved when a specific application with a specific target ripple is considered. The modifications to the filter correspond to a small discrepancy between the simulated and realized responses; they are not due to an insufficient number of iterations. The stopband extends to approximately 1400 MHz, significantly more than twice the center frequency. Unloaded , found as in [4], is approximately 130 for both filters. Passband loss is accurately simulated here and in [4], but the simulation may not always adequately deal with current crowding at the edges of the tracks. As expected, misalignment between top and bottom layers has more effect on a higher order filter. Simulated responses for a 0.125-mm shift are shown in Fig. 11. The worst case ripple is

746

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Examining the electric fields before superimposing them shows that the two fields partially cancel at the top surface of the strip, and add at the bottom surface. The result is that 75% of the field lines originate from the bottom surface of the strip so they are minimally affected by the introduction of the air gap. Moving to Fig. 12(c), the capacitance of the series combination and can be found as above, and a similar relation exists for and . The combination and approximates a parallel-plate capacitance. Evaluating and , and then using a star-to-delta transformation, the capacitances in Fig. 12(d) are (3) Fig. 12. Conformal mapping to evaluate capacitances between layers.

(4)

0.55 dB. Measurement of a deliberately misaligned filter suggests 1 dB, which is an acceptable agreement since the misalignment may have been more than the intended 0.125 mm, and there could have been other fabrication errors. A sideways shift is less serious than a vertical shift since the errors on the left- and right-hand-side halves of the filter partially cancel. A rotational misalignment (not investigated) should also cause errors that cancel. VI. EFFECT OF AIR GAPS It is assumed that good contact between the two dielectric layers can be maintained, except near the copper tracks, where there may be air spaces equal to the copper thickness of 0.0175 mm, shown hatched in Fig. 12(d). Since the dielectric permittivity is 10.2 that of air, the gap is equivalent to an extra layer of dielectric of approximately 0.18 mm, which is significant compared with the actual dielectric thicknesses of 0.64 and 1.27 mm. A bonding layer is not considered here. Considering an infinite layer of periodically spaced parallel equally charged metal strips with equal width and spacing in a homogenous medium with permittivity , as shown in Fig. 12(a), the region enclosed by the dashed line (designated as the -plane) can be transformed into a uniform field in the -plane by the conformal mapping

(5) is small compared with and , as expected since the middle layer forms a shield between the top and bottom layers. Thus, the introduction of an air gap will have little effect because most of the capacitance between the top metal layer and ground comes from , where there is no middle metal layer. This argument has been confirmed in a SONNET simulation, which also confirms that the effect of the air gap on and is small. VII. CONCLUSION The range of fractional bandwidths for spiral filters has been extended so that very wide bandwidths can be implemented in a compact structure. It is hoped that this kind of filter will also be suitable for LTCC implementations. Scaling to 3 GHz, the filter would be 9 mm 3.6 mm. The 0.1-mm linewidths should be well within most fabrication techniques. The increased loss due to narrower linewidths is offset by the shorter lengths. However, the frequency dependence of skin depth would increase losses by approximately 2.2 . With up-to-date electromagnetic simulators, repeated iterations should not be a serious drawback if the curve fitting can at least be partially automated as proposed. ACKNOWLEDGMENT

(1) The potential at any point in the -plane can, therefore, be found. It becomes nearly uniform a short distance above and below the strips; at a distance of 0.64 mm (for mm), the equipotential is flat to within 0.003 mm. The field, therefore, does not induce any significant charge redistribution in the horizontal conductors, added in Figs. 12(b) and (c). In Fig. 12(b), the field can be found by superimposing the above with another field due to a uniform charge on the ground plane. It is found that the capacitance per unit length of one strip is (2)

The author is grateful to A. Yates, C. Ansell, and D. Checkley, all with the University of Birmingham, Edgbaston, Birmingham, U.K., for technical assistance. REFERENCES [1] M. Sagawa, K. Takahashi, and M. Makimoto, “Miniaturized hairpin resonator filters and their application to receiver front-end MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1991–1997, Dec. 1989. [2] M. Reppel, H. Chaloupka, and S. Kolesov, “Highly miniaturized superconducting lumped-element bandpass filter,” Electron. Lett., vol. 34, no. 9, pp. 929–930, Apr. 1998. [3] B. K. Jeon, J. H. Kim, C. J. Lee, B. C. Min, Y. H. Choi, S. K. Kim, and B. Oh, “Seven-pole HTS microstrip bandpass filter using nonadjacent coupling of pseudo-lumped element resonator,” Electron. Lett., vol. 36, no. 16, pp. 1394–1396, Aug. 2000. [4] F. Huang, L. Yue, and D. Gulati, “Compact copper microstrip filters with spiral resonators,” Microw. Opt. Technol. Lett., vol. 42, pp. 460–463, Sep. 2004.

HUANG: QUASI-DUAL-MODE MICROSTRIP SPIRAL FILTERS USING FIRST AND SECOND HARMONIC RESONANCES

[5] U. Karacaoglu, K. K. M. Cheng, and I. D. Robertson, “A new microstrip bandpass filter topology employing two-port quarter wavelength resonators,” in Microw. RF/Commun. Technol. Conf., Oct. 96, pp. 426–427. [6] W. Menzel, L. Zhu, K. Wu, and F. Boegelsack, “On the design of novel compact broad-band planar filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 364–370, Feb. 2003. [7] J. R. Lee, J. H. Cho, and S. W. Yun, “New compact bandpass filter using microstrip =4 resonators with open stub inverter,” IEEE Microw. Guided Wave Lett., vol. 10, no. 12, pp. 526–527, Dec. 2000. [8] H. Suzuki, Z. Ma, Y. Kobayashi, K. Satoh, S. Narahashi, and T. Nojima, “A low-loss 5 GHz bandpass filter using HTS quarter-wavelength coplanar waveguide resonators,” IEICE Trans. Electron., vol. E85-C, pp. 714–719, Mar. 2002. [9] J. Zhou, M. J. Lancaster, and F. Huang, “HTS coplanar meander-line resonator filters with a suppressed slot-line mode,” IEEE Trans. Appl. Supercond., vol. 14, no. 1, pp. 28–32, Mar. 2004. [10] K. M. Shum, T. T. Mo, Q. Xue, and C. H. Chan, “A compact bandpass filter with two tuning transmission zeros using a CMRC resonator,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 895–900, Mar. 2005. [11] L.-H. Hsieh and K. Chang, “Compact, low insertion-loss, sharp reflection, and wide-band microstrip bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1241–1246, Apr. 2003. [12] J.-T. Kuo and E. Shih, “Wideband bandpass filter design with threeline microstrip structures,” Proc. Inst. Elect. Eng.–Microw. Antennas Propag., vol. 149, pp. 243–247, Oct./Dec. 2002. [13] J. Konpang, A. Namahoot, and P. Akkaraekthalin, “A wide-band bandpass filter using the properties of microstrip open-loop resonators with outer tuning stubs,” in IEEE Region 10 Conf., vol. C, Nov. 2004, pp. 624–627. [14] G. A. Lee, M. Megahed, and F. De Flaviis, “Design of multilayer spiral inductor resonator filter and diplexer for system-in-a-package,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 527–530. [15] F. Huang and X. Xiong, “Very compact spiral resonator implementation of narrow-band superconducting quasi-elliptic filters,” in Proc. 33rd Eur. Microw. Conf., Munich, Germany, Oct. 2003, pp. 1059–1062.

747

[16] K. S. Chin, L. Y. Lin, and J. T. Kuo, “New formulas for synthesizing microstrip bandpass filters with relatively wide bandwidths,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 231–233, May 2004. [17] R. R. Mansour, S. Ye, S. F. Peik, V. Dokas, and B. Fitzpatrick, “Quasidual-mode resonators,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2476–2481, Dec. 2000. [18] C. K. Ong, L. Chen, J. Lu, C. Y. Tan, and B. T. G. Tan, “High-temperature superconducting bandpass spiral filter,” IEEE Microw. Guided Wave Lett., vol. 9, no. 10, pp. 407–409, Oct. 1999. [19] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980, p. 100, 433, and 653. [20] C. Y. Tan, L. Chen, J. Lu, X. S. Rao, and C. K. Ong, “High-temperature superconducting bandpass spiral filter,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 6, pp. 247–249, Jun. 2003.

Frederick Huang was born in Singapore, in 1955. He received the B.A. degree in engineering science and D. Phil. degree from the University of Oxford, Oxford, U.K., in 1980 and 1984, respectively. His doctoral research concerned surface acoustic wave (SAW) devices, mainly dot-array pulse compressors. Since 1989 he has been a Lecturer with the University of Birmingham, Edgbaston, Birmingham, U.K. His previous research interests concerned speech signal processing including analog voice scramblers, the use of Langmuir–Blodgett films in SAW devices, low-loss SAW filter synthesis, superconducting delay-line filters including linear phase and chirp devices, slow-wave structures, superconducting quasi-lumped element filters, switched filters, and superconducting delay lines. His minor research interests have included microstrip and waveguide discontinuities. His current research interests are spiral bandpass filters and microelectromechanical systems (MEMS) switches. Dr. Huang is a member of the Institution of Electrical Engineers (IEE), U.K.

748

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Analytical Model of the Wire-Bonded Interdigital Capacitor Enrique Márquez-Segura, Member, IEEE, Francisco P. Casares-Miranda, Student Member, IEEE, Pablo Otero, Member, IEEE, Carlos Camacho-Peñalosa, Member, IEEE, and Juan E. Page

Abstract—The wire-bonded interdigital capacitor (WBIDC) is an interdigital capacitor with short circuits across the end of alternate fingers that result in an improved frequency response. This paper presents the analytical and circuital models of the WBIDC, which are useful to design and to incorporate into an electromagnetic or circuit analysis computer-aided design program. Design equations of the WBIDC are also presented. The analytical model and design equations have been validated with numerical analysis and experimental work. Index Terms—Interdigital capacitor (IDC), microwave passive circuits, wire-bonded interdigital capacitor (WBIDC), wire bonding.

I. INTRODUCTION

M

ICROSTRIP circuits using composite right/left-handed transmission lines (CRLH-TLs) [1], [2] need series capacitors to operate at frequencies beyond the resonant frequencies of printed interdigital capacitors (IDCs). Recently, an enhanced IDC, the so-called wire-bonded interdigital capacitor (WBIDC), which is basically an IDC with short circuits across the end of alternate fingers, has been presented [3]. Microstrip IDC and WBIDC are shown in Fig. 1(a) and (b), respectively. The improvement of the WBIDC over the IDC is due to the bonding wires, which eliminate the above-mentioned resonances. The WBIDC can be analyzed with the help of an electromagnetic (EM) computer-aided design (CAD) program. If printed, the best choice is an EM solver based on the integral equation and the method of moments. In that case, the bonding wires are introduced in the program by means of vertical vias from the finger ends to a second trace layer placed above the IDC through an air layer. The vertical vias are then connected among themselves on that second trace layer, i.e., the analysis of a WBIDC is a cumbersome task because the WBIDC has to be drafted and the simulation time is long. Any simple geometrical modification means that the WBIDC has to be redrafted and analyzed again. Hence, an accurate analytical model of the WBIDC would be very welcome.

Manuscript received July 31, 2005; revised October 5, 2005. This work was supported by the Spanish Ministry of Science and Technology and by the European Regional Development Funds of the European Union under Grant TIC2003-05027. E. Márquez-Segura, F. P. Casares-Miranda, Pablo Otero, and C. CamachoPeñalosa are with the Departamento Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingeniería de Telecomunicación, Universidad de Málaga, 29071 Málaga, Spain (e-mail: [email protected]). J. E. Page is with the Departamento Electromagnetismo y Teoría de Circuitos, Escuela Técnica Superior de Ingeniería de Ingenieros de Telecomunicación, Universidad Politécnica de Madrid, 28040 Madrid, Spain. Digital Object Identifier 10.1109/TMTT.2005.862634

Fig. 1.

(a) IDC. (b) WBIDC.

In this paper, a simplified analytical model of the WBIDC, ready to be incorporated into an EM or circuit analysis CAD program, is developed. Section II shows how the analytical model is developed. Design formulas, derived from the model, are presented in Section III-A. Compact formulas allow circuit designers to calculate any required WBIDC. Section III-A also presents an equivalent two-port circuit, valid at low frequencies, of the WBIDC. The analysis has been done first neglecting losses. Second, the quality factor of the WBIDC has been calculated as well, as described in Section III-B. The model and design formulas are validated with the numerical simulations and the experiments presented in Section IV. Finally, the effect of the inductance of the bonding wires has been studied in Section V. II. ANALYTICAL MODEL The structure of a simple printed IDC is shown in Fig. 1(a). This circuit shows a number of resonant frequencies that limit the operational bandwidth of the IDC. Fig. 1(b) shows a microstrip WBIDC. When the bonding wires are connected, those resonances disappear [3]. The transmission-line equivalent of a Lange coupler is shown in Fig. 2. The Lange coupler and WBIDC are different circuits. The former is a four-port circuit, the length of the fingers being a quarter wavelength and is used to couple part of the energy present at one port to two other ports. The later is a two-port device that emulates a capacitance and the fingers can have any length, usually less than a quarter wavelength. Nevertheless, from the circuital point-of-view and with the only purpose of analysis, the WBIDC can be considered to be a Lange coupler of any length and with ports 2 and 4 open ended. When losses are neglected and coupling between nonadjacent lines is negligible, the admittance matrix of the Lange coupler is [4]

0018-9480/$20.00 © 2006 IEEE

(1)

MÁRQUEZ-SEGURA et al.: ANALYTICAL MODEL OF WBIDC

749

Fig. 3.

5-type two-port circuit. (6b)

is the reference impedance. The matrix in (5) can be where inverted again to obtain the admittance matrix of the WBIDC as follows: Fig. 2. Lange coupler.

where

is the electrical length of the fingers and

(7) (2a) (2b)

where

is the number of fingers, which is even, and (3a) (3b)

and in (3) are the even- and odd-mode The admittances admittances, respectively, of a pair of adjacent fingers of the coupler. When no losses are considered, admittances and are real numbers. It happens that [5]. From (2) and (3), it is then easy to demonstrate that and [4]. The corresponding impedance matrix is obtained inverting matrix (1) as follows:

Matrices in (5)–(7) can be incorporated into any circuit analysis CAD program. The presented analytical model, valid for a WBIDC with an even number of fingers, has a few limitations. First, the exact calculation of the admittances of a pair of coupled lines [ and in (3)]. Second, losses and the coupling between nonadjacent lines have been neglected. Third, the bonding wires across alternate fingers have been considered ideal short circuits. Finally, as mentioned, the model has been developed for a WBIDC with an even number of fingers. III. DESIGN FORMULAS AND EQUIVALENT CIRCUIT A. Lossless Model equivalent circuit of a two-port circuit is shown in The Fig. 3. If matrix (7) was the admittance matrix of this circuit, then (8a) (8b)

(4) When currents in ports 2 and 4 are zero (because they are open ended), the resulting two-port circuit is a WBIDC, and its impedance matrix is (5) The impedance parameters in (5) can be easily converted to -parameters using [6] (6a)

and in (8a) and (8b) is shown An example of admittances in Fig. 4. The circuit in Fig. 3, along with the expressions in (8a) and (8b), are always valid no matter the electrical length of the fingers, i.e., the frequency range. When fingers are electrically short, i.e., at frequencies where , (9a) (9b) and in (9a) At very low frequencies, the two admittances and (9b) correspond to two capacitances and , respectively, as can be seen in Fig. 4. The low-frequency equivalent circuit of the WBIDC is shown in Fig. 5. The low-frequency lumped parameters are and . The elements and will be discussed at the conclusion of this section and

750

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Above zero frequency, the first three singularities that in (8a) are two poles at frequencies presents the admittance where

(12) and a zero at frequency where . The two poles correspond to two zeros of series impedance, which means transmission. It is simple to realize that . The two frequencies where this happens are (13a) (13b)

Fig. 4.

Series and parallel susceptances .

Imag[Y ]

B = Imag[Y ]

and

B =

means that the fingers are a half guidedThe condition wavelength long. The corresponding frequency is (14) At this frequency, there is no transmission between ports 1 and 2. , and (actually between and , Between as will be shown below), there is a frequency where the length of the fingers is a quarter guided wavelength, then . The frequency where this happens is

Fig. 5. Low-frequency equivalent circuit of the WBIDC (electrically short fingers).

in Section III-B, respectively. The two capacitances can be calculated as (10a) (10b) where is the speed of light, is the length of the fingers, and the effective relative permittivity is computed from the corresponding values of the even and odd modes of a pair of adjacent fingers of the coupler [5]

(15) is negative It is easy to demonstrate that the susceptance of in the vicinity of , where it has a local maximum, as shown in Fig. 4, i.e., the series branch of the two-port circuit of Fig. 3 is highly reactive at , which means that there is no transmission. Considering the values that those different frequencies can take, it follows that (16) The frequency is the lowest series resonance frequency of the WBIDC. The series inductance in Fig. 5 is obtained from that frequency as follows: (17)

(11) The effective permittivity calculated with (11) gives a phase velocity that is the arithmetic mean of the phase velocities of the even and odd modes that propagate in the coupled lines. The validity of both the analytical model and equivalent circuit are limited by the exact calculation of the admittances of a pair of coupled lines, and in (3), as previously mentioned in Section II, but also by the exact calculation of the effective propagation characteristics of those coupled lines, which are reflected in the value of in (11).

It is important to note that the equivalent circuit of Fig. 5 is only valid up to frequency . Nevertheless, the design (10a), (10b), and (17) are extremely useful to design circuits using the WBIDC. The exam of the admittance of (8b) also shows a pole at and a zero at , which correspond to frequencies and , respectively. These two frequencies are beyond the range where the proposed equivalent circuit of the WBIDC can be used. Concerning the three frequencies and , the following discussion is of interest. There are two longitudinal

MÁRQUEZ-SEGURA et al.: ANALYTICAL MODEL OF WBIDC

751

effects related to resonances. First, the series resonance of the combination of the finger inductances and the capacitances between fingers themselves and the capacitances to ground ( and ). Second, the longitudinal resonance, when the length of the fingers is a quarter guided wavelength . For small values of (small number of fingers), the WBIDC stops being a capacitance and behaves as a series inductance above . When is made larger (more fingers are added), the turn-on frequency is between and and, when is made even larger, the turn-on frequency moves up to , the reason being the dependences of the admittance of (8a), that, in turn, are due to the in the circuit response because grows with influence of . This point is very important if the WBIDC is used to build a CRLH-TL because the frequency response of the WBIDC is determinant to compute the frequency where the transmission line stops being left-handed and becomes right-handed [1]. B. Losses and Quality Factor in the circuit When losses are considered, the resistance of Fig. 5 is no longer zero. Its value is obtained as the real part of the impedance . The admittances in (8a) and (8b) are the lossless admittances. The expressions for and when losses are considered are (18b) (18b) is the propagation constant of two adjacent where fingers considered as a pair of coupled lines. The resistance of the series branch is then calculated as (19) , the series branch of the circuit in Fig. 5 resAt frequency onates and the value of is (20) The quality factor of the WBIDC at a low-frequency the effect of is negligible can be calculated as

where

S

(21)

IV. MODEL VALIDATION A. Numerical Validation A WBIDC has been designed for a case study on a Rogers Ultralam 2000 substrate with relative permittivity 2.4 and thickness 1.52 mm (60 mil). Lines are 400- m wide and gaps are 100 m. The computed -parameters in Fig. 6 are those of the circuit in Fig. 3 when and are the admittances of (8a) and (8b), respectively. The values of and have been computed using the expressions found in [7] and [8]. The previously mentioned behavior of the WBIDC with the number of fingers is shown in Fig. 6. Note that the case corresponds to a pair of coupled lines. When the number of fingers is increased, the value of the series capacitance rises, but

Fig. 6. -parameters (reference impedance 50 ) of the WBIDC versus frequency, for a different number of fingers, computed from the circuit in Fig. 3 with (8a) and (8b). TABLE I LUMPED PARAMETERS VERSUS NUMBER OF FINGERS FOR THE WBIDC SHOWN IN FIG. 5. FACTOR CALCULATED AT 10 MHz

Q

also the value of does, as already explained in Section III. This effect depends on the relative permittivity of the substrate, on its thickness, and on the dimensions of the fingers and gaps.

752

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 7. S -parameters (reference impedance 50 ) of the WBIDC versus frequency, compared to its equivalent circuit (Fig. 5).

Fig. 9. Computed and measured prototype.

S -parameters

of the eight-finger WBIDC

B. Experimental Validation

Fig. 8. Computed and measured prototype.

S -parameters

of the four-finger WBIDC

There is an optimal value of for every combination of those parameters to obtain particularly good -parameters (i.e., in Fig. 6). Table I shows the values of the lumped elements of the equivalent circuit. The response of the equivalent circuit of Fig. 5, compared to case in Fig. 6, is shown in Fig. 7, where it can be seen that the equivalent circuit is only valid up to frequency , as already mentioned.

The WBIDC and its analytical model have been validated with numerical simulation using a full-wave EM solver and with experimental work. Two WBIDC prototypes have been designed and printed on a Rogers Ultralam 2000 substrate, with relative permittivity of 2.4 and thickness of 1.52 mm (60 mil). The first prototype has four fingers 8-mm long and 400- m wide with gaps of 100 m. Fig. 8 shows the simulated and measured -parameters of this WBIDC. The second prototype has eight fingers 6.5-mm long and 410- m wide, with gaps of 230 m. The corresponding -parameters are shown in Fig. 9. In both cases, the simulated parameters have been computed with the model of (6a) and (6b) (“WBIDC analytical” in Figs. 8 and 9) and Ansoft Ensemble (“WBIDC EM simulation” in Figs. 8 and 9). Measurements were carried out without and with the bonding wires (IDC and WBIDC, respectively) so that the benefits of using the latter are demonstrated as well. Figs. 8 and 9 show a very good agreement between the proposed analytical model and both the full-wave analysis and measurements.

MÁRQUEZ-SEGURA et al.: ANALYTICAL MODEL OF WBIDC

753

REFERENCES

Fig. 10. S -parameters of the WBIDC versus frequency. Parameter the length of the bonding wire (lw ).

[1] A. Lai, C. Caloz, and T. Itoh, “Composite right/left-handed transmission line metamaterials,” IEEE Microw. Mag., vol. 5, no. 3, pp. 34–50, Sep. 2004. [2] M. A. Antoniades and G. V. Eleftheriades, “Compact linear lead/lag metamaterial phase shifters for broad-band applications,” IEEE Antennas Wireless Propag. Lett., vol. 2, no. 1, pp. 103–106, Dec. 2003. [3] F. P. Casares-Miranda, P. Otero, E. Márquez-Segura, and C. CamachoPeñalosa, “Wire bonded interdigital capacitor,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 700–702, Oct. 2005. [4] W. P. Ou, “Design equations for a interdigitated directional coupler,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 2, pp. 253–255, Feb. 1975. [5] R. S. Elliott, An Introduction to Guided Waves and Microwave Circuits. Upper Saddle River, NJ: Prentice-Hall, 1993. [6] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [7] M. Kirschning and R. H. Jansen, “Accurate wide-range design equations for the frequency-dependent characteristic of parallel coupled microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 1, pp. 83–90, Jan. 1984. , “Corrections to ‘Accurate wide-range design equations for the fre[8] quency-dependent characteristic of parallel coupled microstrip lines’,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 3, p. 288, Mar. 1985.

V. EFFECT OF WIRE INDUCTANCE The analytical model presented in this paper has several limitations that have been mentioned in Section II. The main difference between the IDC and WBIDC is the connection of alternate fingers with the bonding wires. The effect of the series inductances of the nonideal wires is an insignificant drawback of the WBIDC. These inductances give rise to a resonance of frequency close to frequency . The higher the value of the wire inductance, the lower the frequency of that resonance. This effect can be observed in Fig. 10, where several wire lengths are considered for one of the cases analyzed in Section IV-A (six fingers printed on a Rogers Ultralam 2000 substrate with a relative permittivity of 2.4 and a thickness of 1.52 mm). It is important to mention that, for typical values of wire inductance, that resonance is out of the frequency range where the device behaves as a capacitor. VI. CONCLUSION In this paper, the analytical and circuital models of the WBIDC have been presented. The models allow to incorporate the WBIDC as a block into EM and circuit analysis CAD programs. The analytical model is accurate no matter the electrical length of the fingers, i.e., for any frequency. The circuital model is valid up to the frequency of series resonance of the WBIDC. Design equations of the WBIDC have been obtained from the analytical model. The analytical model and design equations have been validated with simulations using an EM solver based on the integral equation and the method of moments, and with experimental study. Agreement between the presented analytical model, the method of moments analysis, and the measurements results is very good. The availability of the models and design equations presented in this paper makes the use of the WBIDC safe enough not only to design a CRLH-TL, but also in any design where a susceptance is needed with a performance superior to that of an IDC.

Enrique Márquez-Segura (S’93–M’95) was born in Málaga, Spain, in April 1970. He received the Ingeniero de Telecomunicación and Doctor Ingeniero de Telecomunicación degrees from the Universidad de Málaga, Málaga, Spain, in 1993 and 1998, respectively. In 1994, he joined the Departamento de Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingeniería de Telecomunicación, Universidad de Málaga, where, in 2001, he became an Associate Professor. His current research interests include EM material characterization, measurement techniques, and RF and microwave circuits design for communication applications.

Francisco P. Casares-Miranda (S’05) was born in Granada, Spain, in 1978. He received the Ingeniero de Telecomunicación degree from the Universidad de Málaga, Málaga, Spain, in 2003, and is currently working toward the Ph.D. degree at the University de Málaga. Since 2004, he has been a Graduate Student and Research Assistant with the Departamento de Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingeniería de Telecomunicación, Universidad de Málaga. His current research is focused on the analysis and applications of left-handed metamaterials. Mr. Casares was the recipient of a Spanish Ministry of Education and Science scholarship (2004–2008).

Pablo Otero (S’93–M’96) received the Ingeniero de Telecomunicación degree from the Universidad Politécnica de Madrid, Madrid, Spain, in 1983, and the Ph.D. degree from the Swiss Federal Institute of Technology at Lausanne (EPFL), Zürich, Switzerland, in 1998. After ten years in different Spanish companies, in 1993, he joined the Universidad de Sevilla, Seville, Spain, where he was a Lecturer for two years. In 1998, he joined the Departamento de Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingeniería de Telecomunicación, Universidad de Málaga, Málaga, Spain, where he is currently an Associate Professor. His research interests include EM theory and printed microwave circuits and antennas.

754

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Carlos Camacho-Peñalosa (S’80–M’82) received the Ingeniero de Telecomunicación and Doctor Ingeniero degrees from the Universidad Politécnica de Madrid, Madrid, Spain, in 1976 and 1982, respectively. From 1976 to 1989, he was with the Escuela Técnica Superior de Ingenieros de Telecomunicación, Universidad Politécnica de Madrid, as Research Assistant, Assistant Professor, and Associate Professor. From September 1984 to July 1985, he was a Visiting Researcher with the Department of Electronics, Chelsea College (now King’s College), University of London, London, U.K. In 1989, he became a Professor with the Universidad de Málaga, Málaga, Spain. He was the Director of the Escuela Técnica Superior de Ingenieros de Telecomunicación (1991–1993), Vice-Rector (1993–1994), and Deputy Rector (1994) of the Universidad de Málaga. From 1996 to 2004, he was the Director of the Ingeniería de Telecomunicación. From 2000 to 2003, he was Co-Head of the Nokia Mobile Communications Competence Centre, Málaga, Spain. His research interests include microwave and millimeter solid-state circuits, nonlinear systems, and applied electromagnetism. He has been responsible for several research projects on nonlinear microwave circuit analysis, microwave semiconductor device modeling, and applied electromagnetics.

Juan E. Page was born in Madrid, Spain, in 1946. He received the Ingeniero de Telecomunicación and Doctor Ingeniero degrees from the Universidad Politécnica de Madrid, Madrid, Spain, in 1971 and 1974, respectively. Since 1983, he has been a Professor with the Departamento de Electromagnetismo y Teoría de Circuitos, Universidad Politécnica de Madrid. His activity includes the teaching of EM theory and research in the field of computer-aided design (CAD) of microwave devices and systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

755

Novel Compact Net-Type Resonators and Their Applications to Microstrip Bandpass Filters Chi-Feng Chen, Ting-Yi Huang, and Ruey-Beei Wu, Senior Member, IEEE

Abstract—Novel compact net-type resonators and their practical applications to microstrip bandpass filters have been presented in this paper. Three kinds of filters are designed and fabricated to demonstrate the practicality of the proposed compact net-type resonators. In addition, by adjusting the structural parameters of the net-type resonators, the spurious frequencies can be properly shifted to higher frequencies. As a result, a three-pole Chebyshev net-type resonator filter with a fractional bandwidth (FBW) of 6.8% has a spurious resonance of up to 4 1 0 , and it has more than 80% size reduction in comparison with the conventional U-shaped resonator filter. A four-pole quasi-elliptic net-type resonator filter with a FBW of 3.5% has a spurious resonance of up to 5 0 , and it has approximately 67% size reduction in comparison with the cross-coupled open-loop resonator filter. A three-pole trisection net-type resonator filter with a FBW of 4.7% has a spurious resonance of up to 6 5 0 , and its size is reduced by 68% in comparison with the trisection open-loop resonator filter. Consequently, each of the designed filters occupies a very small circuit size and has a good stopband response. The measured results are in good agreement with the full-wave simulation results by IE3D. Index Terms—Coupling coefficient, external quality factor, microstrip filter, net-type resonator.

I. INTRODUCTION

M

ODERN microwave communication systems require miniaturized high-performance bandpass filters with high-selectivity and wide stopband response. These filters can be designed and fabricated on various materials. However, up to the present time, planar filters are particularly attractive because of their smaller size, easier manufacture, and lower fabrication cost [1]. Many applications to planar filters have been widely used in many microwave communication systems. Among them, parallel-coupled microstrip bandpass filters have originally been proposed in [2], and they have the advantages of wide realizable bandwidth and simple synthesis procedures [3]–[5]. Another popular one is the cross-coupled bandpass filter, which is often used to improve selectivity because it has one pair of transmission zeros in the stopband to reject possible interference [6]–[10]. To reduce the filter size, a more practical way is to miniaturize the resonator circuit. Therefore, many compact resonators like U-shaped hairpin resonators [4], [5], open-loop resonators [6], [7], and other miniaturized resonators [8]–[10] have been proposed. However, with the rapid evolution of modern communica-

Manuscript received August 10, 2005; revised October 7, 2005. This work was supported in part by the National Science Council under Grant NSC 93-2752-E-002-003-PAE. The authors are with the Department of Electrical Engineering and Graduate Institute Communication Engineering, National Taiwan University, Taipei, Taiwan 10617, R.O.C. Digital Object Identifier 10.1109/TMTT.2005.862626

tion systems, the sizes of these resonators are not small enough to be used. Wide stopband response has currently been an important issue in developing microwave filters in order to enhance the circuit performance because many planar bandpass filters using half-wavelength resonators have the inherent spurious passband at twice the midband frequency. One popular solution is to impose the stepped impedance resonators (SIRs). The SIR was presented not only to control the spurious responses, but also to reduce the resonator size [11], [12]. By adequately selecting the values of the impedance and length ratios of the SIR, its size can be reduced and its first spurious frequency can be properly moved to a higher frequency so as to extend the stopband. In addition, filter based on a defected ground structure (DGS) can also obtain a good stopband performance [13], [14]. However, it has no significant improvement in size reduction. Recently, a net-type resonator has been proposed and employed to design a bandpass filter [15]. In this paper, several novel compact net-type resonators have been presented, analyzed theoretically, and verified by several filters. In comparison with the conventional open-loop resonator, the areas of the compact net-type resonators have at least more than approximately 67% reduction. In addition, the net-type resonator has the capability to control its spurious frequencies, which is the same as an SIR. Their applications to various microstrip bandpass filters such as parallel-coupled, cross-coupled, and cross-coupled trisection filters have also been proposed. As a result, these filters not only have small sizes, but also have wide upper stopband responses. This paper is organized as follows. Section II describes the theory of a net-type resonator. Section III characterizes two different types of coupling structures encountered in the filter design. Sections IV–VI provide the design procedures for the three kinds of microstrip bandpass filters. The experimental data are presented and compared with the simulated results. Finally, Section VII draws some brief conclusions. II. COMPACT NET-TYPE RESONATOR A net-type resonator is formed by a joint connection of several transmission-line sections among which one is short-ended while all the others are open-ended [15]. Let be the number of open-ended transmission-line sections. Fig. 1(a) shows a typical structure of the net-type resonator realized in the microstrip geometry. The resonator can be modeled as a short-ended transmission-line section cascaded with open-ended sections in parallel. With all the microstrip line sections of the same width, its circuit performance is the same as an SIR with an impedance ratio of . The equivalent circuit for an SIR is shown in Fig. 1(b).

0018-9480/$20.00 © 2006 IEEE

756

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

TABLE I RESONANT FREQUENCIES AND TOTAL ELECTRICAL LENGTHS OF STRUCTURE IN TERMS OF THE GUIDED WAVELENGTH VERSUS

THE

N

Fig. 1. (a) Typical structure of the net-type resonator. (b) Equivalent circuit.

The resonance condition can be expressed by the equation (1)

Fig. 2.

(a) Net-type resonator. (b) Compact net-type resonator for the case of

Fig. 3.

(a) Net-type resonator. (b) Compact net-type resonator for the case of

N

= 2.

where and are the electrical lengths of the short- and open-ended transmission-line sections of the net-type resonator, respectively. For compact and simplified consideration, it is preferable to choose . Based on this condition, the total electrical length of the resonator can be found as (2) where represents the total electrical length corresponding to the fundamental resonant frequency. Moreover, the total electrical length corresponding to the next higher resonant frequency can also be calculated from (1) as (3) Therefore, the ratio of the first spurious and fundamental frequencies of the net-type resonator can then be derived as (4)

and represent the first spurious and fundamental where frequencies, respectively. Table I lists the resonant frequencies and the total electrical lengths of the structure in terms of the guided wavelength versus . It can be found that the case for stands for a traditional quarter-wavelength grounded unit impedance resonator (UIR), whose first spurious frequency is centered at three times the midband frequency. It can also be noticed that the larger the value, the smaller the total electrical length and the larger the frequency ratio of the first spurious and fundamental frequencies.

N

= 3.

Several layouts of the novel net-type resonator have been proposed. Figs. 2(a), 3(a), and 4(a) show the typical net-type resonators for and , respectively. In order to further reduce the resonator size, each resonator is folded to be compact. Therefore, the compact net-type resonators are illustrated in Figs. 2(b), 3(b), and 4(b). As can be seen, each resonator not only makes the circuit compact, but also allows various filter configurations to be realized. It is worth mentioning that additional resonant frequencies of the net-type resonator will be introduced when the total lengths of any two open stubs are half-wavelength and if all open stubs have the same lengths. Thus, in order to avoid these spurious responses, all of the open-ended transmission-line section lengths are slightly adjusted to different values in the cases where for the actual design. The major purpose is to irregularly distribute these additional resonant frequencies. Hence, they will cause no significant concern in the spurious response over the stopband, as described in [15].

CHEN et al.: NOVEL COMPACT NET-TYPE RESONATORS AND THEIR APPLICATIONS TO MICROSTRIP BANDPASS FILTERS

757

Fig. 5. Layout of the three-pole Chebyshev bandpass filter using compact = 2. net-type resonators with

N

Fig. 4. (a) Net-type resonator. (b) Compact net-type resonator for the case of = 5.

N

III. COUPLING BETWEEN COMPACT NET-TYPE RESONATORS Before designing bandpass filters, some instructive discussion on how to construct different types of couplings between adjacent resonators is given here. It can be shown that at resonance of the fundamental mode, each of the net-type resonators has the maximum electric-field density near the open-ended transmission-line sections and the maximum magnetic field density near the short-ended transmission-line section. Thus, the electric coupling can be obtained if the open-ended line sections of the two compact net-type resonators are closely placed, and the magnetic coupling can be obtained if the short-ended line sections of the two compact net-type resonators are closely placed. Since it is well known that the electric and magnetic couplings are out-of-phase, we can employ these structures of different coupling types to implement filters with various responses, such as Chebyshev and quasi-elliptic response bandpass filters. In this paper, we will present the design of various filters through the method based on coupling coefficients. Both experimental and simulated data are presented to demonstrate the practicality of the proposed net-type resonators. IV. DESIGN OF A THIRD-ORDER PARALLEL-COUPLED BANDPASS FILTER To demonstrate the usefulness of the proposed net-type resonator, a third-order parallel-coupled bandpass filter using compact net-type resonators was designed. In this example, the resonator structure shown in Fig. 2(b) is employed to construct this bandpass filter. The resonator is constructed by a short-ended and two open-ended transmission— line sections. The lateral size of the compact net-type resonator is only approximately 1/12 guided wavelength at the center frequency and its first spurious frequency is at around , which can be calculated by using (3). Fig. 5 shows the structure of the third-order parallel-coupled microstrip bandpass filter, which are composed of three compact net-type resonators. The third-order Chebyshev filter with a 0.1-dB ripple level was designed with the given specifications. The center frequency of the filter is 0.91 GHz, and the fractional bandwidth (FBW) is 6.8%. The circuit was designed to be fabricated using copper metallization on a Rogers RO4003 substrate with a relative dielectric constant of 3.38, a thickness of 0.508 mm, and a loss tangent of 0.0027. The

Fig. 6. Coupling coefficients versus the distances between the resonators.

design followed the traditional design procedure described in [16]. The design parameters of bandpass filters, i.e., the coupling coefficients and external quality factor, can be obtained from circuit elements of a low-pass prototype filter. The element values of the low-pass prototype filter are found to be and . To determine the physical dimensions of the filter, the coupling coefficients and the input/output external quality factors are to be calculated. It turns out that

(5) The full-wave simulator IE3D has been used to extract the above parameters. The coupling coefficient can be evaluated from two dominant resonant frequencies and as [7] (6) where represents the coupling coefficient between resonators and . Fig. 6 plots the simulated coupling coefficients versus the distances between the resonators in which and curves are almost the same. The external quality factor can be characterized by [7] (7) where and represent the resonant frequency and the 3-dB bandwidth of the input or output resonator. Fig. 7 illus-

758

Fig. 7.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

External quality factor versus the tapped line position of the resonator.

trates the simulated external quality factor against the tapped line position. Once these figures are reconstructed for the specific substrates, the other procedures in this paper can be directly repeated. The filter has realizable design geometric parameters such as mm, mm, mm, mm, and mm. The prototype circuit size of the filter is around 35.45 mm 15.85 mm, i.e., only approximately , where is the guided wavelength on the substrate at the center frequency. It shows that the proposed filter has a very small size, which is much smaller than the conventional parallel-coupled hairpin resonator filters using the half-wavelength resonator [2]–[5]. Fig. 8(a) shows the simulated and measured passband responses of the designed filter. The measured passband return loss is less than 17 dB. The measured passband insertion loss is approximately 2.2 dB. This is mainly attributed to the conductor and dielectric losses of the substrate. Fig. 8(b) presents the simulated and measured wide-band responses of the filter. It is obvious that the next repeated passband of the filter is at approximately , as expected. It shows a very wide upper stopband between the fundamental and first spurious frequencies.

Fig. 8. Measured and simulated performances of the filter. (a) Insertion and return losses. (b) Wide-band response.

V. DESIGN OF A FOURTH-ORDER CROSS-COUPLED BANDPASS FILTER In this design example, the resonator structure shown in Fig. 3(b) is used to construct a fourth-order cross-coupled bandpass filter. The resonator is constructed by a short-ended and three open-ended transmission-line sections. It shows that the lateral size of this compact net-type resonator is only approximately 1/14 of the guided wavelength. In comparison with the conventional open-loop resonator, the area of the compact net-type resonator is reduced by approximately 67%. The configuration of the miniaturized fourth-order microstrip quasi-elliptic bandpass filter is shown in Fig. 9. This filter is composed of four compact net-type resonators with a cross-coupling between nonadjacent resonators. This special arrangement of resonators helps to create the multipath effect, which is introduced to exhibit a single pair of transmission zeros near the passband at finite frequency in order to improve the selectivity of the filter.

Fig. 9. Layout of the four-pole quasi-elliptic bandpass filter using compact = 3. net-type resonators with

N

The proposed filter was designed with the given specifications. The center frequency of the filter is 1.28 GHz, and the FBW is 3.5%. The circuit was also designed to be fabricated on a Rogers RO4003 substrate. The lumped circuit element values of the low-pass prototype filter are

CHEN et al.: NOVEL COMPACT NET-TYPE RESONATORS AND THEIR APPLICATIONS TO MICROSTRIP BANDPASS FILTERS

Fig. 11. Fig. 10.

759

External quality factor versus the tapped line position of the resonator.

Coupling coefficients versus the distances between the resonators.

and . The coupling coefficients and I/O single-loaded external quality factor are found to be [16]

(8) In order to determine the physical dimensions of the filter, the full-wave simulator IE3D has also been used to extract the above parameters. The coupling coefficients and external quality factor can be evaluated from (5) and (6). It should be noted that the coupling coefficients and represent the electric coupling and represents the magnetic coupling. Both electric and magnetic couplings are out-of-phase. Fig. 10 plots the simulated coupling coefficients versus the distances between the resonators in which and curves are almost the same. Fig. 11 illustrates the simulated external quality factor against the tapped line position. As mentioned in Section II, each stub lengths of the net-type resonator must be adjusted to different lengths to improve stopband spurious response. In our experience of tuning the stub lengths in Fig. 9, the range of variation of stub length is approximately 2% of the total physical length of the resonator. Fig. 12 shows the simulated frequency responses of the filter for the cases of all open stub lengths of the net-type resonator are equal and unequal (2% variation of the total physical length of the resonator). Obviously, when all open stub lengths of the net-type resonator are unequal, the spurious response can be suppressed to 30 dB at approximately 3.5 GHz. It verifies that the additional spurious response can be effectively suppressed by adjusting the stub lengths to different values. As a result, geometric parameters for the filter are mm, mm, mm, mm, mm, mm, mm,

Fig. 12. Simulated performances of the filter for all open stub lengths of the net-type resonators are equal (- - -) and unequal (—).

and mm. The prototype circuit size of the filter is approximately 22.7 mm 21.8 mm, i.e., only approximately , where is the guided wavelength on the substrate at the center frequency. Compared to the conventional fourth-order cross-coupled open-loop resonator filter in [6], the filter has approximately 67% size reduction. The measured and simulated results of the filter are illustrated in Fig. 13(a) and (b). As anticipated, there is a pair of transmission zeros near the passband edge, thus much better selectivity. The measured passband return loss is less than 18 dB. The measured passband insertion loss is approximately 2.9 dB, which is mainly attributed to the conductor and dielectric losses. Fig. 13(b) shows the wide-band response of this filter. As can be seen, the additional higher order spurious response at approximately 3.5 GHz has been suppressed to a level lower than 30 dB. It can be expected that the next higher order resonant frequency of the net-type resonator will correspond to a total electrical length of . As can be seen, the stopband rejection of the filter is better than 30 dB up to 6.54 GHz. It shows that the filter has a fairly good upper stopband rejection of up to approximately , which agrees with the anticipation.

760

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 15.

Fig. 13. Measured and simulated performances of the filter. (a) Insertion and return losses. (b) Wide-band response.

Coupling coefficients versus the distances between the resonators.

all of the open-ended transmission-line sections are tuned to different lengths. The reason is to avoid the additional resonant responses. In our experience of tuning the stub lengths in Fig. 14, the range of variation of stub length is approximately 20% of the total physical length. This modified range is larger than that of the above case because the stubs are larger in number. As described in [16], if the cross-coupling and the direct coupling are all positive, the transmission zero will occur at the lower side of the passband; whereas if the cross-coupling is negative and the direct coupling is positive, the transmission zero will occur at the upper side of the passband. In our design, all of the coupling structures of the coupled resonators are electric coupling. Thus, it can be expected that one transmission zero will occur at the lower side of the passband. The trisection filter was designed with the given specifications. The center frequency of the filter is 1 GHz, and the FBW is 4.7%. The circuit was also designed to be fabricated on a Rogers RO4003 substrate. The coupling matrix and I/O single-loaded external quality factor are found to be

(9)

Fig. 14. Layout of the three-pole trisection bandpass filter using compact = 5. net-type resonators with

N

VI. DESIGN OF A THIRD-ORDER TRISECTION BANDPASS FILTER In the last example, a third-order cross-coupled trisection bandpass filter is realized by using the compact net-type resonator with shown in Fig. 4(b). The lateral size of the resonator is only 1/14 of the guided wavelength. Fig. 14 shows the structure of the filter. Similar to the above, it is obvious that

The design curves of the simulated coupling coefficients and external quality factor are shown in Figs. 15 and 16, respectively. Geometric parameters for the filter are mm, mm, mm, mm, mm, mm, mm, mm, and mm. The prototype circuit size of the filter is around 28.41 mm 28.18 mm, i.e., only approximately , where is the guided wavelength on the substrate at the center frequency. As a result, the circuit size of the filter is approximately 68% less than that of the conventional cross-coupled trisection open-loop resonator filter in [16]. The simulated and measured results are plotted in Fig. 17(a) and (b). As expected, a transmission zero at the lower side of the passband is observed. Thus, the selectivity on the lower side is

CHEN et al.: NOVEL COMPACT NET-TYPE RESONATORS AND THEIR APPLICATIONS TO MICROSTRIP BANDPASS FILTERS

761

also mainly due to the conductor and dielectric losses. Fig. 17(b) shows the wide-band response of this filter. It is clear that the additional spurious response at approximately has been suppressed. The frequency response exhibits that the filter has a quite good upper stopband rejection of up to approximately . VII. CONCLUSION

Fig. 16. External quality factor versus the tapped line position of the resonator.

In this paper, novel compact net-type resonators have been presented to build up several types of microstrip bandpass filters. Both theory and experiments are provided. The results demonstrate that the filter size can be extremely reduced by using the proposed resonator. The measured results are in good agreement with simulated predictions. In fact, besides the three types of filters mentioned above, the compact net-type resonators can also allow various filter topologies to be realized. Filters based on the compact net-type resonator have some advantages of small size and wide upper stopband, which can be quite useful for applications in mobile communication systems. REFERENCES

Fig. 17. Measured and simulated performances of the filter. (a) Insertion and return losses. (b) Wide-band response.

higher than that on the higher side of the passband. This property is suitable for some applications such as the diplexers. The measured passband return loss is less than 18 dB. The measured passband insertion loss is approximately 2.8 dB, which is

[1] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, ch. 8. [2] S. B. Cohn, “Parallel-coupled transmission-line-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 223–231, Apr. 1958. [3] G. L. Matthaei, “Design of wide-band (and narrow-band) bandpass microwave filters on the insertion loss basis,” IEEE Trans. Microw. Theory Tech., vol. MTT-8, no. 11, pp. 580–593, Nov. 1960. [4] E. G. Cristal and S. Frankel, “Hairpin-line and hybrid hairpin-line/halfwave parallel-coupled-line filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 11, pp. 719–728, Nov. 1972. [5] G. L. Matthaei, N. O. Fenzi, R. J. Forse, and S. M. Rohlfing, “Hairpincomb filters for HTS and other narrow-band applications,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1226–1231, Aug. 1997. [6] J. S. Hong and M. J. Lancaster, “Coupling of microstrip square openloop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2099–2109, Dec. 1996. , “Design of highly selective microstrip bandpass filters with a [7] single pair of attenuation poles at finite frequencies,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1098–1107, Jul. 2000. [8] J. T. Kuo, M. J. Maa, and P. H. Lu, “A microstrip elliptic function filter with compact miniaturized hairpin responses,” IEEE Microw. Wireless Compon. Lett., vol. 10, no. 3, pp. 94–95, Mar. 2000. [9] S. Y. Lee and C. M. Tsai, “New cross-coupled filter design using improved hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2482–2490, Dec. 2000. [10] C. C. Chen, Y. R. Chen, and C. Y. Chang, “Miniaturized microstrip crosscoupled filters using quarter-wave or quasi-quarter-wave resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 120–131, Jan. 2003. [11] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [12] M. Sagawa, M. Makimoto, and S. Yamashita, “Geometrical structures and fundamental characteristics of microwave stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1078–1085, Jul. 1997. [13] C. S. Kim, J. S. Park, D. Ahn, and J. B. Lim, “A novel 1-D periodic defected ground structure for planar circuits,” IEEE Microw. Guided Wave Lett., vol. 10, no. 4, pp. 131–133, Apr. 2000. [14] J. S. Park, J. S. Yun, and D. Ahn, “A design of the novel coupledline bandpass filter using defected ground structure with wide stopband performance,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2037–2043, Sep. 2002. [15] C. F. Chen, T. Y. Huang, and R. B. Wu, “A miniaturized net-type microstrip bandpass filter using =8 resonators,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 7, pp. 481–483, Jul. 2005. [16] J. S. Hong and M. J. Lancaster, Microstrip Filter for RF/Microwave Application. New York: Wiley, 2001, ch. 10 and 11.

762

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Chi-Feng Chen was born in PingTung, Taiwan, R.O.C., on September 3, 1979. He received the B.S. degree in physics from the Chung Yuan Christian University, Taoyuan, Taiwan, R.O.C., in 2001, the M.S. degree in electrophysics from the National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 2003, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include the design of microwave filters and associated RF modules for microwave and millimeter-wave applications.

Ting-Yi Huang was born in Hualien, Taiwan, R.O.C., on November 12, 1977. He received the B.S. degree in electrical engineering and M.S. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2000 and 2002, respectively, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University. His research interests include computational electromagnetics, the design of microwave filters, transitions, and associated RF modules for microwave and millimeter-wave applications.

Ruey-Beei Wu (M’91–SM’97) received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 1979 and 1985, respectively. In 1982, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. He is also with the Graduate Institute of Communications Engineering, National Taiwan University, which was established in 1997. From March 1986 to February 1987, he was a Visiting Scholar with IBM, East Fishkill, NY. From August 1994 to July 1995, he was with the Electrical Engineering Department, University of California at Los Angeles. He was also appointed Director of the National Center for High-Performance Computing (1998–2000) and has served as Director of Planning and Evaluation Division since November 2002, both under the National Science Council. His areas of interest include computational electromagnetics, transmission line and waveguide discontinuities, microwave and millimeter-wave planar circuits, and interconnection modeling for computer packaging.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

763

Photonic Generation of Microwave Signal Using a Rational Harmonic Mode-Locked Fiber Ring Laser Zhichao Deng and Jianping Yao, Senior Member, IEEE

Abstract—A novel method for microwave signal generation using a rational harmonic actively mode-locked fiber ring laser is proposed and demonstrated. The microwave signal is generated by beating the actively mode-locked longitudinal modes from the rational mode-locked fiber ring laser at a photodetector. The phases of the longitudinal modes are phased locked, which ensures a generated microwave signal with very low phase noise. In the proposed approach, the generated microwave signal has a frequency a few times higher than the microwave drive signal. Therefore, only a low-frequency reference source and a low-speed modulator are required. A rational harmonic actively mode-locked fiber ring laser is experimentally demonstrated. With a microwave drive signal at 5.52 GHz, a microwave signal with a frequency that is four times the frequency of the microwave drive signal at 22.08 GHz is generated. The generated microwave signal is very stable with a spectral width of less than 1 Hz. Index Terms—Fiber ring laser, microwave photonics, optical generation of microwave signal, radio over fiber, rational harmonic mode locking.

I. INTRODUCTION

O

PTICAL generation and distribution of millimeter-wave signals has many potential applications such as broad-band wireless access networks, radar, software-defined radio, and satellite communications, and has been intensively investigated over the past few years [1]–[8]. In general, the techniques to generate microwave signals in the optical domain can be divided into three categories, which are: 1) optical phase locking or injection locking of two laser diodes [1], [2] or the combination of the two [3]; 2) external modulation of a laser diode [4]–[6]; and 3) direct beating of dual-longitudinal or multilongitudinal modes of a laser at a photodetector (PD) [7], [8]. In the first category, two lasers are phase or injection locked to a microwave reference. The beating between the two phase-locked wavelengths can generate a millimeter-wave signal. Since the two wavelengths are phase locked, the beating signal will have low phase noise. In the second category, instead of using two laser sources, a single laser source is required. By modulating the laser output using an external modulator, a series of optical sidebands will be generated. To generate a microwave signal, only two sidebands are needed. Usually, an optical filter is used to select two optical sidebands. A system based on this method was demonstrated

Manuscript received August 30, 2005; revised October 28, 2005. This work was supported by the National Capital Institute of Telecommunications of Canada. The authors are with the Microwave Photonics Research Laboratory, School of Information Technology and Engineering, University of Ottawa, Ottawa, ON, Canada K1N 6N5 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862624

in [4]. A frequency-doubled electrical signal was optically generated by biasing the intensity modulator to suppress the even-order optical sidebands. A 36-GHz microwave signal was generated when the intensity modulator was driven by an 18-GHz microwave signal [4]. We have recently demonstrated a microwave generation system using an electrooptic phase modulator and a narrow-band fiber Bragg grating notch filter [6]. When the electrical drive signal is tuned from 18.8 to 25 GHz, two bands of millimeter-wave signals from 37.6 to 50 GHz and from 75.2 to 100 GHz with high quality were generated. In the third category, the output of a laser with dual-longitudinal or multilongitudinal modes is applied to a PD. For a dual-longitudinal-mode laser, the beating signal has a single frequency with signal quality depending on the property of the laser [7]. For a multilongitudinal-mode laser, the beating signal consists of a fundamental frequency, which is equal to the frequency spacing between two adjacent longitudinal modes, and many high-order harmonics. To ensure that those beating signals are stable and with low phase noise, mode-locking techniques are employed to phase lock the longitudinal modes. Both passive [9] and active [10] mode-locking techniques have been implemented. For microwave generation using passive mode locking, no reference source and high-speed modulator are required, which greatly simplifies the laser system and reduces the cost. However, the phase noise of the generated microwave signal is higher than that generated using an actively mode-locked laser [9]. In an actively mode-locked laser, however, to generate microwave signals at very high frequency, a high-frequency reference source and a high-speed modulator are required. To generate a microwave signal using a low-frequency reference source and a low-speed modulator, in this paper, we propose to use the rational harmonic mode-locking technique for microwave signal generation. Rational harmonic mode-locking technique has been investigated for optical communications systems to increase the repetition rate of an optical pulse train [11]. To the best of our knowledge, this is the first time that the rational mode-locking technique is proposed for microwave signal generation. To demonstrate the microwave generation capability, a rational harmonic actively mode-locked fiber ring laser is built. A major problem related to the rational harmonic mode-locking technique for microwave generation is the poor suppression of lower order harmonics. One solution to this problem is to use the nonlinear modulation technique. The nonlinear modulation technique was proposed to equalize the amplitude of a rational mode-locked pulse train [12]. For microwave signal generation, it is demonstrated that by biasing the intensity modulator in its nonlinear region, the lower order harmonics can be efficiently suppressed. In the experiment, a

0018-9480/$20.00 © 2006 IEEE

764

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

microwave signal at 22.08 GHz is generated when a microwave drive signal is tuned at 5.52 GHz. Lower order harmonics with amplitudes up to 24 dB lower than that of the generated microwave signal is achieved. The generated microwave signal is very stable with a spectral width less than 1 Hz. II. PRINCIPLE A microwave signal can be generated by beating the longitudinal modes of a mode-locked laser. For a laser that is mode locked, the phases of the longitudinal modes are identical. Mathematically, the output of mode-locked laser can be expressed as

(1) where is the electrical field of the laser output, is the number of longitudinal modes in the laser, is the frequency spacing between adjacent modes, and and are the amplitude and frequency of the modes. The phases of the longitudinal modes are all locked to . By applying the laser output to a PD, beating signals between the longitudinal modes will be generated. The photo-current generated from the PD can be expressed as

locking, the frequency of the generated microwave signals is equal to the frequency of the microwave drive signal applied to the modulator. This frequency can be tuned at ( is an integer) so that mode locking at and its multiples can be established. The key problem related to this approach is that the frequency of the generated microwave signal is limited directly by the speed of the intensity modulator. Currently commercially available intensity modulators can usually operate below 40 GHz. For many applications, such as the next-generation wireless access networks, the operating frequency can be in the 60-GHz band, the use of active mode locking to generate a high-frequency microwave signal will significantly increase the system cost, although a high-frequency microwave signal can be generated using an external modulation technique with a microwave drive signal having a frequency much lower than the generated microwave signal, and a low-speed external modulator [4]–[6]. However, the power of the generated microwave signal using external modulation technique is very low because of the low electrical-to-optical conversion efficiency at the external modulator. We propose to solve these problems by using a rational harmonic mode-locked laser. First, the microwave drive signal can have a frequency several times lower than the generated microwave signal, therefore, only a low-frequency reference source and a low-speed modulator are required. Second, the generated microwave signal is obtained by beating the laser output at a PD. The power can be much higher than using the external modulation technique. The rational harmonic mode-locking technique has been investigated in recent years for communication systems to increase the repetition rate of optical pulse. Being different from conventional active harmonic mode locking, the modulating frequency for a rational harmonic active mode-locked laser is slightly detuned from the exact harmonic of the laser cavity fundamental frequency

(2)

(4)

where is the responsivity of the PD. It can be seen from (2) that microwave signals at the frequencies of are generated. Since the phases are locked, the beating between the longitudinal modes will cancel the phase terms, which ensures a generated microwave signal with low phase noise. Mode locking can be achieved actively or passively. For passive mode locking, the frequency of the generated microwave signals is , where the cavity fundamental frequency is determined by the optical length of the laser cavity. For a ring laser, we have

where is a positive integer and can be either a positive or negative integer. It can be shown [11] that the repetition rate of the mode-locked pulse train is the lowest common multiple of the laser cavity resonance frequency and the microwave modulation frequency. The repetition rate is now

(3) where is the light velocity in vacuum, and are, respectively, the refractive index and the length of the ring cavity. For a fiber ring laser using erbium-doped fiber as gain medium, is usually longer than 10 m to ensure a sufficient gain so that can be less than 20 MHz. To generate a microwave signal that has a frequency at tens of gigahertz (say, 20 GHz), the cavity length must be smaller than 1 cm. This is not possible for a fiber ring laser. One solution to this problem is to use active harmonic mode locking. For active harmonic mode

(5) Therefore, by beating the rational harmonic mode-locked laser output at a PD, the microwave signal that has a frequency that is times the microwave drive signal is generated. III. EXPERIMENT The schematic diagram of our experimental set up is shown in Fig. 1. An erbium-doped fiber amplifier (EDFA) is used in the laser cavity as a gain medium. An isolator (ISO) is incorporated to ensure a unidirectional operation of the ring laser. A JDS-Uniphase LiNbO intensity modulator driven by a reference source is incorporated in the laser cavity to achieve active mode locking. A polarization controller (PC) is used to adjust the polarization state of the light into the LiNbO intensity modulator. The mode-locked output is obtained from the 10% port

DENG AND YAO: PHOTONIC GENERATION OF MICROWAVE SIGNAL USING RATIONAL HARMONIC MODE-LOCKED FIBER RING LASER

Fig. 1. Schematic diagram of the rational harmonic mode-locked fiber ring laser. PC: polarization controller, IM: intensity modulator, ISO: isolator, EDFA: erbium-doped fiber amplifier, PD: photodiode, ESA: electrical spectrum analyzer, OSC: oscilloscope.

Fig. 2. Spectrum of the beat signal of a fourth-order rational harmonic mode-locked fiber ring laser.

of the 90 : 10 coupler, which is then sent to a 25-GHz PD. A beat signal is obtained at the output of the PD, which is displayed by an electrical spectrum analyzer and an oscilloscope. In the experiment, the frequency of the microwave drive signal is set at 5.52 GHz. By slightly tuning the frequency of the microwave drive signal and adjusting the PC, rational harmonic mode locking is established. By applying the output to a PD, a microwave signal resulted from the beating between the mode-locked rational harmonics at 22.08 GHz is observed. The frequency of the generated microwave signal is four times higher than the frequency of the microwave drive signal. The spectrum of the beat signal is shown in Fig. 2. As can be seen from Fig. 2, the amplitudes of the lower order harmonics are very high. By using a microwave bandpass filter centered at 22.08 GHz, the lower order harmonics can be removed. For many applications, however, the generated microwave signal is distributed over optical fiber to a base station. To simplify the base station design and to reduce its cost, it is desirable that the low-order harmonics can be eliminated in the optical domain. This can be implemented by using the

765

Fig. 3. Pulse train of the fourth-order rational harmonic mode-locked laser with unequal amplitude.

nonlinear modulation technique. The principle of the nonlinear modulation technique for low-order harmonics suppression can be easily explained in the time domain. Assume that the laser is rational harmonic mode locked at the th-order harmonic; the lower orders must be suppressed. In this situation, the laser output in the time domain is a pulse train with a repetition rate equal to the frequency of the th-order harmonic. Fig. 3 shows a pulse train of a rational mode-locked laser with . If the low-order harmonics are not suppressed, then the lower order harmonics will contribute to the laser output. The effect is to make the pulse train with unequal amplitude. Fig. 3 shows a pulse train with unequal amplitude. For optical communications, the equalized pulse train is expected. A simple and efficient approach to equalize the amplitude of the pulse train, which is equivalent to suppressing the lower order harmonics, is to use nonlinear modulation. The analysis of the nonlinear modulation shows [12] that the transfer function of the modulator is (6) where is the insertion loss, is the normalized bias point of the modulator, is the normalized amplitude of the modulating signal, and is the frequency of the microwave drive signal. This equation means that by choosing a different set of and , the transfer function of the modulator can have a different complex shape in one modulation cycle. If for a certain integer , a suitable set of and is chosen so that there are points in a modulation cycle having the same value of transmission, then the amplitudes of these pulses must be the same. Thus, the amplitude of the th-order harmonic pulses are equalized by the nonlinear modulation. Fig. 4 shows the simulation results based on (6). The solid line is the transfer function of the modulator when and GHz. The four stars indicate the four points with the same transmission on the transfer function curve. When the optical pulses pass the modulator at the time marked by these four points, the amplitude equalization for

766

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 4. Simulated transfer function of the modulator and the intensity of the pulse train for the fourth-order rational harmonic mode locking.

Fig. 6. Zoomed-in view of the spectrum of the generated microwave signal. Center frequency: 22.08137747 GHz.

generated microwave signal. As can be seen from Fig. 6, the generated microwave signal has very narrow spectral width. In the experiment, the linewidth reaches the resolution limit of the electrical spectrum analyzer, which is 1 Hz. IV. CONCLUSION

Fig. 5. Spectrum of the beat signal of the fourth-order rational harmonic mode-locked laser with suppressed lower harmonics by nonlinear modulation.

the fourth-order rational harmonic mode-locking is, therefore, realized. The dashed line shows the simulated intensity of the optical pulse train under this situation. Based on above analysis, an experiment using nonlinear modulation to suppress lower order harmonics is performed. By carefully tuning the frequency of the modulating signal, a microwave signal at 22.08 GHz is generated. This frequency is the fourth-order harmonic of the frequency of the modulating signal, which is 5.52 GHz. The spectrum of the generated microwave signal is shown in Fig. 5. Fig. 5 shows the beat signal measured by an electrical spectrum analyzer. It can be seen that the fourth-order harmonic frequency is 24 dB stronger than the fundamental and second-order harmonic frequencies. The third-order harmonic is totally suppressed, which is below the noise floor. Since the generated microwave signal is resulted from the beating of the mode-locked longitudinal modes, the phase fluctuations between the longitudinal modes are completely cancelled. Fig. 6 gives a zoomed-in view of the spectrum of the

We have proposed and demonstrated a simple microwave photonic system to generate a microwave signal with low phase noise. The system was based on a rational harmonic mode-locked fiber ring laser in which the microwave drive frequency was slightly detuned from the exact harmonic of the laser cavity fundamental frequency to enable a rational harmonic mode locking. To suppress the lower order harmonics, the modulator was biased in its nonlinear region. A high-quality microwave signal at 22.08 GHz was obtained when a microwave drive signal at 5.52 GHz was applied to the intensity modulator. The significance of this approach is that by using a low-speed intensity modulator and a low-frequency reference source, a microwave signal that has a few times the frequency of the microwave drive signal with very low phase noise can be generated. REFERENCES [1] L. Goldberg, H. F. Taylor, J. F. Weller, and D. M. Bloom, “Microwave signal generation with injection locked laser diodes,” Electron. Lett., vol. 19, no. 13, pp. 491–493, Jun. 1983. [2] Z. Fan and M. Dagenais, “Optical generation of a mHz-linewidth microwave signal using semiconductor lasers and a discriminator-aided phase-locked loop,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1296–1300, Aug. 1997. [3] R. T. Ramos, P. Gallion, D. Erasme, A. J. Seeds, and A. Bordonalli, “Optical injection locking and phase-lock loop combined systems,” Opt. Lett., vol. 19, no. 1, pp. 4–6, Jan. 1994. [4] J. J. O’Reilly, P. M. Lane, R. Heidemann, and R. Hofstetter, “Optical generation of very narrow linewidth millimeter wave signals,” Electron. Lett., vol. 28, no. 25, pp. 2309–2311, Dec. 1992. [5] P. Shen, N. J. Gomes, P. A. Davies, W. P. Shillue, P. G. Huggard, and B. N. Ellison, “High-purity millimeter-wave photonic local oscillator generation and delivery,” in Proc. Int. Topical Microw. Photon. Meeting, Sep. 10–12, 2003, pp. 189–192.

DENG AND YAO: PHOTONIC GENERATION OF MICROWAVE SIGNAL USING RATIONAL HARMONIC MODE-LOCKED FIBER RING LASER

[6] G. Qi, J. P. Yao, J. Seregelyi, C. Bélisle, and S. Paquet, “Optical generation and distribution of continuously tunable millimeter-wave signals using an optical phase modulator,” J. Lightw. Technol., vol. 23, no. 9, pp. 2687–2695, Sep. 2005. [7] M. Hyodo, M. Tani, S. Matsuura, N. Onodera, and K. Sakai, “Generation of millimeter-wave radiation using a dual-longitudinal-mode microchip laser,” Electron. Lett., vol. 32, no. 17, pp. 1589–1591, Aug. 1996. [8] M. Brunel, N. D. Lai, M. Vallet, A. Le Floch, F. Bretenaker, L. Morvan, D. Dolfi, J. P. Huignard, S. Blanc, and T. Merlet, “Generation of tunable high-purity microwave and terahertz signals by two-frequency solidstate lasers,” Proc. SPIE–Int. Soc. Opt. Eng., vol. 5466, pp. 131–139, Apr. 2004. [9] D. J. Derickson, R. J. Helkey, A. Mar, J. G. Wasserbauer, Y. G. Wey, and J. E. Bowers, “Microwave and millimeter wave signal generation using mode-locked semiconductor lasers with intra-waveguide saturable absorbers,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 2, 1992, pp. 753–756. [10] A. C. Bordonalli, B. Cai, A. J. Seeds, and P. J. Williams, “Generation of microwave signals by active mode locking in a gain bandwidth restricted laser structure,” IEEE Photon. Technol. Lett., vol. 8, no. 1, pp. 151–153, Jan. 1996. [11] N. Onodera, A. J. Lowery, L. Zhai, Z. Ahmed, and R. S. Tucker, “Frequency multiplication in actively mode-locked semiconductor lasers,” Appl. Phys. Lett., vol. 62, no. 12, pp. 1329–1331, Mar. 1993. [12] X. Feng, Y. Liu, S. Yuan, G. Kai, W. Zhang, and X. Dong, “Pulse-amplitude equalization in a rational harmonic mode-locked fiber laser using nonlinear modulation,” IEEE Photon. Technol. Lett., vol. 16, no. 8, pp. 1813–1815, Aug. 2004.

767

Zhichao Deng received the Bachelor of Engineering degree in electrical engineering from Xidian University, Xi’an, China, in 1993, and the Master of Applied Science degree in electrical engineering from the University of Ottawa, Ottawa, ON, Canada, in 2005. From 1993 to 2001, he was with China Telecom as an Engineer involved with satellite and mobile communications. He is currently a Research Engineer with the Microwave Photonics Research Laboratory, School of Information Technology and Engineering, University of Ottawa. His current research interests include fiber lasers, mode-locked lasers, and microwave photonics.

Jianping Yao (M’99–SM’01) received the Ph.D. degree in electrical engineering from the University of Toulon, Toulon, France, in 1997. He is currently an Associate Professor with the School of Information Technology and Engineering, University of Ottawa, Ottawa, ON, Canada. From January 1998 to July 1999 he was a Research Fellow, and from July 1999 to December 2001, he was an Assistant Professor, both with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. From January to March 2005, he was an Invited Professor with the Institut National Polytechnique de Grenoble, Grenoble, France. He has authored or coauthored over 100 papers in refereed journals and conference proceedings. His current research interests include optical signal processing, optically controlled phased-array antennas, photonic generation of microwave signals, radio-over-fiber systems, fiber lasers and amplifiers, broad-band infrared wireless home networking, and fiber-optic sensors. Dr. Yao is a member of The International Society for Optical Engineers (SPIE) and the Optical Society of America (OSA).

768

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Compact Parallel Coupled HTS Microstrip Bandpass Filters for Wireless Communications Srikanta Pal, Christopher J. Stevens, and David J. Edwards

Abstract—A practical design methodology for a compact parallel-coupled microstrip bandpass filter structure with steep attenuation is introduced using a computer-aided full-wave electromagnetic (EM) simulation based on the method of moments. The structure consists of an array of fully aligned half-wavelength spiral-meander-line resonators. Aimed at the application in the front-end receiver of digital cellular communication service, a 12-pole high-temperature superconductor filter with 2.27% fractional bandwidth (FBW) at 883.0 MHz was designed. In order to utilize the limited wafer area further, a 15-pole filter with 803.0-MHz center frequency, 2.25% FBW is precisely designed with greater EM simulation effort. Both the filters are fabricated using thallium–barium–calcium–copper–oxide thin films on a 2-in lanthanum–aluminate (LaAlO3 ) wafer. The -parameter measurements show a good agreement with the simulated results. At 70 K, the 12-pole filter shows less than 0.4-dB insertion loss, 0.3-dB passband ripple, and better than 12-dB return loss, and the 15-pole filter shows 0.25-dB insertion loss, 0.2-dB passband ripple, and better than 15-dB return loss. Out-of-band rejection for both the filters at 3 MHz below the passband edges is more than 60.0 dB. In order to estimate the power-handling capability of the filters, third-order intermodulation distortion was measured. A sensitivity analysis for the observed frequency shift in the filters is reported, which also shows very similar parametric dependence in both the filters. Also from this analysis, an approach for using the same deign in 0.5% FBW applications is discussed. Index Terms—Base transceivers in digital cellular communication, electromagnetic (EM) simulation, high-temperature superconductor (HTS), method of moments, microstrip filters.

I. INTRODUCTION

R

APID GROWTH of personal communication service (PCS) and digital cellular communication system (DCS) demand for low-loss highly selective narrow-band filters for effective utilization of the limited available bandwidth (BW). The application of high-temperature superconductor (HTS) technology provides a good solution to the above-mentioned needs because filters made with high unloaded quality ( ) factor resonators provide both selectivity and sensitivity, not achievable with normal metal filters, within a wireless communication band. Moreover, the wafers available for HTS filters usually have a maximum size of only 2 or 3 in. Thus, the filters Manuscript received October 22, 2005. This work was supported by the Engineering and Physical Science Research Council, U.K., under Project SATIRE. S. Pal was with the Department of Engineering Science, Oxford University, Oxford OX1 3PJ, U.K. He is now with the Department of Electronics and Computer Engineering, Indian Institute of Technology, Roorkee 247667, India (e-mail: [email protected]). C. J. Stevens and D. J. Edwards are with the Department of Engineering Science, Oxford University, Oxford OX1 3PJ, U.K. (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.862623

required are often quite complex with perhaps 12 or more resonators in a wafer. Hence, means for achieving filters as small as possible while preserving high-quality performance are very desirable. Therefore, the investigations focuses on achieving the following [1]. 1) Small and compact resonators. 2) Weak couplings between resonators (as are required for narrow-band filters), while maintaining relatively small spacings between resonators. This is in contrast to many microstrip resonator structures, where quite a large spacing between the resonators only provide narrow BW. 3) Very low parasitic coupling to resonators beyond nearest neighbor resonators so that unwanted parasitic couplings can be ignored in the design process. 4) Means for maintaining nearly constant BW and passband shape. 5) Microwave filters developed from materials exhibiting some nonlinearity, such as superconductors, will generate intermodulation (IMD) distortion, thereby limits the power-handling capability of the filter [2]–[4]. With respect to all these issues, various designs of microstrip bandpass filters have been studied [1], [5]–[22]. It is known that with respect to selectivity performance, the filter topology with a Chebyshev response is not as good as advanced quasi-elliptic filter topologies. The quasi-elliptic topologies using compact coupled resonators thus far reported have all used highimpedance (very thin) transmission lines to couple with the nonadjacent resonators in order to introduce transmission zeroes. As these resonators are using very thin transmission linewidth, it is expected that a nonlinear response will occur at a “much too low” input power level [2]–[4]. From the systems point-of-view, HTS filters are useless if their third-order intercept point is not considerably higher than the input third-order intercept point of the low-noise amplifier. Based on these facts, a compact novel resonators filter topology with a Chebyshev response is investigated with the promise of being a practical solution for a preselect filter in a base station of a wireless cellular network. Here the design principle based on parallel coupled microstrip resonators has been used, where each resonator is a half-wavelength long and consists of two large coupling transmission lines separated by a very thin spiral meander line, which acts as inductance. It is the design of spiral meander line, which gives compactness of the design. Due to the larger dimensions of its constituting resonator elements, the parasitic coupling is small and, thus, less sensitive to material parameters when compared to a lumped-element filter [7]. The spiral meander-line bandpass filter design principle has the merit of easy translation of operating band. This type of cou-

0018-9480/$20.00 © 2006 IEEE

PAL et al.: COMPACT PARALLEL COUPLED HTS MICROSTRIP BANDPASS FILTERS FOR WIRELESS COMMUNICATIONS

769

TABLE I CALCULATED VALUES OF COUPLING COEFFICIENTS FROM g VALUES FOR12-POLE FILTER

Fig. 1.

Lumped-element equivalent of spiral meander-line resonator.

Fig. 2. Susceptance representation of a Chebyshev bandpass filter.

pled spiral meander line resonator filters has very high potential in 1.5%–2.5% fractional bandwidth (FBW) wireless communication applications. In this paper, we report on the design and experimental results of two parallel coupled narrow-band highly selective microstrip bandpass filters with center frequency at 803–883 MHz. In this design, LaAlO with and a height of 0.5 mm is chosen as the HTS substrate. The design was carried out using the full-wave electromagnetic (EM) simulator HPADS Momentum based on the method of moments. II. BANDPASS FILTER DESIGN The starting point is to design a simple lumped-element ladder network filter derived from a generalized prototype using impedance inverters [5]. In order to meet the desired specifications of 0.1-dB equal-ripple 20-MHz BW at 883-MHz center frequency, it is first calculated that the filter should be of number of poles resonators . Using the following design expressions, external coupling factor and coupling coefficients are calculated [6]: (1) (2) (3) for

to

(4)

and are the external factors of the input and output resonators, respectively, and are the coupling coefficients between the th and th resonators. Low-pass prototype values of “ ”s and calculated coupling coefficients are shown in Table I. The spiral meander line resonator is chosen for its high , compactness, and low parasitic coupling [7]. The equivalent circuit of the frequency-variable lumped-element model of the spiral meander line resonator is shown in Fig. 1. This is to facilitate a simple translation of the filter model for use in the circuit analysis software. It should be noted that this representation uses a frequency-variable inductor

Fig. 3. Dimensions of a resonator in 12-pole spiral meander filter.

and frequency-variable capacitors. By combining the shunt capacitance of the resonator with the shunt capacitance of the inverters, a simplified susceptance model is represented. Using this lumped-element representation of the resonator, a ladder network for the Chebyshev filter is assembled and shown in Fig. 2. The resonator is initially designed to resonate at the center of the filter passband. Fig. 3 shows a developed “meander-line resonator.” It resonates as a half-wavelength resonator. Its overall size is 2.15 mm wide 10.9 mm long. The two parallel coupling linewidth of the resonator is 0.25 mm. As shown in Fig. 3, the resonator has a very thin transmission line of width 0.1 mm meandering up and down between the coupled lines. By aligning these lines in parallel and close to each other, radiation from one resonator to the others can be reduced because the electric current at any two symmetrical positions with regard to the center of the resonator flows in opposite directions. Therefore, the EM field is reduced by the opposite current flow in the parallel lines, allowing resonators to be placed close to each other. Typical distance between neighboring resonators (center to center) is approximately 3.0 mm.

770

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 4. Topology for extracting coupling coefficients in a pair of resonators.

It is also very important to reduce the parasitic coupling between nonadjacent resonators to maintain good return loss and rejection slope symmetry. In some cases, this parasitic coupling produces a transmission zero at its band edge, usually at either side of its slope [8]. This effect makes the filter slope steeper. The meander-line resonator can effectively reduce the unwanted parasitic coupling because its folded design reduces the propagation of EM fields. It is rather difficult to calculate the inter-coupling between resonators analytically due to the complex behavior of EM fields in a microstrip structure [6]. The coupling strength was obtained numerically for a resonator pair separated by a distance using Momentum, an EM simulator based on the two-and-one-half-dimensional method of moments. For simulation, all the resonators were assumed as perfect conductors patterned on 50-mm-diameter, 0.5-mm-thick LaAlO , substrates dielectric constant with perfect-conducting ground planes. The widths of all resonators were the same (width mm), and the lengths of resonators were determined to resonate at 880.0 MHz. The input and output feed lines were taken sufficiently long to avoid impedance mismatch and also to minimize the effect on the resonant frequency of the nearest resonator. Fig. 4 shows the basic topology for extracting the coupling coefficients from a pair of resonators by EM simulations. Both of the resonators are gap coupled with a pair of transmission lines of the same width as that of the resonator and the ports are deembedded to the near edge of the resonator. The gap between the input/output transmission line and resonator end is simulated iteratively to obtain two equiamplitude resonant peaks in the transmission response, as shown in Fig. 5. The filter is designed to have been tapped midway on the length of the resonators at both the input and output. The tapped linewidth is chosen to have a characteristic impedance of 50 to match the input and output port impedance. Using HPADS simulation software [23], the width of this tapped line is calculated to be 0.2 mm on the chosen substrate. The accuracy of the simulation characteristics is better achieved from the simulation curve with accurate values

Fig. 5. EM simulated frequency response (S 21: solid line, S 11: dotted line) of a pair of resonators for the extraction of coupling coefficients.

Fig. 6. Coupling coefficient versus gap spacing characteristics of 12-pole spiral meander filter (design curve).

corresponding to spacing. The design curve of the filter with all gaps between the resonators is shown in Fig. 6. Fig. 6 shows the coupling coefficients versus coupling gap spacing between the resonators. The gap dimensions between the resonators are finalized reading off the required coupling coefficient values from the design curve. The width of the feed-end-coupled wide strips of input and output resonators are optimized to accommodate capacitive coupling of the feed end and have a better match with the 50- input and output connectors. The final dimensions of the chosen resonator structure of the 12-pole filter was fine tuned running iterative manual full-wave EM simulations of the entire structure that were optimized to the desired specifications. The layout of the 12-pole spiral meander line filter is shown in Fig. 7. III. FABRICATION AND RESULTS Fig. 8 shows EM simulated transmission and reflection responses of a 12-pole filter. Fig. 8 shows a passband insertion

PAL et al.: COMPACT PARALLEL COUPLED HTS MICROSTRIP BANDPASS FILTERS FOR WIRELESS COMMUNICATIONS

Fig. 7.

Layout of 12-pole spiral meander filter.

Fig. 8. Simulated transmission and reflection responses of 12-pole spiral meander-line filter. x-axis: frequency in megahertz. Left y -axis: S 21 in decibels (solid line). Right y -axis: S 11 in decibels (dotted line).

Fig. 9. Measured transmission response (S 21 in decibels, denoted by the solid line) and reflection response (S 11 in decibels, denoted by the dotted line) of 12-pole spiral meander-line filter.

loss of 0.4 dB, 1.0-dB ripple, BW of 20 MHz, center frequency at 883 MHz, and around 5.0-dB return loss in the passband. The filter is fabricated using TBCCO thin film with a thickness of 600 nm over a 2-in-diameter and 0.5-mm-thick LaAlO substrate. The filter is patterned using conventional wet photolithography and was mounted within an aluminum box with subminiature A (SMA) input and output connectors. Silver paint was used to make the contacts. The filter is tested in liquid nitrogen at 77 K using an HP8510c vector network analyzer with an input power of 0 dBm. Measured frequency response of the filter is shown in Fig. 9, which shows very good practical performance of the filter. Measured parameters of the 12-pole filter: center frequency at 908.5 MHz, passband of 33.0 MHz, 0.4-dB insertion loss, and

771

0.3-dB ripple and return loss of more than 10.0 dB in the passband. The filter was tuned to smoothen the passband [19], [20]. The difference observed between the measured and simulated responses is a 25-MHz frequency shift of the passband, and also BW increases by around 13 MHz. This difference is mainly attributed to the variation in material parameters of the substrate (permittivity and thickness) and thickness of the film from those of the assumed values. Twinning of LaAlO3 also may affect the passband smoothness (insertion loss and ripple) in a compact narrow-band filter. The impedance mismatch due to the conductivity gradient present between the superconducting feed line, silver paint, and the SMA connector points may also be a cause of the ripple. In order to assess the quality of the 2-in HTS films (processed in the Department of Materials, Oxford University, Oxford, U.K.) surface resistance of these films were measured using a dielectric (sapphire puck) cavity resonator method. The best value of surface resistance of the HTS film measured was 0.5 m at 10 GHz, 77 K, and the corresponding value of the unloaded factor of the HTS film 250 000 [7]. The unloaded factor of the HTS spiral meander line filter resonator was around 12 000. In order to understand the observed frequency shift in the measured response, sensitivity analysis for the filter was investigated. A. Sensitivity Analysis In order to fabricate a tuning-free practical filter, a sensitivity analysis is very important, mainly because of fabrications errors [6], [7], [9]. Among several possible sources of errors, the thickness of the substrate is realized to be the main source. The tolerance of the substrate thickness (usually 5%) is not only applied to different substrates, but also to the variation of the thickness in a single substrate. This is a more serious problem for narrow-band filters because the change of substrate thickness causes the change of the couplings and external factor. The shift in the resonant frequency of the resonators with a different substrate thickness is described in Fig. 10. Other sources of error include variations in the dielectric constant and film thickness. The EM simulated transmission response against different values of the dielectric constant is shown in the Fig. 11. Variation in different filter parameters such as center frequency, insertion loss, ripple, and BW due to the change of substrate height is tabulated in Table II(a) and the filter parameters against the change in the dielectric constant is shown in Table II(b). From Table II(a) and (b), it is seen that filter parameters are more susceptible to substrate height than dielectric constant. With the decrease in substrate height from 0.5 to 0.3 mm, center frequency goes downward by 53.0 MHz, which is substantial and, more importantly, BW reduces from 20.0 to 4.0 MHz without significantly degrading insertion loss and ripple. This is an interesting point as, by using this design with low substrate height, say, 0.3 mm, one can realize 0.5% FBW filter. 1) IMD Distortion: To assess the power-handling capability of the filter as a front-end component in the receive chain of wireless base stations, third-order IMD distortion was measured

772

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

TABLE II (a) SENSITIVITY ANALYSIS OF 12-POLE FILTER AGAINST SUBSTRATE HEIGHT (h) (DIELECTRIC CONSTANT : ), (b) SENSITIVITY ANALYSIS OF 12-POLE FILTER AGAINST DIELECTRIC CONSTANT. (SUBSTRATE HEIGHT : mm)

= 23 5

=05

Fig. 10. Sensitivity analysis of shift in 12-pole filter’s transmission response :h : mm. : against height of the substrate. : mm. :h : mm. h : mm. ——: h

=04

=05

00 00 0 = 03

0 0 = 06

40404

Fig. 12. Two-tone third-order IMP at 70 K. Fundamental signals are located at 900 and 908 MHz. IMP that appeared at 916 MHz was measured. The reference lines with slope 1 for fundamental signals and slope 3 for IMP are drawn. Intercept point is approximately 37.9 dBm.

+

Fig. 11. Sensitivity analysis of shift in 12-pole filter’s transmission response against dielectric constant of the substrate. : "r : . ——: "r : . : "r : .

= 23 5 0 0

= 24 0

00 00 0

= 23 0

[8], [21], [22]. Fig. 12 shows intermodulation product (IMP) at 916 MHz from in-band two-tone fundamental signals (900 and 908 MHz). Measured IMP plots were on slope 3 and its intercept point (IP3) was approximately 37.9 dBm at 70 K. The measured value of IP3 of the 12-pole filter is better than that reported in [8]. IV. 15-POLE BANDPASS FILTER DESIGN On achieving very good measured frequency response of the 12-pole design, an effort was conducted to include a greater number of resonators in the same film area (2 in) to obtain a sharper transition from the passband to stopband. The target frequency band of this filter was also set to be 800 MHz. The 15-pole spiral meander-line filter design [9] is similar to the

12-pole design, described in detail in Section II. In the design of the 15-pole filter, the resonator length is only changed to 12.00 mm so as to resonate at 803-MHz center frequency. The dimensional details of a resonator is shown in Fig. 13. The final layout of the 15-pole bandpass filter is shown in Fig. 14. This filter was designed for the center frequency at 801 MHz and FBW (FBW) 2.25% on a 2-in LaAlO substrate. EM simulated transmission and reflection characteristics are shown in Fig. 15. Simulated frequency response of the 15-pole filter was optimized by iterative manual fine tuning. Fig. 15 shows a bandpass response with a center frequency at 803 MHz, 18-MHz BW, and 0.2-dB passband insertion loss with a ripple of 0.3 dB. Out-of-band rejection 3 MHz away from the passband edge is seen to be over 70 dB. Fabrication and measurement details of the 15-pole filter is similar to those described in Section III. Measured response of the 15-pole filter is shown in Fig. 16. Fig. 16 shows the center frequency at 821 MHz, passband width of 22 MHz, 0.25-dB insertion loss, 0.2-dB ripple, and return loss is more than 15.0 dB in the passband. Comparing the simulated responses of the 12-

PAL et al.: COMPACT PARALLEL COUPLED HTS MICROSTRIP BANDPASS FILTERS FOR WIRELESS COMMUNICATIONS

773

21

Fig. 16. Measured transmission response (S in decibels, denoted by solid line) and reflection response (S in decibels, denoted by dotted line) of 15-pole spiral meander-line filter.

11

Fig. 13. Dimensional details. (left) Input/output resonator. (right) Any other resonator in 15-pole spiral meander filter.

Fig. 14. Layout mask of 15-pole spiral meander filter (end-to-end distance: 50.0 mm). Fig. 17. Two-tone third-order IMP at 70 K. Fundamental signals are located at 816 and 821 MHz. IMP appearing at 826 MHz was measured. The reference lines with slope 1 for fundamental signals and slope 3 for IMP are drawn. Intercept point is approximately 40.0 dBm.

+

Fig. 15. Simulated transmission and reflection response of 15-pole spiral in meander-line filter. x-axis: frequency in megahertz. Left y -axis: S decibels (solid line). Right y -axis: S in decibels (dotted line).

11

21

and 15-pole filters, it was expected that the 15-pole filter would provide better frequency characteristics. 1) IMD Distortion: Distortion characteristics of the thirdorder IMP of the 15-pole filter was measured. Fig. 17 shows the IMP at 826 MHz from in-band two-tone fundamental signals, 816 and 821 MHz. Measured IMP plots were on slope 3 and its intercept point (IP3) was approximately 40.0 dBm at 70 K. The measured IP£ of the 15-pole filter is

Fig. 18. Sensitivity analysis of shift in 15-pole filter’s transmission response :h : mm. ——-: h against height of the substrate. : mm. :h : mm.

05

00 00 0

0 0 = 06

= 04

=

better than that of the 12-pole filter. This may be due to the better simulation characteristics of the 15-pole filter.

774

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 2, FEBRUARY 2006

Fig. 19. Sensitivity analysis of shift in 15-pole filter’s transmission response : " = 24:25. against dielectric constant of the substrate. : " = 24:0. ——-: " = 23:5. : " = 23:0.

0 0

00 00 0 40404

TABLE III (a) SENSITIVITY ANALYSIS OF 15-POLE FILTER AGAINST SUBSTRATE HEIGHT (h) (DIELECTRIC CONSTANT = 23:5), (b) SENSITIVITY ANALYSIS OF 15-POLE FILTER AGAINST DIELECTRIC CONSTANT. (SUBSTRATE HEIGHT= 0:5 mm)

The 15-pole filter’s sensitivity to the substrate height and dielectric constant is described in Figs. 18 and 19, respectively. Variation in the filter parameters such as center frequency, insertion loss, ripple, and BW due to the change of substrate height is tabulated in Table III(a) and the filter parameters against the change in the dielectric constant is shown in Table III(b). Table III(a) and (b) shows that the filter parameters are more susceptible to substrate height than dielectric constant. With the decrease in substrate height from 0.5 to 0.4 mm, center frequency goes downward by 18.0 MHz and BW reduces from 18.0 to 10.0 MHz with no significant degradation of insertion loss and ripple. V. CONCLUSION The details for designing a compact parallel-coupled microstrip bandpass filter have been presented based on computer-aided simulation and optimization using the method of moments. We developed compact 12- and 15-pole HTS

bandpass filters for the applications in the receiver systems of base stations in digital cellular and mobile PCSs. Both of the HTS filters were fabricated using thallium–barium–calcium–copper–oxide (TBCCO) thin films (manufactured at the Department of Materials, Oxford University) on a 2-in LaAlO substrate and showed a very encouraging filter response at 77 K. Frequency shift in the filters responses was understood through sensitivity analysis. This analysis also shows similar material parameter dependence in the filters, thereby ensures easy frequency scalability of this new filter design methodology. An estimate of power-handling capability of these filters was extrapolated using two-tone IMD measurement, and it shows better IP3 value than that reported elsewhere for a similar profile filter. The spiral meander-line bandpass filter design principle has the merit of easy translation of operating band and this has been demonstrated by successful realization of 12- and 15-pole filters. Spiral meander-line resonator filters have extremely high potential in the applications of 1.5–2.5% FBW wireless communications. The suggestion has been made to make use of this design methodology in much less than 1% FBW applications. REFERENCES [1] G. L. Matthaei, “Narrow-band, fixed-tuned, and tunable bandpass filters with zig–zag hairpin-comb resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1214–1219, Apr. 2003. [2] T. Dahm and D. J. Scalapino, “Nonlinear current response of a d-wave superfluid,” Phys. Rev. B, Condens. Matter, vol. 60, pp. 13 125–13 130, Apr. 1999. [3] H. J. Chaloupka, “Microwave applications of high temperature superconductors,” in Applications of Superconductivity, H. Weinstock, Ed. Norwell, MA: Kluwer, 2000, pp. 295–383. [4] M. Hein, High-Temperature-Superconductor Thin Films at Microwave Frequencies. Berlin, Germany: Springer-Verlag, 1999, pp. 296–299. [5] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, And Coupling Structures. Norwood, MA: Artech House, 1980. [6] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [7] S. Pal, “Novel superconducting microwave filters for wireless communications,” Ph.D. dissertation, Dept. Eng. Sci., Oxford Univ., Oxford, U.K., 2003. [8] G. Tsuzuki, S. Ye, and S. Berkowitch, “Ultra selective HTS bandpass filter for 3 G wireless application,” IEEE Trans. Appl. Supercond., vol. 13, no. 2, pp. 261–264, Jun. 2003. [9] S. Pal, C. J. Stevens, and D. J. Edwards, “Design of 15 pole spiral meander line HTS microstrip filter for base transceiver stations,” in Asia–Pacific Microw. Conf., Delhi, Dec. 2004 (Abstracts), p. 564. [10] F. Shih, Z. H. Lu, and M. E. Johansson, “A 19-pole cellular bandpass filters using 75-mm diameter high-temperature superconducting thin films,” IEEE Microw. Guided Wave Lett., vol. 5, no. 11, pp. 405–407, Nov. 1995. [11] R. B. Greed, D. C. Voyce, D. Jedamzik, J. S. Hong, M. J. Lancaster, M. Reppel, H. J. Chaloupka, J. C. Mage, B. Marcalhac, H. U. Hafner, G. Auger, and W. Rebernak, “An HTS transceiver for third generation mobile communications,” IEEE Trans. Appl. Supercond., vol. 9, no. 2, pp. 4002–4005, Jun. 1999. [12] K. S. K. Yeo, M. J. Lancaster, and J. Shen Hong, “The design of microstrip six-pole quasi-elliptic filter with linear phase response using extracted-pole techniques,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 321–327, Feb. 2001. [13] J. Shen Hong, M. Lancaster, D. Jedamzik, R. B. Greed, and J.-C. Mage, “On the performance of HTS microstrip quasi-elliptic function filters for mobile communications application,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1242–1246, Jul. 2000. [14] K. F. Raihn, R. Alverez, J. Costa, and G. L. Hey-Shipton, “Highly selective HTS band pass filter with multiple resonator cross-couplings,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 2, Jun. 2000, pp. 661–664.

PAL et al.: COMPACT PARALLEL COUPLED HTS MICROSTRIP BANDPASS FILTERS FOR WIRELESS COMMUNICATIONS

[15] B. Vendik, V. V. Kondratiev, D. V. Kholodniak, S. A. Gal’chenko, A. N. Deleniv, M. N. Goubina, A. A. Svishchev, S. Leppavuori, J. Hagberg, and E. Jakku, “High-temperature superconductor filters: Modeling and experimental investigations,” IEEE Trans. Appl. Supercond., vol. 9, no. 2, pp. 3577–3580, Jun. 1999. [16] J.-F. Liang, C.-F. Shih, Q. Huang, D. Zhang, and G.-C. Liang, “HTS microstrip filters with multiple symmetric and asymmetric prescribed transmission zeroes,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 4, Jun. 1999, pp. 1551–1554. [17] G. L. Hey-Shipton, “Efficient computer design of compact planar bandpass filters using elliptically short multiple coupled lines,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 4, Jun. 1999, pp. 1547–1550. [18] Z.-Y. Shen, High-Temperature Superconducting Microwave Circuits. Norwood, MA: Artech House, 1994. [19] S. Pal, C. J. Stevens, and D. J. Edwards, “A simple tuning method for high temperature superconducting microstrip filters,” Supercond. Sci. Technol., vol. 18, pp. 927–933, May 2005. [20] S. Pal, C. J. Stevens, and D. J. Edwards, “Tunable HTS microstrip filters for microwave electronics,” Electron. Lett., vol. 41, no. 5, pp. 286–288, Mar. 2005. [21] S. K. Remillard, H. R. Yi, and A. Abdelmonem, “Three-tone intermodulation distortion generated by superconducting bandpass filters,” IEEE Trans. Appl. Supercond., vol. 13, no. 3, pp. 3797–3802, Dec. 2004. [22] H. Sato, J. Kurian, and M. Naito, “Third-order intermodulation measurements of microstrip bandpass filters based on high-temperature superconductors,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2658–2663, Dec. 2004. [23] Advanced Design System 2003A Users Guide, Layout and Physical designs, Momentum, Agilent Technol., Palo Alto, CA, 2003.

Srikanta Pal was born on December 2, 1967, in West Bengal, India. He received the B.Tech degree in electronics and communication engineering from the National Institute of Technology (NIT), Warangal, India, in 1990, the M.E. degree in microwave engineering from Jadavpur University, Kolkata, India, in 1992, and the D.Phil. degree in engineering science from Oxford University, Oxford, U.K., in 2003. From 1992 to 2000, he was an Engineer and also a Senior Engineer with the Research and Development Antenna, Microwave Test, and Measurements Laboratory, Bharat Electronics Limited (BEL). From January 2001 to June 2003, he was a Research Assistant with the Department of Engineering Science, Oxford University. From July 2003 to July 2005, he was a Visiting Faculty with Centre for Applied Research in Electronics (C.A.R.E.), Indian Institute of Technology, Delhi, India. Since August 2005, he has been an Assistant Professor with the Department of Electronics and Computer Engineering, Indian Institute of Technology, Roorkee, India. His current interests include microwave–millimeter-wave components using advanced materials and technologies.

775

Christopher J. Stevens is currently a University Lecturer with Oxford University, Oxford, U.K., where he is a member of the Communications Research Group, Department of Engineering Science. He is also a Fellow and Tutor with Engineering and IT Fellow, St. Hughes College, Oxford University. He has authored widely in the field of HTS applications. His research is ultrafast superconducting electronics and optics, where he develops novel analog and digital electronic components that operate beyond 100-GHz frequencies.

David J. Edwards is currently a Professor and Head of the Communications Research Group, Department of Engineering Science, Oxford University, Oxford, U.K. He possesses 12 years in industry (British Telecommunications) and 18 years as an academic. He has authored approximately 150 publications. His teaching activities include electricity, electronics, communications, electromagnetics, and computer architecture. His research interests include communications, electromagnetics and materials, radio imaging, signal processing, and medical imaging. Prof. Edwards was the recipient of several research prizes and awards.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Manuscripts for review should be submitted in pdf format as an email attachment to the Editor at [email protected]. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free preprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS.

Digital Object Identifier 10.1109/TMTT.2005.863309

EDITORIAL BOARD Editor: M. STEER Associate Editors:A. CANGELLARIS, A. CIDRONALI, M. DO, K. ITOH, D. LINTON, S. MARSH, A. MORTAZAWI, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, V. RIZZOLI, D. WILLIAMS, R. WU, T. WYSOCKI, A. YAKOVLEV REVIEWERS A. Abbaspour-Tamijani D. Abbott M. Abdulla M. Abe A. Abedin M. Abouzahra A. Abramowicz R. Achar E. Ackerman D. Adam E. Adle M. Adlerstein M. Afsar K. Agarwal K. Agawa K. Ahmed D. Ahn H.-R Ahn M. Aikawa M. Akaike Y. Akaiwa E. Akmansoy S. Aksoy A. Akyurtlu F. Alessandri C. Algani F. Ali M. Ali W. Ali-Ahmad F. Alimenti C. Alippi B. Alpert A. Alphones S. Al-Sarawi A. Altintas A. Alvarez-Melcom S. Amari C. Anastasiou U. Andersson Y. Ando P. Andreani K.-S. Ang I. Angelov S. Anlage O. Anwar I. Aoki R. Aparicio V. Aparin F. Arndt U. Arz M. Asai P. Asbeck H. Ashok H. Ashoka A. Atalar A. Atia N. Audeh S. Auster P. Auxemery I. Awai A. Aydiner K. Aygun R. Azadegan A. Babakhani I. Bahl D. Baillargeat S. Bajpai W. Bakalski J. Baker-Jarvis B. Bakkaloglu K. Balmain Q, Balzano S. Banba J. Bandler R. Bansal F. Bardati I. Bardi S. Barker D. Barlage J. Barr J. Bartolic B. Bates G. Baudoin Q. Balzano B. Beker G. Belenky D. Belot C. Bell P. Bell J. Benedikt J. Bernhard G. Bertin H. Bertoni E. Bertran W. Beyene A. Beyer M. Bialkowski E. Biebl P. Bienstman S. Bila M. Bilakowski A.-L. Billabert F. Bilotti H. Bilzer O. Biro R. Bisiso B. Bisla D. Blackham M. Blank P. Blondy D. Boccoli F. Bohn B. Boeck L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria O. Boric-Lubecke A. Borji J. Bornemann W. Bosch R. Bosisio S. Boumaiza M. Bozzi E. Bracken R. Bradley V. Bratman T. Brazil G. Brehm K. Breuer B. Bridges J. Brinkoff S. Broschat S. Brozovich D. Budimir D. Buechler M. Buff C. Buntschuh J. Bunton J. Burghartz P. Burghignoli Y. Bykov A, Caballero B. Cabon J. Calame

M. Calcatera C. Caloz C. Camacho-Penalosa E. Camargo R. Cameron S. Cammer C. Campbell M. Campovecchio F. Canavero J. Cao J. Capmany F. Capolino G. Carchon R. Carter N. Carvalho F. Casas J. Catala R. Caverly J. Cavers Z. Cendes B. Cetiner R. Chair H. Chaloupka A. Chambarel B. Chambers C.-H. Chan Y.-J. Chan C.-Y. Chang F. Chang G. Chang H.-C. Chang H.-R. Chang K. Chang E. Channabasappa H. Chapell W. Chappell M. Chatras S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen H.-H. Chen J. Chen R. Chen W.-K. Chen Y.-J. Chen K.-K. Cheng Y.-C. Cheng W.-C. Chew C.-Y. Chi Y.-C. Chiang C.-F. Chiasserini I.-T. Chiang J. C. Chiao I. Chiba D. Chigrin A. Chin C.-C. Chiu Y. Cho C. Choi J. Choi M.-J. Choi C.-K. Chou Y.-H. Chou D. Choudhury K. Choumei Y. Chow C. Christodoulou C. Christopoulos H.-R. Chuang Y. Chung B. Chye R. Cicchetti C. Cismaru D. Citrin P. Civalleri A. Ciubotaru T. Clark R. Clarke J. Cloete E. Cohen F. Colomb B. Colpitts M. Condon D. Consonni J. Corral A. Constanzo I. Corbella E. Costamagna A. Coustou J. Craninckx J. Crescenzi S. Cripps D. Cros T. Crowe M. Cryan J. Culver C. Curry W. Curtice M. da Cunha W.-L. Dai T. Dahm G. Dambrine B. Danly F. Danneville N. Das M. Davidovich A. Davis C. Davis L. Davis H. Dayal F. De Flaviis H. De Los Santos A. De Lustrac P. De Maagt J. de Mingo R. De Roo L. de Vreede D. De Zutter B. Deal A. Dearn P. Debicki J. Deen A. Deleniv M. DeLisio S. Demir A. Deutsch V. Devabhaktuni Y. Deval A. Diet L. Ding A. Djermoun T. Djordjevic J. Dobrowolski D. Dolfi W. Dou M. Douglas P. Draxler A. Dreher F. Drewniak J. Drewniak D. Dubuc S. Dudorov L. Dunleavy V. Dunn A. Duzdar

S. Dvorak L. Dworsky M. Dydyk M. Edwards R. Ehlers H. Eisele G. Eisenstein G. Eleftheriades M. Elliott T. Ellis A. Elsherbeni R. Emrick N. Engheta A. Enokihara Y. Eo H. Eom C. Ernst M. Esashi L. Escotte I. Eshrah V. Esposti M. Essaaidi K. Esselle H. Estaban J. Esteban C. Fager J. Fan D.-G. Fang M. Farina W. Fathelbab A. Fathy J. Favennec A. Fazal E. Fear M. Feldman A. Fernandez A. Ferrero T. Fickenscher J. Fiedziuszko D. Filipovic A. Fliflet B. Floyd P. Focardi N. Fong K. Foster P. Foster B. Frank C. Free J. Freire M. Freire R. Freund F. Frezza I. Frigyes C. Froehly J. Fu R. Fujimoto T. Fujioka O. Fujiwara H. Fukuyama V. Fusco D. Gabbay N. Gagnon J. Gallego B. Galwas O. Gandhi B.-Q. Gao J. Gao J. Garcia R. Garver A. Gasiewski B. Geelen B. Geller V. Gelnovatch W. Geppert F. Gerecht J. Gering M. Gerken S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini J. Gilb A. Glisson M. Goano E. Godshalk M. Goldfarb P. Goldsmith M. Golio N. Gomez X. Gong R. Gonzalo S. Gopalsami A. Gopinath R. Gordon A. Gorur K. Goverdhanam W. Grabherr L. Gragnani J. Grahn G. Grau A. Grebennikov T. Gregorzyk I. Gresham A. Griol D. R. Grischowsky C. Grossman E. Grossman T. Grzegorczyk A. Gupta K. Gupta M. Gupta R. Gutmann W. Gwarek J. Hacker M. Hafizi S. Hadjiloucas S. Hagness D. Haigh P. Hale D. Ham K. Hamaguchi S. Hamedi-Hagh J. Hand K. Hashimoto Q. Han T. Hancock A. Hanke V. Hanna Z. Hao S. Hara L. Harle A. Harish P. Harrison H. Hartnagel J. Haslett G. Hau R. Haupt S. Hay H. Hayashi J. Hayashi L. Hayden J.Heaton

P. Hedekvist W. Heinrich G. Heiter M. Helier R. Henderson F. Henkel J. Herren P. Herczfeld F. Herzel J. Hessler A. Hiatala C. Hicks M. Hieda A. Higgins M. Hikita W. Hioe Y. Hirachi T. Hiraota A. Hirata T. Hiratsuka Y.-C. Ho W. Hoefer K. Hoffmann R. Hoffmann J. Hong J.-S. Hong K. Horiguchi Y. Horii J. Horng J. Horton K. Hosoya R. Howald H. Howe H.-M. Hsu H.-T. Hsu J.-P. Hsu C.-W. Hsue C.-C. Huang C. Huang F. Huang H. Huang H.-C. Huang J. Huang T.-W. Huang P. Huggard H.-T. Hui D. Humphreys A. Hung C.-M. Hung H. Hung J.-J. Hung I. Hunter H.-Y. Hwang T. Idehara S. Iezekiel J.-Y. Ihm Y. Iida H. Iizuka P. Ikalainen Y. Ikeda P. Ikonen K. Ikossi M. Ilic J. Inatani K. Iniewski H. Inokawa A. Inoue M. Ishida A. Ishimaru T. Ishizaki S. Islam Y. Ismail Y. Isota M. Ito T. Itoh Y. Itoh T. Ivanov C. Iversen D. Iverson M. Iwamoto Y. Iyama H. Izumi D. Jachowski C. Jackson D. Jackson R. Jackson M. Jacob S. Jacobsen D. Jaeger B. Jagannathan N. Jain R. Jakoby G. James V. Jandhyala M. Janezic H. Jantunen B. Jarry P. Jarry A. Jastrzbeski E. Jeckein W. Jemison Y. Jeon J. Jeong Y.-H. Jeong G. Jerinic A. Jerng T. Jerse D. Jiao J.-M. Jin J. Joe L. Johansson T. Johnson A. Joseph K. Joshin J. Joubert P. Juodawlkis P. Kabos S.-T. Kahng T. Kaho D. Kajfez T. Kamel Y. Kamimura H. Kamitsuna K. Kamogawa S. Kanamaluru H. Kanaya M. Kanda P. Kangaslahtii V. Kaper M. Kärkkäinen A. Karpov U. Karthaus A. Karwowski T. Kashiwa R. Kaul K. Kawakami A. Kawalec T. Kawanishi S. Kawasaki H. Kayano M. Kazimierczuk R. Keam L. Kempel P. Kenington K. Kenneth S. Kenny

Digital Object Identifier 10.1109/TMTT.2006.870675

A. Kerr A. Khalil A. Khanifar J. Kiang Y.-W. Kiang P.-S. Kildal O. Kilic B. Kim H. Kim I. Kim J.-P. Kim M. Kim W. Kim B. Kimm K. Kimura S. Kimura A. Kirilenko V. Kisel S. Kishimoto A. Kishk T. Kitamura K. Kitayama T. Kitazawa W. Klaus E. Klumprink R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kogami B. Kolner S. Komaki M. Komaru J. Komiak A. Komijani G. Kompa A. Konczykowska Y. Konishi A. Koonen B. Kopp K. Kornegay M. Koshiba T. Kosmanis J. Kot Y. Kotsuka S. Koul V. Kourkoulos A. B. Kozyrev A. Krenitskiy N. Kriplani K. Krishnamurthy V. Krishnamurthy A. Kroenig C. Kromer C. Krowne V. Krozer W. Kruppa R. Kshetrimayum H. Ku H. Kubo E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar M. Kunert J. Kuno M. Kunst C.-N. Kuo J.-T. Kuo H. Kurebayashi T. Kuri F. Kuroki S. Kusunoki D. Kuylenstierna M. Kuzuhara I. Kwon Y.-W. Kwon R. Lai Y.-L. Lai P. Lampariello M. Lanagan M. Lancaster P. Lane U. Langmann Z. Lao G. Lapin L. Larson J. Laskar A. Lauer G. Lazzi Y. Le Coz Y. Le Guennec S. Le Maguer B. Lee C. Lee J.-F. Lee J.-W. Lee K. Lee R. Lee S.-G. Lee T. Lee Y.-C. Leong R. Leoni K.-W. Leung P. Leuchtmann G. Leuzzi A. Leven A. Levi R. Levy A. Lewandowski M. Lewis K. Li L.-W. Li X. Li Y. Li Y.-M. Li M. Liberti L. Ligthart S. Lim E. Limiti C. Lin J. Lin Y.-D. Lin Y.-S. Lin L. Lind S. Lindenmeier F. Ling A. Lipparini D. Lippens V. Litvinov C.-P. Liu Q.-H. Liu S.-I. Liu W. Liu O. Llopis D. Lo A. Loayssa R. Loison J. Long K. Lorincz U. Lott J.-H. Loui H.-C. Lu L.-H. Lu S. Lu

W.-T. Lu V. Lubecke G. Lucca S. Lucyszyn R. Luebbers L. Lunardi J. Luy S. Lyshevski J.-G. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella P. Macchiarella J. Machac S. Maci J. Maciel M. Madihian B. Madhavan V. Madrangeas M. Magana S. Mahmoud S. Mahon I. Maio A. Majedi M. Majewski M. Makimoto J. Malherbe D. Malocha T. Manabe G. Manganaro T. Maniwa C. Mann H. Manohara R. Mansour D. Manstretta J. Mao S.-G. Mao S. Marchetti R. Marques J. Martens J. Marti F. Martin E. Martinez K. Maruhashi D. Masotti A. Massa S. Masuda A. Materka B. Matinpour M. Matsuo A. Matsushima A. Matsuzawa S. Matsuzawa G. Matthaei D. Matthews J.-P. Mattia J. Maurer J. Mayock J. Mazierska S. Mazumder G. Mazzarella K. McCarthy T. McKay J. McKinney R. McMillan D. McQuiddy P. Meany F. Medina S. Melle F. Mena C. Meng H.-K. Meng W. Menzel F. Mesa A. Metzger P. Meyer C. Mias K. Michalski G. Michel E. Michielssen A. Mickelson R. Miles D. Miller R. Minasian B. Minnis D. Mirshekar J. Mitchell O. Mitomi R. Mittra M. Miyakawa R. Miyamoto M. Miyazaki K. Mizuno S. Mizushina M. Mohamed S. Mohammadi A. Mohammadian M. Mongiardo J. Morente M. Morgan K. Mori A. Morini N. Morita E. Moros A. Morris J. Morsey H. Mosallaei M. Mrozowski J.-E. Mueller M. Muraguchi K. Murata H. Muthali T. Nagatsuma P. Nagel K. Naishadham T. Nakagawa M. Nakajima N. Nakajima J. Nakayama M. Nakayama M. Nakhla J. Nallatamby S. Nam S. Narahashi A. Natarajan J. Nath B. Nauwelaers J. Navarro I. Nefedovlgor H.-C. Neitzert B. Nelson S. Nelson A. Neri H. Newman D. Ngo E. Ngoya C. Nguyen K. Niclas E. Niehenke P. Nikitin A. Niknejad N. Nikolova T. Nirmalathas K. Nishikawa T. Nishikawa

K. Nishimura T. Nishino K. Nishizawa G. Niu W. Ng S. Nogi K. Noguchi T. Nojima A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido T. Nurgaliev D. Oates J. Obregon J. O’Callahan M. O’Droma M. Odyneic I. Ogawa M. Ogusu K. Oh M. Ohawa T. Ohira I. Ohta M. Ohtsuka S. Oikawa K. Okada Y. Okano H. Okazaki V. Okhmatovski A. Oki M. Okoniewski A. Oliner J. Olsson F. Olyslager A. Omar M. Omiya K. Onodera B.-L. Ooi I. Oppermann R. Orta S. Ortiz J. Ou T. Owada M. Ozkar J. Page de la Pega W. Palmer G.-W. Pan A. Paolella C. Papanicolopoulos J. Papapolymerou B.-K. Park C.-S. Park W. Park A. Parker D. Parker T. Parker J. Pearce B. Pejcinovic S.-T. Peng R. Pengelly R. Penty J. Pereda B. Perlman L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson A. Petosa A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierce B. Piernas J. Pierro P. Pieters M. Piket-May L. Pileggi Z.-Y. Ping M. Pirola A. Platzker C. Plett C. Pobanz R. Pogorzelski R. Pokharel R. Pollard G. Ponchak M. Popovic J. Portilla M. Pospieszalski V. Postoyalko A. Pothier S. Prasad D. Prather D. Prescott A. Priou D. Purdy Y. Qian T. Quach C. Quendo R. Quere F. Raab V. Radisic K. Radhakrishnan T. Rahkonen C. Railton A. Raisanen K. Rajab O. Ramahi J. Randa R. Ranson T. Rappaport J. Rathmell C. Rauscher J. Rautio B. Rawat J. Rayas-Sanchez R. Reano G. Rebeiz J. Rebollar B. Redman-White M. Reddy R. Reid H.-M. Rein J. Reinert R. Remis K. Remley C. Rey L. Reynolds A. Rezazadeh E. Rezek A. Riddle B. Riddle J.-S. Rieh E. Rius I. Robertson R. Robertson A. Rodriguez R. Rogers H. Rogier U. Rohde N. Rolland R. Romanofsky

A. Rong Y. Rong D. Root L. Roselli A. Rosen U. Rosenberg L. Roy M. Royer J. Roychowdury T. Rozzi B. Rubin M. Rudolph P. Russer D. Rutledge T. Ruttan A. Rydberg T. Rylander D. Rytting C. Saavedra A. Safavi-Naeini A. Safwat M. Sagawa B. Sahu A. Saitou I. Sakagami K. Sakaguchi K. Sakakibara K. Sakamoto K. Sakoda M. Salazar-Palma C. Samori L. Samoska A. Sanada Y. Sanada M. Sanagi P. Sandhiva U. Sangawa A. Sangster K. Sano K. Sarabandi T. Sarkar C. Sarris H. Sato M. Sato S. Sato H. Sawada H. Sawaya A. Sawicki A. Sayed I. Scherbatko J. Schellenberg G. Schettini F. Schettino B. Schiek M. Schindler E. Schlecht E. Schmidhammer D. Schmitt J. Schneider J. Schoukens A. Schuchinsky R. Schuhmann J. Schultz J. Schutt-Aine A. Seeds Y. Segawa T. Seki S. Selberherr G. Semouchkin E. Semouchkina Y.-K. Seng R. Settaluri J. Sevic O. Sevimli Y. Segawa Z. Shao M. Shapiro A. Sharma S. Sharma T. Shen Z.-X. Shen Y. Shestopalov H. Shigesawa Y.-C. Shih H. Shimasaki S. Shinjo N. Shino N. Shinohara T. Shimozuma W. Shiroma K. Shogen N. Shuley M. Shur D. Sievenpiper A. Sihvola C. Silva M. Silveira M. Silveirinha M. Silveirinhao K. Silvonen G. Simin R. Simons B. Sinha F. Sinnesbichler J. Sinsky J. Sitch H.-J. Siweris R. Sloan A. Smith D. Smith G. Smith P. Smith R. Snyder H. Sobol A. Sochava M. Solano K. Solbach M. Solomon M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers M. Soyuer R. Sparks P. Staecker D. Staiculescu S. Stapleton J. Staudinger P. Stauffer P. Steenson K. Stephan M. Steyaert S. Stitzer A. Stoehr B. Strassner M. Stubbs M. Stuchly A. Suarez G. Subramanyam R. Sudbury N. Suematsu M. Sugiyama D. Sullivan L. Sundstrom

Y. Suzuki J. Svacina D. Swanson D. Sweeney R. Syms B. Szendrenyi W. Tabbara M. Tabib-Azar A. Taflove M. Taghivand N. Taguchi Y. Tahara G. Tait Y. Tajima T. Takagi K. Takahashi S. Takayama Y. Takayama S. Takeda I. Takenaka M. Taki K. Takizawa S. Talisa N. Talwalkar B.-T. Tan C.-Y. Tan J. Tan C.-W. Tang W.-C. Tang S. Tanaka T. Tanaka Y. Tanaka M. Tani E. Taniguchi H. Tanimoto R. Tascone J. Taub J. Tauritz R. Tayrani D. Teeter F. Teixeira R. Temkin M. Tentzeris K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson D. Thompson M. Tiebout L. Tiemeijer H. Toda M.-R. Tofighi M. Togashi T. Tokumitsu R. Tomasiunas A. Tombak K. Tomiyasu I. Toyoda S. Tretyakov R. Trew A. Trifiletti C. Trueman A. Truitt C.-M. Tsai E. Tsai L. Tsang H.-Q. Tserng T. Tsiboukis J. Tsui M. Tsuji T. Tsujiguchi T. Tsukahara K. Tsukamoto K. Tsunoda H. Tsurumi S. Tu R. Tucker M. Tur C.-K. Tzuang H. Uchida S. Uebayashi T. Ueda S. Ueno J. Uher F. Uhlmann T. Ulrich T. Umeda Y. Umeda F. Urbani T. Uwano P. Vainikainen P. Valanju F. Van de Water P. van den Berg D. Van der Weide G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie J. Vankka F. Van Straten K. Varian G. Vasilecu A. Vegas-Garcia L. Vegni A. Verma R. Vernon J. Verspecht B. Vidal L. Vietzorreck A. Viitanen A. Vilches C. Vittoria S. Vitusevich D. Viveiros V. Volman K. Wada K. Wakino D. Walker R. Walker M. Wallis C. Walsh C. Wan S. Wane B.-Z. Wang C. Wang D. Wang E. Wang H. Wang J. Wang K.-C. Wang S. Wang T.-H. Wang W. Wang X. Wang K. Warnick P. Warr S. Wartenberg O. Watanabe S. Watanabe R. Waugh D. Webb K. Webb R. Webster S. Wedge C.-J. Wei

J. Weirt R. Weigel G. Weihs R. Weikle C. Weil D. Weile A. Weily S. Weinreb J. Weiss C. Weitzel T. Weller C.-P. Wen M.-H. Weng R.-M. Weng S. Wentworth J. Whelehan L. Whicker J. Whitaker N. Whitbread D. White I. White S. Whiteley A. Whittneben B. Widrow G. Wilkins J. Williams T. Williams A. Williamson B. Willen B. Wilson J. Wiltse T. Winslow J. Winters A. Wittneben M. Wnuk M.-F. Wong S. Wong W. Woo J. Wood R. C. Wood G. Woods D. Woolard B.-L. Wu C. Wu H. Wu K. Wu K.-L. Wu Q. Wu Y.-S. Wu J. Wuerfl M. Wurzer J. Wustenberg G. Xiao C. Xie H. Xin Y.-Z. Xiong J. Xu Y. Xu Q. Xue T. Yakabe K. Yamamo S. Yamamoto S. Yamashita K. Yamauchi F. Yang H.-Y. Yang K. Yang Y. Yang Y.-J. Yang Z. Yang S. Yanagawa F. Yanovsky H. Yao J. Yao J. Yap B. Yarman K. Yashiro H. Yasser K. Yasumoto S. Ye J. Yeo S.-P. Yeo A. Yilmaz W.-Y. Yin S. Yngvesson N. Yoneda T. Yoneyama C.-K. Yong J.-G. Yook J.-B. Yoon R. York I. Yoshida S. Yoshikado L. Young M. Yousefi J.-W. Yu M. Yu P.-K. Yu W. Yu S-W Yun P. Yue A. Zaghoul A. Zaghloul A. Zajic K. Zaki P. Zampardi J. Zapata L. Zappelli J. Zehentner L. Zhang Q.-J. Zhang R. Zhang S. Zhang W. Zhang Y. Zhang A. Zhao L. Zhao Y. Zhao F. Zhenghe W. Zhou A. Zhu L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin D. Zimmermann R. Ziolkowski H. Zirath J. Zmuidzinas A. Zozaya