IEEE MTT-V054-I03 (2006-03) [54, 3 ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 01603841......Page 1
020 - 01603842......Page 3
I. I NTRODUCTION......Page 4
A. Conditions on $S$ -Parameters Developed From Nyquist Test......Page 5
B. Conditions on Immittance Parameters......Page 6
D. Observability and the Odd-Mode Instability......Page 7
B. Normalized Determinant Function (NDF) and the Nyquist Test......Page 8
D. Application of Return Ratio Evaluation to a Multistage Circui......Page 9
R ETURN R ATIO AND THE N ETWORK D ETERMINANT......Page 10
P. J. Hurst and S. H. Lewis, Determination of stability using re......Page 11
I. I NTRODUCTION......Page 12
III. F ILLED W AVEGUIDE M ETHOD......Page 13
1) Solution for Perfect Filling: In the following, the method to......Page 14
IV. C OATED T RANSMISSION -L INE M ETHOD......Page 15
Fig. 6. Extracted dielectric loss tangent ${\rm tan}\delta$ of P......Page 16
B. Mold Materials......Page 17
VI. C ONCLUSIONS......Page 18
G. L. Friedsam and E. M. Biebl, Precision free-space measurement......Page 19
P. Z. R. W. Haas, 22-GHz measurements of dielectric constants an......Page 20
I. I NTRODUCTION......Page 22
Fig. 2. Spurious (higher order resonance) frequencies ${ f}_{ sp......Page 23
Fig.€3. Simulated frequency responses for type (B) and (D) filte......Page 24
TABLE€II P HYSICAL D IMENSIONS AND P ARAMETERS FOR E ACH R ESON......Page 25
V. C OUPLED -R ESONATOR F ILTER U SING F OUR D ISSIMILAR $\lambd......Page 26
Fig.€8. (a) Narrow- and (b) wide-band measured and simulated fre......Page 27
J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microw......Page 28
A. Transmitter System Requirements of PFN......Page 30
C. To Differentiate the Clock Signal......Page 31
III. C IRCUIT I MPLEMENTATION AND M EASUREMENTS......Page 32
B. Power Spectral Density......Page 33
Fig.€16. (a) Measured spectral content of PFN output shows suffi......Page 34
A. Batra, J. Balakrishnan, G. R. Aiello, J. R. Forrester, and A.......Page 35
I. I NTRODUCTION......Page 36
II. T RADITIONAL DCIM AND D ISCUSSIONS......Page 37
Fig. 3. $\mathtilde{G}_{q}$ sampled along path $C_{0}^{\prime}$......Page 38
Fig. 5. (a) Path of the new DCIM in $k_{z}$ domain. (b) Path of......Page 39
IV. N UMERICAL R ESULTS......Page 40
Fig. 9. Spatial-domain $G_{xx}^{A}$ for a general multilayered m......Page 41
B. M. Kolundzija, J. S. Ognjanovic, T. K. Sarkar, and R. F. Harr......Page 42
Fig.€2. Square-patch resonator. (a) Cross section. (b) Field mat......Page 44
Fig.€3. Circular-pad via-hole directly excited by a microstrip l......Page 45
III. A PPROXIMATE M ODEL OF A G ROUNDING S QUARE -P AD V IA -H O......Page 46
IV. M ODELING OF S HORTED R ECTANGULAR P ATCHES......Page 47
A. Circular-Pad Via-Holes......Page 48
Fig. 9. ${\rm TM}_{010}$ modal field components versus the radia......Page 49
TABLE€II C OMPARISON OF THE C ALCULATED (M ODEL ) AND M EASURED......Page 50
Fig. 13. Resonant frequency of the ${\rm TM}_{010}$ mode versus......Page 51
IE3D User's Manual, Release 10.1, Zeland Software Inc., Fremont,......Page 52
II. T IME C ONSTANT OF AN I NTEGRATOR......Page 54
IV. I MPLEMENTATION OF I NTEGRATORS......Page 55
V. E XPERIMENTAL R ESULTS......Page 56
Fig. 6. (a) (c) Experimental results of ${ S}_{21}(f)$ for three......Page 57
C.-W. Hsue, L.-C. Tsai, and K.-L. Chen, Implementation of first-......Page 58
I. I NTRODUCTION......Page 59
C. ${\rm TE}_{z}$ Modes......Page 60
B. General Solutions for Nonzero Depths......Page 61
A. Step Discontinuity With Polystyrene-Filled Grooves......Page 62
B. Polystyrene-Filled Grooves: Measurement and Theory......Page 63
M. N. M. Kehn and P.-S. Kildal, Miniaturized rectangular hard wa......Page 64
A. Morini, T. Rozzi, and L. Zappelli, Scattering matrix represen......Page 65
Fig.€1. Diagram of the fixed model and scalable model.......Page 66
B. From 1- $\pi$ Model to the 24-Element 2- $\pi$ Model......Page 67
D. Extraction of Circuit Element Values From a Single Measuremen......Page 68
Fig.€7. Metal lines over a ground plane.......Page 69
A. Results of the Fixed Model......Page 70
B. Model Parameters and Results of Scalable Model......Page 71
Fig. 17. $Q_{11}$ and $Q_{22}$ of scalable model for D1, D7, and......Page 72
C. Bounds and Initial Values of Sizes......Page 73
VI. C ONCLUSIONS......Page 74
S. S. Mohan, The design, modeling and optimization of on-chip in......Page 75
A. Brief Remind of the Method Principle......Page 76
III. E RROR S OURCES A SSOCIATED W ITH THE M ETHOD......Page 77
Fig. 2. (a) Measured $\mu=\mu^{\prime}-j\mu^{\prime\prime}$ and......Page 78
B. Optimum Relative Permittivity Contrast $(\varepsilon_{2}-\var......Page 79
Fig. 7. Measured $\varepsilon=\varepsilon^{\prime}-j\varepsilon^......Page 80
3) Dispersion Relation: After the expression of the RF fields in......Page 81
Fig. 8. Frequency dependence for the dynamic $(\gamma_{\rm dyn}^......Page 82
Fig. 9. Relative uncertainty between the dynamic $(\beta_{\rm dy......Page 83
Fig.€10. Absolute uncertainties in the measurement of $\mu$ and......Page 84
Microwave ferrite materials, Temex, Sophia-Antipolis, France, C......Page 85
H. How et al., Theory and experiment of thin-film junction circu......Page 86
II. E IGENVALUES OF THE T HREE -P ORT C IRCULATOR......Page 87
III. S MITH C HART OF THE T ERMINATED C IRCULATOR......Page 88
Fig.€5. Extraction of in-phase reflection angle.......Page 89
Fig.€8. In-phase equivalent circuit of terminated circulator in......Page 90
Fig.€9. Eigenvalue diagrams of counter-rotating reflection angle......Page 91
VIII. C OMPLEX G YRATOR C IRCUIT......Page 92
X. C ONCLUSION......Page 93
B. D. H. Tellegen, The gyrator, a new electric network element,......Page 94
A. Impedance and Field Matching......Page 95
B. Parametric Studies......Page 96
C. Optimal Design and Measurements......Page 97
III. M ICROSTRIP - TO S LOTLINE T RANSITION D ESIGN AND M EASURE......Page 98
J. G. Yook, N. Dib, L. P. B. Katehi, R. N. Simons, and S. R. Tau......Page 99
Fig.€1. Layout of the conventional CPW quarter-wavelength $(% \lam......Page 101
Fig.€3. Computed minimum electrical length with different values......Page 102
III. S TRUCTURE OF THE P ROPOSED SIRs......Page 103
A. Two-Pole End-Coupled Bandpass Filter......Page 104
Fig.€11. Measured and simulated results of the designed quasi-el......Page 105
J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microw......Page 106
I. I NTRODUCTION......Page 107
A. Analysis of the Power-Transfer Curve......Page 108
Fig.€2. Measured output power spectrum of the class-E PA for dif......Page 109
Fig. 3. Multivalued $P_{\rm in}-P_{\rm out}$ curve of the class-......Page 110
B. Analysis of the Oscillatory Solution......Page 111
A. Precursor Model and Analysis Techniques......Page 112
Fig.€7. Analysis of noisy precursors. Comparison of the simulate......Page 113
V. E LIMINATION OF THE H YSTERESIS IN THE $P_{\rm in}-P_{\rm out......Page 114
VI. E LIMINATION OF N OISY P RECURSORS......Page 115
VII. C ONCLUSION......Page 116
J. Guckenheimer and P. Holmes, Nonlinear Oscillations, Dynamical......Page 117
II. PSIRR......Page 118
III. R ESONATOR M INIATURIZATION AND THE U PPER S TOPBAND......Page 119
IV. D UAL -M ODE R ING R ESONATOR F ILTER D ESIGN......Page 120
Fig.€8. Simulation and measured responses for two PSIRR4 filters......Page 121
VI. C ONCLUSION......Page 122
K. Chang, Microwave Ring Circuits and Antennas . New York: Wiley......Page 123
Fig.€1. Proposed second-order filter configuration and the topol......Page 124
II. A NALYSIS OF F ILTERS W ITH SEMCP......Page 125
III. S ECOND -O RDER F ILTER R EALIZATION......Page 126
IV. H IGH -O RDER SEMCP F ILTER......Page 127
Fig.€13. Configuration and simulation of the fourth-order $E$ an......Page 128
L. Zhu and K. Wu, A joint field/circuit design model of microstr......Page 129
G. L. Matthaei, Narrow-band, fixed-tuned, and tunable bandpass f......Page 130
I. I NTRODUCTION......Page 131
Fig.€1. Mechanical and electrical model of a two parallel-plate......Page 132
TABLE€I F REQUENCIAL C OMPONENTS IN D ISPLACEMENT AND I NTERMOD......Page 134
IV. R EFLECTION C OEFFICIENT P HASE AS A F UNCTION OF THE M EMBR......Page 135
Fig.€5. Analysis of the contributions to intermodulation product......Page 136
A. Measured Device......Page 137
Fig.€9. Validation of the proposed analysis. Comparison with mea......Page 138
Fig.€13. Comparison between the presented model and measurements......Page 139
H. Nieminen, V. Ermolov, K. Nybergh, S. Silanto, and T. Ryhänen,......Page 140
D. Koester, A. Cowen, R. Mahadevan, M. Stonefield, and B. Hardy,......Page 141
I. I NTRODUCTION......Page 142
II. F ERROELECTRIC P HASE S HIFTERS......Page 143
C. Device Measurement......Page 144
IV. CPW- TO -MS T RANSITION......Page 145
Fig.€10. Simulated and measured $S$ -parameters of the CPW-to-MS......Page 146
Fig.€13. Four-element phased-array antenna fabricated on a silic......Page 147
T. Ji, A four element array antenna system monolithically implem......Page 148
J. G. Yook and L. P. B. Katehi, Micromachined microstrip patch a......Page 149
Fig.€1. Geometrical optical description of ray propagation of th......Page 150
III. D ESIGN OF L AUNCHER W ITH A W AVE B EAM OF H IGH G AUSSIAN......Page 151
Fig.€3. Mode composition (relative power) coefficients vary alon......Page 152
Fig. 6. Schematic contour of the launcher wall ( ${ l}1=1$, ${ l......Page 153
Fig.€9. Normalized contour of the strength of the field at the a......Page 154
G. G. Denisov, A. N. Kuftin, V. I. Malygin, N. P. Venediftov, D.......Page 155
J. Neilson, Surf3d and TOL: Computer codes for design and analys......Page 156
Fig.€1. $S$ -band diplexer formed by two coaxial six-pole filter......Page 157
II. D ERIVATION OF THE P ROTOTYPE......Page 158
III. D ESIGN OF THE F ILTER......Page 159
Fig.€7. Equivalent circuit for the prototype $K$ -inverter.......Page 160
Fig.€8. Equivalent circuit of the entire manifold.......Page 161
Fig.€11. Response of the realized $S$ -band diplexer with square......Page 162
E. G. Cristal, Coupled circular cylindrical rods between paralle......Page 163
II. D IELECTRIC AND S UPERCONDUCTING N ONLINEARITIES IN TLs......Page 165
A. Closed-Form Expressions for IMD and 3rdH......Page 166
1) Traveling Wave Along a Matched TL: The expression of the curr......Page 167
2) Resonant TL: The resonator model is an open-ended coplanar TL......Page 168
V. C ONCLUSION......Page 169
J. Mateu, C. Collado, and J. M. O'Callaghan, Nonlinear analysis......Page 170
TABLE€I S TANDARD AND L OADED CPW L INE D IMENSIONS......Page 172
Fig.€5. Effect of probe station's chuck on measured $S$ -paramet......Page 173
IV. H YBRID D ESIGN T ECHNIQUE......Page 174
Fig.€12. Comparison of the measured responses of the miniaturize......Page 175
S. V. Robertson, L. P. B. Katehi, and G. M. Rebeiz, Micromachine......Page 176
I. I NTRODUCTION......Page 177
Fig.€2. Voltage across capacitor as a function of time showing t......Page 178
B. Design of a Varactor-Based Frequency Tripler......Page 179
Fig.€5. Simulated and measured results of the frequency multipli......Page 180
A. Design of an Integer-Order Frequency Divider......Page 181
Fig.€8. Design of the frequency divider-by-3 using an AG. The AG......Page 182
Fig.€10. Pole locus corresponding to the periodic solution Fig.€......Page 183
Fig.€12. Frequency band of the divider-by-3. (a) Simulated resul......Page 184
Fig.€15. Frequency divider-by-3. Variation of the output power a......Page 185
Fig.€17. Improved divide-by-3/2 circuit in which a four-diode ba......Page 186
Fig.€19. Frequency divider-by-3/2. (a) Nonlinear analysis. Varia......Page 187
Fig.€21. Frequency divider-by-3/2. Variation of the output power......Page 188
M. Saglam et al., High performance 450-GHz GaAs-based heterostru......Page 189
U. L. Rohde, A. K. Poddar, J. Schoepf, R. Rebel, and P. Patel, L......Page 190
B. Amplifier......Page 191
B. Calculations......Page 192
Fig.€4. Additional measurement configurations. ML denotes matche......Page 193
A. Setup and Procedures......Page 194
Fig.€7. Measurement results for the amplifier gain between plane......Page 195
C. Uncertainties......Page 196
D. Discussion......Page 197
IV. S UMMARY......Page 198
J. D. Gallego and M. W. Pospieszalski, Accuracy of noise tempera......Page 199
II. F ILTER D ESIGN......Page 201
III. F ILTER E XPERIMENT......Page 202
Fig.€3. Fully assembled 6 8.6-GHz filter module with a total len......Page 203
Fig.€5. Measured and predicted responses of the 6 6.8-GHz experi......Page 204
Fig.€7. Calculated response of a 11 18-GHz bandpass filter.......Page 205
Y. Rong, K. A. Zaki, M. Hageman, D. Stevens, and J. Gipprich, Lo......Page 206
II. P ROBLEM......Page 207
III. D ESIGN......Page 208
Fig. 6. Simulated and measured results of the proposed 90 $^{\ci......Page 209
M. C. Velazquez, J. Martel, and F. Medina, Parallel coupled micr......Page 210
I. I NTRODUCTION......Page 212
TABLE€II C OMPARISON OF GA AND MPSQP E XTRACTED V ALUES FOR C O......Page 213
III. C OMPUTER -G ENERATED $S$ -P ARAMETERS......Page 214
IV. S ENSITIVITY A NALYSIS......Page 215
TABLE€VII MPSQP E RROR FOR S INGLE L AYER S AMPLE......Page 216
TABLE€VIII R ESULTS FOR T WO -L AYER S AMPLES......Page 217
C. Three-Layer Measurements......Page 218
Introduction to Basic Measurements Using the HP 8510, Hewlett-Pa......Page 219
I. I NTRODUCTION......Page 221
A. Time Base......Page 222
D. Transformation to a Single-Frequency Problem......Page 223
E. Iterative Solution Procedure......Page 224
IV. P HASE AND P HASE R ECIPROCITY......Page 225
Fig. 9. Difference of the phase of $S_{21}$ and $S_{12}$ measure......Page 226
D. Ballo, Measuring absolute group delay of multistage converter......Page 227
I. I NTRODUCTION......Page 229
Fig. 3. (a) Finite thickness medium: nonpiezoelectric material o......Page 230
Fig.€5. TFBAR layout.......Page 231
Fig.€8. Fabricated TFBARs of tetragon shape with unparallel side......Page 232
Fig.€10. Comparison of electrical impedance characteristics of 3......Page 233
A. Design of TFBAR Filter......Page 234
Fig.€16. Simulated performances of 4/3-stage ladder-type filter.......Page 235
Fig.€18. Simulated performances of TFBAR filter as a function of......Page 236
V. C ONCLUSION......Page 237
K. M. Lakin, J. Belsick, J. F. Mcdonald, and K. T. McCarron, Imp......Page 238
T. Pensala, T. Makkonen, J. Vartiainen, J. Knuuttila, J. Kaitila......Page 239
A. General Phase-Shifter Design......Page 240
Fig.€3. Side view of packaged MEMS switches at tree junction.......Page 241
C. Curled Signal Line Modification......Page 242
Fig.€9. Design geometry for the tree-junction.......Page 243
Fig.€12. MEMS switch fabrication process.......Page 244
Fig.€15. Measured phase error of unpackaged phase shifter. The o......Page 245
Fig.€18. Comparison of the phase shifter without a package, with......Page 246
R. Bairavasubramanian, D. Thompson, D. DeJean, G. E. Ponchak, M.......Page 247
II. D OUBLE S PLIT -E ND Q UARTER -W AVE SIR......Page 248
A. Tapping Location Where $0

Citation preview

MARCH 2006

VOLUME 54

NUMBER 3

IETMAB

(ISSN 0018-9480)

PAPERS

Rollett Proviso in the Stability of Linear Microwave Circuits—A Tutorial (Invited Paper). . . . . . . . . . . . . R. W. Jackson Determination of the Complex Permittivity of Packaging Materials at Millimeter-Wave Frequencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Zwick, A. Chandrasekhar, C. W. Baks, U. R. Pfeiffer, S. Brebels, and B. P. Gaucher Wide-Stopband Microstrip Bandpass Filters Using Dissimilar Quarter-Wavelength Stepped-Impedance Resonators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S.-C. Lin, P.-H. Deng, Y.-S. Lin, C.-H. Wang, and C. H. Chen Sub-Nanosecond Pulse-Forming Network on SiGe BiCMOS for UWB Communications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. E.-C. Tan, M. Y.-W. Chia, and S.-W. Leong A Direct Discrete Complex Image Method From the Closed-Form Green’s Functions in Multilayered Media . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Yuan, T. K. Sarkar, and M. Salazar-Palma Cavity Models of Planar Components Grounded by Via-Holes and Their Experimental Verification. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .G. A. Kouzaev, M. J. Deen, N. K. Nikolova, and A. H. Rahal Time-Constant Control of Microwave Integrators Using Transmission Lines . . . . C.-W. Hsue, L.-C. Tsai, and Y.-H. Tsai A TE/TM Modal Solution for Rectangular Hard Waveguides . . . . . . . . . . . . . .L. W. Epp, D. J. Hoppe, and D. T. Kelley Scalable Compact Circuit Model and Synthesis for RF CMOS Spiral Inductors . . . . . . . . . . . . . . . . . W. Gao and Z. Yu Extension and Error Analysis of the Microstrip Transmission-Line Method for the Broad-Band Measurement of the Permeability Tensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Mallégol and P. Quéffélec Reflection Angles of In-Phase and Split Counter-Rotating Eigenvalues of the Three-Port Circulator . . . . . . . J. Helszajn Wide-Band Microstrip-to-Coplanar Stripline/Slotline Transitions . . . . . . . . . . . . . . . . . . . . . . . W.-H. Tu and K. Chang Miniaturized Coplanar Waveguide Bandpass Filters Using Multisection Stepped-Impedance Resonators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. Zhang and K. J. Chen Analysis and Elimination of Hysteresis and Noisy Precursors in Power Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Jeon, A. Suárez, and D. B. Rutledge Periodic Stepped-Impedance Ring Resonator (PSIRR) Bandpass Filter With a Miniaturized Area and Desirable Upper Stopband Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J.-T. Kuo and C.-Y. Tsai A Compact Size Coupling Controllable Filter With Separate Electric and Magnetic Coupling Paths. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. Ma, J.-G. Ma, K. S. Yeo, and M. A. Do Study of Intermodulation in RF MEMS Variable Capacitors . . . . . . . . . . D. Girbau, N. Otegi, L. Pradell, and A. Lázaro

993 1001 1011 1019 1025 1033 1043 1048 1055 1065 1076 1084 1090 1096 1107 1113 1120

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) -Band Antenna Array Feed Distribution Network With Ferroelectric Phase Shifters on Silicon. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .T. Ji, H. Yoon, J. K. Abraham, and V. K. Varadan Theoretical Investigation of an Advanced Launcher for a 2-MW 170-GHz Coaxial Cavity Gyrotron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Jin, M. Thumm, B. Piosczyk, and T. Rzesnicki A New Adaptive Prototype for the Design of Side-Coupled Coaxial Filters With Close Correspondence to the Physical Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A. Morini, G. Venanzoni, and T. Rozzi Analysis and Simulation of Distributed Nonlinearities in Ferroelectrics and Superconductors for Microwave Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Seron, C. Collado, J. Mateu, and J. M. O’Callaghan Compact Millimeter-Wave Filters Using Distributed Capacitively Loaded CPW Resonators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Aryanfar and K. Sarabandi Simulation-Assisted Design and Analysis of Varactor-Based Frequency Multipliers and Dividers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A. Suárez and R. Melville Precision Measurement Method for Cryogenic Amplifier Noise Temperatures Below 5 K . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Randa, E. Gerecht, D. Gu, and R. L. Billinger Miniature Ridge-Waveguide Filter Module Employing Moldable Dielectric Material . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .C. Rauscher and S. W. Kirchoefer Improved Wide-Band Schiffman Phase Shifter. . . . . . . . . . . . . . . . . . . . . . . . . . Y.-X. Guo, Z.-Y. Zhang, and L. C. Ong Complex Permittivity and Permeability Extraction for Multilayered Samples Using -Parameter Waveguide Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. L. Faircloth, M. E. Baginski, and S. M. Wentworth Sampling-Oscilloscope Measurement of a Microwave Mixer With Single-Digit Phase Accuracy . . . . . . . . . . . . . . . . . . D. F. Williams, H. Khenissi, F. Ndagijimana, K. A. Remley, J. P. Dunsmore, P. D. Hale, J. C. M. Wang, and T. S. Clement Highly Miniaturized RF Bandpass Filter Based on Thin-Film Bulk Acoustic-Wave Resonator for 5-GHz-Band Application . . . . . . . . . . . . . . . .Y.-D. Kim, K.-H. Sunwoo, S.-C. Sul, J.-H. Lee, D.-H. Kim, I.-S. Song, S.-H. Choa, and J.-G. Yook Organic “Wafer-Scale” Packaged Miniature 4-bit RF MEMS Phase Shifter . . . . . . . . N. Kingsley and J. Papapolymerou A Planar Bandpass Filter Design With Wide Stopband Using Double Split-End Stepped-Impedance Resonators. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. U-yen, E. J. Wollack, T. A. Doiron, J. Papapolymerou, and J. Laskar 60-GHz Direct-Conversion Gigabit Modulator/Demodulator on Liquid-Crystal Polymer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Sarkar, D. A. Yeh, S. Pinel, and J. Laskar Design Optimization and Implementation of Bandpass Filters With Normally Fed Microstrip Resonators Loaded by High-Permittivity Dielectric . . . . . . . . . . . . . . . . . . . . . A. Hennings, E. Semouchkina, A. Baker, and G. Semouchkin Analysis and Design of an Ultra-Wideband Low-Noise Amplifier Using Resistive Feedback in SiGe HBT Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Lee and J. D. Cressler Cell-Centered Finite-Volume-Based Perfectly Matched Layer for Time-Domain Maxwell System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. Sankaran, C. Fumeaux, and R. Vahldieck Wide-Band Matched LNA Design Using Transistor’s Intrinsic Gate–Drain Capacitor . . . . . . . . . . . . . . . . . . . . . . R. Hu Capture High-Frequency Partial Inductance More Accurately by Gauss Quadrature Integration With Skin-Effect Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Du and W. Dai

1131 1139 1146 1154 1161 1166 1180 1190 1196 1201 1210 1218 1229 1237 1245 1253 1262 1269 1277 1287

LETTERS

Comments on “A Shield-Based Three-Port De-Embedding Method for Microwave On-Wafer Characterization of Deep-Submicrometer Silicon MOSFETs” . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Kaija and P. Heino Author’s Reply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M.-H. Cho Comments on “A Comprehensive Study of Discontinuities in Chirowaveguides” . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. A. Solano, A. Vegas, and Á. Gómez Authors’ Reply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. X. Wu and D. L. Jaggard Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1295 1296 1297 1298 1299

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $16.00 per year for electronic media only or $32.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only.

ADMINISTRATIVE COMMITTEE K. VARIAN, President J. S. KENNEY, Vice President W. CANTRELL, Secretary N. KOLIAS, Treasurer M. P. DELISIO D. HARVEY L. KATEHI N. KOLIAS D. LOVELACE V. J. NAIR K. VARIAN K. WU W. SHIROMA S. M. EL-GHAZALY J. HAUSNER S. KAWASAKI T. LEE J. MODELSKI B. PERLMAN R. WEIGEL R. YORK R. SNYDER M. HARRIS K. ITOH J. S. KENNEY J. LIN A. MORTAZAWI D. RUTLEDGE S. WETENKAMP R. SORRENTINO Honorary Life Members Distinguished Lecturers Past Presidents T. S. SAAD K. TOMIYASU L. E. DAVIS W. HOEFER J. LASKAR M. SHUR K. C. GUPTA (2005) T. ITOH A. A. OLINER P. STAECKER L. YOUNG R. J. TREW (2004) W. GWAREK T. ITOH J. C. RAUTIO P. SIEGEL W. HEINRICH B. KIM D. RYTTING R. J. TREW F. SCHINDLER (2003)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: D. KREMER Beijing: Z. FENG Beijing, Nanjing: W.-X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: L. HAYS Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: F. SULLIVAN Central & South Italy: R. TIBERIO Central No. Carolina: T. IVANOV Chicago: R. KOLLMAN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. D. BALUSEK Dayton: A. TERZOULI, JR. Denver: K. BOIS Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI

Florida West Coast: K. O’CONNOR Foothill: C. ANTONIAK France: O. PICON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: K. MICHALSKI Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: E. HU New South Wales: G. TOWN New Zealand: J. MAZIERSKA

Editor-In-Chief MICHAEL STEER North Carolina State Univ. Raleigh, NC 27695-7911 USA Phone: +1 919 515 5191 Fax: +1 919 513 1979 email: [email protected]

North Italy: G. GHIONE North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: Y. THODESEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: T. WU Ottawa: J. E. ROY Philadelphia: J. B. McCORMACK Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE / A. KATZ Queensland: A. ROBINSON Rio de Janeiro: F. J. V. HASSELMANN Rochester: S. M. CICCARELLI / J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorad: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: S. KUMAR Seattle: K. POULSON Seoul Council: H.-Y. LEE Siberia, Novosibirsk: V. SHUVALOV Siberia, Tomsk: O. STUKACH Associate Editors

Singapore: O. B. LEONG South Africa: P. W. VAN DER WALT South Australia: H. HANSON South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: E. C. FEAR Spain: L. DE HARO Springfield: S. C. REISING Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS / S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI Ukraine West: M. I. ANDRIYCHUK UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: E. I. VELIEV Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington D.C./Northern Virginia: J. QIU Winnipeg: S. NOGHANIAN Yugoslavia: B. MILOVANOVIC

ZOYA POPOVIC ANDREAS CANGELLARIS RUEY-BEEI WU Univ. of Illinois, Urbana Champaign Univ. of Colorado at Boulder National Taiwan Univ. USA USA Taiwan, R.O.C. email: [email protected] email: [email protected] email: [email protected] AMIR MORTAZAWI DYLAN F. WILLIAMS ALESSANDRO CIDRONALI Univ. of Florence Univ. of Michigan at Ann Arbor NIST Italy USA USA email: [email protected] email: [email protected] email: [email protected] STEVEN MARSH YOSHIO NIKAWA KENJI ITOH Mitsubishi Electronics Midas Consulting Kokushikan Univ. Japan U.K. Japan email: [email protected] email: [email protected] email: [email protected] DAVID LINTON TADEUSZ WYSOCKI JOSÉ PEDRO Queen’s Univ. Belfast Univ. of Wollongong Univ. of Aveiro Northern Ireland Australia Portugal email: [email protected] email: [email protected] email: jcp.mtted.av.it.pt M. GOLIO, Editor, IEEE Microwave Magazine G. PONCHAK, Editor, IEEE Microwave and Wireless Component Letters

MANH ANH DO Nanyang Technological Univ. Singapore email: [email protected] VITTORIO RIZZOLI Univ. of Bologna Italy email: [email protected] SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers SAIFUR RAHMAN, Vice President, Publication Services and Products MICHAEL R. LIGHTNER, President and CEO PEDRO RAY, Vice President, Regional Activities LEAH H. JAMIESON, President-Elect DONALD N. HEIRMAN, President, IEEE Standards Association J. ROBERTO DE MARCA, Secretary CELIA L. DESMOND, Vice President, Technical Activities JOSEPH V. LILLIE, Treasurer RALPH W. WYNDRUM, JR., President, IEEE-USA W. CLEON ANDERSON, Past President MOSHE KAM, Vice President, Educational Activities RICHARD V. COX, Director, Division IX—Signals and Applications

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer DONALD CURTIS, Human Resources MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration ANTHONY DURNIAK, Publications Activities CHRIS BRANTLEY, IEEE-USA JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities CECELIA JANKOWSKI, Regional Activities SALLY A. WASELIK, Information Technology BARBARA COBURN STOLER, Educational Activities

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $77.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2006 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188.

Digital Object Identifier 10.1109/TMTT.2006.872509

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

993

Rollett Proviso in the Stability of Linear Microwave Circuits—A Tutorial Robert W. Jackson, Fellow, IEEE

Invited Paper

Abstract—This paper ties together several different aspects of linear stability analysis as it applies to microwave circuits. In particular, it reviews how the Rollett proviso enters into the well-known stability parameter tests and how the proviso can be evaluated using standard computer-aided-design software. Index Terms—Microwave amplifier stability, network determinant, normalized determinant function (NDF), Nyquist test, oscillator stability, Rollett proviso, unconditional stability.

I. INTRODUCTION

O

VER THE last 50 years, several tests have been derived for determining the unconditional stability of two-port networks. These tests determine whether it is possible to find a set of passive terminations that will cause the terminated twoport to have unstable characteristic frequencies (poles). If there are no such terminations, the two-port is unconditionally stable. Convenient tests for unconditional stability can be performed by evaluating parameters such as the pair or , which are functions of two-port parameters such as -, -, or -parameters. These tests are valid provided that another condition (a proviso) is first satisfied. The proviso has often been ignored because: 1) it is not easily evaluated and 2) its omission was not typically a problem for circuits with only a single active device. The purpose of this paper is to show how the proviso enters into the derivation of a stability parameter tests, and then show how one can evaluate the proviso using commercial computer-aided design (CAD). This paper pulls together the work of numerous authors to present one unified treatment that has not heretofore been available. The unconditional stability of a two-port requires that no set of passive terminations can be found that will cause the terminated two-port to have one or more poles with a positive real part. Complete tests for unconditional stability require: 1) that the system be stable for one specific set of passive terminations (the proviso) and 2) that the impedances seen looking into either port of the two-port always have a positive real part no Manuscript received July 23, 2005; revised December 15, 2005. This work was supported by the National Science Foundation (NSF) Engineering Research Centers Program under NSF Award 0313747 and by the Center for Advanced Sensor and Communication Antennas under Air Force Research Laboratory Contract FA8718-04-C-0057. The author is with the Department of Electrical and Computer Engineering, University of Massachusetts at Amherst, Amherst, MA 01002 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.869719

matter what passive impedance terminates the other port. This was originally discussed by Llewellen [1] in 1952. Rollett [2] describes a stability parameter test involving a factor he defines as plus two auxiliary conditions. This test insures that the input/output impedances are positive real. When combined with the proviso, this test determines the unconditional stability of the network. Rollett mentions the proviso in his paper, but does not emphasize it. However, Woods [3] in 1976 points out its importance (calling it the overriding condition) and provides examples where evaluating the stability parameters without the proviso incorrectly predicts unconditional stability. Again, this occurs because stability parameter tests only determine whether or not the input/output impedances of the network are positive real, and this is not sufficient for insuring the location of the system poles unless Rollett’s proviso is also satisfied. The stability parameter test was used for many years without checking the proviso because early designs were based on -parameter measurements of devices known to be stable in the measurement system. In other words, because the two-port is observed to be stable with the measurement system terminations, the proviso is satisfied and a stability parameter test can be successfully applied. However, when circuit models are used to represent the measured results in CAD, the models do not exactly duplicate the measurement situation especially at extrapolated frequencies. Ignoring the proviso in this case is risky. Still, many successful single device designs were accomplished without including the proviso with the stability parameter test. In 1993, Platzker et al. [5] pointed out that one can not ignore the proviso in the more complicated circuits that appear in modern microwave integrated circuits (ICs) and described a method for evaluating stability using a network determinant. Struble and Platzker [6], [7] expanded on this technique and presented a way to evaluate the network determinant using CAD techniques. It should also be noted that conventional oscillator design often makes use of the condition that the round-trip reflection coefficient between an active device and a resonator must be greater than 1 in magnitude in order for oscillations to start. This condition is flawed in the same way that stability parameter tests are flawed [8], [9], [10] and cannot predict stability or instability for all cases. The analysis presented in this paper is almost entirely not new. Rather, it ties together stability parameter tests, the proviso, and a CAD technique for evaluating the proviso. In Section II, we review how the stability of terminated two-ports relies on

0018-9480/$20.00 © 2006 IEEE

994

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 1. Wave excitations of a terminated two-port.

the poles of the network and the relationship between stability parameter tests, the proviso, and the system poles. This analysis is based on a very good paper by Ohtomo [4]. In Section III, the return ratio approach [6] to evaluating the proviso is reviewed. Examples are presented throughout. II. CONDITIONS FOR AN UNCONDITIONALLY STABLE TWO-PORT

relevant to the two-port parameters to be used in the test for unconditional stability. If we terminate the two-port with the defining impedances, simplifies to because are zero. Since this choice of terminations is one member of the set of all passive terminations that must be checked for unconditional stability, a necessary condition for unconditional stability is that the -parameters have no RHP poles. Now consider the stability of the system when are passive, but not zero. If has no RHP poles, then the only possible source of RHP poles in is . The requirement that the zeros of are not RHP leads to the derivation of the typical stability parameter test as we now show. First consider when (2)

A. Conditions on -Parameters Developed From Nyquist Test Here, the requirements for unconditional stability are derived, especially showing how Rollett’s proviso enters in. Fig. 1 shows a two-port terminated in arbitrary passive impedances and . The terminated two-port is stable for a particular set of terminations if the system poles do not have positive real parts. In other words, there are no poles in the right half of the complex plane [right half-plane (RHP) poles]. If no passive terminations can be found to cause the system poles to be an RHP, the two-port is said to be unconditionally stable. We describe the two-port using scattering parameters defined with respect to the real impedances . If the two-port is excited by the Laplace transform wave sources , the Laplace transform wave responses, are determined by (1a) where is defined in (1b) at the bottom of this page. If the excitations are impulses, the Laplace transform of will be unity and the response will be the Laplace transformed impulse response. The poles of these functions are the poles of . For the two-port to be unconditionally stable, none of these poles can be RHP for any passive . In general, the poles of are a subset of the poles of the system. In other words, the system may have additional characteristic modes, which are not observable [7] through the two-ports that define . An example of such a system is presented at the end of Section II-B. Borrowing terms from control theory, we will assume in this paper that modes that are not observable are also not controllable and thus are not influenced by the port terminations. The Rollett proviso requires that all the poles be left half-plane for the specific set of terminations

The well-known Nyquist test says that the polar plot of as a function of for going from to will clockwise encircle 1 by a number of times equal to the difference between the number of RHP zeros and poles of . Since neither , nor have RHP poles, the number of encirclements then equals the number of RHP zeros. To insure that there will not be any encirclements of for any passive , it is necessary that for all . Thus, if , can not have any RHP zeros for any passive when . Next we consider the case when . Rewrite such that (3) (4) Since , the first term in (3) has no RHP zeros. That leaves the second term. Examining shows that it has no RHP poles since have no RHP poles and has no RHP zeros. Therefore, the number of times clockwise encircles 1 equals the number of zeros in the second term of (3). Since , there can be no encirclements if for all . Therefore, has no RHP zeros and the two-port is unconditionally stable. Necessary and sufficient conditions for unconditional stability are as follows. Conditions A 1) No RHP poles in network terminated with . 2) for all . 3) for all and all passive If all the system poles are observable, the first condition corresponds to the -parameters having no RHP poles. An alternative set of conditions could be derived starting again at , but the first step would set and find that must be less than 1. Follow this by factoring

(1b)

JACKSON: ROLLETT PROVISO IN STABILITY OF LINEAR MICROWAVE CIRCUITS—A TUTORIAL

and proceed as in the analysis presented above. The resulting conditions will be the same as above, except that subscripts 1 and 2 are interchanged as are and and “in” and “out.” Satisfying this alternate set of conditions will also insure unconditional stability. A third alternate set can be formed by replacing A(2) with for all passive . This creates symmetry in the conditions, but is more algebraically complex. Testing more than one of these sets is not necessary. Many textbooks and papers start from A(2) and A(3) (or the equivalent) and derive more convenient stability tests. The condition on is inconvenient to evaluate since would need to be tested for infinitely many values. The well known stability factor can derived [4] starting from A(2) and A(3). The resulting test conditions are as follows. Conditions B 1) No RHP poles in network terminated with . 2) for all . 3) for all where (5) is the determinant of the -parameter matrix. and where Satisfying the second and third of the B conditions insures that the second and third of the A conditions are satisfied. There are other forms of conditions B(2) and B(3), but the most familiar is the test [3] shown below in C(2) and C(3). Conditions C 1) No RHP poles in network terminated with . 2) for all . 3) for all An even more convenient form [11], [12] replaces C(2) and C(3) with one parameter to produce the conditions. Conditions D 1) No RHP poles in network terminated with . 2) for all . where (6) In all four sets of conditions (A–D), the first condition (the proviso) insures the stability of the system when it is terminated with the impedances that define the scattering matrix. The remaining conditions insure stability for all other passive terminations provided the first is true. B. Conditions on Immittance Parameters Alternate expressions of the above criteria can be derived for , , , and parameters (immittance parameters). These ex-

995

pressions can be very useful for determining various stability properties of networks. Take the -parameters for example. The equations analogous to (1) are (7a) whre

is defined in (7b) at the bottom of this page. and are the impedances of the source and load terminations. Tests for unconditional stability are derived following an argument similar to the one applied to the -parameter representation. Unconditional stability is guaranteed if the following exists. Conditions 1) No RHP poles in network terminated with opens. 2) for all . 3) for all and all passive These conditions can be put in the following more convenient form (see Appendix A). Unconditional stability is guaranteed if the -parameters satisfy the following. Conditions 1) No RHP poles in network terminated with opens. 2) for all . 3) for all where (8) The first requirement satisfies Rollett’s proviso, while the next two conditions insure that all other passive terminations will result in a stable system. In a two-port where all system poles are observable, the first requirement is the same as requiring that the -parameters have no RHP poles. The stability conditions derived starting from and produce results identical to , except is replaced by . Testing both and is unnecessary as it is easy to show that if both and are true, then . If all the impedance parameters in conditions were replaced with admittance parameters , the new set of conditions would be an equally rigorous alternate test for unconditional stability of the two-port. The first condition (1) would be the same as requiring that the two-port be stable for short-circuit terminations. Similar sets of alternate conditions result if the other immittances and are used. It is easy to show that [2]. The result would also equal , as determined from the -parameters using (5) [16]. Expressions for stability written in terms of immittance parameters are often useful. For example, when a two-port is unconditionally stable, the maximum available gain is defined as where . If a new two-port is created

(7b)

996

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

by adding a lossless element in series or shunt with the input or output port, neither or will change. This is easy to show using the expression for in terms of impedance (admittance) parameters. It is also easy to show that adding lossy series or shunt elements increases , but keeps unchanged and, therefore, as expected, decreases. A potentially unstable two-port is often stabilized by addition of a series or shunt lossy elements to the input or output ports. Examination of the impedance or admittance formulation of indicates that, under the right conditions, these additions should lead to unconditional stability. This does not always work since the added elements may introduce unstable poles in the new two-port parameters. Consider a very simple example, a one-port consisting of a capacitance in series with a negative resistance. This circuit has no RHP poles in its input impedance [thus passing ], but the real part of its input impedance is negative [equivalent to failing and ] so the one-port is not unconditionally stable. We can create a new one-port by adding a resistance in shunt with the input terminals of the original circuit. If the shunt resistance is sufficiently small, the new one port will have an input impedance with a positive real part. Thus, based on impedance alone, the new one-port would appear to be unconditionally stable; however, this conclusion is incorrect since the added resistance has introduced an RHP pole to the input impedance. By analogy then, adding lossy networks to the input and output of a potentially unstable two-port may cause a test [or conditions and ] to indicate stability while introducing unstable poles in the new two-port parameters. III. APPLICATIONS In the early years of microwave amplifier design, most classic design techniques used only the stability parameters [e.g., conditions C(2) and C(3)] to test for unconditional stability. Testing for RHP poles in the terminated network [e.g., condition C(1)] was neglected. This was acceptable if measured -parameters were used as a starting point and the basic device was known to be stable in the (50 ) test system. This empirical result satisfied the proviso. However, when using two-port parameters generated from CAD models of devices and when more complex multitransistor circuits are being used, the poles of the circuit with the appropriate terminations must be checked before a stability parameter test is valid. Next we note three simple examples where stability parameter tests alone fail to show potential instability.

Fig. 2.

Woods’ circuit.

Fig. 3. Simplified ring oscillator.

Fig. 4. Unilateral two-port.

, have no RHP poles, the proviso is satisfied, and the test would be valid for testing the effect of other terminations. B. Ring Oscillator Another example of the failure of a stability parameter test is the ring oscillator shown in Fig. 3. This is a simplified version of Platzker’s oscillator [5]. It consists of two field-effect transistors (FETs) connected in a ring and observed from ports 1 and 2 through resistors . If one were to evaluate only and , the result would show that the real part of is and that when . Thus, the erroneous conclusion is that the two-port is unconditionally stable for . However, the two-port -parameters have an RHP pole at and, thus, the circuit is unstable for open-circuit terminations and violates condition . C. Unilateral Two-Port has an RHP pole. Fig. 4 shows a unilateral circuit where If is the reference impedance, the input and output reflection coefficients are zero no matter what output and input terminations are placed on it. The test would fail to predict this instability.

A. Woods’ Two-Port The most simple example of such a failure was presented by Woods [3]. Fig. 2 shows the circuit, a simple T network that has within it a negative resistance. If we calculate the -parameters for this circuit, we see that they have an RHP pole. Thus, is violated and the two-port is potentially unstable. However, if only and were checked [ and ], then one would reach the erroneous conclusion that the two-port is unconditionally stable when . In contrast, if a new circuit were created by changing the shunt to a series , the -parameters would

D. Observability and the Odd-Mode Instability As stated previously, Rollett’s proviso requires that all system poles be left half-plane. The first condition in sets A–D, and tests for the proviso in so far as the system poles can be observed. There are, however, some cases where the system poles are not observable via the system’s two-port parameters [7]. In such cases, Rollett’s proviso cannot be ascertained from the two-port parameters. An important and practical example of this is the odd-mode instability found in power amplifiers [13], [5], [14] where the

JACKSON: ROLLETT PROVISO IN STABILITY OF LINEAR MICROWAVE CIRCUITS—A TUTORIAL

997

-parameters (all poles are observable). In this case, one pole is RHP. The proviso is not satisfied, the circuit is potentially unstable, and there is no need to check and . On the other hand, if -parameters were to be used to analyze the ring oscillator, the circuit model must be terminated with the impedances that define the -parameters, say, . The appropriate network determinant then becomes Fig. 5.

Odd-mode unstable circuit.

(11)

input power is divided between two FETs and their output recombined. In the very simplified case shown in Fig. 5 where the dividing/combining networks are just -connected inductors, and where the FETs are modeled with maximum simplicity, it is easy to demonstrate analytically that the -parameters of the two-port have no RHP poles. Furthermore, if the series resistance is sufficiently large, the and tests can be made to show unconditional stability. However, an analysis of the network matrix for this system shows an RHP pole corresponding to the odd-mode instability. Due to the symmetry of the system, this mode generates a virtual ground at the junction, making it not observable from either port. Due to this instability, Rollett’s proviso is not satisfied even though the -parameters have no RHP poles. Although the unstable mode in this example was hidden by the symmetry of the circuit, symmetric circuits are just one member of the larger set of circuits having modes that are not observable through a particular choice of ports. The method presented in Section IV will rigorously test for Rollett’s proviso including modes that are not observable.

In this case, the system poles of the terminated two-port are not in the RHP as long as . For in that range, the system is stable and the proviso is satisfied. In principle one could then apply C(2), C(3) (the test), which would tell us that there are other passive terminations that would make this two-port unstable (e.g., open circuits). Of course, for this simple circuit, we can just look at the zeros of (11) to see that there are other terminations that create instability, but most practical circuits do not produce such simple algebra. B. Normalized Determinant Function (NDF) and the Nyquist Test

To check the Rollett proviso, we need to determine the system poles of a two-port terminated in a way that is appropriate for the parameters used in the stability evaluation. Thus, if -parameters were used to evaluate unconditional stability, the proviso would be checked by checking the system poles of the two-port terminated with the impedances that define the -parameters. If -parameters were to be used, then the appropriate terminations are open circuits. -parameters demand short circuit terminations, and so on. Take for example the ring oscillator in Fig. 3. If we intend to use the -parameter tests , then the proviso requires that the circuit’s stability be tested when terminated with opens. The node voltage equations are written

In most cases, the appropriate network determinant is too complicated to easily determine or factor. Since we only want to know if there are any RHP zeros of and not exactly where they are, we can again make use of the Nyquist test. According to the Nyquist test, if we trace the locus of as travels clockwise around the right-half plane, the number of times the locus encircles the origin in the clockwise direction equals the difference between the number of zeros and poles of located in the RHP. We assume that the network matrix is formulated in terms of fundamental components (e.g., using a modified nodal analysis) such that none of its elements have RHP poles. As a result, has no RHP poles and the number of Nyquist encirclements indicates the number of RHP zeros. Instead of evaluating , it is more convenient to evaluate the NDF, , where is the network determinant when all dependent sources are set to zero [6]. Since is the determinant of a passive network, it has no RHP zeros and, thus, introduces no RHP poles to . Normalizing the network determinant in this way forces to become 1 along the semicircular part of the contour where is infinite and the circuit is assumed passive. Thus, only needs to be evaluated along the axis. Further discussion of this can be found in [15, Sec. 8.7]. For example, the NDF is easily calculated for the ring oscillator in Fig. 4 with terminations

(9)

(12)

IV. DETERMINING STABILITY FOR A TWO-PORT WITH SPECIFIED TERMINATIONS A. Appropriate Terminations for Testing the Proviso

which results in the network determinant (10) are the poles of the system. Since the termiThe zeros of nations are open circuits, the system poles are the poles of the

. Fig. 6 is the Nyquist plot of this NDF for Since the NDF circles the origin, the circuit is unstable for this particular termination. Again we note that this problem is so simple that the Nyquist test is not really necessary because can be formulated and the poles solved directly. This would not be possible for practical problems.

998

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 8. Transimpedance amplifier using HBTs.

Fig. 6. Nyquist plot of the NDF for the ring oscillator terminated with : =g . The top half of the plot starts at impedance Z and with R Z ! and extends to ! on the right. The bottom half covers ! < and is the mirror image of the top.

= 0+

+ =15 = +1

0

sources set to zero, whereas the normalization in the NDF ( ) has all sources set to zero. may have RHP zeros where has none. To replace with consider the following. If the first source remains off and the return ratio is computed for a second source, we get (15) where is the system determinant with two sources off. Multiplying (15) by (14) eliminates . This process can be continued for all devices such that (16)

Fig. 7.

Illustration of a network with multiple dependent sources.

C. Return Ratios for Computer-Aided Calculation of the NDF In cases where a multidevice amplifier or oscillator is modeled in a detailed CAD effort, it is not practical to determine the NDF algebraically. Struble and Platzker [6] have devised a CAD method for creating a Nyquist plot of the NDF. Their work is based on Bode’s work using return ratios for multiloop circuits (see [15, Sec. 8.8]). Return ratios are very commonly used in the analysis of stability in single-loop feedback circuits (more on this below). To find a return ratio, first suppose a circuit includes active devices, all modeled with dependent current sources (see Fig. 7). Pick one of these sources, where is dependent on the node voltage . Make this source independent by redefining it where is an ancillary voltage. A return ratio is then defined as (13) This return ratio is related to the network determinant by (see Appendix B, [6], and [15]) (14) where is the network determinant with the chosen dependent source set to zero. The return ratio in (13) can easily be determined using standard circuit analysis software and then used with (14) to determine . This is not, however, the NDF since is the determinant with only one of the

where is the system determinant with all dependent sources set to zero. is the return ratio for the th source with the first sources set to zero. The essence of Struble and Platzker’s [6] paper is that these return ratios can easily be computed with widely available simulation software. As a test case, the reader can apply (16) to the ring oscillator in Fig. 3 to get (12). We note that this technique is very powerful and can be applied to general multiloop feedback circuits. D. Application of Return Ratio Evaluation to a Multistage Circuit Consider the simple two-stage circuit in Fig. 8. This is a transimpedance amplifier consisting of two GaAs HBTs with areas of 90 m and 180 m GHz, and . The circuit has a transimpedance of 290 from dc to 180 MHz. For simplicity, dc bias is not shown. It is desirable that this circuit be stable independent of its terminations, i.e., unconditionally stable. Examination of the circuit factor and (or over a reasonable frequency range shows that the shunt capacitor in the figure is necessary for a . The proviso must be satisfied by showing that the circuit is stable for open-circuit terminations. Open-circuit terminations are used because we were monitoring (e.g., as opposed to ) The Nyquist plot of the NDF is plotted in Fig. 9 and shows no encirclements of zero. Thus, the circuit terminated in opens is stable. In combination with the , analysis, this is sufficient to insure unconditional stability. We note that analysis of and taken individually shows that they are potentially unstable

JACKSON: ROLLETT PROVISO IN STABILITY OF LINEAR MICROWAVE CIRCUITS—A TUTORIAL

999

determined by a Nyquist test of the NDF using computer-aided analysis of multiple return ratios. Approximations to the multiple return ratio analysis are commonly used in conventional analog electronics. APPENDIX A FACTOR TEST IN TERMS OF

-PARAMETERS

Starting with the assumptions (A.1) (A.2) Fig. 9. Nyquist plot of the NDF for the circuit in Fig. 8. The inset shows the plot for positive ! starting from ! = 0 on the right where NDF = 1800 and approaching the origin at large ! . The main plot shows an expanded view near (1; 0) where ! . The complete plot (this plot plus its reflection in the x-axis) does not encircle the origin and, thus, the circuit is stable.

!1

devices. Even so, embedding them in the circuit shown creates an unconditionally stable circuit as a whole. This is, of course, because the embedding circuit restricts the range of impedances seen by each device. The evaluation of the NDF using (16) deserves some comment. In calculating the return ratios, the detailed small-signal models of and were used. Each model has one dependent source and, thus, following the procedure previously described, two return ratios were computed for use in (16). The first was computed based on the return seen by the dependent source in ’s model with operating normally. The second is computed as seen by the dependent source in ’s model with ’s dependent source turned off. The circuit shown has many feedback loops that may impact stability, including feedback due to parasitic elements within each device model (e.g., source resistance, inductance). The NDF analysis using (16) includes the effects of all loops and is a rigorous determination. However, it does require the designer to have access to the internal linear device models. This is inconvenient when a designer only has access to the SPICE-like nonlinear model that foundries often provide. In such cases, one might consider an approach such as Middlebrook’s [17], where the response to a sequential application of a series voltage and a shunt current to an appropriate point in a feedback loop can be used to determine a return ratio. This approach can be used with a SPICE-like model, but it has two problems, which are: 1) it usually does not include all loops and, thus, the resulting return ratio may have RHP poles that confound the Nyquist analysis and 2) numerical difficulties occur at high frequency when the return ratio approaches 1.0 [18]. Extracting the small-signal model and calculating the NDF using (16) is a safer approach. V. CONCLUSION This paper has reviewed the relationship between well-known tests for the unconditional stability of microwave circuits and the basic Nyquist test used in linear stability analysis. This relationship shows that a two-port must first be stable for one specific set of terminations before parameters such as or can be used to determine stability for all other passive terminations. The precondition, Rollett’s proviso, can be rigorously

for any possible passive we will show that . Multiply (A.2) by and divide by . After rearranging, we can write (A.3) Before going further, we note that the mapping of and the reverse is

to (A.4) (A.5)

Equation (A.4) can be used to map the imaginary axis in the -plane into a circle in the -plane. Expressions for the circle center and radius can be derived starting from (A.5). Comparing these expressions to (A.3) shows that (A.6) This equation makes it clear that the mapping of lossless into the -plane is a circle that lies entirely in the half-plane. Furthermore, all the values in the right half-plane (the passive part) map to the interior of the circle. One easy point that confirms this is , which is a passive value [from (A.2)]. Equation (A.4) maps this point to the point . Therefore, by the properties of bilinear transforms, all other passive values must map to the interior of the circle. Thus, conditions (A.1) and (A.2) force all passive to produce only ( . APPENDIX B RETURN RATIO AND THE NETWORK DETERMINANT The circuit illustrated in Fig. 7 contains several dependent sources. One of them, i.e., , is labeled explicitly and depends on node voltage . If is made independent by redefining to be an ancillary voltage , the node voltage equations for this circuit can be written

.. . .. .

.. . (B.1) .. .

1000

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

where is the node admittance matrix of the network when is set to zero. Solving for gives (B.2) is the co-factor of the element in and where is the co-factor of the element in the full admittance matrix . since the only difference between and is the element, which does not contribute to either co-factor. Thus, the return ratio associated with the dependent source is (B.3) where is the determinant of . By doing a Laplace expansion of along either the row or column that contains and noting that , one can show that (B.4) Use (B.3) to rewrite this equation as (B.5) ACKNOWLEDGMENT

[8] R. W. Jackson, “Criteria for the onset of oscillations in microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 3, pp. 1850–1851, Mar. 1992. , “Comments on ‘Criteria for the onset of oscillations in mi[9] crowave circuits’,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 9, pp. 1850–1851, Sep. 1992. [10] M. Odyniec, “Oscillator stability analysis,” Microw. J., pp. 66–76, Jun. 1999. [11] M. L. Edwards and J. H. Sinsky, “A new criterion for linear 2-port stability using a single geometrically derived parameter,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2303–2311, Dec. 1992. [12] P. Bianco, G. Ghione, and M. Pirola, “New simple proofs of the two-port stability criterion in terms of the single stability parameter ,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1073–1076, Jun. 2001. [13] R. G. Freitag, “A unified analysis of MMIC power amplifier stability,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1992, pp. 297–300. [14] M. Ohtomo, “Stability analysis and numerical simulation of multidevice amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 6, pp. 985–991, Jun. 1993. [15] H. W. Bode, Network Analysis and Feedback Amplifier Design. New York: Van Nostrand, 1945. [16] W. Ku, “Unilateral gain and stability criterion of active two-ports in terms of scattering parameters,” Proc. IEEE, vol. 54, no. 11, pp. 1617–1618, Nov. 1966. [17] R. D. Middlebrook, “Measurement of loop gain in feedback systems,” Int. J. Electron., vol. 38, no. 4, pp. 485–512, 1975. [18] P. J. Hurst and S. H. Lewis, “Determination of stability using return ratios in balanced fully differential feedback circuits,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 42, no. 12, pp. 805–817, Dec. 1995.

The author is grateful to the helpful suggestions made by the anonymous reviewers. REFERENCES [1] F. B. Llewellyn, “Some fundamental properties of transmission systems,” Proc. IRE, vol. 40, no. 3, pp. 271–283, Mar. 1952. [2] J. M. Rollett, “Stability and power gain invariants of linear twoports,” IRE Trans. Circuit Theory, vol. CT-9, no. 3, pp. 29–32, Mar. 1962. [3] D. Woods, “Reappraisal of the unconditional stability criteria for active 2-port networks in terms of S -parameters,” IEEE Trans. Circuits Syst., vol. CAS-23, no. 2, pp. 281–283, Feb. 1976. [4] M. Ohtomo, “Proviso on the unconditional stability criteria for linear twoports,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 5, pp. 1197–1200, May 1995. [5] A. Platzker, W. Struble, and K. T. Hetzler, “Instabilities diagnosis and the role of K in microwave circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1993, pp. 1185–1189. [6] W. Struble and A. Platzker, “A rigorous yet simple method for determining stability of linear N -port networks,” in GaAs IC Symp. Dig., Oct. 1993, pp. 1–4. [7] A. Platzker and W. Struble, “Rigorous determination of the stability of linear N -node circuits from network determinants and the appropriate role of the stability factor K of their reduced two-ports,” in 3rd Int. Integr. Nonlinear Microw. Millimeterwave Circuits Workshop, Oct. 1994, pp. 93–107.

Robert W. Jackson (M’82–SM’88–F’04) received the B.S., M.S., Ph.D. degrees from Northeastern University, Boston, MA, in 1975, 1979, and 1981, respectively. From 1981 to 1982, he was an Assistant Professor with the Department of Electrical Engineering, Northeastern University. In 1982, he joined the faculty of the University of Massachusetts at Amherst, where he is currently a Professor of electrical and computer engineering. His primary research and teaching interests center on microwave and millimeter-wave electronics, especially ICs. In particular he has contributed in the areas of numerical modeling of microstrip and coplanar waveguide circuits, novel circuit structures, and the modeling of packages for microwave and millimeter-wave ICs. His current interests include miniature low-cost devices for sensing applications, active antennas, RF CMOS ICs, and power amplifier linearization techniques. Dr. Jackson is a member of Technical Committees for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Components Packaging and Manufacturing Technology Society. He also serves on Technical Program Committees for the IEEE MTT-S International Microwave Symposium (IMS) and the Conference on Electrical Performance of Electronic Packaging (EPEP). He was the co-general chair of EPEP in 2004 and 2005.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1001

Determination of the Complex Permittivity of Packaging Materials at Millimeter-Wave Frequencies Thomas Zwick, Member, IEEE, Arun Chandrasekhar, Christian W. Baks, Ullrich R. Pfeiffer, Member, IEEE, Steven Brebels, Student Member, IEEE, and Brian P. Gaucher, Member, IEEE

Abstract—The focus of this paper is the determination of the complex permittivity of chip packaging materials at millimeterwave frequencies. After a broad overview of existing measurement techniques, three methods will be presented that have been established for the dielectric property determination of substrate, as well as mold materials (encapsulants, under-fill, etc.) in the millimeter-wave frequency range. First, the open resonator used here will be briefly described. It allows accurate determination of the dielectric constant and loss of thin sheet substrate materials from below 20 GHz to above 100 GHz. Second, a filled waveguide method is explained in detail. The setup used here can determine the complex dielectric properties of mold materials from 70 to 100 GHz. Third, the method based on covered transmission lines will be described in detail. The used lines allow measurements from below 40 GHz to approximately 90 GHz. Verification of all three methods will be provided by inter-comparison and comparison to values from the literature. Additionally, results for several typical substrate and mold materials that are available for millimeter-wave packaging will be shown and discussed. Index Terms—Dielectric constant, dielectric-material measurements, millimeter-wave packaging, open resonator, packaging materials, permittivity.

I. INTRODUCTION

T

HE increasing capabilities of silicon–germanium (SiGe) [1] technology have made highly-integrated radio circuits based on silicon possible at millimeter-wave frequencies [2]. This could reduce the cost and power consumption of millimeter-wave radios, which are implemented today as an assembly of GaAs or InP chips [3]. Promising applications could be high data-rate 60-GHz wireless personal-area networks (WPAN) [4] and automotive radars at 76–77 or 76–81 GHz [5]. Since packaging becomes very demanding at millimeter-wave frequencies, a major goal besides the improvement of SiGe technology and circuits is the development of low-cost packaging solutions for this frequency range [6]. To further improve the integration capability, the antennas should be integrated into

Manuscript received May 14, 2005; revised October 7, 2005. This work was supported in part by the National Aeronautics and Space Administration under Grant NAS3-03070. T. Zwick was with the IBM T. J. Watson Research, Center, Yorktown Heights, NY 10598 USA. He is now with Siemens VDO Automotive AG, 88131 Lindau, Germany. A. Chandrasekhar was with the Interuniversity Micro Electronics Center, Leuven B-3001, Belgium. He is now with The Intel India Design, Centre, Bangalore 560017, India. C. W. Baks, U. R. Pfeiffer, and B. P. Gaucher are with the IBM T. J. Watson Research Center, Yorktown Heights, NY 10598 USA. S. Brebels is with the Interuniversity Micro Electronics Center, Leuven B-3001, Belgium. Digital Object Identifier 10.1109/TMTT.2005.864140

the package. At millimeter-wave frequencies, the wave length is small enough (e.g., 5 mm at 60 GHz in air) to enable the integration of highly efficient antennas into the chip package [7]. A proper design of a millimeter-wave system-in-package requires the knowledge of the electrical material properties of any used substrate, under-fill, and encapsulant material in the millimeter-wave frequency range. Therefore, three measurement setups have been built to enable the characterization of substrate and mold (encapsulant and under-fill) materials for millimeter-wave packaging. The electrical material properties can be split into permittivity and permeability [8, Chap. 2], which are defined as (1) and (2) As Vm and Vs Am . with All materials of interest to the millimeter-wave package are assumed to be isotropic and nonmagnetic . In a quasi-optical interferometric configuration (assuming ) usually the refractive index and the power absorption coefficient are measured over frequency [9]. The complex refractive index is given by (3) where m s is the speed of light in vacuum and is the frequency. The complex refractive index is related to the complex permittivity via Maxwell’s relation (4) In the following, a brief overview of material measurement methods is given (see also [10]–[12]). Investigations on the material requirements showed that a dielectric loss on the order of 0.005 or lower for substrate materials and below 0.01 for mold materials would be sufficient for millimeter-wave packaging requirements, which also sets the sensitivity requirement for the measurement setups. For example, an investigation with molded wire bonds [13] showed no severe additional insertion loss for a globtop material with a dielectric loss of less than 0.01. Closed resonators (cavities) [14], [15] can be used for liquid or mold materials, while open resonators (microwave form of a Fabry–Perot interferometer) have been established for very lowloss, thin, and planar samples. In open-resonator setups, concave

0018-9480/$20.00 © 2006 IEEE

1002

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

reflectors are usually used [9], [11], [12], [16]–[19] since the radiation is more easily kept within the system, leading to a higher resonator . Additionally, the diameter of the specimen can be smaller. By rotating the sample, open resonators allow the determination of any dielectric component in the sample plane. Here, an open resonator [20] was used, which enables the measurements in the frequency range from 20 GHz to over 100 GHz. Dielectric properties can also be measured using nonresonant structures, which usually are less sensitive to very low losses. One approach often used at high frequencies is the freespace setup where a thin planar sample is placed between two antennas and reflection and/or transmission is measured and then used to extract the material properties [21]–[26]. As with open resonators, these methods measure the dielectric component in the sample plane. Isotropic materials can also be characterized using open-ended or filled transmission lines (typically coaxial or rectangular waveguides), which allows different sample forms including thin sheets or even liquids [27]–[35]. Here, a measurement setup was developed based on a WR10 waveguide vector network analyzer (VNA), which allows measurements from 65 to 110 GHz. Another group of methods for material measurements is based on planar transmission lines. One standard method is the determination of the dielectric properties from the resonance frequencies and quality factors of a microstrip ring [36], [37] or a line resonator [38]. The dielectric properties of substrate materials can also be reconstructed from propagation constant measurements of simple transmission lines [39]–[41]. With the knowledge of the substrate material properties, the method can also be used to extract the properties of any material coated on top of the transmission lines as first proposed in [13]. This method has been used here to determine the dielectric properties of mold materials. The open resonator, filled waveguide and coated transmission-line methods are explained in Sections II–IV, respectively. A verification of the methods by comparison of results measured with more than one method and by comparison against values from the literature is given in Section V. The measurement results of several available packaging materials will also be presented in Section V. Finally, conclusions are given in Section VI. II. OPEN-RESONATOR METHOD An open resonator [20] was used to measure the dielectric constant and loss tangent. The operation is based on placing a flat sample between two spherical concave mirrors, as shown in Fig. 1. Both resonance frequency and the quality factor of a given mode ( : order of mode in longitudinal direction) can be obtained by measuring the transmission through the resonator with a two-port VNA. From the shift of the resonance frequencies caused by the sample, its refractive index [real part in (3)] can be determined. Together with the degradation of the quality factor compared to the unloaded cavity (caused by the loss of the sample), the complex permittivity of the sample at any of the resonances can be obtained. Measurement of the unloaded cavity also allows a very accurate determination of the mirror separation, which is required for the dielectric property extraction. The sample has to be placed exactly in the center of

Fig. 1. Open resonator [20] used for substrate material characterization.

the resonator. Details about all the required math can be found in [42] and [43]. Commercial software [20] was used for the permittivity computation. By rotating the sample, any component of the dielectric property in the plane of the sample can be measured. The separation of the two mirrors in Fig. 1 can be varied between approximately 23–29 cm, which enables coverage over the frequency range from below 20 GHz to above 100 GHz with a maximum single frequency sweep coverage of approximately 25 GHz. The samples have to be thin, flat, and planar sheets of approximately 5-cm minimum diameter to ensure full coverage of the Gaussian distributed millimeter-wave beam (see Fig. 1) even at the lowest operation frequency. On one hand, the thickness of the sample should be as big as possible to achieve the highest sensitivity and to minimize errors due to thickness uncertainty. On the other hand, the sample thickness has to be small enough to prevent interference with other modes. In the case of this particular resonator, a sample thickness of approximately 250 m resulted in optimal performance for all measured materials. III. FILLED WAVEGUIDE METHOD A two-port VNA is used to measure all four -parameters of a rectangular waveguide filled with an unknown material. The cross section of the rectangular waveguide is given by the lengths of its two edges and . The dominant waveguide mode can only propagate for frequencies above the cutoff frequency (unfilled case) (5) is used in industrial waveguides, which makes Typically sure that no other mode can propagate below . More details about waveguides can be found in [8, Chap. 8]. The VNA has WR10 waveguide ports identical to the filled waveguide samples with a cross section of mm (0.1 in) times mm (0.05 in). Certainly the method shown here can be applied to any other waveguide size. A thru-reflect-line (TRL) calibration is performed to obtain optimal results [44] using a waveguide short as a reflect standard and a 1.524-mm-long

ZWICK et al.: DETERMINATION OF COMPLEX PERMITTIVITY OF PACKAGING MATERIALS AT MILLIMETER-WAVE FREQUENCIES

1003

and (10) In the case of reference plane rotation (see Fig. 2), the -parameters at the port reference planes result to (11) (12) (13) with the total length

Fig. 2. Model of filled waveguide section.

(0.06 in) waveguide “washer” as the line standard. This line has 40 maximum offset from 90 between 65–90 GHz, which results in a good calibration in this frequency range. All measurements have been performed from 65–110 GHz since the calibration was found valid in this extended frequency range, but toward the upper limit of this frequency range, the accuracy of the results might slightly decrease due to the existence of modes other than in the filled section. Extra high-precision waveguide flanges have been used here since, at these frequencies, any small gap between the waveguide flanges or any offset of the two waveguide flanges against each other could result in noticeable effects and errors [45]. A.

-Parameters of the Filled Waveguide Section

Fig. 2 shows the waveguide section with being the length of the filled section. In some cases, the waveguide might not be completely filled to the port reference planes. In other cases, the material expansion during curing can result in a “hump.” To accommodate these concave and convex surfaces at the waveguide ends, the reference planes were shifted by and , as shown in Fig. 2. In the case of fillings with a “dent” or will be negative. The transmission through the filled waveguide section is given by [19], [31] (6)

between the two ports (14)

B. Determination of Material Properties in Filled Waveguide In the following, two different methods are given to extract the material parameters from the -parameter measurements of the filled waveguide. In the first case (see Section III-B.1), a perfect filling with planar surfaces exactly at the port reference planes is assumed. In case the waveguide section was not totally filled or the filling extends into the port, reference plane rotation has to be introduced as given in (11)-(13). A solution for this case is given in Section III-B.2. 1) Solution for Perfect Filling: In the following, the method to solve (9) and (10) for and is described, thus assuming a perfect filling so no reference plane rotation is required . In this case, any small differences between the measured and or and , respectively, are due to noise. Therefore, first their magnitudes and phases are averaged, leaving and , as in (9) and (10). In addition, small noise ripples, which sometimes occurred in the data, are reduced by time-domain gating [30]. Equations (9) and (10) can now be solved [22], [30] for (15) with

with

(16) (7)

Conductive losses of the waveguide walls can be neglected against the much higher dielectric losses of the filling materials. The reflection coefficient at the waveguide flanges is

and (17) In (15), the sign of the square root has to be chosen such that . can now be calculated from (6) and (17) as follows:

(8)

(18)

with being (7) for and . Taking into consideration multiple reflections in the filled waveguide section, the -parameters of the filled waveguide section result in [22]

with being an integer value. The correct value for could be obtained from group-delay comparison [19], but here, a more pragmatic and simple approach was chosen. Both approaches need more than one frequency point to determine . is first split into a frequency-dependent part and an offset as follows:

(9)

(19)

1004

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

The frequency-dependent part is simply determined by using the fact that the phase of has to be monotonically decreasing without large steps. The constant can then be obtained by finding the for which and are almost constant over frequency. Since the group delay has to be calculated from phase differences in between frequency points, in some cases, noise results in incorrect values for . More averaging over the frequency then has to be done, which converges to the method applied here. The complex permeability and permittivity are finally determined as

Fig. 3. Coated transmission-line setup for mold material characterization.

result in the lowest error , are assumed to be the best approximations of the actual waveguide filling. They are then used to determine the dielectric properties of the material.

(20) IV. COATED TRANSMISSION-LINE METHOD

and

(21) using (8) and (7), respectively. Any measurement errors in micrometers will also influence the accuracy of . Additionally, for the materials measured here, the magnitude of is much smaller than that of , which increases the measurement uncertainty in . Since all samples measured here can be assumed to be nonmagnetic, can directly be calculated from (18) by using in (21). For sample sizes at multiples of the half wavelength, the above approach shows some instability. A solution to this is given in [31], which was not used here since it dramatically increases the computation time and no such problem has been seen with the samples measured here. 2) Solution With Reference Plane Rotation for Imperfect Filling: If the waveguide is not perfectly filled, the reference plane rotation is introduced to compensate for this. A simple minimum search is performed to find the correct rotation lengths and material properties as follows. Both lengths and are varied independently and, for each combination, the -parameters and of the filled waveguide section are determined by using (11)–(13). From this, the dielectric properties can be extracted as given in Section III-B.1. Now the -parameters of the filled waveguide including the reference plane rotation can be calculated, which are then compared to the measured -parameters to evaluate the quality of the result. Since the length of the waveguide section is known, is changed for any combination of and according to (14). Since the rotation length has to be the same for all frequencies, the errors between the calculated and measured -parameters are derived as follows: (22) and being any of the four calculated and meawith sured -parameters, respectively. The errors of the four -parameters are added to obtain one error value. Thereby the reflection -parameters are weighted 1 : 9 against the transmission -parameters since the latter ones contain more information about the dielectric properties. The lengths and , which

The third method presented and used in this study is based on the propagation constant measurement of coated transmission lines, which was first presented in [13]. In the following, the procedure is described in detail. The transmission lines are realized as 15-mm-long coplanarwaveguide (CPW) lines on an AF45 glass substrate with 77- m center conductor width and 20- m gap to yield 50- characteristic impedance and to allow probing with a standard coplanar probe with 150- m pitch. Fig. 3 shows the measurement setup. First the uncoated transmission lines are measured to verify the accuracy of the material properties of the substrate material (obtained from measurements with the open resonator, see Section V-A). After coating the lines with any material under test, its material properties can be obtained from the change of the propagation constant. The height of the coating (see Fig. 3) has to be at least twice the ground-to-ground distance of the CPW lines m to ensure that the majority of the field is in the material or substrate. A line–reflect–reflect–match (LRRM) calibration with automatic load inductance determination [46] was used to calibrate the measurements to the probe tips. This technique performs very well at millimeter-wave frequencies, and can be used down to low frequencies with no additional calibration measurement. The LRRM calibration standards are on a ceramic substrate, which has a much higher dielectric constant than the substrates with the test lines. This leads to a slight overestimation of the probe pad capacitances (approximately 7 fF per probe for the probes used here), which first have to be deembedded from the measured -parameters. The value of this capacitance can simply be found by variation until the characteristic impedance of the deembedded (uncoated) line shows the most flat frequency response. Since the line cannot be totally covered with the material under test to allow probing, the uncovered parts ( and in Fig. 3) have to be deembedded from the measurements in a second step using the known propagation constant of the uncovered line. The complex propagation constant defined as in (6) can now be extracted from the deembedded -parameters of the covered transmission line part using the formulas in [47]. By varying the complex permittivity of the coating material in an electromagnetic (EM) simulation tool (see [48]), the correct values can be found when the simulation results of fit the measured values best.

ZWICK et al.: DETERMINATION OF COMPLEX PERMITTIVITY OF PACKAGING MATERIALS AT MILLIMETER-WAVE FREQUENCIES

Fig. 4. Effective relative permittivity " versus relative permittivity " of the coating material dependent on its loss tangent tan  (valid above 40 GHz).

1005

Fig. 5. Extracted dielectric constant " of PTFE and AF45 over frequency and comparison with literature values.

Simulations with an EM simulation tool (see Fig. 4) show that, for loss tangents of the coating material of , the approximation (23) for the effective relative permittivity of the coated transmission line is valid with being the imaginary part of . This reduces the two-dimensional optimization problem to two one-dimensional problems. In addition, the relation between and shown in Fig. 4 was found to be completely frequency independent above 40 GHz. Since the main focus of this study is on millimeter-wave frequencies, only material properties above 40 GHz are extracted from the coated transmission-line measurements. Using a frequency-dependent relation between the effective relative permittivity of the coated transmission line and the relative permittivity of the coating material, the method could easily be extended to frequencies below 40 GHz (in [13] results for 30 GHz are presented). V. MEASUREMENT RESULTS In the following, several measurement results for substrate and mold materials are presented. The open-resonator measurements have all been performed in the three frequency bands of 20–40, 40–65, and 65–90 GHz to cover the whole frequency range from 20 to 90 GHz. Due to the applied time-domain gating (see Section III-B.1), the frequency range of the results from the filled waveguide method is reduced to between 70–100 GHz. The coated transmission-line method did not allow the determination of any reasonable loss tangent values above 90 GHz due to the fact that the loss of the AF45 glass is substantially increasing over frequency (see Section V-A). A. Substrate Materials In order to verify the performance of the open resonator, a thin sheet of PTFE (780- m thick) has been measured and compared to values from the literature [17], [49]. The results for the dielectric constant in Fig. 5 clearly show a very good agreement,

Fig. 6. Extracted dielectric loss tangent tan of PTFE and AF45 over frequency and comparison with literature values.

however, the limited quality factor of this wide-band resonator results in a wide spread of the dielectric loss tangent values (see Fig. 6). The sensitivity of the resonator measurement setup could be improved by increasing the VNA sensitivity settings (averaging, etc.) or optimizing the resonator setup for each frequency point as in [17] and [49]. Since this would substantially increase the measurement time and the accuracy is already sufficient for packaging material characterization (see Section I), there was no need for improvement of the sensitivity. PTFE has also been placed in a waveguide section to verify the waveguide method. Again, very good agreement can be observed for the dielectric constant (see Fig. 5). The results for the dielectric loss in Fig. 6 show the limitation in sensitivity of the waveguide method, which is absolutely sufficient for encapsulant characterization, as pointed out in Section I. Since the lines used for the transmission-line method (see Section IV) were fabricated on an AF45 glass substrate, this material was also measured in the resonator (see Figs. 5 and 6). In order to obtain optimal results, the AF45 wafer was thinned down to 250 m. The manufacturer only provides values valid

1006

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 7. Extracted dielectric constant " of both high-resistivity wafers and fused silica over frequency.

Fig. 8. Extracted dielectric loss tangent tan  of the 10-k 1 cm silicon wafer over frequency.

at 1 MHz for the dielectric constant of 6.2 and for the dielectric loss tangent of 0.0009. In the open-resonator measurement, a slightly decreasing dielectric constant from 5.9 at 20 GHz to 5.8 at 90 GHz was obtained. The loss tangent was found to be increasing substantially over frequency to over 0.01 above around 70 GHz. This limits the capability of the transmission-line method to extract loss tangents of coating materials at really high frequencies, thus, no reasonable values have been extracted above 90 GHz. Due to the fact that the processing capabilities (narrow lines, small vias, etc.) are much more advanced on silicon wafers than on any other substrate material, high-resistivity silicon is one very interesting option for millimeter-wave designs. Several measurements on very high-resistivity silicon were performed in the past since, during that time, the material drew a lot of attention for the usage as a window in high-power physics applications [50], [51]. Here, two different high-resistivity silicon wafers have been characterized. The first wafer is a high purity float zone wafer, which was specified with a resistivity of at least 10 k cm. The second wafer is a Czochralski wafer, in which deep-level traps were formed to obtain a nominal resistivity of approximately 1 k cm. Both wafers were thinned down to 250 m and have been measured in the dark, as well as under light illumination by a standard light bulb. Fig. 7 shows the dielectric constant of both silicon wafers. Both wafers have a dielectric constant of around 11.7, which perfectly fits to results from [51]. Figs. 8 and 9 show the measurement results for the loss tangent. As expected, the wafer with the higher resistivity shows a lower dielectric loss at millimeter-wave frequencies (see measurements in the dark). For both wafers, a decay over frequency can be observed. Up to a certain frequency, the loss due to the limited resistivity will dominate the measured loss tangent, which can then be given by [8, Chap. 2]

Fig. 9. Extracted dielectric loss tangent tan  of the 1-k 1 cm silicon wafer and fused silica over frequency.

9. The resistivities of both wafers result in 9.4 k cm for the 10-k cm wafer and 1.3 k cm for the 1-k cm wafer. In case of the high-purity float zone, silicon wafer light generates hole–electron pairs, which increase the conductivity substantially (see Fig. 8). In the Czochralski wafer measured here, deep level traps cause the high resistivity, which is not effected by light illumination (see Fig. 9). In Figs. 7 and 9, the results for a 250- m-thick noncrystalline amorphous fused silica sample are shown. A very frequency-independent dielectric constant of 3.8 and a loss tangent of below 0.002 up to 90 GHz was observed, which exactly fits the results in [52]. Fused silica is already well established for metal deposition processing, which enables smaller tolerances than the normal etching process used in standard printed circuit board (PCB) manufacturing. Material parameters for a large variety of substrate materials can also be found in [52].

(24) B. Mold Materials The relation (24) has been confirmed in [51]. Using linear regression, curves based on (24) have been fitted to the measurements in the dark from 20 to 90 GHz, as shown in Figs. 8 and

Several mold materials have been measured using the filled waveguide method. A list of the materials is given together with the measurement results in Table I. Figs. 10 and 11 show the

ZWICK et al.: DETERMINATION OF COMPLEX PERMITTIVITY OF PACKAGING MATERIALS AT MILLIMETER-WAVE FREQUENCIES

1007

TABLE I MATERIAL PARAMETERS OF MOLD MATERIALS MEASURED WITH FILLED WAVEGUIDE METHOD TOGETHER WITH THE VALUES PROVIDED BY THE MANUFACTURER

Fig. 10. Extracted dielectric constant " of materials A and B from filled waveguide (two different lengths) and covered transmission-line measurements over frequency.

In the case of material F, the waveguide filling has a “dent” at both ends due to the relatively high shrinkage of the material. Using the method from Section III-B.2, the error in (22) improved from 0.10 to 0.03 and changed from 2.8 to 2.9. The lengths and were found to be 0.08 and 0.30 mm. Materials A and B have also been measured using the covered transmission-line method. The results from these measurements are also given in Figs. 10 and 11. It can be seen that the transmission-line method works, but is less accurate than the filled waveguide method. On the other hand, some materials cannot be filled properly in the waveguide due to their mechanical properties (either not liquid enough or they shrink too much when cured). The polyimide/epoxy blend (material G), for example, could not be properly filled into the waveguide without air bubbles so it could only be measured using the transmission-line method. In most cases, the measured dielectric constant fits well to the values given by the manufacturer at much lower frequencies (see Table I). However, the measured values show that all materials show substantially higher dielectric losses at millimeter-wave frequencies than at much lower frequencies (see manufacturer values in Table I). On the other hand, nearly no dispersion between 70 and 100 GHz was observed (see Table I). More data at 22 GHz for mold materials can be found in [53]. VI. CONCLUSIONS

Fig. 11. Extracted dielectric loss tangent tan  of materials A and B from filled waveguide (two different lengths) and covered transmission-line measurements over frequency.

detailed results over frequency for materials A and B. Both materials have been filled in two waveguides with 3.2- and 6.4-mm length. The measurement results from both waveguide lengths match very well for both materials, which demonstrates the reliability of the measurement method.

Three different methods to measure dielectric-material properties of packaging materials at millimeter-wave frequencies have been established. The open resonator used here allows accurate determination of the dielectric constant and loss of thin sheet substrate materials from below 20 GHz to above 100 GHz. A comparison of measurement results of PTFE with literature values demonstrates the accuracy of this setup. To enable an efficient design, substrate materials for chip integration have to be characterized with an accuracy of 0.1 for the dielectric constant and a sensitivity of better than 0.005 for the loss tangent, which the open resonator clearly achieves. A method based on a filled WR10 waveguide was developed to allow the determination of mold materials (encapsulants, under-fill, etc.) in the millimeter-wave frequency range. The setup used here can determine the complex dielectric properties of mold materials from 70 to 100 GHz. Measurements of PTFE with this method again showed the sufficient accuracy of the method. To enable

1008

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

selection and use of mold materials for millimeter-wave integrated-circuit (IC) packaging with integrated antennas, the materials have to be characterized with an accuracy of 0.1 for the dielectric constant and a sensitivity of better than 0.01 for the loss tangent, which the filled waveguide method clearly achieves. In addition, a method based on covered transmission lines for mold materials has been presented, which allows measurements from below 40 GHz to approximately 90 GHz. The method was verified against the filled waveguide method with two different materials. This method does not meet the above given requirements, but its accuracy could be improved by replacing the AF45 by a material with lower dielectric loss (e.g., fused silica). The filled waveguide measurement is the preferred technique for the highest accuracy, but for those materials that cannot be properly filled into a waveguide, the transmission-line technique is still a very useful option. A variety of measurement results for substrate, as well as mold materials available for millimeter-wave packaging have been shown. The glass AF45 showed an increasing dielectric loss over frequency, which reached values of 0.01 or more above 60 GHz. Silicon with a resistivity of greater than approximately 1 k cm could replace other low-loss substrates in millimeterwave ( 30 GHz) applications. In case of high purity wafers, the overall package design also has to ensure that the substrate will not be exposed to light since this would reduce resistivity and increase the loss substantially. Fused silica showed a very constant dielectric constant of 3.8 and a loss tangent of below 0.002 up to 90 GHz. None of the standard chip packaging materials measured here showed a dielectric loss below 0.01, which clearly suggests that new materials have to be determined and classified for packaging processing (e.g., hermaticity, porosity, rigidity, moisture absorption, etc.) to enable low-cost millimeter-wave transceiver packages with integrated antennas. ACKNOWLEDGMENT The authors would like to thank Dr. B. Kelsall, Damaskos Inc., Chadds Ford, PA, for the support with the open-resonator system. The authors are thankful to R. John, IBM, Yorktown Heights, NY, for filling the waveguide sections and coating the transmission lines and to Dr. C. Schuster, Dr. G. Cohen, Dr. S. Buchwalter, all with IBM, and the rest of the IBM team for very helpful discussions. Much appreciation goes to Dr. M. Soyuer and Dr. M. Oprysko, both with the Communications Department, IBM, for their friendly support of this study. Author T. Zwick was with the IBM T. J. Watson Research Center during the time of this study. REFERENCES [1] B. Jagannathan, M. Khater, F. Pagette, J.-S. Rieh, D. Angell, H. Chen, J. Florkey, F. Golan, D. R. Greenberg, R. Groves, S. J. Jeng, J. Johnson, E. Mengistu, K. T. Schonenberg, C. M. Schnabel, P. Smith, A. Stricker, D. Ahlgren, G. Freeman, K. Stein, and S. Subbanna, “Self-aligned SiGe NPN transistors with 285 GHz f MAX and 207 GHz fT in a manufacturable technology,” IEEE Electron Device Lett., vol. 23, no. 5, pp. 258–260, May 2002. [2] B. A. Floyd, S. K. Reynolds, U. R. R. Pfeiffer, T. Zwick, T. Beukema, and B. Gaucher, “SiGe bipolar transceiver circuits operating at 60 GHz,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 156–167, Jan. 2005.

[3] K. Ohata, K. Maruhashi, M. Ito, S. Kishimoto, K. Ikuina, T. Hashiguchi, N. Takahashi, and S. Iwanaga, “Wireless 1.25 Gb/s transceiver module at 60 GHz band,” in IEEE Int. Solid-State Circuits Conf., San Francisco, CA, Feb. 2002, pp. 298–299. [4] P. Smulders, “Exploiting the 60 GHz band for local wireless multimedia access: Prospects and future directions,” IEEE Commun. Mag., vol. 40, no. 1, pp. 140–147, Jan. 2002. [5] W. J. Fleming, “Overview of automotive sensors,” IEEE Sensors J., vol. 1, no. 4, pp. 296–308, Dec. 2001. [6] B. Gaucher, T. Beukema, S. Reynolds, B. Floyd, T. Zwick, U. Pfeiffer, D. Liu, and J. Cressler, “MMW-transceivers using SiGe HBT technology,” in IEEE Silicon Monolithic Integr. Circuits RF Syst. Top. Meeting, Atlanta, GA, Sep. 2004, pp. 81–84. [7] T. Zwick, C. Baks, U. R. Pfeiffer, D. Liu, and B. P. Gaucher, “Probe based MMW antenna measurement setup,” in IEEE Int. Antennas Propag. Symp., vol. 1, Monterey, CA, June 2004, pp. 747–750. [8] C. A. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1989. [9] M. N. Afsar and K. J. Button, “Millimeter-wave dielectric measurement of materials,” Proc. IEEE, vol. 73, no. 1, pp. 131–153, Jan. 1985. [10] A. C. Lynch, “Precise measurement of complex permittivity,” IEEE Trans. Instrum. Meas., vol. IM-23, no. 4, pp. 425–430, Dec. 1974. [11] J. R. Birch, G. J. Simonis, M. N. Afsar, R. N. Clarke, J. M. Dutta, H. M. Frost, X. Gerbaux, A. Hadni, W. F. Hall, R. Heidinger, W. W. Ho, C. R. Jones, F. Königer, R. L. Moore, H. Matsuo, T. Nakano, W. Richter, K. Sakai, M. R. Stead, U. Stumper, R. S. Vigil, and T. B. Wells, “An intercomparison of measurement techniques for the determination of the dielectric properties of solids at near millimeter wave lengths,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 6, pp. 956–964, Jun. 1994. [12] J. Baker-Jarvis, R. G. Geyer, J. H. Grosvenor, Jr., M. D. Janezic, C. A. Jones, B. Riddle, C. M. Weil, and J. Krupka, “Dielectric characterization of low-loss materials: A comparison of techniques,” IEEE Trans. Dielectr. Electr. Insul., vol. 5, no. 4, pp. 571–577, Aug. 1998. [13] A. Chandrasekhar, S. Brebels, S. Stoukatch, E. Beyne, W. De Raedt, and B. Nauwelaers, “The influence of packaging materials on RF performance,” in Microelectronics Reliability Journal. New York: Elsevier, 2003, vol. 43, pp. 351–357. [14] M. A. Saed, S. M. Riad, and A. Elshabini-Riad, “Wide-band measurement of the complex permittivity of dielectric materials using wide-band cavity,” IEEE Instrum. Meas. Mag., vol. 38, no. 2, pp. 488–495, Apr. 1989. [15] D. Li, C. E. Free, K. E. G. Pitt, and P. G. Barnwell, “A simple method for accurate loss tangent measurement of dielectrics using a microwave resonant cavity,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 3, pp. 118–120, Mar. 2001. [16] R. N. Clarke and C. B. Rosenberg, “Fabry–Perot and open resonators at microwave and millimeter wave frequencies, 2–300 GHz,” J. Phys. E., Sci. Instrum., no. 15, pp. 9–24, 1982. [17] T. M. Hirvonen, P. Vainikainen, A. Lozowski, and A. V. Räisänen, “Measurement of dielectrics at 100 GHz with an open resonator connected to a network analyzer,” IEEE Trans. Instrum. Meas., vol. 45, no. 4, pp. 780–786, Aug. 1996. [18] R. Schwab, R. Spörl, J. Burbach, and R. Heidinger, “MM-wave characterization of low loss dielectric materials using open resonators,” in ITG-Fachbericht 150: Displays and Vacuum Electronics. Berlin, Germany: VDE-Verlag, 1998, pp. 363–368. [19] J. Baker-Jarvis, M. D. Janezic, B. Riddle, C. L. Holloway, N. G. Paulter, and J. E. Blendell, “Dielectric and conductor-loss characterization and measurements on electronic packaging materials,” NIST, Boulder, CO, Tech. Rep. 1520, Jul. 2001. [20] N. J. Damaskos and B. J. Kelsall, “Cavity techniques for substrate properties at microwave/millimeter-wave bands,” Microwave J., pp. 112–124, Dec. 2003. [21] D. K. Ghodgaonkar, V. V. Varadan, and V. K. Varadan, “A free-space method for measurement of dielectric constants and loss tangents at microwave frequencies,” IEEE Trans. Instrum. Meas., vol. 37, no. 3, pp. 789–793, Jun. 1989. [22] , “Free-space measurement of complex permittivity and complex permeability of magnetic materials at microwave frequencies,” IEEE Trans. Instrum. Meas., vol. 39, no. 2, pp. 387–394, Apr. 1990. [23] D. T. Fralick, “W -band free space permittivity measurement setup for candidate radome materials,” NASA, Washington, DC, Tech. Contractor Rep. 201 720, Aug. 1997. [24] G. L. Friedsam and E. M. Biebl, “Precision free-space measurements of complex permittivity of polymers in the W -band,” in IEEE MTT-S Int. Microw. Symp., Denver, CO, Jun. 1997, pp. 1351–1354.

ZWICK et al.: DETERMINATION OF COMPLEX PERMITTIVITY OF PACKAGING MATERIALS AT MILLIMETER-WAVE FREQUENCIES

[25] R. D. Hollinger, K. A. Jose, A. Tellakula, V. V. Varadan, and V. K. Varadan, “Microwave characterization of dielectric materials from 8 to 110 GHz using a free-space setup,” Microw. Opt. Technol. Lett., vol. 26, no. 2, pp. 100–105, Jul. 2000. [26] T. Zwick, J. Haala, and W. Wiesbeck, “A genetic algorithm for the evaluation of material parameters of compound multilayered structures,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1180–1187, Apr. 2002. [27] A. M. Nicolson and G. F. Ross, “Measurement of the intrinsic properties of materials by time-domain techniques,” IEEE Trans. Instrum. Meas., vol. IM-19, no. 4, pp. 377–382, Nov. 1970. [28] W. B. Weir, “Automatic measurement of complex dielectric constant and permeability at microwave frequencies,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974. [29] L. P. Ligthart, “A fast computational technique for accurate permittivity determination using transmission line methods,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 3, pp. 249–254, Mar. 1983. [30] “Measuring the dielectric constant of solids with the HP 8510 network analyzer,” Hewlett-Packard, Tech. Product Note 8510-3, Aug. 1985. [31] J. Baker-Jarvis, E. J. Vanzura, and W. A. Kissick, “Improved technique for determining complex permittivity with the transmission/reflection method,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1096–1103, Aug. 1990. [32] J. Baker-Jarvis, R. G. Geyer, and P. D. Domich, “A nonlinear least-squares solution with causality constraints applied to transmission line permittivity and permeability determination,” IEEE Trans. Instrum. Meas., vol. 41, no. 5, pp. 646–652, Oct. 1992. [33] M. D. Janezic and J. A. Jargon, “Complex permittivity determination from propagation constant measurements,” IEEE Microw. Guided Wave Lett., vol. 9, no. 2, pp. 76–78, Feb. 1999. [34] G. Roussy, H. Chaabane, and H. Esteban, “Permittivity and permeability measurement of microwave packaging materials,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 903–907, Mar. 2004. [35] Y. Y. Lim, M. D. Rotaru, A. Alphones, and A. P. Popov, “Simple and improved dielectric parameter extraction of thin organic packaging materials using open-ended coaxial line technique,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 152, no. 4, pp. 214–220, Aug. 2005. [36] I. Wolff and N. Knoppik, “Microstrip ring resonator and dispersion measurement on microstrip lines,” Electron. Lett., vol. 7, no. 26, pp. 779–781, Dec. 1971. [37] D. C. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou, “Characterization of liquid crystal polymer (LCP) material and transmission lines on LCP substrates from 30–110 GHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1343–1352, Apr. 2004. [38] F. Salhi, W. John, G. Sommer, J. Graf, M. Fiedler, and H. Reichl, “Test structures for continuous determination of electrical parameters of substrate material up to 79 GHz,” in IEEE Signal Propagation on Interconnects Workshop, Garmisch-Partenkirchen, Germany, May 2005, pp. 203–307. [39] M. D. Janezic and D. F. Williams, “Permittivity characterization from transmission-line measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., Denver, CO, Jun. 1997, pp. 1343–1346. [40] J. Baker-Jarvis, B. Riddle, and M. D. Janezic, “Dielectric and magnetic properties of printed wiring boards and other substrate materials,” NIST, Boulder, CO, Tech. Note 1512, Mar. 1999. [41] A. Deutsch, T. M. Winkel, G. V. Kopcsay, C. W. Surovic, B. J. Rubin, G. A. Katopis, B. Chamberlin, and D. F. Williams, “Extraction of "(f ) and tan  (f ) for BT insulator up to 30 GHz using the short-pulse propagation technique,” in IEEE Electr. Performance Electron. Packag. Top. Meeting, Princeton, NJ, Oct. 2003, pp. 235–238. [42] H. Kogelnik and T. Li, “Laser beams and resonators,” Proc. IEEE, vol. 45, no. 10, pp. 1312–1328, Oct. 1966. [43] A. L. Cullen and P. K. Yu, “Complex source-point theory of the electromagnetic open resonator,” Proc. R. Soc. Lond. A, Math. Phys. Sci., vol. 366, pp. 165–171, 1979. [44] G. F. Engen and C. A. Hofer, “Thru-reflect-line: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979. [45] C. Oleson and A. Denning, “Millimeter wave vector analysis calibration and measurement problems caused by common wave guide irregularities,” in 56th Meas. Metrol. Test RF Telecommun. Conf., Boulder, CO, Nov. 2000.

1009

[46] A. Davidson, K. Jones, and E. Strid, “LRM and LRRM calibrations with automatic determination of load inductance,” in IEEE Automat. RF Tech. Group Conf., Monterey, CA, Nov. 1990, pp. 57–63. [47] W. R. Eisenstadt and Y. Eo, “S -parameter-based IC interconnect transmission line characterization,” IEEE Trans. Comp., Hybrids, Manufact. Technol., vol. 15, no. 4, pp. 483–490, Aug. 1992. [48] P. Pieters, S. Brebels, E. Beyne, and R. P. Mertens, “Generalized analysis of coupled lines in multilayer microwave MCM-D technology-application: Integrated coplanar Lange couplers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1863–1872, Sep. 1999. [49] W. Culshaw and M. V. Anderson, “Measurement of permittivity and dielectric loss with a millimeter wave Fabry–Perot interferometer,” Proc. Inst. Elec. Eng., pt. B, Suppl. 23, vol. 109, pp. 820–826, 1962. [50] M. N. Afsar and H. Chi, “Millimeter wave complex refractive index, complex dielectric permittivity and loss tangent of extra high purity and compensated silicon,” Int. J. Infrared Millim. Waves, vol. 15, no. 7, pp. 1181–1188, 1994. [51] V. V. Parshin, R. Heidinger, and B. A. Andr, “Silicon as an advanced window material for high power gyrotrons,” Int. J. Infrared Millim. Waves, vol. 16, no. 5, pp. 863–877, 1995. [52] J. W. Lamb, “Miscellaneous data on materials for millimeter and submillimeter optics,” Int. J. Infrared Millim. Waves, vol. 17, no. 12, pp. 1997–2034, Dec. 1996. [53] P. Z. R. W. Haas, “22-GHz measurements of dielectric constants and loss tangents of castable dielectrics at room and cryogenic temperatures,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 11, pp. 881–883, Nov. 1976.

Thomas Zwick (M’00) received the Dipl.-Ing. (M.S.E.E.) and Dr.-Ing. (Ph.D.E.E.) degrees from the Universität Karlsruhe (TH), Karlsruhe, Germany, in 1994 and 1999, respectively. From 1994 to 2001 he was Research Assistant with the Institut für Höchstfrequenztechnik und Elektronik (IHE), Universität Karlsruhe (TH). From February 2001 to September 2004, he was with the IBM T. J. Watson Research Center, Yorktown Heights, NY. Since October 2004, he has been with Siemens VDO Automotive AG, Lindau, Germany. His research interests include wave propagation, stochastic channel modeling, channel measurement techniques, material measurements, microwave techniques, millimeter-wave antenna design, wireless communication, and radar system design. He participated as an expert in the European COST231 Evolution of Land Mobile Radio (Including Personal) Communications and COST259 Wireless Flexible Personalized Communications. For the Carl Cranz Series for Scientific Education, he served as a Lecturer for wave propagation. Dr. Zwick was the recipient of the 1998 Best Paper Award presented at the International Symposium on Spread Spectrum Techniques and Applications (ISSSTA), and the 2005 Lewis Award for outstanding paper presented at the IEEE International Solid-State Circuits Conference.

Arun Chandrasekhar received the Bachelor of Engineering (B.E.) degree in electronics and communication engineering from Anna University, Madras, India, in 1997, and the Master of Technology (M.Tech) degree in electronics design and Ph.D. in RF packaging from the Interuniversity Micro Electronics Center (IMEC)/Katholieke Universiteit Leuven, Leuven, Belgium in 2004. In Summer 2003, he was with the IBM T. J. Watson Research Center, where he was involved with millimeter-wave package and material characterization. He is currently with The Intel India Design Centre, Bangalore, India, where he is responsible for package design for server processors and chip sets.

1010

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Christian W. Baks received the B.S. degree in applied physics from the Technische Hoge School Eindhoven, Eindhoven, The Netherlands, in 2000, and the M.S. degree in applied physics from the State University of New York (SUNY) at Albany, in 2001. In 2001, he joined the IBM T. J. Watson Research Center, Yorktown Heights, NY, as an Engineer, where he has been with the High Speed Electrical and Optical Packaging Group involved with board design/layout for signal integrity studies of high-speed electrical links and low-cost high-speed packaging for opto-electronic assemblies.

Steven Brebels (S’93) received the M.S. degree in electrical engineering from the University of Leuven, Leuven, Belgium, in 1994, and is currently working toward the Ph.D. degree at the Interuniversity Microelectronics Center (IMEC), Leuven, Belgium. His research with the Microwave and RF Systems Group, IMEC, is directed toward integrated microwave circuits and antennas in thin-film multichip-module dimensional (MCM-D) and three-dimensional (3-D) stacked modules. His research interests include microwave and millimeter-wave components and integrated antennas. Mr. Brebels was a corecipient of the IEEE 2003 Microwave Prize for his paper entitled “Accurate Modeling of High- Spiral Inductors in Thin-Film Multilayer Technology for Wireless Telecommunication Applications.”

Q

Ullrich R. Pfeiffer (M’02) received the Diploma degree in physics and Ph.D. degree in physics from the University of Heidelberg, Heidelberg, Germany, in 1996 and 1999, respectively. In 1997, he was a Research Fellow with the Rutherford Appleton Laboratory, Oxfordshire, U.K., where he developed high-speed multichip modules. In 2000, his research was based on high-integrated real-time electronics for a particle physics experiment with the European Organization for Nuclear Research (CERN), Geneva, Switzerland. In 2001, he joined IBM and is currently a Research Staff Member with the IBM T. J. Watson Research Center, Yorktown Heights, NY. His current research involves RF circuit design, power-amplifier design at 60 and 77 GHz, high-frequency modeling, and packaging for millimeter-wave communication systems. Dr. Pfeiffer is member of the German Physical Society (DPG). He was the recipient of the 2004 Lewis Award for outstanding paper presented at the IEEE International Solid-State Circuits Conference.

Brian P. Gaucher (M’81) received the B.S. degree from the University of Massachusetts at Lowell, in 1982, and the M.S. degree from Northeastern University, Boston, MA, in 1993. From 1982 to 1983, he was with the Research and Development Laboratory, Alpha Industries, where he designed microwave GaAs field-effect transistor (FET) amplifiers, switches detectors, limiters, filters, and supercomponents. In 1984, he joined the Communication Systems Division, GTE, where he was involved with research and development of secure spread-spectrum communication and radar systems for the military across the 900-MHz–60-GHz frequency bands. In 1993, he joined IBM. He is currently a Research Staff Member with the IBM T. J. Watson Research Center, Yorktown Heights, NY, where he manages a communication system design and characterization group. His group has helped more than five products come to market. He is an IBM Master Inventor. His current research interests include 60-GHz multigigabit-per-second wireless communication design and 77- and 94-GHz radar and biomedical applications of wireless technology. Mr. Gaucher was the recipient of two Outstanding Technical Achievement Awards and one corporate award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1011

Wide-Stopband Microstrip Bandpass Filters Using Dissimilar Quarter-Wavelength Stepped-Impedance Resonators Shih-Cheng Lin, Pu-Hua Deng, Yo-Shen Lin, Member, IEEE, Chi-Hsueh Wang, and Chun Hsiung Chen, Fellow, IEEE

Abstract—Wide-stopband and compact microstrip bandpass filters (BPFs) are proposed using various dissimilar quarter-wavelength ( 4) stepped-impedance resonators (SIRs) for multiple spurious suppression. The use of 4 SIRs is essential in widening the filter stopband and reducing the circuit size. By properly arranging the individual 4 SIR, which has the same fundamental resonance frequency 0 , but has different spurious (harmonic) resonance frequencies, and also carefully misaligning the maximum current density nodes, several higher order spurious resonances may be suppressed so that a BPF with wide stopband may be realized. In this study, the basic concept of multiple spurious suppression is demonstrated by thoroughly investigating the spurious characteristics of the fourth-order interdigital BPFs, which consist 4 SIRs. To widen the rejection bandof two different types of width, the fourth-order coupled-resonator BPFs based on three 4 SIRs are implemented and careand four different types of fully examined. Specifically, a very wide-stopband microstrip BPF composed of four dissimilar 4 SIRs is realized and its stopband is extended even up to 11 4 0 with a rejection level better than 27.5 dB. Index Terms—Bandpass filter (BPF), coupled resonator, microstrip, quarter-wavelength stepped-impedance resonator, spurious suppression.

I. INTRODUCTION

P

RESELECTED bandpass filters (BPFs) with excellent out-of-band rejection and high selectivity are essential components of wireless communication system. In particular, the wide-stopband bandpass filters are usually needed in association with the nonlinear components (e.g., mixers or power amplifiers) so as to eliminate the undesired interference or noise in the stopband. The filters composed of uniform distributed-element resonators suffer from the existence of spurious harmonics at multiples of the fundamental resonance frequency due to their higher order resonances. The occurrence of spurious responses degrades the filter performance in rejecting the out-of- band interference. Various types of BPFs have been Manuscript received May 17, 2005; revised November 13, 2005. This work was supported by the National Science Council of Taiwan under Grant NSC 93-2752-E-002-001-PAE and Grant NSC 93-2219-E-002-021. S.-C. Lin, P.-H. Deng, C.-H. Wang, and C. H. Chen are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]). Y.-S. Lin was with the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. He is now with the Department of Electrical Engineering, National Central University, Chungli 32001, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2005.864139

designed and fabricated using different forms of distributed resonators [1]–[10]. The filters based on half-wavelength uniform-impedance resonators (UIRs) such as coupled-line filters [1] and open-loop coupled-resonator filters [2], [3] have been well established, and these types of filters have the spurious responses around . Quarter-wavelength resonators were also adopted to implement the filters, such as interdigital and combline filters [4]–[8]. Trisection or cascade quadruplet filters may also be realized using resonators. The filters composed of UIRs possess higher order harmonics occurring around . Thus, by making good use of resonators, one may make less effort of implementing a filter with better out-of-band rejection. Several approaches were proposed to push the spurious responses higher or simply to suppress these unwanted passbands. The most direct way is to cascade a low-pass filter into the designed BPF [9]. However, the use of an additional low-pass filter degrades the insertion loss and increases the size of the filter, thus it is not the best way to eliminate the spurious responses. The first spurious passband may be pushed up to a higher frequency by a deformation of the linewidth using the SIRs [10]–[12]. However, due to the restriction of fabrication process, the SIR may at most push the spurious passband to . Alternatively, by the strip-width perturbation of a microstrip line to implement the wiggly-line filter [13], the first spurious passband of the coupled-line BPF located at can be suppressed. By employing a different perturbation period in each coupledline section, intended multiple spurious rejection can also be realized by using the microstrip wiggly-line structures [14]. Other methods available in the literature try to minimize the difference between the even- and odd-mode velocities, or to equalize the modal electrical lengths of microstrip coupled lines. In addition to providing tight coupling, a modified structure for the microstrip line to incorporate a centered slot at the ground plane was proposed to tune the even-/odd-mode phase velocities [15]. The even- and odd-mode phase velocities of parallel coupled-line filters can be equalized by employing the suspended coupled microstrips on a substrate with a proper suspension height [16], but the process of fabrication may thus be complicated. The even-mode velocity may also be speeded up by using a coupled-Schiffman section [17]. The technique of incorporating the over-coupled end stages to the coupled-line filters was proposed to increase the image impedance and, thus, to reduce the difference between modal propagation constants [18]. However, the filters in [15]–[18] based on the technique of modal velocities equalization can only remove the unwanted

0018-9480/$20.00 © 2006 IEEE

1012

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

passband at , making the next higher order resonance appear immediately around . Special spurious-suppression schemes were also proposed. The employment of uniplanar compact photonic-bandgap (UCPBG) structure in a microstrip BPF to extend the stopband was proposed, but it can only provide a rejection of around 20 dB [19]. A modified version of the coupled-line filter introducing microstrip gaps to create a transmission zero was reported to suppress the spurious harmonic at , but it can only improve the upper stopband rejection to a level of 15 dB [20]. The rejection bandwidth may be extended by introducing some notch bands exactly at the spurious frequencies. Specifically, by means of proper tappings at both input and output resonators, two independent notches can be created at required frequencies, thereby canceling the spurious passbands [21]. In [22], the -stage capacitively coupled BPFs with wide stopband characteristic were proposed using different types of dielectric-filled coaxial resonators that have the same fundamental resonance frequency, but with different spurious resonance frequencies. However, the filters proposed in [22] only adopted two different types of resonators, the UIR and SIR, thus the stopband performance is not optimized. Currently, the microstrip filters with less space, weight, and cost become attractive in modern communication system. Thus, the spurious-suppression method proposed in [22] needs to be extended to the microstrip circuits. Recently, a microstrip BPF composed of UIRs and SIRs [23] was proposed using the similar method of multiple spurious suppression as in [22] to push the stopband with a rejection level of 20 dB. However, the stopup to band characteristic is not optimized due to the use of only two different types of resonators. In this study, the concept of multiple spurious suppression in [22] is extended to design the compact microstrip BPFs with very wide stopband. Specifically, different types of SIRs are suitably selected and arranged so that a very wide-stopband SIRs is microstrip BPF may be realized. The adoption of a key to broaden the stopband and also to compact the circuit size. Basically, the span between adjacent spurious resonance frequencies of a SIR is greater than that of a SIR. Thus, the rejection bandwidth of the filter composed of dissimilar SIRs may easily be made larger than that of the filter consisted of dissimilar SIRs. According to the design procedure for conventional coupled-resonator filters [24], several fourth-order microstrip BPFs using different types of SIRs are designed and examined. By properly arranging the spurious harmonic frequencies of each resonator, a fourth-order microstrip BPF with a very wide stopband even up to is achieved without any degradation of insertion loss in the passband. The proposed filter is also compact because resonators are adopted and no additional components or distributed elements are required. II. QUARTER-WAVELENGTH SIRs The structure of quarter-wavelength stepped-impedance resonator ( SIR) under consideration is shown in Fig. 1. This resonator is composed of two transmission-line sections of different linewidths for different characteristic impedances. The narrower line section of characteristic impedance and electrical length is connected to the ground through a round

Fig. 1. General microstrip quarter-wavelength stepped-impedance resonator with one end short circuited to ground.

Fig. 2. Spurious (higher order resonance) frequencies f (i = 1; 2; 3) of =4 SIRs normalized with respect to their fundamental resonance frequency f with R = 0:3; 0:59; 1; and 1:4 as parameters.

via-hole. The wider line section of characteristic impedance and electrical length is open at one end and connected to the narrower section at the other end. By neglecting the effects of discontinuities and open end, one may express the input impedance seen from the open end as (1) The parallel resonance occurs when , from which the condition for odd-mode resonance can be given as (2) is the impedance ratio of the SIR. To simwhere plify the calculation, the parameter is adopted as in [21]. A good prediction of the required parameters and to give the desired spurious frequencies for each resonator is essential in designing a wide-stopband filter. Fig. 2 shows the diagram of the spurious frequencies , for SIRs with different values of and , which are calculated from (2) and normalized with respect to their corresponding fundamental resonance frequency . Thus, for the required spurious frequencies of each resonator, one may get the related and from this diagram. Compared with the UIR, the UIR with one end short circuited can only resonate at the odd mode. Thus, the spurious frequencies of UIR are roughly at odd multiples of the fundamental frequency, i.e., , and the

LIN et al.: WIDE-STOPBAND MICROSTRIP BPFs USING DISSIMILAR QUARTER-WAVELENGTH SIRs

1013

TABLE I VARIOUS ARRANGEMENTS OF FOURTH-ORDER FILTERS USING TWO DISSIMILAR =4 SIRs

Fig. 3. Simulated frequency responses for type (B) and (D) filters listed in Table I.

frequency span of adjacent higher order resonances is approximately . Taking advantage of the stepped-impedance technique, the range of spurious span can further be made apart. This feature facilitates the goal of widely separating the spurious frequencies, which is required in the design phase. In addition to the merit of separating spurious frequencies, the utilization of resonators also effectively reduces the filter size. Conventional filters using resonators may occupy circuit size four times that of the filters using resonators. This property is attractive for size reduction in mobile communication. The design flexibility and advantage of controlling the spurious frequencies available in SIRs make them good candidates for building the coupled-resonator filter for multiple spurious suppression.

in Table I are also indexed with the numbers 1–4 for further reference.

III. INTERDIGITAL FILTERS USING TWO DISSIMILAR RESONATORS

Table I indicates that the type (A) and (D) filters have better spurious rejection than the other two types. The type (A) filter adopts the arrangement proposed in [22] using resonators of different types one after another. However, its rejection levels (14.38 and 14.94 dB) are not satisfactory at and . The stopband performance may further be improved by modifying the arrangement of resonators in the type (A) filter. In the type (D) filter, the first pair of resonators is a UIR and the last pair is a SIR. Among the four types of filters listed in Table I, the type (D) filter is the better one to achieve a rejection level of approximately 20 dB. The simulated results in Table I suggests that the only use of two different types of SIRs can at most provide the out-of-band rejection at approximately 20 dB. Shown in Fig. 3 are the simulated frequency responses using Ansoft Designer simulator for the type (B) and (D) filters, in which the type (B) filter has very bad spurious responses at and .

The filter composed of identical UIRs exhibits spurious responses at the odd harmonics of the resonator. In [22], a method of multiple spurious suppression was proposed and tested for the filters using dielectric-filled coaxial resonators. This method will be extended to the design of microstrip filters. Here, four possible types of microstrip interdigital coupledresonator filters using two different types of resonators, as listed in Table I, are carefully examined. All filters are implemented on a Rogers RO4003 substrate of thickness 0.508 mm, dielectric constant 3.38, metal thickness 17 m, and loss tangent 0.0027. They are all designed for Butterworth response with 3-dB fractional bandwidth (3-dB FBW) of 10% and center frequency at 2 GHz. The UIR utilized in Table I has the fundamental resonance frequency at 2 GHz and higher order resonances at 6.011 and 9.983 GHz ( and ), respectively. The SIR is selected to possess the same fundamental frequency with the UIR, but has higher order resonances at 8.18 and 12.1 GHz ( and ). Table I shows the rejection levels at the spurious resonance frequencies of the UIR and SIR, respectively. The resonators, from left to right of each filter

Note that the two filters, i.e., types (A) and (D), with a satisfactory rejection level both have two dissimilar SIRs (resonators 2 and 3) placed in the interstage. In synthesizing the design parameters of a fourth-order coupled-resonator filter, the coupling coefficient between resonators 1 and 2 is larger than that between resonators 2 and 3. If a filter had a pair of identical resonators placed in the interstage (e.g., the type (B) filter), the signals associated with the spurious frequencies of resonators 2 and 3 would be resonated within these two interstage resonators so that these strongly resonating signals might be coupled to resonators 1 and 4 and finally to the input and output. Therefore, the filter with identical interstage resonators might have poor stopband rejection at the higher order resonances of these identical resonators. To block or suppress the signals resonating at the spurious frequencies, it is better to make resonators 2 and 3 different and then to separate the higher order resonance frequencies of these two resonators as far as possible. Since the coupling between resonators 2 and 3 is weaker, and their higher order harmonics are separated, these two dissimilar interstage resonators may thereby serve as the spurious-blocking resonators to suppress the unwanted spurious passbands.

1014

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE II PHYSICAL DIMENSIONS AND PARAMETERS FOR EACH RESONATOR IN FIG. 4

Fig. 4. Layout of the proposed microstrip coupled-resonator BPF using three dissimilar =4 SIRs.

For a fourth-order coupled-resonator filter, which contains four resonators, its spurious suppression is mainly determined by the arrangement and choice of these four resonators. Sagawa et al. [22] only implemented the filters with two different types of resonators. It is seen that the use of two dissimilar resonators can at most provide a rejection of approximately 20 dB. Thus, the employment of only two different types of resonators is not the best choice to optimize the out-of-band rejection. In fact, there are four resonators that may be arbitrarily chosen. Below, the four resonators will be made different and properly arranged such that a wide-stopband filter may be implemented to improve the out-of-band rejection. IV. COUPLED-RESONATOR FILTER USING THREE DISSIMILAR RESONATORS Here, a wide-stopband filter using three different types of SIRs is implemented on the RO4003. Shown in Fig. 4 is the layout of the proposed fourth-order Butterworth microstrip coupled-resonator BPF composed of three dissimilar SIRs using the Butterworth filter to demonstrate the concept of multiple spurious suppression. All diameters of via-holes have the same size of 0.508 mm. On designing a filter for multiple spurious suppression, the first step is to widely separate the first spurious frequencies of resonators 2 and 3. Thus, the values of and for these two resonators may then be determined from Fig. 2 such that their first spurious frequencies may be located at the appropriate frequency points. Having decided the distribution of first spurious frequencies for interstage resonators, one can then go on to locate the spurious frequencies of the other two resonators. In this proposed filter, three dissimilar resonators are adopted, and resonators 1 and 4 are kept identical for symmetrical input/output tappings. The values of and for resonator 2 are chosen as 0.696 and 0.532, respectively, and this brings the first three higher order resonances located at 7.25, 12.75, and 18.9 GHz ( , , and ), respectively. The values of and for resonator 3 are 0.3 and 0.62 and this makes the first three higher order resonances located at 9.4, 15.05, and 19.4 GHz ( , , and ), respectively. In order to make the spurious frequencies of resonator 1 different from those of resonators 2 and 3, here the UIR is used for resonators 1 and 4, i.e., and , and the spurious frequencies of these two resonators are roughly at odd multiples of fundamental frequency. The related dimensions and parameters are tabulated in Table II.

Shown in Fig. 5(c) is the distribution of spurious frequencies of each resonator up to 20 GHz . Note that the distribution of spurious frequencies for each resonator has been carefully arranged. The design parameters of this proposed fourth-order filter are determined for the Butterworth response with center frequency at 2 GHz and 3-dB FBW of 10.2%. The external quality factor and coupling matrix are given as follows:

(3) . Fig. 5(a) and (b) shows the measured and simulated frequency responses of the proposed filter in Fig. 4. The measured center frequency is at 2.01 GHz, the measured FBW is approximately 12.64%, the minimum insertion loss is 2.48 dB at 2.01 GHz, and the return loss at 2.58 GHz is 13.7 dB. The fabricated filter is compact and its size is only approximately (18.82 mm 11.84 mm), where is the guided wavelength at the center frequency. Fig. 5(b) shows the wide-band frequency responses of the filter in Fig. 4 ranging from 0.5 to 20 GHz. Note that this proposed filter has significantly pushed the stopband up to 16.478 GHz with a rejection level around 30 dB. From Fig. 5(c), it is observed that some spurious resonances are nearly coincident with each other at some specific frequencies, e.g., the spurious frequencies of resonators 1 and 3 around 9.5 GHz and the spurious frequencies of resonators 1 and 2 around 13 GHz. However, the spurious frequencies of resonators 2 and 3 have been separated wide enough to block these spurious harmonics around 9.5 and 13 GHz so that the filter still shows good rejection level around these frequency points. Even though the harmonic frequencies of each resonator have been properly distributed, the rejection response becomes poor around the fourth harmonic frequency 16.8 GHz of resonator 1. To explain this poor rejection at , the amplitude distribution of current density over each resonator is plotted in Fig. 6(a). Though the higher order harmonic frequencies of resonators 2 and 3 are separated from the fourth harmonic of resonator 1 (16.8 GHz), the maximum current nodes over the adjacent resonators, at 16.8 GHz, are well aligned, thereby weakening the ability of spurious blocking. More precisely, the coupled signal between resonators 2 and 3 is not negligible and degrades the rejection level at that frequency. The overall current distribution over the proposed filter (Fig. 4) is also depicted

LIN et al.: WIDE-STOPBAND MICROSTRIP BPFs USING DISSIMILAR QUARTER-WAVELENGTH SIRs

1015

Fig. 6. (a) Simulated current distribution over each resonator of the proposed filter in Fig. 4 excited isolatedly at 16.8 GHz. (b) Overall simulated current distribution over the proposed filter in Fig. 4 at 16.8 GHz. (The lighter the color, the stronger the current density, and vice versa.)

Fig. 7. Layout of the proposed microstrip coupled-resonator BPF using four dissimilar =4 SIRs.

V. COUPLED-RESONATOR FILTER USING FOUR DISSIMILAR RESONATORS Fig. 5. (a) Narrow- and (b) wide-band measured and simulated responses of the filter in Fig. 4. (c) The fundamental and spurious frequencies of each resonator for the proposed filter in Fig. 4.

in Fig. 6(b), which shows that considerable coupling from resonators 1 to 2 to 3 and then to 4 is observed and gives a rejection of only 20 dB around the frequency of 16.8 GHz. Note that the only information from the distribution of spurious frequencies [e.g., Fig. 5(c)] is not sufficient to predict the frequency response of a filter. It is the combined information both from the distribution of spurious frequencies and the amplitude distribution of current density that may better predict the overall frequency response of a filter. For the sake of preventing current node alignment between adjacent resonators, each resonator should be carefully designed to possess appropriate structure parameters so that the current nodes become misaligned.

Though the stopband of the previous filter is significantly pushed up to , the spurious passband again appears at . With the flexibility in choosing the four resonators, an intuitive method to remove this unwanted passband is the use of four dissimilar resonators. By means of making resonators 1 and 4 different, one can prevent these two resonators from resonating at the same spurious frequency of the same order and move these nearby spurious frequencies to some points at which the coupling between resonators 2 and 3 becomes less significant. Most important of all, resonator 3 should be appropriately deformed to avoid its current nodes align with those of resonators 2 and/or 4 so that the spurious-blocking mechanism may be enhanced. Fig. 7 shows the layout of another proposed filter using four different types of SIRs and still fabricated on RO4003. This filter is designed for Butterworth response with the center frequency at 2 GHz and 3-dB FBW of 7%. It is composed of four dissimilar resonators with same fundamental frequency, but

1016

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE III PHYSICAL DIMENSIONS AND PARAMETERS FOR EACH RESONATOR IN FIG. 7

with appropriately separated spurious frequencies. Table III lists the related dimensions and parameters of the filter in Fig. 7. The measured and simulated responses of the filter in Fig. 7 are shown in Fig. 8(a) and (b). The measured center frequency is at 2.044 GHz, the minimum insertion loss is 2.6 dB at center frequency, the measured 3-dB FBW is approximately 7.6%, and the return loss at center frequency is 21 dB. The filter is compact and has a size (18.7 mm 11.87 mm). The fundamental and spurious frequencies of each resonator for the proposed filter in Fig. 7 are depicted in Fig. 8(c). Though the higher order resonances of resonators 1 and 2 are located almost at the same frequencies, the signals associated with these resonances may effectively be suppressed by the spurious-blocking mechanism provided by resonators 2 and 3. In this proposed arrangement, resonator 3 plays the primary role in blocking the spurious harmonics. With the impedance and length ratios properly chosen, resonator 3 has its first and second higher order spurious frequencies distributed greatly different from those of the other three resonators. Thus, even around the frequency of 12 GHz, where three higher order resonances of resonators 1, 2, and 4 nearly overlap, the filter still shows an acceptable rejection level of 30 dB around that frequency. Another region that might result in a problem is the frequency band around 16.45 GHz over which the harmonic frequencies of resonators 1 and 4 are nearly equal. Observing the current distribution of each resonator at 16.45 GHz shown in Fig. 9(a), the maximum current nodes of resonator 3 are misaligned with those of resonators 2 and 4. It is this misalignment of maximum current nodes that effectively improves the rejection to a level of 30 dB, as depicted in Fig. 8(b). The overall filter’s current distribution is also shown in Fig. 9(b). Notably, the signal is blocked between resonators 2 and 3 due to the misalignment of corresponding current distribution so that the rejection around 16.45 GHz gets improved. Shown in Fig. 8(b) are the wide-band frequency responses ranging from 0.01 to 24 GHz. Remarkably, the spurious passband around has been pull down to an acceptable rejection level, thereby pushing the stopband even up to 22.8 GHz with a rejection level better than 27.5 dB. For comparison, the frequency response of the microstrip interdigital filter, using all identical UIRs and realized on the same substrate with the same specification, is also included in Fig. 8(b). Compared with this conventional interdigital filter, the proposed filter using four dissimilar SIRs has effectively suppressed the spurious responses occurring at , , , , and , which would be associated with the interdigital filter composed of four identical SIRs.

Fig. 8. (a) Narrow- and (b) wide-band measured and simulated frequency responses of the proposed filter in Fig. 7. The “UIR Sim” curve depicts the simulated response of conventional interdigital filter. (c) The fundamental and spurious frequencies of each resonator for the proposed filter in Fig. 7.

Some guidelines for realizing a wide-stopband filter are worthy of mention. In this study, two methods have been proposed to facilitate the design procedure. The first one is to properly distribute the harmonic frequencies of each resonator and, more importantly, to widely separate the harmonic frequencies of the interstage resonators (resonators 2 and 3). Note that the method of separating the resonator’s harmonic frequencies provides an initial design of a filter whose rejection may be not wide and its level may be not acceptable (such as the type (D) filter shown in Table I). To realize a satisfactory wide-stopband filter, the second method, which misaligns the maximum current nodes of adjacent resonators, should be

LIN et al.: WIDE-STOPBAND MICROSTRIP BPFs USING DISSIMILAR QUARTER-WAVELENGTH SIRs

Fig. 9. (a) Simulated current distribution over each resonator of the proposed filter in Fig. 7 excited isolatedly at 16.45 GHz. (b) Overall simulated current distribution over the proposed filter in Fig. 7 at 16.45 GHz.

incorporated with the harmonic frequencies separation method in the design phase so that a very wide-stopband filter (Fig. 7) with an acceptable rejection level may be realized. VI. CONCLUSION Wide-stopband microstrip BPFs have been proposed using different types of SIRs to multiply suppress the spurious harmonic resonances. The use of the SIR, which possesses a wider span between adjacent spurious resonance frequencies, is essential in extending the rejection bandwidth and also reducing the filter size. By properly distributing the spurious frequencies of each resonator and also misaligning the maximum current nodes , a very wide-stopband fourth-order microstrip BPF composed of four dissimilar SIRs has been implemented to suppress all of the spurious harmonics under , thereby pushing the stopband even up to with a rejection level better than 27.5 dB. These wide-stopband filters are useful in a modern communication system for suppressing the undesired interference in the upper stopband. REFERENCES [1] S. B. Cohn, “Parallel-coupled transmission-line-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 223–231, Apr. 1958. [2] J.-S. Hong and M. J. Lancaster, “Cross-coupled microstrip hairpin-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 1, pp. 118–122, Jan. 1998. [3] J.-S. Hong and M. J. Lancaster, “Couplings of microstrip square openloop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 11, pp. 2099–2109, Nov. 1996. [4] J. S. Wong, “Microstrip tapped-line filter design,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 1, pp. 44–50, Jan. 1979. [5] R. J. Wenzel, “Synthesis of combline and capacitively loaded interdigital bandpass filters of arbitrary bandwidth,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 7, pp. 678–786, Jul. 1971. [6] G. L. Matthaei, “Interdigital bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-10, no. 7, pp. 479–491, Jul. 1962.

1017

[7] C.-C. Chen, Y.-R. Chen, and C.-Y. Chang, “Miniaturized microstrip cross-coupled filters using quarter-wave or quasi-quarter-wave resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 120–131, Jan. 2003. [8] C.-Y. Chang and C.-C. Chen, “A novel coupling structure suitable for cross-coupled filters with folded quarter-wave resonators,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 517–519, Dec. 2003. [9] K. F. Chang and K. W. Tam, “Miniaturized cross-coupled filter with second and third spurious responses suppression,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 122–124, Feb. 2005. [10] M. Sagawa, M. Makimoto, and S. Yamashita, “Geometrical structures and fundamental characteristics of microwave stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1078–1085, Jul. 1997. [11] S.-Y. Lee and C.-M. Tsai, “New cross-coupled filter design using improved hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2482–2490, Dec. 2000. [12] S.-C. Lin, Y.-S. Lin, and C. H. Chen, “Compact microstrip bandpass filters with quarter-wavelength stepped-impedance resonators,” in Proc. 35th Eur. Microw. Conf., 2005, pp. 931–934. [13] T. Lopetegi, M. A. G. Laso, J. Hernández, M. Bacaicoa, D. Benito, M. J. Garde, M. Sorolla, and M. Guglielmi, “New microstrip ‘wiggly-line’ filters with spurious passband suppression,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1593–1598, Sep. 2001. [14] T. Lopetegi, M. A. G. Laso, F. Falcone, F. Martin, J. Bonache, J. Garcia, L. Perev-Cuevas, M. Sorolla, and M. Guglielmi, “Microstrip ‘wigglyline’ bandpass filters with multispurious rejection,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 531–533, Nov. 2004. [15] M. C. V. Ahumada, J. Martel, and F. Medina, “Parallel coupled microstrip filters with ground-plane aperture for spurious band suppression and enhanced coupling,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1082–1086, Mar. 2004. [16] J.-T. Kuo, M. Jiang, and H.-J. Chang, “Design of parallel-coupled microstrip filters with suppression of spurious resonances using substrate suspension,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 83–89, Jan. 2004. [17] S.-M. Wang, C. H. Chi, M. Y. Hsieh, and C.-Y. Chang, “Miniaturized spurious passband suppression microstrip filter using meandered parallel coupled lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 747–753, Feb. 2005. [18] J.-T. Kuo, S.-P. Chen, and M. Jiang, “Parallel-coupled microstrip filters with over-coupled end stages for suppression of spurious responses,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 10, pp. 440–442, Oct. 2003. [19] F.-R. Yang, K.-P. Ma, Y. Qian, and T. Itoh, “A uniplanar compact photonic-bandgap (UC-PBG) structure and its applications for microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1509–1514, Aug. 1999. [20] C.-Y. Chang and T. Itoh, “A modified parallel-coupled filter structure that improves the upper stopband rejection and response symmetry,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 310–314, Feb. 1991. [21] J. T. Kuo and E. Shih, “Microstrip stepped impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [22] M. Sagawa, M. Makimoto, and S. Yamashita, “A design method of bandpass filters using dielectric-filled coaxial resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 2, pp. 152–157, Feb. 1985. [23] P.-H. Deng, S.-C. Lin, Y.-S. Lin, C.-H. Wang, and C. H. Chen, “Microstrip bandpass filters with dissimilar resonators for suppression of spurious responses,” in Proc. 35th Eur. Microw. Conf., 2005, pp. 1263–1266. [24] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications, 1st ed. New York: Wiley, 2001.

Shih-Cheng Lin was born in Taitung, Taiwan, R.O.C., in 1981. He received the B.S. degree in electrical engineering from National Sun Yet-Sen University, Kaohsiung, Taiwan, R.O.C., in 2003, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include the design and analysis of microwave filter circuits.

1018

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Pu-Hua Deng was born in Kaohsiung, Taiwan, R.O.C., in 1978. He received the B.S. degree in electrical engineering from National Sun Yet-Sen University, Kaohsiung, Taiwan, R.O.C., in 2002, the M.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 2004, and is currently working toward the Ph.D. degree at National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include the design and analysis of microwave filter circuits.

Chi-Hsueh Wang was born in Kaohsiung, Taiwan, R.O.C., in 1976. He received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 1997, and the Ph.D. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 2003. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include the design and analysis of microwave and millimeter-wave circuits and computational electromagnetics.

Yo-Shen Lin (M’04) was born in Taipei, Taiwan, R.O.C., in 1973. He received the B.S. and M.S.E.E. degrees in electrical engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1996, 1998, and 2003, respectively. From 1998 to 2001, he was an RF Engineer with the Acer Communication and Multimedia Inc., Taipei, Taiwan, R.O.C., where he designed global system for mobile communication (GSM) mobile phones. From 2001 to 2003, he was with the Chi-Mei Communication System Inc., Taipei, Taiwan, R.O.C., where he was involved with the design of low-temperature co-fired ceramic (LTCC) RF transceiver modules for GSM mobile applications. In August 2003, he joined the Graduate Institute of Communication Engineering, National Taiwan University, as a Post-Doctoral Research Fellow, and became an Assistant Professor in August 2004. Since August 2005, he has been with the Department of Electrical Engineering, National Central University, Chungli, Taiwan, R.O.C., where he is currently an Assistant Professor. His research interests include the design and analysis of miniature planar microwave circuits and RF transceiver module for wireless communication systems. Dr. Lin was the recipient of the Best Paper Award presented at the 2001 Asia–Pacific Microwave Conference (APMC), Taipei, Taiwan, R.O.C., and the 2005 Young Scientist Award presented at the URSI General Assembly, New Delhi, India.

Chun Hsiung Chen (SM’88–F’96) was born in Taipei, Taiwan, R.O.C., on March 7, 1937. He received the B.S.E.E. and Ph.D. degrees in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1960 and 1972, respectively, and the M.S.E.E. degree from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1962. In 1963, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From August 1982 to July 1985, he was Chairman of the Department of Electrical Engineering, National Taiwan University. From August 1992 to July 1996, he was the Director of the University Computer Center, National Taiwan University. In 1974, he was a Visiting Scholar with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley. From August 1986 to July 1987, he was a Visiting Professor with the Department of Electrical Engineering, University of Houston, TX. In 1989, 1990, and 1994, he visited the Microwave Department, Technical University of Munich, Munich, Germany, the Laboratoire d’Optique Electromagnetique, Faculte des Sciences et Techniques de Saint-Jerome, Universite d’Aix-Marseille III, Marseille, France, and the Department of Electrical Engineering, Michigan State University, East Lansing, respectively. His areas of interest include microwave circuit analysis and computational electromagnetics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1019

Sub-Nanosecond Pulse-Forming Network on SiGe BiCMOS for UWB Communications Adrian Eng-Choon Tan, Michael Yan-Wah Chia, Member, IEEE, and Siew-Weng Leong, Member, IEEE

Abstract—A pulse-forming network (PFN) has been developed for ultra-wideband (UWB) communication systems. This PFN generates sub-nanosecond pulses at a pulse repetition frequency (PRF) of 500 MHz for high data-rate applications like wireless video streaming. To generate the pulses, the PFN performs two operations on an input data signal: it decreases the signal rise time, then it differentiates the signal. The differentiation circuit is a frequency-dependent negative-feedback system. The PFN is fabricated in SiGe BiCMOS with an active die size of less than 0.25 mm2 , and it requires 3.3-V 20-mA dc during operation. Measured performance is validated at 500-MHz PRF, achieving pulsewidth of 175 ps and pulse amplitude of 0.17 V. The PFN is also validated within a transmitter system that transmits Manchester coded pseudorandom bit sequence. Measured effective isotropic radiated power of the transmitter shows that the PFN output power is sufficient to meet the Federal Communications Commission’s emission limits, thus eliminating the need of amplification before transmission. Index Terms—Analog integrated circuits (ICs), differentiating circuit, pulse generation, transmitter, ultra-wideband (UWB) communications.

I. INTRODUCTION

T

HIS PAPER proposes a pulse-forming network (PFN) to generate electrical pulses in the sub-nanosecond regime for ultra-wideband (UWB) wireless communications. The PFN can generate pulses at a pulse repetition frequency (PRF) of 500 MHz at power levels comparable to Federal Communications Commission (FCC) emission limit while only consuming a dc supply of 3.3 V and 20 mA. It is designed in SiGe BiCMOS for the ease of circuit integration. It is suitable for short-range high data-rate transmitters like wireless video streaming and wireless personal area networks (WPANs). The PFN can be used in a UWB communication system in two ways (Fig. 1): to generate data-modulated pulses in the transmitter and to generate a reference correlating signal in the receiver. It is important that the PFN consumes low power and outputs pulses of sufficient amplitudes so that it does not need a broad-band amplifier to amplify the pulses before transmission. To meet the FCC’s emission mask [1], the PFN requires a bandpass filter to pulse shape its output pulse. A patent has been filed for this method of generating UWB pulses [2]. There are many pulse-forming methods in the literature [3]–[8] for UWB radars. However, they are unsuitable for high

Manuscript received May 16, 2005; revised December 8, 2005. The authors are with the Institute for Infocomm Research, National University of Singapore, Singapore 117674 (e-mail: [email protected]. edu.sg; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.869723

Fig. 1. Pulsed UWB communication transceiver uses PFN both at the transmitter and receiver.

data-rate UWB communications because of different requirements. In UWB radars, pulses are generated at medium-to-high power levels, i.e., watts to kilowatts, and at relatively low PRF, i.e., a few kilohertz to tens of megahertz [9]. In UWB communications [8], [13], pulses are generated at lower power levels and at a PRF that is in the order of several hundred megahertz to gigahertz for high data-rate transmission in hundreds of megabytes per second to gigabytes per second. Furthermore, a UWB communication system needs to be implemented in silicon integrated circuits (ICs) to be economical in volume production. Pulse-forming methods for UWB radars are not amenable to be implemented in silicon ICs. A method for generating pulses for high data-rate UWB communication is found in [10]. In this method, a differential clock signal is used as the source signal. One of the differential signal pairs is fed into more delay buffers than the other, creating two single-ended clock signals of different delays. The two singleended signals are then combined using an exclusive OR gate to form a sub-nanosecond pulse. This paper is organized as follows. Section II presents the theory and schematic design of the circuit. Section III discusses the circuit implementation and measurement. Section IV reports the measured results. Section V presents a conclusion. II. SCHEMATIC DESIGN A. Transmitter System Requirements of PFN To determine the system specifications of the PFN, we need to look into a transceiver system that incorporates the said PFN to transmit data at 500-MHz PRF (Fig. 2). A possible high datarate application is UWB video streaming from setup box to high-definition television (HDTV) and speakers for home entertainment system. In this scenario, if we considered some overheads, a PRF of 1 GHz may be needed. A possible transmitter design for the above application is shown in Fig. 2. It codes the data with Manchester coding, generates pulses from the digital signal, shapes the pulses to meet

0018-9480/$20.00 © 2006 IEEE

1020

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 2. UWB communication transmitter using the PFN.

Fig. 4. Plot of output positive step rise time (o) and output negative slope rise-time ( ) for a given input step rise time.

2

Fig. 3. Schematics of clock conditioner circuit.

FCC requirements of 41.25 dBm/MHz, and then transmits the signal with a directional antenna.1 A directional antenna is suitable for wireless data transmission from the setup box to the HDTV. Multiple directional antennas have also been used for transmitter diversity to enhance wireless performance. It is assumed that antenna gain of 7 dBi is used for directional coverage in video-streaming applications. If that is the case, and the conductive losses (connections, filter, and tracks) are 3 dB between the PFN’s output and antenna input, the output power spectral density of the PFN needs to be 44.25 dBm/MHz from 3.1 to 10.5 GHz. By varying pulse amplitudes and measuring the power spectral density, it is determined that the pulse amplitude should be 0.15 V to achieve 44 dBm/MHz for a subnanosecond pulse at a PRF of 500 MHz. The PFN output also contain spectral components at frequencies below 3.1 GHz, which are undesirable for transmission. This can be filtered out with a pulse-shaping filter. B. To Condition the Input Signal A limitation of performing differentiation on an input digital signal is the difficulty to ensure output consistency. This is because the input signal may have varying rise and fall times, and may contain distortions. As the rise time of the input signal increases, the output pulsewidth increases and the pulse amplitude decreases exponentially. To ensure output, pulse shape can consistently provide sufficiently short rise times ( 100 ps) and high frequency [11], a fast switching circuit (Fig. 3) (two-stage bipolar junction transistor (BJT) differential pair) is placed before the differentiating 1The DV9100 UWB Development Kit, Wisair Multi Band OFDM Development Platform, Wisair, Israel, 2004.

Fig. 5.

Negative feedback is used to realize the differentiator block.

circuit to “condition” the input signal. The operation of a differential pair is known [12]. Using two stages of a differential pair acting as a current-steering switch [12] ensures that the input clock signal is switched at the highest speed of the transistors, as long as the transistors are not in saturation during operation. This improves the rise time of the clock signal and, thus, improving the output pulse shape consistency. To reduce input offset currents and voltages, precautions are taken in the circuit layout to ensure that the important components are matched to each other. For example, the resistors are clustered together in parallel with dummy resistors placed at and transistors are placed in close proximity both sides; with dummies placed at the sides. The same applies to the cur, , and ). rent biasing transistors ( Transient simulations are done to evaluate the rise-time improvement of the circuit shown in Fig. 3. In the simulation, the rise times of input and output signals are compared (Fig. 4). An 80-ps rise-time step signal produces a 30-ps rise-time step. If the input rise time is slowed down by 700 ps, the output rise time only slows down by 53 ps. Fig. 4 shows that the two-stage differential pair is able to reduce the input step rise time of 800 ps to less than 100 ps, and reduce rise-time variation to 7.57%. The improvements are the same for positive and negative steps. C. To Differentiate the Clock Signal Designing a time-domain differentiation circuit is equivalent transfer function in the frequency domain. to designing a One way to realize this in an active circuit is to use a frequencydependent negative feedback circuit (Fig. 5) [2]. This transfer

TAN et al.: SUB-NANOSECOND PFN ON SiGe BiCMOS FOR UWB COMMUNICATIONS

Fig. 8. Frequency response of the small-signal equivalent circuit (theoretical) and schematics (simulated) shows linear gain at 1–10-GHz frequencies.

Fig. 6. Proposed differentiator circuit.

Fig. 7.

1021

Small-signal analysis of differentiator circuit.

function, as follows in (1), approximates a differentiating function within the frequency range:

(1)

To realize the blocks shown in Fig. 5, the circuit in Fig. 6 is used. Fig. 7 is a small-signal equivalent circuit of a portion of the circuit in Fig. 6. Correspondingly, and in Fig. 7 are the transistor parameters equivalent to the transistors and in Fig. 6, in Fig. 7 is the equivalent collector–emitter resistance of the transistors and in Fig. 6, in Fig. 7 is equivalent to the capacitance introduced at the emitter of transistors and in Fig. 6, and and in Fig. 7 are the equivalent impedances of the input bias circuit and output impedances around transistors and in Fig. 6. The differentiator circuit output is connected to an external 50- load via an electrically long transmission line (Fig. 6: dashed line; Fig. 7: transmission line). The relationship between and of Fig. 8 are shown in (2) as follows:

(2)

Fig. 6 is a current–voltage (series–series) negative feedback system with current feedback , feedback circuit of shunt

Fig. 9. AC simulation of the feedback voltage  shows that the gain variation of  is less than 1 dB at 10 GHz (trace 1), and phase variation of  is less than 50 (trace 2).

and , and voltage being fed back to input. Fig. 8 compares the ac simulation of the differentiator circuit compared with (2). As seen in Fig. 8, the circuit function acts as a differentiator in the frequency range from 1 to 10 GHz. At frequencies above and begin to attenuate 10 GHz, the poles of transistors the output. Negative feedback systems are potentially unstable because additional poles in the forward block (Fig. 5, A) will contribute to additional phase shift to the R–C regime at (Fig. 7) or (Fig. 5). The additional poles can potentially cause the circuit to oscillate. To check the stability of the circuit, ac simulation is done on the circuit to observe the response of (Fig. 7). Simulation result in Fig. 9 shows that the gain variation is 1 dB at 10 GHz and phase variation is 50 at 10 GHz, showing that the system is stable. III. CIRCUIT IMPLEMENTATION AND MEASUREMENTS The PFN is fabricated with 0.25- m SiGe BICMOS process, and is packaged in an ASAT Quad Flat Pack. The combined area of the clock conditioner circuit and differentiator circuit is 0.25 mm (Fig. 10).

1022

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 10.

Layout of fabricated circuit.

Fig. 11.

Schematics of test setup for pulse-shape measurement.

Fig. 10 shows the circuit’s excellent horizontal symmetry. Two copper lines (extreme left) are the differential input signal lines. It is fed to the clock conditioner circuit, then to the differentiation circuit. The differentiation circuit output is connected out via the two copper lines (extreme right). A printed circuit board (PCB) is fabricated to interface the chip for measurement. It has a dc 3.3-V 20-mA power supply, and 50- microstrip lines connect the chip to differential input and output ports (Fig. 11). Three measurements are performed to evaluate the circuit. Firstly, the circuit’s output waveform and spectrum is measured (Fig. 11). This is compared with theoretical and simulated result to evaluate the circuit performance. Secondly, the circuit’s output is filtered with a 2.4–6.3-GHz (10-dB bandwidth) bandpass filter, and the output waveform and spectrum is measured. Thirdly, the transmitter’s effective isotropic radiated power (EIRP) is measured based on the FCC’s requirements [1] (Fig. 12). IV. RESULTS A. Pulse Shape The output pulse shape is measured and compared with simulation and theory (Fig. 13). To measure the differential signal, both ports are recorded simultaneously in a 20-GHz bandwidth sampling oscilloscope. The measured signal is the subtraction of signal of one of the ports with another. It is observed that the measured pulsewidth (175 ps) is longer than the simulated pulsewidth (150 ps), and the measured pulse amplitude (0.17 V) is higher than the simulated pulse amplitude (0.13 V). Overall, the theory, simulated and measured result coincides reasonably. In another measurement, the input signal is low-pass filtered, increasing the rise and fall times from 40 to 300 ps. The output

Fig. 12.

Transmitter measurement setup.

Fig. 13. Comparison between theoretical, simulated, and measured pulse shape of the PFN for an input data signal of 40-ps rise time.

pulse amplitude decreases from 0.17 to 0.15 V, while the output pulsewidth lengthens from 175 to 180 ps (Fig. 14). This measurement illustrates the point that the PFN is less susceptible to rise-time variations of the input signal. In the measurement based on Fig. 12, the hybrid coupler’s output is shown in Fig. 15 (trace 1), the bandpass filter’s output is shown in Fig. 15 (trace 2), and the signal at receiver is shown in Fig. 15 (trace 3). The coupler attenuates the peak amplitude of the pulse by 6 dB, the signal is then pulse shaped by the filter, further lengthening the pulse and attenuating the pulse amplitude. This is then transmitted through a TEM horn antenna ( 7 dBi at 5 GHz), amplified by a 26-dB gain amplifier, and received by an oscilloscope. B. Power Spectral Density The power spectral density of the coupler output (Fig. 14, Trace 1) is shown in Fig. 16(a), the bandpass filter output (Fig. 14, Trace 2) is shown in Fig. 16(b), and the transmitter EIRP is shown in Fig. 16(c).

TAN et al.: SUB-NANOSECOND PFN ON SiGe BiCMOS FOR UWB COMMUNICATIONS

1023

(a)

Fig. 14. PFN output when the input signal is a highly distorted 500-MHz clock signal with 300-ps rise time.

(b)

Fig. 15.

Time-domain signals of the transmitter system.

Fig. 16(c) is also the transmitter system performance of a clock input signal. To simulate the transmitter transmitting data signals, we generated a Manchester coded pseudorandom bit sequence to input into the transmitter, and then measured the EIRP. The result is presented in Fig. 17, where we show both the EIRP of the clock and data inputs and compare it with the FCC EIRP mask. As shown in this figure, the EIRP of the transmitter transmitting clock signal slightly exceeds the FCC EIRP limit, while that of the data signal is less. The spectral content decreases at 6 GHz onwards primarily because the bandpass filter filters out higher frequency components. The low-power high-frequency components of the pulses generated by the PFN (e.g., 45 dBm/MHz at 6 GHz, 57 dBm/MHz at 9 GHz, etc.) also limit the spectral contents at these frequencies.

(c) Fig. 16. (a) Measured spectral content of PFN output shows sufficient spectral power for UWB signal from 3.1 to 10.6 GHz. (b) Measured spectral content of 3–6-GHz bandpass filtered output of PFN. (c) Measured spectral content of transmitter system EIRP.

Fig. 13 shows that the amplitude of the PFN output (0.17 V) meets the system specification set in Section II-A, which requires the pulse to be at least 0.15 V). Fig. 14 shows the robustness of the PFN should the input signal be a slow and distorted clock signal. Fig. 15 shows that the time-domain signal of the transmitter using the proposed PFN can be visually identified at

1024

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

[10] J. W. McCorkle and J. E. Thompson, “System and method for generating ultra wide-band pulses,” PCT Patent WO 02/31 986A2, Apr. , 2002. [11] W. Y. Yeap, “Ultra Wide-band signal generation,” Microwave J., Sep. 2005. [12] A. S. Sedra and K. C. Smith, Microelectronic Circuits, 4th ed. Oxford, U.K.: Oxford Univ. Press, 1998. [13] A. Batra, J. Balakrishnan, G. R. Aiello, J. R. Forrester, and A. Dabak, “Design of a multiband OFDM system for realistic UWB channel environments,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2123–2138, Sep. 2004.

Fig. 17. Comparison between transmitter system specification (FCC emission limit) and measured EIRP for clock signal and Manchester coded PRBS.

a receiver placed 3 m away. Fig. 16(a) shows that the spectral content of the PFN has sufficient power to cover the frequency range up to 10 GHz. Fig. 17 shows that, after bandpass filtering, one can pulse shape the PFN output to transmit at the frequency spectrum that are FCC complaint. It also gives an indication of the change in spectral content of the signal if we transmit the pseudorandom bit sequence (PRBS) instead. V. CONCLUSION A PFN for high data-rate UWB communications has been developed. The design occupied 0.25 mm of an IC chip, consumed 3.3-V 20-mA power supply, and has output power that is sufficient to be transmitted without an additional broad-band amplifier at the front-end. Further improvements can be done to extend the pulse-shaping capability of the circuit, to increase the output power of the PFN, and also to use this circuit as the front-end of a single chip solution for UWB communication systems. REFERENCES [1] “First report and order regarding the revision of part 15 of the Commission’s rules regarding ultra-wideband transmission systems,” FCC, Washington, DC, FCC ET Docket 98-153, Apr. 2002. [2] A. E. C. Tan and M. Y. W. Chia, “Method of generating UWB pulses,” PCT, Singapore, PCT Pub. WO 2005/067 160 A1, July 2005. [3] T. E. McEwan and G. E. Dallum, “Soliton quenching NLTL impulse circuit with a pulse forming network at the output,” U.S. Patent 5 804 921, Sep. 8, 1998. [4] J. A. Andrews, “Picosecond pulse generators for UWB radars,” Picosecond Pulse Labs., Boulder, CO, Applicat. Note AN-9, May 2000. [5] J. S. Lee, N. Nguyen, and T. Scullion, “New unipolar subnanosecond monocycle pulse generator and transformer for time-domain microwave applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1126–1129, Jun. 2001. [6] J. Han and C. Nguyen, “A new ultra-wideband, ultra-short monocycle pulse generator with reduced ringing,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 6, pp. 206–208, Jun. 2002. [7] E. K. Miller, Ed., Time-Domain Measurements in Electromagnetics. New York: Van Nostrand, 1986, ch. 4. [8] R. J. Fontana, “Recent system applications of short-pulse ultra-wideband (UWB) technology,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2087–2104, Sep. 2004. [9] J. D. Taylor, Ed., Introduction to Ultra-Wideband Radar System. Boca Raton, FL: CRC, 1995, ch. 4.

Adrian Eng-Choon Tan was born in Penang, Malaysia, in 1977. He received the B.Eng. degree in electrical engineering from the National University of Singapore (NUS), in 2002, and is currently working toward the Ph.D. degree at NUS. In 2002, he was a Research Engineer with the Institute for Infocomm Research (I R), Singapore. His main research areas are microwave circuits and UWB transceiver systems. Mr. Tan was the recipient of an A-STAR Graduate Scholarship (AGS).

Michael Yan-Wah Chia (M’94) was born in Singapore. He has received the B.Sc.(first-class honors) and Ph.D. degree from Loughborough University, Loughborough, U.K., in 1994. In 1994, he joined the Center for Wireless Communications (CWC), Singapore, initially as a Member of Technical Staff (MTS), then a Senior MTS, Principal MTS, and finally Senior Principal MTS. He is currently a Principal Scientist and Division Director of the Communications and Devices Division, Institute for Infocomm Research (I R), Agency for Science, Technology And Research (A-STAR), Singapore. He is concurrently an Adjunct Associate Professor with the National University of Singapore (NUS), Singapore, and the Nanyang Technological University (NTU), Singapore. In 1999, he began fundamental work on UWB research with I R, and his team has achieved the highest speed UWB wireless transmission at 500 Mb/s in April 2003 and 1 Gb/s in June 2004. In 2002, he was the Principle Investigator (P.I.) who leads the development of a direct conversion transceiver design for wireless local-area networks (WLANs) in collaboration with IBM. Since April 2004, his team has also been invited into the IBM Business Partner Program for UWB–multiband OFDM alliance (MBOA) silicon design. He has authored or coauthored over 100 publications in international journals and conferences He holds ten patents, some of which has been commercialized. His main research interests are UWB, beam steering, wireless broad-band, RF identification (RFID), antennas, transceivers, radio over fiber, RF integrated circuits (RFICs), amplifier linearization, and communication and radar system architecture. Dr. Chia has been a member of the Telecommunications Committee (Singapore), Radio Standards Committee (Singapore), and Technical Advisory Member of Rhode & Schwartz Communications and Measurements (Asia). He has also been a member of Technical Program Committees, Organizing Committees, and the program co-chair of various international conferences. He was also invited to be a keynote speaker at the International Conference of UWB (ICU 2005). He was the recipient of the Overseas Research Student (ORS) Awards and British Aerospace Studentship.

Siew-Weng Leong (M’96) received the Diploma degree in electronic and communication engineering from Singapore Polytechnic, Singapore, in 1987, and the B.Eng. degree in electrical engineering and M.Sc. degree in electrical engineering from the National University of Singapore, Singapore, in 1994 and 2001, respectively. He is currently with the Institute for Infocomm Research (I R), Singapore. His research interests include RF transceiver architecture, RF identification (RFID), and UWB sensing for medical applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1025

A Direct Discrete Complex Image Method From the Closed-Form Green’s Functions in Multilayered Media Mengtao Yuan, Student Member, IEEE, Tapan K. Sarkar, Fellow, IEEE, and Magdalena Salazar-Palma, Senior Member, IEEE

Abstract—Sommerfeld integration is introduced to calculate the spatial-domain Green’s functions (GF) for the method of moments in multilayered media. To avoid time-consuming numerical integration, the discrete complex image method (DCIM) was introduced by approximating the spectral-domain GF by a sum of exponentials. However, traditional DCIM is not accurate in the far- and/or near-field region. Quasi-static and surface-wave terms need to be extracted before the approximation and it is complicated to extract the surface-wave terms. In this paper, some features of the matrix pencil method (MPM) are clarified. A new direct DCIM without any quasi-static and surface-wave extraction is introduced. Instead of avoiding large variations of the spectral kernel, we introduce a novel path to include more variation before we apply the MPM. The spatial-domain GF obtained by the new DCIM is accurate both in the near- and far-field regions. The CPU time used to perform the new DCIM is less than 1 s for computing the fields with a horizontal source-field separation 10 4 to 16 . The new DCIM can be even accurate from 1.6 up to 160 provided the variation of the spectral kernel is large enough and we have accounted for a sufficient number of complex images. Index Terms—Discrete complex image method (DCIM), matrix pencil method, method of moments (MoM), multilayered media, Sommerfeld integration (SI).

I. INTRODUCTION

C

OMPUTATIONAL electromagnetics (CEM) has evolved rapidly since the 1960s with the increase of the computational power of computers. Among the various CEM methods, the method of moments (MoM) [1] is extensively studied. The MoM is a variational method sometimes based on integral equations. It requires segmentation of the structure only on the surface and no absorbing boundary condition (ABS) is necessary. Hence, it is more robust and concise and is preferred to be used for most open structures radiating in free space. The Green’s function (GF) from the source element to the field element is required to evaluate the impedance matrix. However, for structures located in multilayered media, such as the real ground plane of the earth and the stratified structure of printed or integrated circuits, the GFs used in the MoM are different and much Manuscript received June 2, 2005; revised September 16, 2005. M. Yuan and T. K. Sarkar are with the Department of Electrical Engineering and Computer Science, Syracuse University, Syracuse, NY 13244 USA (e-mail: [email protected]; [email protected]). M. Salazar-Palma is with the Departamento de Señales, Sistemas y Radiocomunicaciones, Universidad Politécnica de Madrid, Madrid 28040, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864138

more complicated to derive than those for free space. Moreover, the Sommerfeld integration (SI) [2] is introduced to the calculation of the spatial-domain s, which is very time consuming [3] and complicated [4]. The discrete complex image method (DCIM) was developed to avoid the numerical computation of the SI [5]–[9]. Using the generalized pencil of functions (GPOF) matrix method, closed form can be obtained without any numerical integration. In [5], the quasi-static (which contributes in the near-field region) and surface-wave terms (which contribute in the far-field region) are extracted first. The spectral domains are then approximated by a sum of complex exponentials that contribute in the medium distance region. The closed forms in the spatial domain are then obtained analytically by the use of the Sommerfeld identity. A two-level DCIM without a surface-wave extraction is proposed in [6] to save the number of samples of the spectral-domain GF. The DCIM in the -plane ( is the radial wavenumber) cannot be extracted from the is introduced in [8], but or spectral-domain GF to simplify the computation. The traditional DCIM without the surface-wave extraction has a large error in the far-field region due to the fact that the traditional DCIM does not contain enough information for the surface-wave poles. For a general multilayered case, the surface-wave terms cannot be obtained analytically. The extraction of the surface-wave components numerically is complicated ([7] and [9]). Even with the surface-wave poles extracted, errors occur for the near-field region due to the singularity at the origin for Hankel functions [7]. Correction terms have to be used to comwhen pensate for this singularity in the near-field region [9]. As a summary, the methods that avoid the numerical computation of the SI can save time during the matrix filling step of the MoM, but have their disadvantages or difficulties on surface-wave extractions and have different forms of expressions for the spatial domains. The following two features of the GPOF method [10] (a better approach is the matrix pencil method in [11]) have been neglected or misunderstood by the previous authors in employing DCIM. • Although only several samples of a function on a finite path are used to implement the matrix pencil method (MPM), the MPM tries to fit this function on the whole complex plane. • The MPM has the capability to fit functions with large variations.

0018-9480/$20.00 © 2006 IEEE

1026

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

The information of singularities of the functions can be obtained by MPM if the parameters are properly chosen. In this paper, we propose a direct DCIM without any quasistatic or surface-wave terms extracted. A novel path is chosen to obtain sufficient information of both the singularities and the trend of the spectral domain GF to infinity. Hence, the discrete complex images (DCIs), which were supposed to approximate the spatial domain GFs only in medium distance region, can be also accurate both in near and far field regions. Simulation results show this direct DCIM can get closed-form spatial domain GF from to . The large distance up to is sufficient for extremely large structures analyzed in MoM. Another merit of this direct DCIM is that the closed-form GF is expressed by a sum of exponential functions divided by a distance, which is similar to the GF of spherical waves in homogeneous media, which makes computer programming much simpler. The numerical techniques for the matrix filling step of MoM in homogeneous media can be directly used for the multilayered media.

When , also the source and field points are in the same layer, the SI can be written as

(4)

is the direct line from the source where the spectral kernel of the point to the field point. We call GF. and are related by (5) where is the wavenumber in the layer to be analyzed. Suppose can be approximated as (6)

II. TRADITIONAL DCIM AND DISCUSSIONS Suppose only electric currents are present, the mixed-potential integral equation (MPIE in [12]) representation for in an inhomogeneous media can be expressed as

by the MPM. We also have the Sommerfeld identity (7) Note that if in (3), we can use the derivative of the Sommerfeld identity (7), which is

(1) The bold letters in (1) mean vectors. is the vector potential dyadic Green’s function (DGF) in spatial domain where the underline means ‘dyadic’. is the scalar potential kernel and is the correction factor, which arises when cannot be simply expressed by as in layered media. is the integration over the source region where exists. The spatial domain DGF can be expressed as

(8) The process of DCIM for is similar to that for . In this paper, we focus on the situation when . From (7) we have

(2) We use to express each component of this dyadic, or . The spatial domain can be obtained through SI from the spectral-domain GF (3) where is the first kind Bessel function of order . Although (1)–(3) are applicable to uniaxially anisotropic media, in this paper we focus on stratified isotropic media. The spectral domain GF can be obtained by the equivalent transmission-line Green’s functions (TLGF) expressed through voltages and currents [12]. Since the stratified media can be easily modeled as transmission-line sections, the voltages and currents can be obtained by the known sources together with the reflection and transmission coefficients.

(9) The spatial domain GF can be expressed as a sum of GFs by the direct source and the image sources located at complex distances in homogeneous media. If the source point is in layer , the field point in layer and , there will be two wavenumbers and associated with and respectively. and are difficult to be decomposed from . In this situation, we choose and apply GPOF on [7]–[9]. Without loss of generality of and for an easier analysis, we suppose and use in (4) as the spectral kernel. Since the matrix pencil method (MPM) is applicable for a complex function with a real variable and the functions should

YUAN et al.: DIRECT DCIM FROM CLOSED-FORM GFs IN MULTILAYERED MEDIA

1027

Fig. 2. Microstrip structure.

(a)

Fig. 3.

(b) Fig. 1. (a) Path of traditional DCIM in DCIM in k domain.

k

domain. (b) Path of traditional

be equally sampled along the variable, we choose a path as

G~

sampled along path C in Fig. 2.

samples of from to . Instead we use the samples to the left-hand side of the vertical line . The MPM can estimate the remaining data (from to ) well, as shown in Fig. 3. The spatial-domain GF including the direct term can be written as

such

(10) This path is a straight line in the -plane starting from , as shown in Fig. 1(a), which maps to the origin on the -plane, as seen in Fig. 1(b). This path keeps the linear relationship between and , which is convenient to derive and . It should remain in one Riemann sheet. should be chosen such that is larger than the branch point to avoid the singularities (marked as an in these figures). As an example, we consider the simple microstrip case for one layer substrate with the dielectric constant and thickness mm, as shown in Fig. 2 [5]. The spectral kernel for the scalar potential GF due to a horizontal dipole along path with is shown in Fig. 3. The dots are the data recovered by the MPM. In this example, the frequency GHz and . in Fig. 3 is equivalent to in (1). Both here and in Section III, for demonstration purposes, we will use . We apply and use the same DCIM for all the components in (1). Note that the static term is not extracted as before where we apply the MPM . Moreover, we do not use the

(11) A plot of is shown in Fig. 4. The dots are the computed by the DCIM, while the line is the accurate result obtained by direct numerical integration [4]. From Fig. 4, we can see that the DCIM is accurate for small and medium values of . However, it blows up for large . The reason is that the surface-wave term is not extracted and it contributes to the far-field component (see [7] and [9]). The surface-wave term from the singularities can be written as (12) is the residue due to the Gaussian integration theorem. for the corresponding singularity . Computation of the surface-wave term includes the evaluation of the Hankel function. Very small values of are close to the singularity of the Hankel function, which does not naturally exist in the spatial GF when . Hence, error occurs in the near-field region [7]. Extra effort has to be done to compensate for this error if we want one GF to remain for both the near- and far-field regions [9]. Moreover, the locations of the singularities are difficult to find, especially for general multilayered media. Some complicated

1028

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

(a)

Fig. 4. Spatial-domain

G

obtained by traditional DCIM.

numerical techniques have been proposed to locate the singularities in [7] and [9]. Even if the singularities are accurately located, the form in (12) is different from (9), which destroys the advantages of using a single simple expression for the spatial-domain GF. III. NEW DIRECT DCIM Extra effort has been made to compensate in the DCIM, as shown in [5]–[9], because the capability of the MPM is not fully recognized and implemented. As described in Section II, it is not near to zero necessary to extract the static term or to make . The MPM can estimate the behavior of out of the at path provided sufficient variation information is included in the path. Theoretically speaking, if we have arbitrary accuracy (an infinite number of significant digits) for the computation and the sample values used in the MPM, the discrete images obtained from the path in Fig. 1 will be sufficient to approximate domain, including the surthe spectral kernel in the whole face poles. For the same reason, the traditional DCIM cannot generate an accurate GF in the far field, not because the MPM cannot approximate function with fast variation, but because the path chosen cannot provide sufficient information generated by the singularities. This difficulty is caused by numerical limitation along the original path. With these features of MPM, choice of the path can be more flexible and efficient, and the direct DCIM can obtain satisfactory results without any extra compensation methods. , as shown in Fig. 5. The first change of the path is to This change is to eliminate the necessity of choosing a slope in the path and to simplify the relationship between and as follows: (13) Another advantage of this change is to make the path parallel to the imaginary axis, around which the singularities are distributed. The nearest distance between the path and different singularities will be the same so that the contribution of each

(b) Fig. 5. (a) Path of the new DCIM in domain.

k

k

domain. (b) Path of the new DCIM in

singularity will be equally sampled along the path. Simulation can also generate satresults in Section IV show that the path isfactory results of the spatial GF in the near- and medium-field regions. The second change of the path is more critical. Researchers involved with the traditional DCIM tried to avoid the large variations of the spectral kernel. In this paper, we do the reverse: we try to include more variations of the spectral kernel before we do the MPM. The idea is implemented by moving the path in Fig. 5. closer to the singularities, which is shown as path to , where Suppose we shift the starting point of (14) The path of

is then changed as (15)

We can get another sequence of values of the spectral kernel. for is shown in Fig. 6. Compared with A plot of Fig. 3, this plot shows large variations due to the singularities, but the MPM can still approximate them well. The two singularities for this example show up in Fig. 6, while we cannot differentiate them in Fig. 3. Simulation results in Section IV show that the proposed DCIM is accurate both in the near- and far-field regions if is small enough.

YUAN et al.: DIRECT DCIM FROM CLOSED-FORM GFs IN MULTILAYERED MEDIA

1029

can estimate the behavior of the specAs the MPM on , the values of (17) should be very small. tral kernel on To approximate the remaining variations, 5–8 poles of the MPM are enough. 4) The spatial-domain GF can be expressed as

(18) where (19)

Fig. 6.

G~

sampled along path C

in Fig. 5.

One of the main purposes of this paper is to illustrate that the traditional DCIs cannot approximate the spatial-domain GF in the far-field region alone, not because the DCIs have the decay while the surface-wave poles have a different rate of , but because we do not have enough exdecay rate of ponentials to approximate the special kernel. From a theoretical can be analytically point-of-view, a continuous function expressed by a Fourier series, which is a special case of expocontinuous in a specific part nentials. It is similar that any of the -plane can be analytically expressed by a series of complex exponentials. Together with the Sommerfeld identity, there should be no theoretical difficulty for DCIs approximating the spatial-domain GF without any singularity extraction. To make the modified path start from the origin in the -plane, we need to sample the spectral-domain kernel along . The MPM is applied on for the a compensating path . remaining variations that are not on The recipe of the proposed direct DCIM is as follows. 1) Choose the value of according to the requirement of the spatial-domain GF. Smaller will introduce more variation of the spectral-domain kernel and more information of the singularities. More accurate results can be obtained for smaller , especially in the far-field region. This requires more samples for the MPM and more poles will be generated and, hence, the computational load will be increased. 2) Approximate the spectral kernel on path as (16) This step is usually the most time-consuming step for the DCIM. For accurate requirements for the spatial-domain can be over 100. GF, 3) Approximate the remaining part on path as

(17)

The procedure of two-path approximation is similar to the two-level DCIM, as in [6]. However, the purpose of the two-path DCIM in this paper is totally different from the two-level approximation in [6]. The purpose of [6] is to save the CPU time, but the goal in this paper is to find a straightforward method to improve the accuracy of the DCIM in the far-field region without any loss of performance in the near-field region. We in two segments and sample them with can break the path different rates similar to [6] to save the CPU time, but it is not our focus in this paper. From (18), we can see that the spatial-domain GF can be approximated by a sum of uniform expressions of complex exponentials, which is similar to the GF for a homogeneous media. No other terms are necessary and there is only one GF for all the various horizontal distances. Simulation results in Section IV show the accuracy of (18) both in the near- and far-field regions. IV. NUMERICAL RESULTS In the first example, we use the same microstrip problem as used in Section III. A general multilayered case is shown in the second example. When is first chosen according to the requirement of the is accordingly set as . problem, the length of the path is chosen as 7.5 in this example. The sampling step on is . Note that although is fixed for different chosen as , the sampled points are automatically more dense, as is closer to the singularities, due to the relation in (15). Since the should contain the variation information DCIs obtained on , the computation of the third step of the DCIM on on is trivial. is enough to apply the MPM on . can be set in the MPM to control the accuracy. A threshold The first step of the MPM is to do the singular value decomposition (SVD) of a Hankel matrix built by the sampled data of [11]. We choose the biggest singular values, which are where is the largest singular value. larger than is also the number of exponentials. In this paper, for the main , we set . For the computation of the MPM on , because most singular values are MPM on zeros on this path. The values of , the number of singular values, how far along can be reached accurately for the the horizontal direction spatial-domain GF, and the CPU times in a P4 2.8-GHz PC are is calculated by analyzing the normallisted in Table I. ized error of the DCIM compared with the accurate numerical integration. It is obtained when the normalized error is less than

1030

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE I PERFORMANCES OF DCIM FOR DIFFERENT s

5 10 , which is small enough for the matrix element of the MoM in most applications. We can choose a different threshold will change accordingly, but of the normalized error and the relevant sorting order of the forth column of Table I will not change. in Table I is the wavelength in free space. The first line is the situation for the traditional DCIM in [5] (without singularity extrapolation) along the path on , as in Fig. 1 (in this example, is chosen as 7.5). The other five lines are the results and , as shown in of the new DCIM along the path on , we can easily obtain an accurate Fig. 5. Note that with spatial GF up to 16.9 , which is larger than the largest horizontal distance provided by all the previous papers. The CPU time used in this case is 0.32 s longer than that in [5], but still less than 1 s. Smaller are listed for reference when extremely large structures [13]–[15] are going to be analyzed in multilayered media. Note that although the computational load of the , the CPU time new DCIM is approximately equal to used for the computation of the GF is still negligible compared with the matrix solving part in the MoM [14]. for two values of together with Fig. 7 shows the plots of the accurate results. They are both accurate with small and go further along compared with the traditional DCIM in Fig. 4. . The relationship between and Fig. 8 shows the plots of remain similar as for in Table I; hence, the performance or is decided by , which is easy to control. The of either on in difference is that the number of exponentials is smaller than that in . The reason for this difference is that contains only one singularity instead of the spectral kernel two. However, this difference does not affect the performance. The new direct DCIM is robust. As a more general example, we take the same five-layer microstrip structure in [7, Fig. 1]. The source point is in the second layer and the field point is in the fourth layer. The results in [7] are accurately obtained both in the near and far field by the proposed DCIM without any extrapolation of the quasi-static static or surface-wave terms, which is shown in Fig. 9. Note that, in Fig. 5(a) to apply the proposed DCIM, the two paths of (usually the freeshould be in the layer with the minimum and will be constrained space layer). By doing this, path will not cross the in the same Riemman sheet and path branch point.

Fig. 7. Spatial-domain G obtained by direct DCIM.

Fig. 8. Spatial-domain G

obtained by direct DCIM.

Fig. 9. Spatial-domain G for the proposed DCIM.

for a general multilayered microstrip. = 0:2

YUAN et al.: DIRECT DCIM FROM CLOSED-FORM GFs IN MULTILAYERED MEDIA

V. CONCLUSIONS A new direct DCIM has been introduced in this paper. Compared with the traditional DCIM, this method does the reverse before applying the MPM: it tries to include more variations of the spectral kernel and, hence, more information about the singularities. This method is feasible due to the powerful features of the MPM. It is implemented by choosing a novel path nearer to the singularities. The spatial-domain GF obtained by this method is accurate both in the near- and far-field regions, and the performance is robust according to the choice of . The spatial-domain GF is calculated using the same expression of a sum of spherical waves, which is suitable for numerical calculation by the computer. No quasi-static or surface-wave terms are extracted because they are not necessary.

ACKNOWLEDGMENT

1031

[14] M. Yuan and T. K. Sarkar, “Electrically large structures in WIPL-D,” in 2005 IEEE/ACES Int. Conf., Honolulu, HI, Apr. 3–7, 2005, pp. 82–85. [15] B. M. Kolundzija, J. S. Ognjanovic, T. K. Sarkar, and R. F. Harrington, WIPL, Software for Electromagnetic Modeling of Composite Wire and Plate Structures. Norwood, MA: Artech House, 1995.

Mengtao Yuan (S’02) was born in Chongqing, China. He received the B.S. degree in information and electronic engineering and M.S. degree in information and communication system from Zhejiang University, Hang Zhou, China, in 1999 and 2002, respectively, and is currently working toward the Ph.D. degree in electrical engineering at Syracuse University, Syracuse, NY. Since 2002, he has been a Research Assistant with Syracuse University. His current research interests include electromagnetic computation in multilayered media, time- and frequency-domain CEM, efficient solvers for electrically large structures, circuit and antenna design, and signal processing in communications.

The authors would thank the reviewers and Dr. F. Ling, Cadence Design Systems Inc., Tempe, AZ, for their valuable suggestions and comments.

REFERENCES [1] R. F. Harrington, Field Computation by Moment Methods. New York: Macmillan, 1968. [2] A. Sommerfeld, Partial Differential Equations in Physics. New York: Van Nostrand, 1990. [3] T. K. Sarkar, “Analysis of arbitrarily oriented thin wire antenna arrays over imperfect ground planes,” Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., Syracuse Univ., Syracuse, NY, 1975. [4] K. A. Michalski, “Extrapolation methods for Sommerfeld integral tails,” IEEE Trans. Antennas Propag., vol. 46, no. 10, pp. 1405–1418, Oct. 1998. [5] Y. L. Chow, J. J. Yang, D. G. Fang, and G. E. Howard, “A closed-form spatial Green’s function for the thick microstrip substrate,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 3, pp. 588–592, Mar. 1991. [6] M. I. Aksun, “A robust approach for the derivation of closed-form Green’s functions,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 5, pp. 651–658, May 1996. [7] F. Ling and J.-M. Jin, “Discrete complex image method for Green’s functions of general multilayer media,” IEEE Microw. Guided Wave Lett., vol. 10, no. 10, pp. 400–402, Oct. 2000. [8] Y. Ge and K. P. Esselle, “New closed-form Green’s functions for microstrip structures—Theory and results,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1556–1560, Jun. 2002. [9] S.-A. Teo, S.-T. Chew, and M.-S. Leong, “Error analysis of the discrete complex image method and pole extraction,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 406–413, Feb. 2003. [10] Y. Hua and T. K. Sarkar, “Generalized pencil-of-function method for extracting poles of and EM system from its transient response,” IEEE Trans. Antennas Propag., vol. 37, no. 2, pp. 229–234, Feb. 1989. [11] T. K. Sarkar and O. Pereira, “Using the matrix pencil method to estimate the parameters of a sum of complex exponentials,” IEEE Antennas Propag. Mag., vol. 37, pp. 48–55, Feb. 1995. [12] K. A. Michalski and J. R. Mosig, “Multilayered media Green’s functions in integral equation formulations,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 508–519, Mar. 1997. [13] B. M. Kolundzija and A. R. Djordjevic, Electromagnetic Modeling of Composite Metallic and Dielectric Structures. Boston, MA: Artech House, 2002.

Tapan K. Sarkar (S’69–M’76–SM’81–F’92) received the B.Tech. degree from the Indian Institute of Technology, Kharagpur, India, in 1969, the M.Sc.E. degree from the University of New Brunswick, Fredericton, NB, Canada, in 1971, and the M.S. and Ph.D. degrees from Syracuse University, Syracuse, NY, in 1975. From 1975 to 1976, he was with the TACO Division, General Instruments Corporation. From 1976 to 1985, he was with the Rochester Institute of Technology, Rochester, NY. From 1977 to 1978, he was a Research Fellow with the Gordon McKay Laboratory, Harvard University, Cambridge, MA. He is currently a Professor with the Department of Electrical and Computer Engineering, Syracuse University. His current research interests deal with numerical solutions of operator equations arising in electromagnetics and signal processing with application to system design. He has authored or coauthored over 280 journal papers and numerous conference papers and 32 chapters in books and 15 books, including Iterative and Self Adaptive Finite-Elements in Electromagnetic Modeling (Artech House, 1998), Wavelet Applications in Electromagnetics and Signal Processing (Artech House, 2002), Smart Antennas (Wiley, 2003), and History of Wireless (Wiley, 2005). He is on the Editorial Board of the Journal of Electromagnetic Waves and Applications and Microwave and Optical Technology Letters. Dr. Sarkar is a Registered Professional Engineer in the State of New York. He was an associate editor for feature articles of the IEEE Antennas and Propagation Society Newsletter (1986–1988). He is a member of Sigma Xi and International Union of Radio Science Commissions A and B. He is currently a member of the IEEE Electromagnetics Award Board and an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He is the vice president of the Applied Computational Electromagnetics Society (ACES). He was the chairman of the Intercommission Working Group of the International URSI on Time Domain Metrology (1990–1996). He was a distinguished lecturer for the IEEE Antennas and Propagation Society (2000–2003). He was the recipient of the 1979 Best Paper Award of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY and at the 1997 National Radar Conference. He was the recipient of the 1996 College of Engineering Research Award and the 1998 Chancellor’s Citation for Excellence in Research at Syracuse University. He was the recipient of one of the 1977 Best Solution Awards presented at the Rome Air Development Center (RADC) Spectral Estimation Workshop. He received the title of Docteur Honoris Causa from the Universite Blaise Pascal, Clermont Ferrand, France, in 1998 and from the Politechnic University of Madrid, Madrid, Spain, in 2004. He was also the recipient of the 2000 Medal of the Friend of the City of Clermont Ferrand, France.

1032

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Magdalena Salazar-Palma (M’89–SM’01) was born in Granada, Spain. She received the degree and Ph.D. degree in ingeniero de telecomunicación from the Universidad Politécnica de Madrid, Madrid, Spain. She is currently a Catedrático (Full Professor) with the Departamento de Teoría de la Señal y Comunicaciones (Signal Theory and Communications), Escuela Politécnica Superior (College of Engineering), Universidad Carlos III de Madrid, Madrid, Spain. She has authored four books, 20 contributions for chapters and articles in books, 48 papers in international scientific journals, and 172 papers in international conferences, symposiums, and workshops, 13 contributions for academic books and notes, 56 papers in national conferences, and over 75 project reports, short course notes, etc. She has delivered numerous invited presentations, lectures, and seminars. She has lectured in several short courses, some of them in the frame of Programs of the European Community. She has participated at different levels (researcher or director) in a total of 62 projects and contracts, financed by international, European, and national institutions and companies. She has developed her research in the areas of electromagnetic-field theory; computational and numerical methods for microwave passive components and antenna analysis; network and filter theory and design; and design, simulation, optimization, implementation, and measurement of microwave circuits both in waveguide and integrated (hybrid and monolithic) technologies. She has been a member of the editorial board of two scientific journals. Dr. Salazar-Palma is member of the Technical Program Committee of several international and national symposiums and reviewer for different international scientific journals, symposiums, and editorial companies. She has assisted the Comisión Interministerial de Ciencia y Tecnología (Spain National Board of Research) in the evaluation of projects. She has also served in several evaluation panels of the Commission of the European Communities. She has been associate editor for the IEEE ANTENNAS AND WIRELESS PROPAGATION LETTERS. Since 1989, she has served the IEEE under different volunteer positions: vice chairperson and chairperson of the IEEE Spain Section Antennas and Propagation Society (AP-S)/Microwave Theory and Techniques Society (MTT-S) Joint Chapter, chairperson of the IEEE Spain Section, member of the IEEE Region 8 Committee, member of the IEEE Region 8 Nominations and Appointments Subcommittee, chairperson of the IEEE Region 8 Conference Coordination Subcommittee, chairperson of the IEEE Women in Engineering (WIE) Committee, liaison between the IEEE WIE Committee and the IEEE Regional Activities Board, and member of the IEEE Ethics and Member Conduct Committee. She is currently the membership development officer of the IEEE Spain Section, a member of the IEEE WIE Committee and a member of the IEEE AP-S Administrative Committee (AdCom). She was the recipient of two individual research awards.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1033

Cavity Models of Planar Components Grounded by Via-Holes and Their Experimental Verification Guennadi A. Kouzaev, M. Jamal Deen, Fellow, IEEE, Natalia K. Nikolova, Senior Member, IEEE, and Ali H. Rahal, Member, IEEE

Abstract—Semianalytical electromagnetic models of grounding via-holes and shorted resonators of different shapes are proposed and experimentally verified. Our models are based on the cavity approach previously used for circular-pad via-holes. For the first time, a model of a square-pad via-hole is developed. By a perturbation approach, an approximate model for slightly rectangular shorted patches is also derived. All our via-hole models are verified experimentally. The influence of the technologically caused eccentricity of the via-hole shape is also modeled and studied by measurements. Additional verification is done by full-wave simulations with Agilent Momentum (2002) and IE3D-10.1. Our models compute the modal resonant frequencies, fields, and equivalent-circuit components of the via-holes. They allow for the study of the frequency limitations of grounding via-holes for high-speed applications, as well as the modes of shorted resonators for printed antennas and filters. The developed models are fast and accurate.

Fig. 1. Grounding via-holes. (a) Circular-pad via-hole. (b) Eccentric circular-pad via-hole.

Index Terms—Printed shorted antennas, printed shorted resonators, via-holes.

I. INTRODUCTION

T

HREE-DIMENSIONAL (3-D) integration with vertically designed components is an effective way to decrease the size of integrated circuits (ICs) and improve their performance [1]–[3]. The first developments began in the beginning of the 1980s, and they delivered radically improved hybrid microwave ICs [2]. Recent research focuses on multilayered low-temperature cofired ceramic modules, micromachined silicon monolithic ICs, and stacked digital ICs [4]. A key component of a 3-D module is the via-hole connecting different levels [1], [2], [5]–[7]. The grounding via-hole is a particular case, and it connects a signal trace to the ground (Fig. 1). It consists of a pad and shorting cylindrical rod. A circular grounding via-hole has a circular pad [see Fig. 1(a)]. Manufacturing tolerances may also result in via-hole eccentricity [see Fig. 1(b)]. Square-pad via-holes (Fig. 2) are of particular importance in the microwave hybrid integrated circuits (MHICs) and packages, where lumped elements such as capacitors, inductors, and monolithic microwave integrated circuits (MMICs) require square pads for attachment. The rectangular and square shorted patches are also used as printed antennas, resonators, periodic high-impedance surfaces, and fenced waveguides [8]–[10].

Manuscript received June 7, 2005; revised October 10, 2005. G. A. Kouzaev is with the Department of Electronics and Telecommunications, Norwegian University of Technology and Science–NTNU, Trondheim N-7491, Norway (e-mail: [email protected]). M. J. Deen and N. K. Nikolova are with the Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1 (e-mail: [email protected]; [email protected]). A. H. Rahal is with Nanowave Inc., Etobicoke, ON, Canada M8W 4W3 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864137

Fig. 2.

Square-patch resonator. (a) Cross section. (b) Field matching scheme.

The via-hole performance is excellent up to a certain frequency where parasitic radiation and resonances occur. For high-speed applications, this frequency must be calculated to define the maximum frequency band of operation. The resonances and radiation are parasitic effects in via-holes; however, they are useful in antennas. In all these cases, rigorous electromagnetic (EM) analysis is required. Some of the general-purpose 3-D EM solvers can offer adequate modeling of the performance characteristics of the viaholes. However, they require long computation times, and the results are valid only for the specific substrate and dimensions. In

0018-9480/$20.00 © 2006 IEEE

1034

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

[6], a new and fast analytical model of a grounding circular-pad via-hole based on the cavity representation was developed. In [7], the eccentric distortions of circular-pad via-holes were considered semianalytically. This paper focuses on the derivation of a semianalytical model of grounding via-holes of the square-pad shape (Fig. 2) and on the approximate modeling of the fundamental mode of a rectangular centrally shorted printed patch. All models are based on the cavity representation and on the assumption that the electric field is constant along the substrate height. Hence, the pad size is greater than the substrate height , and the substrate is electrically thin at the considered frequencies. The studied via-holes and antenna patches are verified by our own measurements, as well as by other published experimental results. Additional confirmation is obtained from simulations with EM full-wave software tools. Overall, the errors of our models vary from sample to sample and are within 0.4%–6.4% for substrates whose relative permittivity fulfills , and whose relative pad radius fulfills . The normalized rod radius must vary according to . This paper is organized as follows. In Section II, a short summary of the cavity theory of a circular-pad via-hole is given. The developed EM model of the square-pad via-hole is described in Section III. Section IV presents the extension of our theory to shorted rectangular patches. In Section V, the theoretical and measurement results are compared for square, rectangular, and circular-pad via-holes and patches. Finally, conclusions are presented in Section VI. II. SUMMARY OF THE CAVITY THEORY OF CIRCULAR-PAD VIA-HOLES AND RESONATORS The cavity theory of circular-pad via-holes was developed in [6] and [7]. It is briefly reviewed here as a necessary background for further derivations regarding the square-pad via-holes. A grounding circular-pad via-hole consists of a circular pad and a cylindrical shorting pin connected to the ground [see Fig. 1(a)]. Due to the fringing effect, the radius of the pad is extended to , where the via-hole is surrounded with an effective cylindrical magnetic wall. The via-hole cavity confines the EM energy and defines its resonant frequencies. The input microstrip line excites, in general, an infinite series of resonant modes

and

(1)

and are the modal amplitudes and the inwhere dices , , and correspond to the modal field variations along the angular, radial and normal-to-the-substrate axis , respectively. In addition to the resonant modes, the series (1) includes the magnetic zerofrequency mode [6]. For thin substrates, the resonant modes are type: the normal-to-substrate magnetic field is zero ( ) and the -field component does not vary with .

Fig. 3. Circular-pad via-hole directly excited by a microstrip line. (a) Top view. (b) Its multimode equivalent circuit.

For practical applications, series (1) is modeled with an equivalent circuit consisting of a finite number ( ; ; ) of resonant tanks serially connected to each other (Fig. 3). Each modal equivalent circuit or a tank consists of a capacitor , an inductor , and a number of equivalent resistors representing the losses in the via-hole. In Fig. 3, the resistance represents radiation loss is the equivalent dielectric loss resistance [12], [11], is the equivalent conduction resistance [13], and is the equivalent surface-wave loss resistance [14]. The zero-frequency mode is described with the inductor [6]. To calculate the tank parameters, the modal resonant frequencies are computed from an eigenvalue equation, which is derived by matching the modal fields on the rod’s surface and on the outer magnetic wall

(2) are Bessel and Neumann functions of order and are their derivatives with respect to the argument , is the number of field variations in the -direction, , is the velocity of light, and is the substrate relative permittivity. The effective radius is different for the dominant and higher order modes [6] and is given by Here,

and

,

(3) (4) The modal equivalent capacitances and inductances are then computed as follows: (5) Here, is the edge voltage defined at any point where the mode magnitude is maximum. The energy of the modal electric

KOUZAEV et al.: CAVITY MODELS OF PLANAR COMPONENTS GROUNDED BY VIA-HOLES AND THEIR EXPERIMENTAL VERIFICATION

field stored in the equivalent volume is computed as (6) where

(7) Here, is the permittivity of vacuum and is the modal amplitude proportional to the edge voltage at . The circular-pad via-holes can be distorted due to the technologically caused eccentricity [see Fig. 1(b)]. The eccentricity decreases the frequency band of the via-holes [7]. On the other hand, the eccentricity is exploited in large shorted patch resonators in order to shift the modal resonant frequency or to change the input impedance. This important geometry is analyzed with the cavity approach based on the theory of circular-pad via-holes and the method of transformation of coordinates [7]. To compute the resonant frequencies of an eccentric via-hole, the distorted geometry is transformed into the concentric one, whose modes are calculated by the above theory. The geometry is then transformed back to the eccentric one, and the field of the modal series is matched at the outer effective magnetic wall. The derived infinite system of linear algebraic equations is reduced and solved with a root-searching algorithm. The study shows that the small-pad via-holes are influenced significantly by the eccentricity: their frequency band decreases in the worst case scenario by up to 20%–30%. Such effects must be taken into account in manufacturing tolerances, as well as when the via-hole models are verified by measurements. III. APPROXIMATE MODEL OF A GROUNDING SQUARE-PAD VIA-HOLE The analytical modeling of square-pad via-holes is a complicated task due to the combination of cylindrical and square shapes (Fig. 2). Our model is based on an approximation using the modes of a circular-pad via-hole [6] and the modes of a square-patch planar resonator. A square magnetic wall is assumed around the via-hole, whose effective size is greater than the square-patch size due to the fringing effect. The effective size is mode dependent and it is related to the physical size , as in (3) and (4). The segmentation of the grounded square-patch volume into two regular overlapping shapes, for which analytical solutions are available, is used. Solutions to problems involving arbitrary shapes have been constructed before by enclosing them in a regularly shaped housing, e.g., [15] and [16]. There, an internal boundary is defined. It separates the regular shaped computational volume into two regions where the internal region contains the irregular shape. A numerical solution is found by mode matching or point matching at the internal boundary. To obtain a system of fewer equations, an approach similar to the one proposed in [17] and [18] is used. A physically based choice of matching subdomain eigenfunctions is made, which allows for the approximate analytical treatment of complicated boundary-value problems. The approach [17], [18] aims at finding the correlation between the map of the excited vector field and the excitation currents at the boundaries of the domain.

1035

It extends Poincaré’s qualitative theory of ordinary differential equations [19] to the vector partial differential equations. Since the approach operates with field topologies, it is named the topological EM theory of boundary-value problems. Following this topological theory, the grounded square-patch effective volume is divided into two subdomains. The subdomain has a circular form. The rest is represented by the corner (see Fig. 2). The housing volume subdomains is of a square shape and it does not contain the rod [see Fig. 2(b)]. In effect, it is a square microstrip resonator, which is solved analytically for the electric field using the magnetic wall cavity representation [12]

(8) Here, are the unknown normalized modal amplitudes, and and are the numbers of field variation in the - and -directions, respectively. The third index shows that we consider only -invariant modes. The eigenfunctions of the circular subdomain are the from (7) provided modes of the grounded circular patch that the magnetic wall condition is valid on the internal effective boundary . The field expansion for is written as (9) is the unknown normalized modal amplitude, and where and are the numbers of the angular and radial field variations, respectively. Next, the field in the square-patch corner regions is considered. Using (8), it can be approximated by the modal representation of the field of the square microstrip housing

and (10) The eigenvalue equation is derived from fields (9) and (10), [see Fig. 2(b)] as well as the boundary condition at (11) is the fictitious magnetic current density component where due to the step-wise change of the field at the boundary. Numerical solutions of (11) are possible using the method of moments. However, our goal is a semianalytical approach, which avoids the solution of a large system of equations. The equation in (11) is solved by point-matching properly chosen eigenfunctions in each subdomain. Consider the field given by the eigenfunctions with in the grounded circular-patch cavity and in the square-patch cavity

(12) of the grounded circular-patch The mode numbers cavity determine the modal field behavior of the grounded

1036

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

To solve for cients and lows:

, at least one point is needed. The coeffiin (12) are found from as fol-

(14)

Finally, and are used to calculate the equivalent modal capacitance of the grounded square-patch resonator [12] (15)

where is the electric energy stored in the volume of the grounded square patch. The capacitance is written as a sum of two terms, which use the energy stored in the circular subdomain and the corner subdomains Fig. 4. Similarity of E modal field maps of: (a), (c), (e) square-pad and circular-pad via-holes and (b), (d), (f) a square patch microstrip resonator.

square-patch via-hole. The proper choice of matched eigenfunctions from each of the two subdomains is based on their similarity as illustrated by [see Fig. 4(a), (c), and (e)]. There, the grey patterns correspond to positive values of the eigenfunctions and the white patterns correspond to their negative values. Similar modes of the grounded circular patch and square patch have the same number of field variations along the angular and the radial variables [see Fig. 4(b), (d), and (f)]. For an efficient solution, the choice of eigenfunctions must ensure that the rotational symmetry of the modes of the square patch housing, which approximate the field in the corners, has to match the rotational symmetry of the grounded patch modes. Thus, once the numbers and of the cylindrical eigenfunction are chosen, the eigenfunction numbers of the square microstrip housing and can be determined. For example, for and (corresponding to the eigenfunction ), the respective values of and are [see Fig. 4(b)], for and ( mode), and [see Fig. 4(d)], for and ( mode), and [see Fig. 4(f)]. The higher mode similarity of the circular and square domains can be found in [20]. The point-matching solution of (11) uses a set of points for each mode with an -dependence on the angular variable. These points are chosen where the normalized field magnitude is equal to one, and the fictitious magnetic current density is set to zero. Thus, the voltage between the patch and ground plane is

(13)

(16) The modal field distribution and, therefore, the energy are those corresponding to the magnetic-wall cavity solution in the circular region. The second term, associated with , is computed using in (12) and in (14). because the Note that the energy is proportional to modal coefficients are expressed according to (14). Thus, the modal voltage is only an auxiliary variable, which is set equal to one. The modal resonant frequency1 is computed using the . The magnetic energy associated with modal inductance the rod current is concentrated near the rod, and the portion in the corner volumes is negligible. Thus, the overall inductance of the grounded square patch is approximated , i.e., with the grounded circular patch modal inductance [6]. With this approximation, the modal resonant frequency is calculated as

(17)

IV. MODELING OF SHORTED RECTANGULAR PATCHES In antenna designs, the rectangular patches (Fig. 5) are more widely used than the square ones. Shortening posts allow for a decrease of the antenna size due to the additional inductance [9], 1We use upper case

for the resonant frequencies of circular-pad viaholes, while the lower case ! is used for square and rectangular pads.

KOUZAEV et al.: CAVITY MODELS OF PLANAR COMPONENTS GROUNDED BY VIA-HOLES AND THEIR EXPERIMENTAL VERIFICATION

1037

Fig. 5. Shorted rectangular patch and an elliptical subdomain (dashed) for semianalytical computation of the eigenfunctions of the elliptical arbitrary shorted patch.

Fig. 7. Photograph of the experimental kit. (left) Square-pad via-holes are fed through a capacitive gap except the uppermost sample, which is connected directly to the 50- microstrip line. The gap length is equal to the height of the alumina substrate. The circular-pad via-holes at the bottom are all concentric and fed through the same capacitive gap. The three circular-pad via-holes in the right lower portion are eccentric and fed through the same capacitive gap. The two circular-pad via-holes in the right top portion are fed directly by the microstrip line; one is concentric and one is eccentric. The parameters of the alumina substrate are: thickness h = 0:254 mm and relative permittivity " = 9:9.

resonant frequency is then computed as Fig. 6. Centrally shorted rectangular patch and the fundamental mode equivalent circuit.

[21]. The geometry of a shorted rectangular patch is shown in Fig. 5. Our approach allows us to model such a component. First, an elliptical shorted patch cavity is solved semianalytically. The method of the solution is similar to [22] where a circular–elliptical waveguide is considered. In contrast to the solutions in [22], the eigenfunctions of our cavity satisfy the magnetic wall condition at the elliptical boundary whose effective extension is found as in (3) and (4). This yields the eigenmodes expressed in terms of the Mathieu functions and allows for the computation of the modal capacitance and inductance. The elliptical modes are matched with the eigenfunctions of the rectangular housing, which has the same class of spatial symmetry. Subsequently, the modal resonant frequency can be computed similarly to (17). For the special case of a centrally shorted rectangular patch whose geometry is close to a square ( 20%), a simplified approach was developed for the calculation of the fundamental mode resonant frequency, which is based on the perturbation theory. Such a rectangular patch is regarded as a square one with slightly extended sides (Fig. 6). In addition to the corner capacitances found according to (16), an extension capacitance is calculated assuming a constant electric field at the extensions. The extension inductance is ignored. The fundamental mode

(18) where (19)

V. EXPERIMENTAL AND NUMERICAL VERIFICATION OF CAVITY MODELS OF VIA-HOLES A. Circular-Pad Via-Holes A study of circular-patch resonators and grounding via-holes can be found in [6], where the cavity algorithm was verified by measurements only up to 1.1 GHz. Numerical verification was also performed with Agilent’s Momentum.2 However, the use of the circular-pad via-hole model as a basis for the square-pad model requires more robust experimental and numerical verification, especially at higher frequencies. To verify our analytical model of the circular-pad via-holes at frequencies from 1 to 26 GHz, three concentric circular-pad shorted resonators were manufactured (see Fig. 7). Three of them are of different pad-radius (see Table I). They are excited by 50- microstrip lines through capacitive gaps whose length 2Advanced Design System (ADS) 2002, Momentum, Agilent Technol., Palo Alto, CA, 2002.

1038

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE I COMPARISON OF THE CALCULATED (MODEL) AND MEASURED (EXP.) RESONANT FREQUENCIES OF CIRCULAR-PAD VIA-HOLES

Fig. 9. TM modal field components versus the radial variable r . Solid line: electric field E . Dashed line: magnetic field H .

the cavity. The angular component of the magnetic field computed according to

is

(20)

Fig. 8. Magnitude and phase (inset) of the reflection coefficient of the circular-pad via-hole of pad diameter 2b = 2:54 mm (second column in Table I).

is equal to the height mm of the alumina substrate. The relative permittivity of the substrate is . The capacitive coupling is weak and does not influence the via-hole resonances. The radius of the shorting rod is 0.152 mm in all cases. The resonant frequencies are obtained from the measured magnitudes and phases of the reflection coefficients. The locations of the resonant minima of the magnitude curve are used and confirmed by the locations of abrupt phase change (Fig. 8). We simulated and measured the resonant frequencies of two dominant modes, i.e., and (Fig. 8). The circular-pad via-hole model provides only several percent error as compared with the measured frequencies. As an example, Fig. 8 shows the reflection-coefficient measurement used to extract the resonant frequencies of the first two modes of the concentric circular-pad via-hole of pad diameter mm (second column in Table I). An additional way to verify the model of the circular-pad via-hole is to compute the modal field components and to analyze their behavior near the electric and magnetic walls . In Fig. 9, the normalized field components are plotted for the mode versus the radial variable . The geometrical and physical parameters are the same as in Table I. The electric field computed according to (7) is zero on the via-hole rod surface, and it is maximum on the effective wall of

where . Its maximum is on the central rod surface, and it is zero on the outer magnetic wall, as expected. Another verification is made by the comparison of the measured reflection coefficient with the theoretically computed reflection for a via-hole directly excited by a 50- microstrip line (see Figs. 3(a) and Fig. 7). The parameters of this via-pad correspond to the via-hole from the first column of Table I. The direct microstrip feed excites an infinite number of resonant modes, and the field is described with the series (1), or by the equivalent circuit in Fig. 3(b). The number of tanks (or modes) is increased until convergence is achieved. The reflection coefficient is plotted in Fig. 10 together with the measured results. To reach the convergence of the computation of in the studied frequency band, five azimuthal modes ( ) and the magnetostatic zero-frequency mode represented by the inductance are used [see Fig. 3(b)]. The first reflection minimum is due mostly to the first mode. All other modes define the depth of the resonant curve, and they form the higher frequency side of it. The relative difference between the averaged measured results and our computations is calculated as (21) The maximum difference between the theoretical model and measurements is at the resonant frequency, and it does not exceed 4%. For the studied via-hole, the second-mode resonance is quite far from the first one, and an additional minimum is formed near 26 GHz, which is close to the resonant frequency of the mode. The studied circular-pad via-holes have their first resonances close to 10–15 GHz depending on the via-pad radius. The first

KOUZAEV et al.: CAVITY MODELS OF PLANAR COMPONENTS GROUNDED BY VIA-HOLES AND THEIR EXPERIMENTAL VERIFICATION

Fig. 10. Frequency dependence of the reflection coefficient of a grounding circular-pad via-hole excited by a 50- microstrip directly connected to the pad-edge. Dashed line: our theoretical results. Solid line: our measurements. Via-hole parameters: a = 0:152 mm, h = 0:254 mm, " = 9:9, and b = 1:016 mm (first column in Table I).

resonance defines roughly the upper limit of the frequency band of reliable operation of the via-hole. For many high-speed applications, however, the frequency band of the via-holes has to be extended up to several tens of gigahertz. This is achieved usually by decreasing the via-pad radius down to several tenths of a millimeter, which shifts the first modal resonant frequency beyond 40–50 GHz. To verify our circular-pad via-hole model in the millimeterwave range, the resonant frequencies of the first three azimuthal modes ( ) were computed and compared with the results of Agilent Momentum (Fig. 11). The full-wave solver simulates a single via-hole resonator excited through a gap by a microstrip line. The size of the gap is comparable to the height of the substrate in order to reduce the parasitic capacitance between the pad and microstrip edges. The results are derived for via-holes realized on an alumina substrate ( , mm) with a rod radius mm. The via-hole was simulated at frequencies 1–110 GHz with a frequency step of 100 MHz. In the resonant area, the reflection coefficient was computed with a step of 10 MHz in order not to miss any higher mode. Due to the extremely long simulations by Momentum, the reflection coefficient was computed only for a limited, but representative set of the via-pad radius values. The magnitude and phase of the computed reflection coefficient are used as before to extract the resonant frequencies. To derive the th modal resonant frequency, (2) was computed in the 1–110-GHz frequency band. The localized roots are then computed by a MATLAB search algorithm to derive accurate values. For the studied via-hole geometry, the first roots of (2) are for the azimuthal modes. The radial modes of these small pad via-holes exist at frequencies much higher than those of the azimuthal modes. From the comparisons in Table I, from the results given in [6], and from the comparisons summarized by Fig. 11, the overall error of our via-hole model is found to be no more than 5.5% when , , and .

1039

Fig. 11. Modal resonant frequencies of a circular-pad via-hole versus the pad radius. Solid lines: our results. Circles: Agilent Momentum simulations. Via-hole parameters: a = 0:152 mm, h = 0:254 mm, and " = 9:9. TABLE II COMPARISON OF THE CALCULATED (MODEL) AND MEASURED (EXP.) TM RESONANT FREQUENCIES OF ECCENTRIC CIRCULAR-PAD VIA-HOLES

The influence of the technologically caused via-hole eccentricity on the modal resonant frequencies has been considered in [7]. In the worst case, their frequency band can decrease by 20% if the eccentricity is comparable to the via-pad radius. This case is important for high-speed packages realized with hybrid technology where the via-pad is very small. In [7], limited verification of our model is provided by a comparison with published experiments on two printed patch antennas. The error was found to be less than 3%. Here, the experimental results on practical small pad eccentric via-hole structures are presented. They are important not only as verification of our model, but also as a confirmation of the manufacturing accuracy of our measured printed circuit board, which also includes the (noneccentric) circular and square pad via-holes. A set of three shorted eccentric circular-pad via-holes at frequencies from 8 to 22 GHz (see Fig. 7) was measured. They have equal radii of the pads mm and equal radii of the shorting rods mm . The eccentricity parameters [see Fig. 1(b)] are different. The via-holes are excited by 50- microstrip lines through capacitive gaps. The complex reflection coefficients are measured and the resonant frequencies of the mode are obtained. The results are given in Table II. They show good agreement between the experiment and our model. Note that the first modal frequency decreases as the shorting rod is placed further away from the center of the via-hole pad ( increases). Comparing the centered via-hole and eccentric one with the minimal shift (the first columns of Tables I and II), we see that the typical eccentricity for current manufacturing technologies does not influence the modal resonant frequency of a pad of millimeter size. However, this influence can be very significant for pads of sub-millimeter sizes [7].

1040

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE III COMPARISON OF THE MEASURED AND CALCULATED RESONANT FREQUENCIES OF SQUARE-PAD VIA-HOLES

Fig. 13. Resonant frequency of the TM mode versus the side length 2b of a shorted square-patch resonator. Solid lines: our model. Circles: Momentum. Triangles: IE3D. Curve 1: " = 5, h = 0:631 mm, a = 0:5 mm. Curve 2: " = 9:9, h = 0:631 mm, a = 0:5 mm.

Fig. 12. Resonant frequencies of the TM , TM , and TM modes versus the square-pad via-hole size b. Circles: Momentum. Solid lines: our approach. Via-hole parameters: a = 0:152 mm, h = 0:254 mm, and " = 9:9.

B. Square and Rectangular Via-Holes and Patches The square-pad via-hole model is only approximate. In spite of the fact that it uses the verified circular-pad via-hole model, it requires independent verification. Our model is compared with our experimental data at frequencies from 8 to 22 GHz. In the millimeter-wave range, the modal resonant frequencies are compared with Agilent Momentum simulations. For our experiments, a set of three shorted resonators of different size was manufactured (see Fig. 7). They all are excited by 50- microstrip lines through capacitive gaps. The radius of the shorting rod is mm in all three via-holes. The resonant frequencies are obtained from the measured complex reflection coefficients. We verify the resonant frequencies of two modes, i.e., and . The results are summarized in Table III. They show good agreement between the experimental and computed data. At higher frequencies, our square-pad via-hole model is compared with full-wave simulations. The high-frequency behavior is important because of the parasitic effects limiting the frequency band. In Fig. 12, the resonant frequencies computed by our model for the first three modes of a square-pad grounding via-hole with a central rod of radius mm are shown. The substrate is thin mm and of relative permittivity . Our results are compared with the data obtained from Agilent Momentum simulations (see Fig. 12). The difference between our results and those of Momentum does not exceed several percent. The resonant frequencies decrease with the

increase of the pad size , as expected. As with the circular-pad via-hole, the resonant frequency of the first mode limits the frequency band of the square-pad via-hole. However, its frequency band is narrower by up to 20% compared to the circular-pad via-hole frequency band (compare the curves in Figs. 11 and 12). Thus, the circular-pad via-holes are preferable for high-speed applications. Beside the mode, we compute the higher radiating modes of via-holes that can be a source of high-frequency EM noise (Fig. 12). Finally, in addition to the miniature via-holes, the modal resonant frequencies of electrically large square patches shorted by a cylindrical post have been computed. These electrically large structures are used as antennas or resonators. Such components have a smaller size compared to conventional microstrip patches due to the inductance of the shorting rod [8], [9]. Our model provides an accurate prediction of the resonant frequency of such a structure at negligible computational cost compared to a full-wave simulation. To verify our theory for large patch resonators or antennas, two samples realized on different substrates are computed. The resonant frequency of the first mode is calculated with Agilent Momentum, with IE3D [23], and with our semianalytical model (Fig. 13). As seen from Fig. 13, our curves are close to the resonant frequencies computed with the two commercial solvers. The average CPU time for a resonant frequency is less than 1 s. Depending on the geometry, the fullwave software requires at least several tens of minutes or even hours. The studied resonators can be used as printed antennas or components of miniaturized filters. Our model allows for the computation of the resonant frequencies of rectangular shorted patches if their shapes differ slightly ( 20%) from a square (see Section IV). This approximation was verified by the analysis of the shorted patch antenna from [21]. Its geometry corresponds to that in Fig. 6. The shorting post with the radius mm is placed at the center of the patch whose parameters are length mm and width mm . The substrate height is mm and its permittivity is . Our results are in good agreement with the measurements provided in [21] and the data derived with IE3D and Momentum (see Table IV).

KOUZAEV et al.: CAVITY MODELS OF PLANAR COMPONENTS GROUNDED BY VIA-HOLES AND THEIR EXPERIMENTAL VERIFICATION

TM

TABLE IV COMPARISON OF THE MEASURED AND CALCULATED RESONANT FREQUENCIES (IN GIGAHERTZ) OF A SHORTED RECTANGULAR-PATCH ANTENNA

VI. CONCLUSIONS Fast semianalytical EM models of via-holes and shorted resonators of different shapes have been developed and experimentally verified. The model of the square-pad via-hole is based on a smart point-matching scheme of the modal fields of a circular-pad via-hole and a planar square patch. Our models provide the modal resonant frequencies of circular-pad and square-pad via-holes, as well as the parameters of the modal equivalent circuit. We note that our models are applicable to electrically thin substrates, as they are based only on modes, which are invariant in the vertical direction. Our models have been compared with measurements of circular pad (concentric and eccentric), square-pad via-holes, and rectangular shorted patches. Additionally, they have been verified by full-wave simulations with Agilent Momentum (2002) and IE3D-10.1. The frequency limitations of grounding viaholes for high-speed applications and the modes of resonators for printed antennas and filters have been studied. In summary, the developed theory of circular and square pad via-holes is accurate over a wide frequency band. The models based on this theory can be used for fast modeling of via-holes, printed antennas, and resonators. The approach can be extended to the study of more complex structures such as fenced waveguides and shorted patches for photonic-bandgap structures. ACKNOWLEDGMENT The authors are thankful to Dr. O. Marinov, McMaster University, Hamilton, ON, Canada, for his expert advice and help with the measurements. REFERENCES [1] Z. Wang, M. J. Deen, and A. Rahal, “Modeling of integrated inductors and resistors for microwave applications,” in Integrated Passive Component Technology, R. K. Ulrich and L. W. Schapper, Eds. Piscataway, NJ: IEEE Press, 2003, ch. 11, pp. 247–291. [2] V. I. Gvozdev, G. A. Kouzaev, E. I. Nefedov, and V. A. Yashin, “Physical principles of the modeling of three-dimensional microwave and extremely high frequency integrated circuits,” Sov. Phys.—Usp., vol. 35, pp. 212–230, 1992. [3] N. Georgieva, Z. Chen, and W. Oberhammer, “On resonant effects in multilayer RF/microwave circuits board application,” IEEE Trans. Microw. Theory Tech., vol. 22, no. 5, pp. 200–206, May 1999. [4] S. F. Al-Sarawi, D. Abbot, and P. D. Franzon, “A review of 3-D packaging technology,” IEEE Trans. Compon., Packag., Manufact. Technol. B, vol. 21, no. 1, pp. 2–14, Feb. 1998. [5] J. H. Lau and S. W. R. Lee, Microvias for Low Cost, High Density Interconnects. New York: McGraw-Hill, 2001. [6] G. A. Kouzaev, N. K. Nikolova, and M. J. Deen, “Circular-pad via model based on cavity field analysis,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 11, pp. 481–483, Nov. 2003. [7] G. A. Kouzaev, M. J. Deen, N. K. Nikolova, and A. Rahal, “Influence of eccentricity on the frequency limitations of circular-pad via-holes,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 265–267, Jun. 2004.

1041

[8] R. G. Vaughan, “Two-port higher mode circular microstrip antennas,” IEEE Trans. Antennas Propag., vol. 36, no. 3, pp. 309–321, Mar. 1988. [9] R. B. Waterhouse, “Performance of microstrip patches incorporating a single shorting post,” in IEEE AP-S Int. Symp., vol. 1, Jul. 21–26, 1996, pp. 29–32. [10] S. Clavijo, R. E. Dias, and W. E. McKinzie, “Design methodology for Sievenpiper high-impedance surfaces: An artificial magnetic conductor for positive gain electrically small antennas,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2678–2690, Oct. 2003. [11] A. G. Derneryd, “Analysis of the microstrip disk antenna element,” IEEE Trans. Antennas Propag., vol. AP-27, no. 2, pp. 660–664, Feb. 1979. [12] T. Okoshi, Planar Circuits for Microwaves and Light Waves. Berlin, Germany: Springer-Verlag, 1985. [13] Y. Lin and L. Shafai, “Characteristics of concentrically shorted circular patches,” Proc. Inst. Elect. Eng., pt. H, vol. 137, pp. 18–24, Feb. 1990. [14] A. K. Bhattacharyya, “Characteristics of space and surface-waves in a multilayered structure,” IEEE Trans. Antennas Propag., vol. 38, no. 8, pp. 1231–1238, Aug. 1990. [15] G. Conciauro, M. Guglielmi, and R. Sorrentino, Advanced Modal Analysis. New York: Wiley, 1999. [16] C. Y. Wang, “Frequencies of a truncated circular waveguide—Method of internal matching,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 10, pp. 1764–1765, Oct. 2000. [17] V. I. Gvozdev and G. A. Kouzaev, “Physics and fields topology of the 3D-microwave circuits,” Russ. Microelectron., vol. 21, no. 1, pp. 1–17, Jan. 1991. [18] V. I. Gvozdev, G. A. Kouzaev, and V. A. Shepetina, “Topological models of the natural modes in coupled corner-transmission lines,” Radiotekh. Elektron., vol. 37, no. 5, pp. 48–54, May 1992. [19] A. A. Andronov et al., Qualitative Theory of Second-Order Dynamical Systems. New York: Wiley, 1973. [20] T. Larsen, “On the relation between modes in rectangular, elliptical and parabolic waveguides and a mode classification systems,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 6, pp. 379–384, Jun. 1972. [21] Z. Yan, “A microstrip patch resonator with a via connecting ground plane,” Microwave Opt. Technol. Lett., vol. 32, pp. 9–11, Jan. 2002. [22] J. A. Roumeliotis and S. P. Savaidis, “Cutoff frequencies of eccentric circular–elliptic metallic waveguides,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 11, pp. 2128–2138, Nov. 1994. [23] IE3D User’s Manual, Release 10.1, Zeland Software Inc., Fremont, CA, 2004. Guennadi A. Kouzaev received the M.Sc. degree in telecommunications from the Pan-Volga State Academy of Telecommunications and Informatics, Samara, Russia, in 1980, the Ph.D. degree from the Institute of Radioengineering and Electronics, U.S.S.R. Academy of Sciences, Moscow, Russia, in 1986, in physics and mathematics, and the Doctor of Sciences degree in microwave techniques and computer engineering from the Moscow State Institute of Electronics and Mathematics, Moscow, Russia, in 1998. From 1984 to 1989, he was with the Research Institute of Space Instrument Design, Moscow, Russia. From 1989 to 2000, he was with the Moscow State Institute of Electronics and Mathematics. In 1993, he became an Associate Professor and, in 1999, a Full Professor with the Moscow State Institute of Electronics and Mathematics (Technical University). From 2000 to 2001, he was with Gennum Corp., Burlington, ON, Canada. From 2001 to 2005, he was with McMaster University, Hamilton, ON, Canada. He is currently a Full Professor with the Department of Electronics and Telecommunications, Norwegian University of Science and Technology–NTNU, Trondheim, Norway. He has authored over 100 publications, 14 inventions and a textbook. His research interests include electromagnetics, development and design of microwave and high-speed 3-D ICs, digital space–time modulated signals components, quantum computations, and microwave bioelectromagnetics. He serves on the Editorial Boards of the Journal of Wave Physics and Radioengineering Systems and the International Journal Ecology-XXI. Prof. Kouzaev is a member of the International Academy Ecologica Universalis and Trans Black Sea Region Scientific Union of Applied Electromagnetism. He was co-chair of the SPIE Conference on Terahertz and Gigahertz Photonics and Electronics (1999) and session chair of the International Conferences on Wave Physics and Applications (1998–2005). He was the recipient of a 1997 Russian Government Prize for his contribution to the development and modeling of 3-D components for digital space–time modulated EM signals, and a 1990 Soviet Union Prize for Young Scientists for his contribution to the EM modeling and development of microwave 3-D circuits.

1042

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

M. Jamal Deen (F’03) was born in Georgetown, Guyana. He received the B.Sc. degree in physics and mathematics from the University of Guyana, Turkeyen, Guyana, in 1978, and the M.S. degree in electrical engineering and Ph.D. degree in applied physics from Case Western Reserve University (CWRU), Cleveland, OH, in 1982 and 1985, respectively. His doctoral dissertation concerned the design and modeling of a new CARS spectrometer for dynamic temperature measurements and combustion optimization in rocket and jet engines and was sponsored by the National Aeronautics and Space Administration (NASA). From 1978 to 1980, he was an Instructor of Physics with the University of Guyana. From 1980 to 1983, he was a Research Assistant with CWRU. From 1983 to 1985, he was a Research Engineer, and from 1985 to 1986, he was an Assistant Professor with Lehigh University, Bethlehem, PA. In 1986, he joined the School of Engineering Science, Simon Fraser University, Vancouver, BC, Canada, as an Assistant Professor, and from 1993 to 2002, he was a Full Professor. Since the summer of 1999, he has been a Professor of electrical and computer engineering with McMaster University, Hamilton, ON, Canada. In summer 1986, he was a Visiting Scientist with the Herzberg Institute of Astrophysics, National Research Council, Ottawa, ON, Canada. From 1992 to 1993, he spent his sabbatical leave as a Visiting Scientist with Northern Telecom, Ottawa, ON, Canada. In the summer of 1997, he was a Visiting Professor with the Faculty of Electrical Engineering, Delft University of Technology. In the summer of 1998, he was a Centre National de la Recherche Scientifique (CNRS) Directeur de Recherche with the Physics of Semiconductor Devices Laboratory, Grenoble, France. From 2002 to 2003, he was with the Université de Montpellier II, Montpellier, France. In July 2001, he was awarded a Senior Canada Research Chair in Information Technology. He has edited two research monographs and eight conference proceedings. He has written 14 invited book chapters. He has authored or coauthored over 330 peer-reviewed papers and has presented over 70 invited/keynote/plenary conference presentations. He holds six patents. His current research interests are microelectronics/nanoelectronics and opto-electronics. He is Executive Editor of Fluctuations and Noise Letters. He is a Member of the Editorial Board of Interface, an Electrochemical Society (ECS) publication, and The Journal of Nanoscience and Nanotechnology. Dr. Deen is a member of Eta Kappa Nu and the American Physical Society (APS). He is a Fellow of the Engineering Institute of Canada (EIC), a Fellow of the Electrochemical Society (ECS), and a Fellow of the American Association for the Advancement of Science (AAAS). He was a Fulbright-Laspau Scholar from 1980 to 1982, an American Vacuum Society Scholar from 1983 to 1984, and a Natural Sciences and Engineering Research Council of Canada (NSERC) Senior Industrial Fellow in 1993. He is a Distinguished Lecturer of the IEEE Electron Device Society. He is currently an editor for the IEEE TRANSACTIONS ON ELECTRON DEVICES. He was the recipient of the 2002 Thomas D. Callinan Award presented by the Electrochemical Society—Dielectric Science and Technology Division and the Distinguished Researcher Award, Province of Ontario, in 2001.

Natalia K. Nikolova (S’93–M’97–SM’05) received the Dipl. Eng. degree from the Technical University of Varna, Varna, Bulgaria, in 1989, and the Ph.D. degree from the University of Electro-Communications, Tokyo, Japan, in 1997. From 1998 to 1999, she was with the Natural Sciences and Engineering Research Council of Canada (NSERC), during which time she was initially with the Microwave and Electromagnetics Laboratory, DalTech, Dalhousie University, Halifax, NS, Canada, and then for a year with the Simulation Optimization Systems Research Laboratory, McMaster University, Hamilton, ON, Canada. In July 1999, she joined the Department of Electrical and Computer Engineering, McMaster University, where she is currently an Associate Professor. Her research interests include theoretical and computational electromagnetism, high-frequency analysis techniques, as well as computer-aided design (CAD) methods for high-frequency structures and antennas. Dr. Nikolova is a member of the Applied Computational Electromagnetics Society. She is also an International Union of Radio Science (URSI) correspondent, Commissions B and D, and a representative of Commission D of the URSI Canadian National Committee. She was the recipient of an NSERC Post-Doctoral Fellowship from 1998 to 1999. She held a University Faculty Award of the NSERC from 2000 to 2005.

Ali H. Rahal (M’92) was born in Beirut, Lebanon, in 1963. He received the B.Sc. degree in telecommunications from The Beirut Arab University, Beirut, Lebanon, in 1987, and the M.A.Sc. and Ph.D. degrees in electrical engineering from the Ecole Polytechnique de Montréal, Montréal, QC, Canada, in 1993 and 1997, respectively. In 1998, he joined Nanowave Inc., Etobicoke, ON, Canada, a company that specializes in microwave hardware design and manufacturing, as a Microwave Design Engineer. Since then, he has held different positions with design and research and development groups. He is currently the Manager of the Computer-Aided Design (CAD) and Integrated Circuit Development Group. At Nanowave Inc., he has developed many solid-state power amplifiers (SSPAs) for airborne applications, notably -band weather radar transmitters and - and -band satellite earth-station SSPAs. His main interests include the development of low-loss combining networks at microwave/millimeter—wave frequencies, passive and active device modeling, and microwave instrumentation.

K

Ka

X

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1043

Time-Constant Control of Microwave Integrators Using Transmission Lines Ching-Wen Hsue, Senior Member, IEEE, Lin-Chuan Tsai, and Yi-Hsien Tsai

Abstract—A model describing the time constant of a transmission-line integrator is presented. By representing the formulations of integrators in the discrete-time (or ) domain, we implement the integrators with equal-length transmission lines. Three integrators with different time constants and frequency bands are built and tested. The experimental results are in good agreement with theoretical values. Index Terms—Equal-length line, microwave integrator, time constant, transforms.

I. INTRODUCTION

T

HE integrator is an instrumental tool to estimate the time integral of measured signals. It has been used extensively in many areas such as coherent detection, correlation estimation, accumulator analysis, and waveform shaping [1]. The integrator can also be employed to measure the delay times of microwave transistors [2] or it can be used to implement high-frequency active filters [3]. In other words, not only does the integrator plays an important role in determining the inter-relation among various signals, but it can also detect the history of the signal itself. In the Fourier spectral analysis, the spectral of a measured signal is the output of an integrator that takes the time integration of the multiplication of the measured signal by harmonic signals [1]. Thus far, the integrators are mainly employed in circuits for low-speed applications. Therefore, the implementation of integrators for high-frequency applications has been largely ignored. A serial R–C circuit, in conjunction with an operational amplifier, has been widely employed to form an integrator [2]–[4]. However, the configuration of such a circuit is good only for low-speed applications. Many other techniques have been developed to design integrators using finite impulse response (FIR) or infinite impulse response (IIR) methods in the study of discrete-time signal processing (DSP) [5]–[7]. Among various techniques, trapezoidal rule and Simpson’s rule in the -domain are two popular methods used for integrators. The trapezoidal-rule integrator produces a zero at the normalizing frequency [1], while the Simpson-rule integrator yields a quasi-zero lying between dc and the normalizing frequency.

Manuscript received June 12, 2005; revised November 5, 2005. This work was supported by the National Science Council, R.O.C., under Grant NSC932218-E011-001. C.-W. Hsue and Y.-H. Tsai are with the Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei, Taiwan 106, R.O.C. (e-mail: [email protected]). L.-C. Tsai is with the Department of Electronic Engineering, Lunghwa University of Science and Technology, Taoyuan, Taiwan 333, R.O.C. Digital Object Identifier 10.1109/TMTT.2006.869722

Fig. 1. Electronic integrator.

The existence of zeros causes the performance of these two integrators largely deviates from that of the ideal integrator. Therefore, both trapezoidal- and Simpson-rule integrators are not adequate to be employed as a wide-band integrator. To overcome the limitation, we propose a new discrete-time integrator whose transfer function fits well with that of an ideal integrator for the frequency band extending from dc to the normalizing frequency. In particular, the time constant is proposed to characterize the performance of the integrator and it serves as an important factor that determines the amplitude response of an integrator. Instead of taking the time constant as the multiplication of resistance by capacitance, the time constant is dictated by both signal frequency and transfer function of the integrator so that the time constant is accessible in the microwave circuit. It has been shown that the scattering characteristics of equalelectrical-length transmission lines can be represented with the variable in the discrete-time domain [8]. Therefore, the transmission-line configuration can emulate the characteristics of an integrator developed in the discrete-time study and the operating frequency band of the integrator is extended further into the microwave range. To verify the theoretical study, we implement three integrators in the microstrip format that have the operating frequencies up to 10 GHz. Each of three integrators has a distinct time constant. The experimental results, except for the lower frequency band, are in good agreement with the theoretical values. II. TIME CONSTANT OF AN INTEGRATOR Fig. 1 shows an integrator formed by an inverted operational amplifier and a serial resistor-capacitor circuit, where and are the input and output of the circuit, respectively, and is the signal angular frequency. The transfer function of the integrator in the frequency domain is defined as the ratio of to and is given as follows: (1) where is the resistor and is the capacitor. Notice that the transfer function is inversely proportional to the angular frequency of the signal. As a result, an integrator is treated as

0018-9480/$20.00 © 2006 IEEE

1044

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

a low-pass filter. In particular, the multiplication of by is the time constant of the circuit. We define the time constant of an integrator as follows: (2) The time constant determines the transient behavior of an integrator in the time-domain consideration. From Fig. 1, it is easy to show that the output voltage is related to the input voltage through the following relation: (3) where is the time. Equation (3) reveals that the output of an integrator is inversely proportional to the time constant. Since no appropriate method can be employed to obtain the equivalent capacitor and resistor of an integrator implemented by using transmission lines, we assume that (2) is valid to get the time constant of an integrator.

Fig. 2. Amplitude responses of H (z ); H (z ), ideal integrator.

III. TRANSFER FUNCTIONS OF INTEGRATORS IN THE -DOMAIN Many techniques have been developed to design integrators using FIR or IIR methods in the study of DSP [6], [7]. The trapezoidal-rule integrator in the -domain is as follows [1]: (4) where is the unit of time delay. Notice that the trapezoidalrule integrator in (4) represents a bilinear transformation, which also transforms the system function in the frequency domain into the corresponding system function in the discrete-time domain [1]. In particular, a zero exits at . When the frequency-domain response of the integrator is concerned, in (4) is replaced with the following relation: (5) is the frequency angle (or normalized frequency) and . An integrator can also be obtained by inverting the transformation of a wide-band differentiator in [9]. This gives us the following: where

(6) Fig. 2 shows the amplitude responses of both (4) and (6) as a function of normalized frequency. The amplitude response of an ideal integrator is also shown in Fig. 2, which is inversely proportional to the normalized frequency. Both (4) and (6) deviate from the values of an ideal integrator in the upper frequency band. To obtain an integrator that fits better the ideal integrator over the entire normalized frequency band, a new integrator is set as follows: (7) With such a selection, the zero occurring at the normalizing frequency in the trapezoidal-rule integrator is removed. Fig. 3 shows the amplitude responses of both and the

Fig. 3. Amplitude responses of both H (z ) and ideal integrator.

ideal integrator. Apparently, the integrator can well represent the ideal integrator in the entire frequency band of . As the frequency is changed over the entire band, as shown in (5), it is equivalent to moving along the unit circle in the complex -plane. Equation (7) reveals that a zero occurs at and this zero is not feasible by using a transmission-line element. It has been shown that zeros occurring on the unit circle can be implemented by using shunted transmission-line elements [8]. In particular, the zero at is far from the unit circle and it has little effect on in the entire frequency range of . To facilitate the design procedure, we use the parametric method [1] to convert the autoregression moving average (ARMA) process of (7) into the autoregression (AR) process. As a result, we obtain (8), shown at the bottom of the following page. To implement an integrator, the next step is to obtain an equal-length transmission-line configuration so that its transmission coefficient fits the transfer function . IV. IMPLEMENTATION OF INTEGRATORS Equation (2) indicates that the multiplication of the transfer function by angular frequency of an integrator is a constant value. Therefore, in order to vary the time constant of the integrator, it is required to change its transfer function. To obtain integrators with different time constants, it is simply to multiply

HSUE et al.: TIME-CONSTANT CONTROL OF MICROWAVE INTEGRATORS USING TRANSMISSION LINES

1045

propagation delay time of the finite line. The chain-scattering parameter matrix is obtained by setting . The relation between the frequency angle and the transmission-line parameters is . If a transmission-line configuration consists of serial sections, the overall chain scattering parameter of such a circuit is obtained by the sequential multiplication of the chain-scattering parameter matrices of all transmission-line elements. We have (9)

Fig. 4.

Amplitude responses of H (z ); 2H (z ); and 3H (z ).

where all are real and are determined by the characteristic impedances of all transmission-line elements. If the output of the transmission-line circuit is loaded with a matched termination, the transfer function of the overall circuit, denoted as , is as follows:

TABLE I CHAIN-SCATTERING PARAMETER MATRIX OF A SERIAL LINE

(10) where is a function of characteristic impedances of all serial transmission-line elements. If we in (8) to approximate the transfer function of use the transmission-line circuit and neglect the propagation factor , we obtain (11)

in (7) by corresponding constants. Fig. 4 shows the amplitude responses of and . The transfer functions of all three integrators become infinite at . This reveals that the integrator is very difficult to implement if the amplitude response is to be met in the low-frequency band. In addition, it is pertinent to point out that and have the value of unity at the normalized frequencies and , respectively. From (2), it is known that and have time constants, which are 3.18, 1.59, and 1.06 s, respectively. If an integrator is implemented by using transmission lines, the maximum value of the transfer function of the integrator is unity. To facilitate the design, we set the amplitude of transfer function as 1 for the frequency range . The rest part of the transfer function in the range satisfies (7). Under such a circumstance, the circuit thus obtained behaves as an integrator over the frequency range . Similar situations hold for the integrators of and . Table I shows the chain-scattering parameter matrix of a serial transmission line in the -domain, where and are the propagation constant, physical length, and characteristic impedance, respectively. Notice that is the reference characteristic impedance, which is assumed to be 50 unless mentioned otherwise. It is assumed that , where is the

The next step is to compare the coefficients of the denominator in to the coefficients of the denominator in so that is as close to as possible. Notice that in (11) is determined by the characteristic impedances of all transmission lines. Upon using the optimization method [8] in the sense of minimum square error for the coefficients of denominators on both sides of (11), we obtain the characteristic impedances of transmission lines. We may employ the same procedure from (8)–(11) to attain the characteristic impedance profiles for both and . To implement an integrator with equal-length transmission lines, the electrical length of each transmission line is set to 90 at the normalizing frequency . We thus have , where is the physical length of each transmission-line section and is the wavelength at the normalizing frequency. V. EXPERIMENTAL RESULTS We assume that the normalizing frequency of three discretetime integrators is 10 GHz, i.e., the normalized frequency at in Fig. 4 is corresponding to 10 GHz. For the integrator of having a time constant of 3.18 s in the normalized frequency scale, the corresponding time constant in the regular frequency scale is 1.6 10 s. The time constants for

(8)

1046

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 5. Layouts of three integrators. (a) 14-section line for H (z ) with  = s. (b) Ten-section line for 2H (z ) with  = 0:8 10 s. 10 (c) Six-section line for 3H (z ) with  = 0:53 10 s.

1:6

2

2

2

and in the regular frequency scale are 0.8 10 and 0.53 10 s, respectively. In particular, microstrips are used to implement three integrators. Fig. 5(a)–(c) shows the physical layouts of microstrips, which are built on Duroid substrates with dielectric constant , loss tangent , and a thickness of 30 mil (0.762 mm). Notice that Fig. 5(a)–(c) shows the integrators having time constants 1.6 10 s, 0.8 10 s, and 0.53 10 s, respectively. The impedance profiles of the 14-section line in Fig. 5(a) are 73.2, 27, 130, 20, 130, 114.9, 44.3, 20, 20.7, 37.6, 64.6, 75.4, 65.2, and 54.1 . Fig. 5(b) is a ten-section line and its impedance values are 53.4, 140, 17.5, 86.8, 71.2, 68.5, 62.6, 57.1, 53.1, and 50.8 . The impedance profiles of the six-section line in Fig. 5(c) are 10, 23, 100, 67, 56.6, and 51.4 . All characteristic impedances of transmission lines are obtained by using an optimization process [8] that involves the comparison between two AR processes on both sides of (11). The propagation delay time of each finite line is 25 ps, which produces the normalizing frequency of 10 GHz. Fig. 6 shows the experimental results of three integrators shown in Fig. 5. For convenience, Fig. 6 also shows the theoretical values of each integrator. Apparently, the experimental results are in good agreement with the theoretical values. The experimental results of the circuit in Fig. 5(a) fit well with the theoretical values for the frequency range GHz GHz. As mentioned in Section IV, the magnitude of has a value of unity for the frequency range GHz GHz. Therefore, the circuit in Fig. 5(a) behaves as an integrator for the frequency band GHz GHz. It has a time constant of 1.6 10 s. The experimental results of the circuits in both Fig. 5(b) and (c) also fit well with the theoretical values over the respective frequency ranges. In particular, the time constants of the circuits in both Fig. 5(b) and (c) are 0.8 10 and 0.53 10 s, respectively. To illustrate the circuit behavior of

Fig. 6. (a)–(c) Experimental results of S (f ) for three integrators shown in Fig. 5(a)–(c), respectively.

integrators in the time domain, we show in Fig. 7 the responses of integrators with a square wave as the input signal. The integrators turn the square wave into the triangular wave. In order

HSUE et al.: TIME-CONSTANT CONTROL OF MICROWAVE INTEGRATORS USING TRANSMISSION LINES

1047

REFERENCES

Fig. 7. Time-domain responses of three integrators with a square wave as the input signal.

to make a good comparison, the time delays are adjusted so that three integrators attain the same delay values. Notice that the device sizes in Fig. 5 are different depending on the time constants of the integrators. The number of sections of integrators are determined by the optimization process that involves the curve fittings of transfer functions of transmission lines to the amplitude responses of the ideal integrators in Fig. 4 for the frequency range with . The transfer functions of transmission lines are set to unity for the frequency range with . As a result, the characteristics of the integrators shown in Fig. 6 are different from those of the ideal integrators in the lower frequency band. This is due to the fact that the maximum value of the transfer function of the transmission line is unity. In order to show the impedance profiles of a conventional low-pass filter, we consider the transfer function of a Butterworth low-pass filter [1] in the -domain as follows: (12) It is pertinent to point out that both the Butterworth low-pass filter in (12) and the integrator in Fig. 5(a) have the 3-dB point at the same frequency in the attenuation band. Employing the same synthesis method shown in Section IV and [8], we obtain the impedance profiles of a 12-section line as 106.3, 45, 150, 117.4, 39.4, 20, 21.5, 36.2, 58, 30.2, 64.5, and 56 . The serial line is shunted with two stubs with characteristic impedances of 48.8 and 35 at the first and second sections of the serial line. Apparently, the impedance profiles of the Butterworth low-pass filter are different from that of the integrator shown in Fig. 5(a). VI. CONCLUSION A model representing the time constant of a microwave integrator has been presented. Three integrators formed by cascade connections of equal-length serial transmission lines have been implemented to verify the feasibility of integrators with different time constants. Except for the lower frequency bands, the experimental results were in good agreement with the theoretical values of integrators.

[1] A. V. Oppenheim and R. W. Shafer, Discrete-Time Signal Processing. Englewood Cliffs, NJ: Prentice-Hall, 1989. [2] D. D. Cohen and R. A. Zakarevivius, “Operational amplifier integrators for the measurement of the delay times of microwave transistors,” IEEE J. Solid-State Circuits, vol. SC-10, no. 1, pp. 19–27, Feb. 1975. [3] R. L. Geiger and G. Bailey, “Integrator design for high-frequency active filter applications,” IEEE Trans. Circuits Syst., vol. CAS-29, no. 9, pp. 595–603, Sep. 1982. [4] A. S. Sedra and K. C. Smith, Microelectronic Circuits. New York: Oxford Univ. Press, 1998, pp. 73–78. [5] W. J. Tompkins and J. G. Webster, Design of Microcomputer-Based Medical Instrumentation. Englewood Cliffs, NJ: Prentice-Hall, 1981. [6] J. Le Bihan, “Novel class of digital integrators and differentiators,” Electron. Lett., vol. 28, no. 15, pp. 1376–1378, 1992. [7] M. A. Al-Alaoui, “A class of second-order integrators and low-pass differentiators,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 42, no. 4, pp. 220–223, Apr. 1995. [8] D.-C. Chang and C.-W. Hsue, “Design and implementation of filters using transfer functions in the Z domain,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 979–985, May 2001. [9] C.-W. Hsue, L.-C. Tsai, and K.-L. Chen, “Implementation of first-order and second-order microwave differentiators,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1443–1448, May 2004.

Ching-Wen Hsue (S’85–M’85–SM’91) was born in Tainan, Taiwan, R.O.C. He received the B.S. and M.S. degrees in electrophysics and electronic from the National Chiao-Tung University, Hsin-Chu, Taiwan, R.O.C., in 1973 and 1975, respectively, and the Ph.D. degree from the Polytechnic University (formerly the Polytechnic Institute of Brooklyn), Brooklyn, NY, in 1985. From 1975 to 1980, he was a Research Engineer with the Telecommunication Laboratories, Ministry of Communication, Taiwan, R.O.C. From 1985 to 1993, he was a Member of Technical Staff with Bell Laboratories, Princeton, NJ. In 1993, he joined the Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei, Taiwan, R.O.C., as a Professor, and from August 1997 to July 1999, he was the Department Chairman. His current interests are pulse-signal propagation in lossless and lossy transmission media, wave interactions between nonlinear elements and transmission lines, photonics, high-power amplifiers, and electromagnetic inverse scattering.

Lin-Chuan Tsai was born in Taipei, Taiwan, R.O.C., in 1968. He received the M.S. and Ph.D. degrees in electronic engineering from the National Taiwan University of Science and Technology, Taipei, Taiwan, R.O.C., in 1998 and 2004, respectively. From October 2002 to August 2005, he was a Project Engineer with the Mobile Business Group, Chunghwa Telecom, Taipei, Taiwan, R.O.C., where he was involved with wide-band code division multiple access (WCDMA) network planning. He is currently an Assistant Professor with the Department of Electronic Engineering, Lunghwa University of Science and Technology, Taoyuan, Taiwan, R.O.C. His current interests are discrete time signal processing, wireless communications, microwave planar filter design, and passive circuit design.

Yi-Hsien Tsai was born in Taipei, Taiwan, R.O.C., in 1977. He received the B.S. and M.S. degrees in electrical engineering from the National Taiwan University of Science and Technology, Taipei, Taiwan, R.O.C., in 2003 and 2005, respectively, and is currently working toward the Ph.D. degree in electronic engineering at the National Taiwan University of Science and Technology.

1048

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

A TE/TM Modal Solution for Rectangular Hard Waveguides Larry W. Epp, Member, IEEE, Daniel J. Hoppe, Senior Member, IEEE, and Daniel T. Kelley

Abstract—A TE/TM modal solution for a longitudinally corrugated rectangular waveguide is developed. These longitudinal corrugations can be used to excite a quasi-TEM wave and form a hard waveguide by correctly choosing the impedance at the guide wall. The correctly chosen impedance is referred to as the hard boundary condition. The modal solution developed here solves the problem of longitudinal corrugations filled with a dielectric material by first finding and solving the characteristic equation for a complete TE/TM modal set. It is shown that this TE/TM mode solution can be used to achieve the hard boundary condition resulting in the quasi-TEM wave in a hard waveguide for discrete values of corrugation depth. Beyond each of these depths, a mode becomes a surface wave. The theoretical mode set is amenable to the solution of problems using the mode-matching method. A combination of the mode-matching method and the TE/TM modal solution will allow the solution of larger problems. Index Terms—Characteristic equation, hard rectangular waveguide, longitudinally corrugated waveguide, modal solution.

I. INTRODUCTION

I

N RECENT years, there has been increasing interest in forms of spatial combining where a large number of antennas are placed in either a longitudinally corrugated dielectric-filled waveguide or a dielectric-filled waveguide meant to simulate a hard waveguide [1]. The hard waveguide is used to achieve a quasi-TEM or plane-wave excitation in an aperture, which is filled with the antenna array. The goal of creating the quasi-TEM excitation is to produce an equal power distribution in the receiving antennas so that the combination of the wave) power divider, guide and antenna array creates a 1 to ( is the number of antennas in a row or column of the where waveguide-filling array. There are, of course, other applications for hard waveguides in radiating structures [2], [3]. In this paper, the emphasis is on finding the orthogonal modes in rectangular hard waveguides for a single vertical polarization with two corrugated walls. The so-called hard boundary condition that results in the quasi-TEM wave in rectangular waveguide follows from the

Manuscript received June 13, 2005; revised September 20, 2005. This work was supported by the National Aeronautics and Space Administration under a contract and under the Internal Research and Technology Development Program. L. W. Epp and D. J. Hoppe are with the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA 91109 USA (e-mail: [email protected]; [email protected]). D. T. Kelley is with Honeywell Technology Solutions Inc., Columbia, MD 21046-5555 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864135

theory of Kildal [4], which determines the correct depth of a longitudinally corrugated waveguide to provide the hard boundary condition. Currently there are mainly two methods of creating hard surfaces: by dielectric-filled longitudinal corrugations or by longitudinal metal strips on a grounded dielectric slab with vias closely spaced between the strips and ground. Both these realizations can be analyzed by using asymptotic boundary conditions by which the groove or slab region is treated as a homogenized region with infinitely many grooves or strips, respectively [5]. A linearly polarized hard boundary can be realized by a dielectric slab without using grooves, strips [6], or vias [3] such as the rectangular hard waveguide with dielectric loaded -plane walls. This corresponds in a way to one single groove on each wall with the same width as the height of the waveguide. This waveguide can then be solved by the longitudinal section electric (LSE)/longitudinal section magnetic (LSM) mode set [2], [7]. The analysis in this paper develops a characteristic equation that assumes a large number of dielectrically filled longitudinal grooves rather than a single groove on the two opposing -plane walls. This corresponds to using an asymptotic boundary condition for corrugations, as defined in [5] and applied in [8] and [9]. This leads to a TE/TM mode representation [10] for longitudinally corrugated waveguides, which extends the original quasi-TEM solution of Kildal [4]. In a similar manner to the LSE/LSM mode set, the characteristic equation of the TE/TM mode set can be solved for the propagation constants. The solution is valid for dielectric and magnetic filled grooves, and is not limited to the hard boundary condition region. The hard boundary condition is shown to exist at discrete groove depths for each mode. A complete waveguide scattering problem can then be solved using the generalized scattering matrix formulation. This paper treats linearly polarized hard waveguides that are realized by dielectric-filled corrugations. The corrugations are in this case, strictly speaking, not needed since the hard waveguide can be realized with dielectric loading only. Still this study is important because the behavior of the higher order modes of a hard waveguide with two corrugated walls is different from hard waveguides with two dielectric loaded walls. The corrugated version may have better performance if higher order modes are not allowed or need to be included in a controlled manner [11]. Also, it may be possible to extend this analysis to include four corrugated walls in a dual-polarized rectangular hard waveguide for which no solutions yet exist.

0018-9480/$20.00 © 2006 IEEE

EPP et al.: TE/TM MODAL SOLUTION FOR RECTANGULAR HARD WAVEGUIDES

1049

C.

Modes

modes satisfying these symmetry conditions [10], For we expand the propagating field in the center region and seek their forward and reflected modal coefficients [16] (1) (2) (3) (4) (5) (6) with Fig. 1. Hard waveguide geometry (a) is shown. Grooved region (b) consists of metal fins with thickness w and dielectric filled grooves with thickness w , depth d, and dielectric constant " .

(7) (8)

II. THEORY OF MODES IN HARD WAVEGUIDES A. Background An analytical model of the hard waveguide of Fig. 1 can be developed from the separation of variables solution of the three-dimensional vector-wave equation expressed in Cartesian coordinates. Here, modes corresponding to the standard TE ( ) and TM ( ) modes are derived for the hard waveguide based on wall impedance relations presented by Dybdal et al. [12]. As previously reported [12]–[14], the standard TM modes for a rectangular waveguide satisfy the hard boundary condition for this excitation. As a result the TM modes propagate unperturbed in a hard guide, thus, the proof will not be repeated here. Contrary to [12] and postulated previously [13], [14], TE modes can also exist, albeit in a modified form. These modified TE modes and the standard TM modes combine to form the complete hard waveguide mode set. In Section II-B, the TE and TM mode sets are presented in terms of combinations of sine–cosine function products, the characteristic equation is solved for the TE mode set, and finally, the mode-matching method is applied to some test case problems.

Here, will be determined from the solution of a characteristic equation, but can be determined immediately from the perfect electric conducting (PEC) condition on the top and bottom walls. Satisfying this condition gives (9) Inside the grooved region, several simplifying assumptions are made as in the asymptotic boundary condition for corrugated surfaces [5], [8], [9]. It is assumed that the metal fins have negligible thickness, and that there are many grooves per wavelength. In this case, we may expand the field in each groove as (10) (11) (12) (13) (14)

B. Determination of Hard Waveguide Modes Here, we will outline the process used to determine the modes in the hard waveguide depicted in Fig. 1. We divide the waveguide into three regions, i.e., the center portion with , , and the two grooved regions where , . Inside the center region, the relative permeability and permittivity are and, in the grooves, we have . The process begins by looking for and modes, and applies the techniques described in Harrington [15]. Solutions are sought for which is an even function of both and . These are the modes that will be excited by an incident rectangular waveguide mode, under the assumption of a symmetric structure in both and , for the mode-matching problem (see Fig. 1).

(15) Here, is an arbitrary amplitude that varies as a function of the individual groove’s position. The above expansion already satisfies the condition at the end of the groove, independent of the wavenumber . A similar expansion exists for the grooves. Matching across the common boundary determines the value of , which is a function of

(16)

1050

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Matching across the common boundary determines the characteristic equation (17) Using the fact that the propagation coefficient in all regions, we also have

gular waveguide solutions as expected. As the depth is increased, the solution decreases in size, until we obtain . For this particular condition, we have (27)

is identical and (18)

Given and the operating wavelength, an infinite set of solutions to the characteristic equation may be found. Numerical solution of the characteristic equation is discussed in Section III-B. Some sets of waveguide parameters may give rise to surface-wave solutions where the value of is imaginary. In this case, we may write and rewrite the characteristic equation as (19)

D.

Modes

modes of a standard rectangular waveguide of size The equal to the center region are also a valid set of modes for the hard waveguide depicted in Fig. 1. This can be reasoned as follows. If we choose the amplitude of the groove field to be zero, we then require that both and vanish at the boundary . These are exactly the same boundary conditions satisfied by the standard rectangular waveguide modes. The solution seeks the forward and reflected modal coefficients for the modes [16]. For completeness, the modes are given as follows: (20) (21) (22) (23) (24) (25) In this case, (26)

III. SOLUTION OF THE -MODE CHARACTERISTIC EQUATION

(28) For the special case solution for becomes

, and

(29) This is the well-known TEM mode solution [4]. For any groove depth larger than this, the solution for becomes imaginary. Thus, as the groove depth increases from zero to this value, the standard rectangular waveguide mode evolves into the TEM mode. There are additional solutions for , which give the TEM condition . As we shall see, these correspond to depths for which the higher order modes pass into the surface-wave region. In general, the th surface wave depth is given by (30)

B. General Solutions for Nonzero Depths We next consider a solution of the characteristic equation for a specific hard waveguide. We choose the waveguide used for the experimental results presented later in this paper. The important dimensions and material properties for this waveguide are mm, mm, , and . The dielectric constant is common to today’s crosslinked polystyrene substrates. Figs. 2 and 3 plot the solution to the characteristic equation for a number of modes when GHz. In Fig. 2, the root is plotted on the horizontal axis with the groove depth on the vertical axis for . The plots illustrate how the modal roots begin at their rectangular waveguide values when , decrease as the groove depth is increased, and eventually reach when reaches the surface-wave value. For the parameters of this hard waveguide, the first mode has ( mode) when mm, and enters the surface-wave region at mm. The higher order modes behave similarly, each reaching for progressively larger values of . Beyond the surface-wave value of , the roots become purely imaginary. These solutions are plotted in Fig. 3. In this case, an asymptote is reached for , with tending toward

A. Limiting Case Solutions of the Groove Depth Here, we will discuss the characteristic equation for the modes in more detail. Assuming real solutions for , the characteristic equation is given in (17). We first identify several interesting values for the groove depth . When the depth is zero the left-hand side vanishes, and we obtain the normal rectan-

, the first

(31) as

increases. For the current case, this gives (32)

EPP et al.: TE/TM MODAL SOLUTION FOR RECTANGULAR HARD WAVEGUIDES

1051

Solutions of the characteristic equation (k real) for a = 5:94 mm, b = 5:94 mm, " =  =  = 1, " = 2:53, k = 0, and f = 32:0 GHz. Fig. 2.

Fig. 4. Overlap scenarios for the coupling integral calculation.

Application of the mode-matching solution requires the computation of the following overlap integral between modes on opposite sides of the junction, the th mode in the small waveguide and the th mode in the large waveguide (33)

Fig. 3.

Solutions of the characteristic equation (k imaginary) for mm, " =  =  = 1, " = 2:53,

a = 5:94 mm, b = 5:94 k = 0, and f = 32:0 GHz.

IV. MODE-MATCHING SOLUTION FOR THE HARD WAVEGUIDE JUNCTION The goal of the mode-matching solution employed in this study is to compute the scattering matrix for any device that can be decomposed into a series of hard waveguide junctions separated by lengths of straight hard waveguides. We restrict the problem to the consideration of only components/junctions that are symmetric in both the - and -directions. We also consider only the cases where the device is excited with the or waveguide modes. These geometry and excitation constraints restrict the mode set that needs to be considered to the one discussed above. The mode-matching technique is well established and has been applied to many waveguide problems in the past (see, e.g., [16]). In this paper, we discuss only some of the details that arise in applying this technique to the hard waveguide junction.

We require that the small guide by fully contained within the large guide. This gives rise to the three possible overlap scenarios shown in Fig. 4. In the computation of the overlap integrals, both the center and groove regions must be included. If the two guides are identical, the coupling integral becomes a test for the orthogonality of the modes within a given hard waveguide [17]. Using both the overlap integral above and the characteristic equation, it can be shown analytically that the modes in the hard waveguide are indeed orthogonal. In the numerical implementation, the degree of orthogonality increases as the characteristic equation is solved with increasing accuracy. It should be noted that all of the coupling integrals involve trigonometric or hyperbolic functions and, therefore, may be computed in closed form. V. RESULTS OF THE MODE-MATCHING APPROACH A. Step Discontinuity With Polystyrene-Filled Grooves For the purposes of comparison of the accuracy of this solution, the results will be compared to small test cases for which a commercial simulation is available1 with geometry as shown in Fig. 5. 1High Frequency Structure Simulator (HFSS), Ansoft Corporation, Pittsburgh, PA, 2003.

1052

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 5. Geometry of the dielectric-filled test case to be analyzed with both the modal solution developed here and Ansoft’s HFSS FEM analysis.

Fig. 7. Transmission (S ) of geometry in Fig. 5 with a = 5:94 mm, b = 5:94 mm, ` = 71:93 mm, w = 0:42 mm, w = 1:70 mm, d = 1:85 mm, " =  =  = 1, and " = 2:53, as compared to the FEM solution of Ansoft’s HFSS. The excitation is TE at Port 1.

Fig. 6. Input match (S ) of geometry in Fig. 5 with a = 5:94 mm, b = 5:94 mm, ` = 71:93 mm, w = 0:42 mm, w = 1:70 mm, d = 1:85 mm, " =  =  = 1, and " = 2:53, as compared to the FEM solution of Ansoft’s HFSS. The excitation is TE at Port 1.

The input port is a square waveguide, which is transformed into a section of grooves with dielectric filling by an abrupt or step transition. This transition represents a transformation between modal bases for the hard waveguide, developed here, to the standard waveguide set as done in [18], which includes the effect of higher order (evanescent) modes to get a converged solution by proper choice of the mode ratio [16]. The input square waveguide geometry and the hard waveguide geometry (see Fig. 1) have mm, mm, mm, and . The geometry of the grooves in the waveguide test cases is shown in Fig. 1(b). For the square waveguide test pieces, mm, mm, and mm so that there are three grooves filled with dielectric and two fins on a wall. Note that this depth is just below the depth of mm for at which the mode enters the surface-wave region at 32 GHz. Comparisons of simulation results for this waveguide are shown in Figs. 6 and 7 . In order to perform measurements and for comparison with Ansoft’s HFSS simulations , the input square waveguide was fed by a rectangular-to-square waveguide transition to reduce input Port 1 and Port 2 to a single-mode waveguide. This transition is included in the analytical finite-element method (FEM) and mode-matching

Fig. 8. Measured input match (S ) of geometry in Fig. 5 compared to theory by using rectangular-to-square waveguide transitions. The square waveguide dimensions are a = 5:94 mm, b = 5:94 mm, and ` = 71:93 mm with w = 0:42 mm, w = 1:70 mm, and d = 1:85 mm describing the grooves " =  =  = 1 and " = 2:53. The excitation is TE at Port 1.

solutions presented here. Ansoft’s HFSS simulation then only solves the interior region problem terminated by single-mode ports. Figs. 6 and 7 show frequencies above and below the onset of the surface wave. There is a strong correspondence between the minimum reflection locations of the modal and FEM solutions in Fig. 6. Thus, Fig. 6 demonstrates that the mode-matching approach has properly solved the characteristic equation for both real and imaginary. B. Polystyrene-Filled Grooves: Measurement and Theory A comparison of measurement and theory is shown in Fig. 8. As before, the input square waveguide was fed by a rectangular-to-square waveguide transition to reduce input Port 1 and

EPP et al.: TE/TM MODAL SOLUTION FOR RECTANGULAR HARD WAVEGUIDES

Fig. 9. Input match (S ) of geometry in Fig. 5 with a = 5:94 mm, b = = 0:37 mm, w = 0:61 mm, d = 1:85 mm, " =  = 2:97 mm, w  = 1, and " = 1, as compared to the FEM solution of Ansoft’s HFSS. The excitation is TE at Port 1.

Port 2 to a single-mode waveguide. In order to avoid modes becoming trapped between the rectangular-to-square waveguide transitions, a resistive card was used to suppress these modes and time gating applied to remove multiple ( 2) bounces. C. Air-Filled Grooves: The Effect of Finite Fin Thickness Another interesting limiting case of corrugated waveguides is air-filled grooves. For purposes of a measurement and theory comparison, rectangular waveguide removes the possibility of exciting degenerate square waveguide modes, and air removes any effects of dielectric variations in either the dielectric constant and/or assembly. The input rectangular waveguide geometry and the hard waveguide geometry (see Fig. 1) for this test case have mm, mm, and . The geometry of the grooves in the rectangular waveguide is changed so that in Fig. 1(b), mm, mm, and mm. This gives four grooves filled with air and three fins on a wall. Modal and FEM solutions are compared with measurements in Fig. 9 for . Normalizing the length to guide wavelengths compensates for the assumption of infinitely thin metal fins ( small). In this rectangular guide test piece, the proportion of metal area in the grooves was increased over that of the square waveguide with the ratio going from 0.25 to 0.61. This implies a larger percentage of metal in the grooves. Therefore, the approximation of the infinitely thin metal fins is loosened. The assumption of thin metal fins is a limitation of the method. D. Higher Order Modes: For hard waveguides used in spatial power-combining applications or for large radiating apertures, the behavior of the higher order modes in the hard waveguide is important. These higher order modes are accurately modeled by the TE/TM modal set. Consider, for example, the geometry for Fig. 5, where the waveguide height is doubled to 11.89 mm so that

1053

Fig. 10. Input match (S ) of geometry in Fig. 5 with a = 5:94 mm, b = 11:89 mm, ` = 71:93 mm, w = 0:42 mm, w = 1:70 mm, d = 1:85 mm, " =  =  = 1, and " = 2:53, as compared to the FEM solution of Ansoft’s HFSS . The excitation is TE at Port 1.

mode propagates at Port 1. Results for the the mode incident at Port 1 are shown in Fig. 10. The FEM solution for Fig. 10 is computed by use of a symmetry plane solution. This reduces the problem size in the -direction by half to avoid the increased computation time caused by the larger FEM model. In contrast, the computation time for the modal solution is essentially unaffected by the increase in waveguide height and excitation with the higher order mode. The relative comparison of the minimum return-loss locations, again with normalized to guide wavelengths, shows the TE/TM modal solution is accurate for higher order modes. VI. CONCLUSION A complete set of TE/TM modal functions for a hard waveguide section have been developed and theoretical results compared to FEM simulations. Measurements validate the use of this mode set in waveguide problems, and point to possible limitations to the TE/TM modal solution. The mode-matching approach is very suitable to waveguide problems: it is computationally efficient, uses less memory than other approaches, and is, therefore, applicable to complex waveguide problems and large aperture hard horns. ACKNOWLEDGMENT The authors thank W. S. Pickens, Sandia National Laboratories, Albuquerque, NM, for his initial research on this approach, which helped to define the problem. This research was carried out at the Jet Propulsion Laboratory, California Institute of Technology, Pasadena. REFERENCES [1] P.-S. Kildal, “Artificially soft and hard surfaces in electromagnetics,” IEEE Trans. Antennas Propag., vol. 38, no. 10, pp. 1537–1544, Oct. 1990. [2] M. N. M. Kehn and P.-S. Kildal, “Miniaturized rectangular hard waveguides for use in multifrequency phased arrays,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 100–109, Jan. 2005.

1054

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

[3] S. P. Skobelev and P.-S. Kildal, “Mode-matching modeling of a hard conical quasi-TEM horn realized by an EBG structure with strips and vias,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 139–143, Jan. 2005. [4] P.-S. Kildal, “Bandwidth of a square hard horn,” Proc. Inst. Elect. Eng., pt. H, vol. 135, no. 4, pp. 275–278, Aug. 1988. [5] P.-S. Kildal, A. Kishk, and Z. Sipus, “Asymptotic boundary conditions for strip-loaded and corrugated surfaces,” Microw. Opt. Technol. Lett., vol. 14, no. 2, pp. 99–101, Feb. 1997. [6] S. P. Skobelev and P.-S. Kildal, “Performance of an array of circular waveguide strip-loaded dielectric hard walls,” IEEE Trans. Antennas Propag., vol. 48, no. 7, pp. 1106–1114, Jul. 2000. [7] M. A. Ali, S. C. Ortiz, T. Ivanov, and A. Mortazawi, “Analysis and measurement of hard-horn feeds for the excitation of quasi-optical amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 479–487, Apr. 1999. [8] Z. Sipus, H. Merkel, and P.-S. Kildal, “Green’s functions for planar soft and hard surfaces derived by asymptotic boundary conditions,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 144, no. 5, pp. 321–328, Oct. 1997. [9] A. A. Kishk, P.-S. Kildal, A. Monorchio, and G. Manara, “Asymptotic boundary condition for corrugated surfaces, and its application to scattering from circular cylinders with dielectric filled corrugations,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 45, no. 1, pp. 116–122, Feb. 1998. [10] W. S. Pickens, L. W. Epp, and D. J. Hoppe, “Hard horn design for quasi-optical power combining using solid-state power amplifiers,” Jet Propulsion Lab., Pasadena, CA, Interplanetary Network Progress Rep., vol. 42-156, Feb. 2004. [11] O. Sotoudeh, P.-S. Kildal, and Z. Sipus, “Study of high efficiency corrugated hard-horn antennas using classical approaches: Hard circular waveguide mode, phase factor, and aperture integration,” Microw. Opt. Technol. Lett., vol. 44, no. 6, pp. 516–523, Mar. 2005. [12] R. B. Dybdal, L. Peters, Jr., and W. H. Peake, “Rectangular waveguides with impedance walls,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 1, pp. 2–9, Jan. 1971. [13] E. Lier and P.-S. Kildal, “Soft and hard horn antennas,” IEEE Trans. Antennas Propag., vol. 36, no. 8, pp. 1152–1157, Aug. 1988. [14] P. R. McIsaac, “Comments on ‘Rectangular waveguides with impedance walls’,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 11, pp. 972–973, Nov. 1974. [15] R. F. Harrington, Time–Harmonic Electromagnetic Fields. New York: McGraw-Hill, 1961, ch. 4. [16] G. L. James, “Analysis and design of TE to HE corrugated cylindrical waveguide mode converters,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 10, pp. 1059–1066, Oct. 1981. [17] R. E. Collin, Field Theory of Guided Waves. New York: McGraw-Hill, 1960, ch. 6. [18] A. Morini, T. Rozzi, and L. Zappelli, “Scattering matrix representing the transformations between modal bases in rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 213–216, Jan. 2001.

Larry W. Epp (S’82–M’85) received the B.S.E.E. degree from Bradley University, Peoria, IL, in 1984, and the M.S. and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana-Champaign, in 1990. He then joined the Jet Propulsion Laboratory, Pasadena, CA. His research interests include the finite-element method (FEM), method of moments (MOM), and modal solutions in areas such as power combining and antennas. Dr. Epp is a Professional Engineer in the State of California.

Daniel J. Hoppe (S’82–M’83–SM’00) received the B.S. and M.S. degrees in electrical engineering from the University of Wisconsin–Madison, in 1982 and 1983, and the Ph.D. degree from the University of California at Los Angeles (UCLA), in 1994. In 1984, he joined the Jet Propulsion Laboratory (JPL), Pasadena, CA, where he is currently a Principal Engineer. With the JPL, he has developed software for the solution of a number of electromagnetic scattering problems, designed microwave components for the large antennas of the Deep-Space Network, and antennas for spacecraft applications. Most recently, he has focused on diffraction modeling of large space-based telescopes. He is also a Part-Time Lecturer, teaching courses in electromagnetics and antennas at UCLA.

Daniel T. Kelley was born in Orange, NJ, on July 20, 1959. He received the Technical Electronics degree from Barstow College, Barstow, CA, in 1995. From 1976 to 1979, he designed and built precision optical instrument mountings. Since 1980, has worked as a Contractor with the Jet Propulsion Laboratory, Pasadena, CA. He is currently with Honeywell Technology Solutions Inc., Columbia, MD. His recent activities include the design for manufacturability and fabrication of electromechanical devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1055

Scalable Compact Circuit Model and Synthesis for RF CMOS Spiral Inductors Wei Gao and Zhiping Yu, Senior Member, IEEE

Abstract—A scalable industry-oriented, 24-element “2- ” compact circuit model for on-chip RF CMOS spiral inductors is presented. It has a good accuracy up to self-resonant frequency (SRF). Two levels of modeling approaches are provided, which are: 1) the fixed model, which extracts the values of circuit elements directly from the measured -parameters of a given device, achieving high accuracy, but no scalability and 2) the scalable model, in which circuit elements are related to the geometry (i.e., layout) through a set of formulas with model parameters calibrated upon a few testing devices. The synthesis procedure is also discussed, which includes the scalable model and a SPICE simulator as the evaluation method within the iteration loop. Index Terms—Compact inductors, synthesis, “2- ”.

model,

scalable,

SPICE,

Fig. 1. Diagram of the fixed model and scalable model.

spiral

I. INTRODUCTION

C

URRENTLY, spiral inductors are extensively used in modern RF CMOS integrated circuits (ICs). Many studies have been done to model them and dedicated softwares have been developed such as ASITIC [1], Momentum in ADS from Agilent Technologies, Palo Alto, CA, etc. However, they are partial-element equivalent-circuit (PEEC)-based numerical electromagnetic (EM) field solvers, and circuit designers really prefer a SPICE-format compact circuit model. One of the earlier compact models can be found in [2], and a commonly used model is the nine-element “1- ” model given in [3], which has been successfully applied to inductors on insulating substrates [4], but “1- ” model encounters serious problem to model inductors on a conductive substrate, e.g., in RF CMOS chips. Today, people turn to “2- ” types of models [5], [6]; but the model in [5] does not achieve scalability, and [6] is not complete enough to be fully realizable in SPICE. This study develops a scalable compact model, in particular, for IC foundries, and to provide circuit designers with a decent SPICE sub-circuit. A proper set of model parameters have been introduced into such an industry-oriented model so that it can be easily adapted to fit a new process only if those parameters are recalibrated before the model is released to circuit designers. There are three types of parasitic effects that must be considered in modeling spiral inductors on a lossy substrate, which

Manuscript received June 13, 2005; revised August 31, 2005. This work was supported by the Ministry of Science and Technology in China under Grant 863 (Project 2004AA1Z11050) and by Cadence under a grant. The authors are with the Institute of Microelectronics, Tsinghua University, Beijing 100084, China (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864134

are: 1) the skin and proximity effects; 2) the capacitive substrate coupling; and 3) the effect of eddy currents induced within the substrate due to magnetic coupling. All these effects will introduce loss and degrade the quality factor . However, measurements show that in modern RF IC processes, the capacitive substrate coupling is the primary effect that determines the inductor’s self-resonant-frequency (SRF) and the maxim value of quality factor , while the skin and proximity effects are minor, which will be overridden eventually when the operation frequency is approaching SRF. The eddy currents effect, however, is normally negligible because a lightly doped Si-substrate cm is used in current RF CMOS processes, and such an effect is normally not significant. Generally speaking, the compact modeling work is a fitting procedure via a certain kind of interpolating methods, as depicted in Fig. 1. In order to reduce the number of testing devices used for calibration and to expand the predictability of the model, it is a key issue to choose appropriate interpolating formulas that must be based on physical insights. Firstly, we need to develop a reasonable circuit topology; and after the values of circuit elements are properly extracted, it can give the port-parameters (e.g., -parameters) correctly, fitting in the frequency domain. This is called a fixed model and will be described in Section II. Secondly, a set of formulas are introduced, by which all values of the circuit elements can be calculated according to the device’s geometric dimensions (i.e., the layout parameters) after the model parameters in those formulas have been calibrated by some testing devices (Fig. 1). Thus, a scalable model is established, which fits in the geometry domain, and will be described in Section III. Thirdly, the comparison between the measured and simulated results will be presented in Section IV. The synthesis work is a reverse problem, which is to determine the values of geometric dimensions according to a list of predefined electrical characteristic specifications, which will be described in Section V. Finally, the conclusions are drawn in Section VI.

0018-9480/$20.00 © 2006 IEEE

1056

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 3. Topology of: (a) “1- ” and (b) “2- ” model.

Fig. 2. On-chip spiral inductor and its nine-element “1- ” model. Fig. 4. Measured (“:m”)/simulated (“:s”) effective series resistance R and inductance L of a four-and-one-half-turn inductor of TSMC 0.25-m process.

II. CIRCUIT TOPOLOGY AND FIXED MODEL A. On-Chip Spiral Inductors and Its Nine-Element “1- ” Model An on-chip spiral inductor and its nine-element “1- ” circuit model [3] are shown in Fig. 2. It is a two-port device and characterized by two-port - or -parameters. There should be a constraint: or for a linear passive network according to its reciprocal nature. Thus, -parameters can be transformed into the following six independent quantities (note: the mean value of measured and is adopted because there are inevitable experimental errors between them):

both deprived of physical meanings when the frequency goes higher because will eventually become negative, as will be well explained later. Thus, they are only two mathematical quantities at high frequencies. The dc values are or

(2)

is the dc resistance, and is the inductance when . The six quantities in (1) are mathematically equivalent to - or -parameters. However, they are more physically insightful and much easier to manage for they are all real numbers. They will be adopted as fitting targets instead of -parameters below. where

B. From “1- ” Model to the 24-Element “2- ” Model

(1)

, , , and are the equivalent inductances and quality factors seen from Port1 and Port2, respectively, with the other port acting as ac shorted. Normally and because the layout is not fully symmetric. and present the effective series inductance and resistance of the main path ( or ) at low frequencies, but they will be

The simple “1- ” model topology shown in Fig. 3(a) has serious deficiency in modeling inductors on a lossy substrate. Among the six quantities in (1), needs to be treated carefully. The measurements show that it is equal to the dc resistance first, and then rises with frequencies due to the skin and proximity effects, but after it reaches a maximum at a certain frequency , it begins to drop until reaching a negative value of the order at approximately 10 10 when frequency is sufficiently high (see Fig. 4, which will be explained later). This phenomenon is due to the distributed nature of capacitive substrate coupling that overrides the skin and proximity effects, and can only be explained and modeled by the “2- ” topology. Fig. 3(b) is the approximated topology of the “2- ” model. With a third grounded branch added, the distributed effect can be compensated. According to the definition of and in (1) and after some manipulations, we have (3)

GAO AND YU: SCALABLE COMPACT CIRCUIT MODEL AND SYNTHESIS FOR RF CMOS SPIRAL INDUCTORS

1057

paper because the eddy currents effect is not significant in our experiments. Thus, is set to zero to turn off those elements. Thus, there are only 18 elements left in the model. Two series branches ( and ) in shunt with of Fig. 5 are used to model the skin and proximity effects according to [8]. An analytical method can be found in [6] to calculate these elements. However, in this paper, a semiempirical method similar to [9] is introduced, which is simpler and more realizable in SPICE. Let Fig. 5. 24-element “2- ” circuit model.

Fig. 5 is the circuit of our 24-element “2- ” model, where some redundant elements are removed from [6] that are not so imporin Fig. 5 temtant to the circuit. Neglect the shunt capacitor porarily and assume , , and , then

(6)

where and are two empirical coefficients, which can be held as constant within a wide range, e.g., and are applied for all the inductors in our experiments. D. Extraction of Circuit Element Values From a Single Measurement—Fixed Model (4)

Again, roughly assume , at high frequencies; thus,

,

(5) Thus, can reach negative at high enough frequencies because there is an negative term on the right-hand-side of (5). (Note: negative does not imply that the passivity of the model has been violated because it is only an effective quantity with the unit of and not a real resistance, as defined from .) However, the “1- ” model of Fig. 3(a) renders a positive value of regardless of frequency because the box must be passive. Thus, “1- ” model is definitely incorrect in modeling . In Fig. 4, both and of a four-and-one-half-turn spiral inductor selected from a TSMC 0.25- m process are plotted versus frequencies. The one with suffix “ ” or “ ” is the measured or simulated values, respectively. The results simulated using our “2- ” model and using the “1- ” model provided by the foundry are both plotted. It shows that the “1- ” model gives wrong results for both and at high frequencies compared to the measured data. It predicts a high- parallel tank resonating at approximately 13 GHz and the sign of is always positive. On the contrary, the simulated results of our “2- ” model are correct at least up to the resonant frequency (approximately 15 GHz, as shown in Fig. 4). C. Model the Skin and Proximity Effects in “2- ” Model , , and ) in Fig. 5 are used Two single loops ( to model the effect of eddy currents induced within the substrate as adopted by most of current literatures [5], [7]. As for heavily doped substrates cm , those elements need to be carefully modeled. However, they will not be included in this

When the measured -parameters of a certain device are available, the values of 18 elements, as discussed so far in Fig. 5, can be extracted directly and, thus, a nonscalable fixed model is obtained. However, the “2- ” model also has its upper limit: it is valid only up to around its SRF, which is contrary to what some papers have claimed [6]. However, beyond the SRF, the spiral appears to be capacitive and can no longer be used as an inductor in a circuit. Thus, the above limitation is still acceptable for most of circuit applications. With the frequency increasing even higher, the spiral appears to be capacitive and inductive alternately, implying that it is a multipole system similar to a transmission line with finite length. Moreover, the six quantities in (1) lose their physical insights beyond the SRF; as a consequence, the fitting errors of -parameters will be noticeable. We will further discuss this case in Section IV-A. Seen from different ports, the SRF is defined as (7) The parameter extraction and fitting procedure for the fixed model are described briefly as follows. The target is to let the simulated values of each quantity in (1) be as close to the measured ones as possible. 1) Extract the dc values according to (2). 2) Choose proper values of and to let increase to capture the skin and proximity effects, fitting the data before (refer to Section II-B and Fig. 4 for its definition). In our experiments, and are applicable. 3) Tune , , , and to let fit well before resonance, and let decrease with frequencies until it reaches negative values. 4) Tune , , to let , fit well, and tune , , and to let and fit well. (Note: it is fitted up to the SRF.)

1058

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 7.

Fig. 6.

Metal lines over a ground plane.

where . However, has a complicated relationship with the geometry. Meijs and Fokkema developed an expression in [12] for it, which is as follows:

C 0 R 0 C oxide-substrate three-element model.

5) Check -parameters, and readjust all values of circuit elements to achieve an overall good match. (Please refer to the figures in Section IV-A and the section itself for more information.) III. SCALABLE “2- ” MODEL Here we will describe how to construct a scalable model, as shown in Fig. 6, where all the values of circuit elements can be calculated according to the inductor’s geometry. A. Oxide-Substrate Three-Element Model for Capacitive Substrate Coupling The oxide-substrate three-element model, , as shown in Fig. 6, for capacitive substrate coupling has been widely studied (e.g., [10]). However, these works are usually applied to model interconnects or transmission lines, and there still lacks a detailed description on how to model the capacitive substrate coupling for such a complex device as the on-chip spiral inductor. As pointed out in [11], in the three-element model is the oxide capacitance. It is equal to the dc limit of the total shunt capacitance , where , and is the total admittance of Fig. 6. Then define (8) which is equal to the high-frequency limit of the total shunt capacitance . is also equal to the total shunt capacitance when the conductivity of the substrate is set to zero (i.e., to set ), which is thus reduced to an electrostatic two-dielectric-layer problem. Since and represent the conduction and displacement currents in the substrate, respectively, they have the following constraint: (9) is the so-called relaxation-time-constant (RTC) of the Si substrate. We now consider the configuration of metal lines over a ground plane, as shown in Fig. 7. The two-dimensional (2-D) capacitance (per unit length) between a metal line of the width and a ground plane can be written as the summation of the area and sidewall capacitances (10)

(11) The last bracketed term in (11) is the spacing-dependent factor of , which is not included in [12] and is added here through . function fitting. This factor will converge to unity when Equation (11) is applicable to calculate , but not suitable for when the total height including the thickness of substrate is too big and beyond the valid range of (11). Since good expressions are not available in current literature, the method adopted here is to reoptimized the coefficients of (11). We finally have the following formula:

(12) In a modern CMOS process, there are over 20 dielectric layers. The permittivities of every two consecutive layers are normally different. Thus, when calculating , in the above formulas should be substituted with an effective value , as shown in Fig. 8(a). The value is not the same for different metal layers. As for , the effective permittivity of a two-layer problem can be calculated according to [13] (13)

where and

,

, , . is the complete elliptic integral of the first kind, and , . An approximated formula can be used for most calculations as

(14)

is the effective thickness of the substrate. It is normally smaller than the original thickness of the substrate because the path of currents will be largely shortened if a grounded tap (e.g., the grounded guard ring) exists nearby, as shown in Fig. 8(b). Thus, it is left as a fitting parameter here.

GAO AND YU: SCALABLE COMPACT CIRCUIT MODEL AND SYNTHESIS FOR RF CMOS SPIRAL INDUCTORS

1059

Fig. 9. Overlaps and the crossover angles in an inductor. Fig. 8. Effective permittivities when calculating: (a) C ox and (b) Ctt.

For the case of Fig. 9,

can be approximated as

Now the formula to calculate the overall oxide capacitance is given as (refer to Fig. 2) (15)

(21)

, where Finally, the dc resistance and inductance are

.

(16) (22) , , , and are the inner and outer where radii, respectively. is the actual metal spacing, and is the total length of the intrinsic windings. Next, partition the overall into three parts by assuming that each part of is a fixed portion of as follows:

(23) where ’s are sheet resistances of metals, and is the mutual coupling coefficient. is usually hard to be determined, and has to be left as a fitting coefficient

(17)

In our experiments, the values of the two coefficients are applicable. It is similar for the case of , except using (12) instead of (11) and letting . Thus, for ,

(24) where

,

, and

(18)

B. Elements of the Main Path in the Model The shunt capacitor is mainly due to the overlap capacitances between the intrinsic windings and the underpass. It is calculated as

(25) . The two above expressions are where from [14] and [15]. The coefficients and can be found in [14] and will be further adjusted to achieve an optimum fit. Finally, , , , and can be calculated using (6). IV. EXPERIMENTS AND MODEL PARAMETER EXTRACTION

(19) where and are two coefficients accounting for the nearly three-dimensional (3-D) fringing effect. If the crossover angle is not , as depicted in Fig. 9, (19) needs to be recast as

(20)

A. Results of the Fixed Model The measured data belong to a five-turn inductor fabricated in Chartered Semiconductor Manufacturing’s 0.13- m RF CMOS six-metal process with the top metal made of Cu. Its layout parameters are , , (the units are all micrometers), and ; the dc inductance is approximately 5.8 nH. The extracted values of circuit elements are listed in Table I. The effective series resistance and inductance , , , , and , and the -parameters are plotted in

1060

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE I EXTRACTED VALUES OF CIRCUIT ELEMENTS IN THE FIXED MODEL OF A FIVE-TURN INDUCTOR

Fig. 13. Measured (“:m”)/simulated (“:s”) Q for a five-turn inductor.

Fig. 10. Measured (“:m”)/simulated (“:s”) effective series resistance R the fixed model for a five-turn inductor.

of

Fig. 11. Measured (“:m”)/simulated (“:s”) effective series inductance L the fixed model for a five-turn inductor.

of Fig. 14. Measured (“:m”)/simulated (“:s”) for a five-turn inductor.

Fig. 15.

Fig. 12. Measured (“:m”)/simulated (“:s”) for a five-turn inductor.

L

and

L

of the fixed model

Figs. 10–14. It is shown from these figures that the fixed model has a very good accuracy up to the inductor’s SRF. Beyond the SRF, though the errors of the six quantities defined in (1) are not big as shown in Figs. 10–13, they cannot reproduce -parameters properly when the spiral becomes capacitive. It can be seen from Fig. 14 that the relative error in -parameters are significant beyond the SRF. The simulated results of the “1- ” model are also plotted in these figures. It shows that the “1- ” model can fit , , , and reasonably well, as shown in Figs. 12 and 13, but because it gives wrong results for and , as shown in Figs. 10 and 11, there is a big discrepancy of seen in Fig. 14.

S

and Q

of the fixed model

-parameters of the fixed model

Metal layers for spiral inductors.

TABLE II METAL’S MATERIAL, THICKNESS, SHEET RESISTANCE, AND HEIGHT

B. Model Parameters and Results of Scalable Model In this process, the inductor’s intrinsic windings are made of M6 and M5, which are strapped by a large number of vias. M3 and M6 are used for underpass (p2) and coplanar-pass (p1), respectively, as depicted in Fig. 15. The metal’s material, thickness, sheet resistance, and height (the distance from the substrate’s surface) are given in Table II. In this experiment, there are 14 spiral inductors fabricated and their layout parameters

GAO AND YU: SCALABLE COMPACT CIRCUIT MODEL AND SYNTHESIS FOR RF CMOS SPIRAL INDUCTORS

TABLE III GEOMETRIC DIMENSIONS (LAYOUT PARAMETERS) OF THE 14 INDUCTORS

are outlined in Table III, covering the range of and nH. The extraction procedure of the model parameters are described as follows. is easy to extract from measured -parameters. Thus, the effective sheet resistance of intrinsic windings can be calculated. It is smaller than the value of M6 and M5 in simple parallel (0.0078 ) because there are a lot of vias between them. is also easy to extract. First, according to the inductances of multiturn inductors, dominates and, thus, we get . According to small inductors, can then be obtained accordingly. As for , (11) is accurate enough. Thus, one can use (15) and (16) to calculate it, except that only the effective permittivity(ies) need(s) to be determined for each concerned metal layer. One can use a currently available 2-D electrostatic solver (e.g., Raphael from Synopsys, San Jose, CA) to calculate some characteristic capacitances (interconnect model), and then obtain these effective permittivities by function fitting. The results are , , and . can then be calculated according to (17). Additionally, the effective permittivity between M5 and M3 (used for ), i.e., , is also obtained. With , , , , and already determined and fixed, and with the constrain of (9), apply the parameter extraction and fitting procedure of the fixed model described in Section II-D, the values of and of those 14 devices can be obtained. Knowing the values of , the parameters and can be derived by function fitting of (19). Finally, with values of and (12), an optimum value of m can be obtained. There are 25 parameters in this “2- ” model. When their initial values are determined as listed in Table IV, the compact circuit model (a SPICE sub-circuit) can be immediately constructed, which is scalable with the layout parameters , , , , , and . After that, some of the above model parameters can be reoptimized globally to reduce the overall errors. Here, only 12 parameters in Table V need to be reoptimized, while the remaining parameters should be fixed because they are either constants or process-relevant values. During the global optimization, only the measured data of three devices (D6, D8, and D11) are selected as fitting targets for calibration, leaving the other devices’ data for comparisons at the final stage. After such optimization, the new values of those 12 parameters are also listed in Table V. To verify the accuracy of our scalable model, the results for another three devices (D1, D7, and D12) are plotted: the inductances normalized to their dc values in Fig. 16 and quality factors in Fig. 17. It can be seen that the errors are a bit bigger than the fixed model, but still acceptable. This is understandable for the scalable model needs to fit a wide range of geometry. The

1061

TABLE IV MODEL PARAMETERS FOR THE “2- ” SCALABLE MODEL

TABLE V PARAMETERS FOR GLOBAL OPTIMIZATION AND THEIR NEW VALUES

Fig. 16.

Normalized L

and L

Fig. 17.

Q and Q of scalable model for D1, D7, and D12.

of scalable model for D1, D7, and D12.

rms errors of -parameters and of all those 14 devices are plotted in Fig. 18. The total average error of -parameters and is approximately 3% and 5.5%, respectively.

1062

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 18. Number of inductors (in percentage) exceeding the rms error of -parameters and among the 14 inductors.

S

Q

The results from [6] are also plotted in Figs. 16 and 17. Since [6] does not point out explicitly how to calculate the elements of the capacitive substrate coupling, the method in Section III-A is used instead. Because the model in [6] is completely symmetric, and is plotted. It shows from the two only one port of figures that the results from [6] overestimate the skin and proximity effects so that rises more slowly with frequencies and, thus, is shifted toward higher frequency. Additionally, there is an unexpected kink in and in [6] beyond the SRF for multiturn inductors. Though [6] makes a good explanation in theory and tries to avoid introducing any parameters, it gives more errors in our experiments. The trouble is, when such errors occur based on the methodology of [6], they are fixed and cannot be reduced by an optimization procedure. For this reason, the approach proposed in this paper is more suitable for industrial applications.

Fig. 19.

Piecewise functions and smooth functions as the error functions.

is the operation frequency, and is the outer radius. where Only one port of , , and the SRF could be specified in (26). Defining a proper error function (or referred to as a cost function) is a key issue for the optimization. The one that exactly corresponds to (26) is the piecewise function, as shown by the solid lines in Fig. 19, which, however, is not smooth and will cause inevitable difficulty during optimization. Thus, they are replaced here by smooth functions defined as

(27)

V. SYNTHESIS OF SPIRAL INDUCTORS A. Synthesis The synthesis of spiral inductors is the inverse operation of the modeling work, where the geometric dimensions (sizes) of an inductor is to be determined so that a list of resulting electrical characteristics can meet the predefined specifications. It is also called a sizing problem. Though synthesis is independent of what kind of evaluation method is adopted to calculate those characteristics, a fast one is preferred to be included within the iteration loop because there may be a large number of iterations to be performed during the optimization. Three levels of evaluation methods are possible, which are: 1) analytical design equations, which are quite efficient, but the accuracy is normally poor; 2) a scalable circuit model with a SPICE simulator, which has acceptable accuracy and speed; and 3) a numerical EM field solver, which is the most accurate but very slow. The second choice is often the best choice. B. Specified Characteristics and Definition of the Error Function The specified characteristics of an inductor predefined for synthesis can be usually expressed as follows: or or

(26)

or or

are three coefficients to adjust the curvawhere , , and ture of the exponent functions; and , , , and are four scaling/weighting coefficients. The following values are found to be suitable: , , , and , and one can also adjust them if needed. The total error is defined as the summation of four positive parts, which are: 1) ; 2) ; 3) ; and 4) . Thus, the final error function is smooth. Furthermore, the derivative is always negative even when , which will force the optimizer to evolve toward as higher as possible if there is still room to be optimized. C. Bounds and Initial Values of Sizes The synthesis variables are sizes (geometric dimensions) of an inductor ( , , , and ); those four unknowns need to be determined during synthesis. While and are normally assumed as pre-known or constrained by a certain geometric length. The lower and upper bounds of sizes are set according to the design rules, and their initial values must be obtained before being sent to an optimizer. As a rough estimation, is viewed as the dc inductance in this phase, and is roughly estimated by (28)

GAO AND YU: SCALABLE COMPACT CIRCUIT MODEL AND SYNTHESIS FOR RF CMOS SPIRAL INDUCTORS

1063

where , and the term is the mean perimeter for circular inductors. Perform the following steps. Step 1) Initially, assume (e.g., 10 m), , , and . Step 2) With pre-known , , and the value of , use (24) to calculate and . Step 3) According to (23), solve as

(29) Step 4) Solve according to (25). Considering the available value of is discrete, i.e., it must be an integer in our experiments; so let or . (Note: is the nearest integer less than or equal to .) Thus, we may get two sets of the final synthesis results with the difference of to be 1. One can choose one set of result eventually by, for example, whose is higher. Step 5) Use (28) to solve from given . Step 6) Update according to , , , and ; e.g., for a circular layout. Update . Step 7) Go to Step 5) until converges to a certain value after several iterations. (The inner iteration is executed about 3–9 times typically.) The convergence could be rough. Step 8) Go to Step 2). If the value of does not change after performing Step 4), output , , , and , and stop. Usually, this outer iteration is executed only once. The above steps are also outlined in Fig. 20. Thus, the initial values of , , , and are obtained and ready for the next optimization operation. D. Optimization and Synthesized Results Now the above information (error function, bounds, and initial values of synthesis variables) can be plugged into an optimizer. Here we choose the Levenberg–Marquardt optimization package and include a SPICE simulator as the evaluation tool within the iteration loop. Finally, the synthesized values of , , , and can be derived when the error function reaches its minimum. One should carefully define reasonable values of specifications in (26); otherwise the synthesized results may not satisfy those specifications if they are set too strictly. E. Synthesis Example Based on the above procedure and the scalable circuit model described in Section III, assume GHz, and define the specified characteristics of an inductor and the bounds of sizes in Table VI. Then assume m and m, and perform the whole synthesis procedure. The finally synthesized sizes and resulted characteristics are also given in Table VI. It can be seen that of the 2# result (whose )

Fig. 20.

Flowchart to determine initial values of n, r , s, and w . TABLE VI RESULTS OF A SYNTHESIS EXAMPLE

is 40% more than that of 1# result so that one should surely abandon the 1# result. VI. CONCLUSIONS An industry-oriented scalable compact circuit model for RF CMOS spiral inductors has been proposed. Compared to the heuristic work in [5] and [6], it has better capability of predicting the electrical characteristics based on the geometry and is easier to be implemented in SPICE. The discussion in this paper has shown that the “1- ” model has an intrinsic deficiency (cannot explain the existence of a negative ) because it is too “lumped,” while the spiral inductor is actually a distributed system. On the contrary, the 24-element “2- ” model has a high accuracy up to its SRF. “1- ” can be considered as a first-order approximated model, and “2- ” is a model with higher order accuracy and behavior. The fixed model applies to a particular device quite well, but it is not desirable to circuit designers because of its nonscalability. On the contrary, the scalable model is preferable. The key issue in scalable model is to find a set of formulas through which the values of all circuit elements can be calculated according to the geometric dimensions. The shape of the inductors in our experiments is circular, but the method of this scalable model can be easily applied to other shapes such as rectangular or octagonal. This model can

1064

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

be easily adapted to fit a new process provided that the model parameters listed in Table IV are recalibrated. The synthesis procedure described in this paper works well for spiral inductors, which is very helpful to circuit designers. Since the optimization iteration loop includes a scalable circuit model and a SPICE simulator as the evaluation method, it is much faster than using numerical EM field solvers and good accuracy is also guaranteed. ACKNOWLEDGMENT The authors are grateful to the access of large amount of measurement data from the Chartered Semiconductor Manufacturing (CSM) Company, Singapore, especially the internship for author W. Gao, which was arranged by Dr. S. Chu, CSM. The authors are also grateful for the collaboration with Cadence, San Jose, CA, under the leadership of Dr. P. Chen in the project.

[11] A. Weisshaar, L. Hai, and A. Luoh, “Accurate closed-form expressions for the frequency-dependent line parameters of on-chip interconnects on lossy silicon substrate,” IEEE Trans. Adv. Packag., vol. 25, no. 2, pp. 288–296, May 2002. [12] N. v. d. Meijs and J. T. Fokkema, “VLSI circuit reconstruction from mask topology,” Integration, vol. 2, no. 2, pp. 85–119, 1984. [13] Y. J. Yoon and B. Kim, “A new formula for effective dielectric constant in multi-dielectric layer microstrip structure,” in IEEE Elect. Performance Electron. Packag. Conf., Oct. 2000, pp. 163–167. [14] S. S. Mohan, M. del Mar Hershenson, S. P. Boyd, and T. H. Lee, “Simple accurate expressions for planar spiral inductances,” IEEE J. Solid-State Circuits, vol. 34, pp. 1419–1424, Oct. 1999. [15] S. S. Mohan, “The design, modeling and optimization of on-chip inductor and transformer circuits,” Ph.D. dissertation, Dept. Elect. Eng., Stanford Univ., Stanford, CA, 1999.

Wei Gao was born in Ningbo, China, in 1979. He received the B.S.E.E. degree from Tsinghua University, Beijing, China, in 2001, and is currently working toward the Ph.D. degree at Tsinghua University. His research interest is in the area of compact modeling of passive components in RF CMOS circuits.

REFERENCES [1] A. M. Niknejad, R. Gharpurey, and R. G. Meyer, “Numerically stable green function for modeling and analysis of substrate coupling in integrated circuits,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 17, no. 4, pp. 305–315, Apr. 1998. [2] N. M. Nguyen and R. G. Meyer, “Si IC-compatible inductors and LC passive filters,” IEEE J. Solid-State Circuits, vol. 25, no. 4, pp. 1028–1031, Aug. 1990. [3] C. P. Yue and S. S. Wong, “Physical modeling of spiral inductors on silicon,” IEEE Trans. Electron Devices, vol. 47, no. 3, pp. 560–568, Mar. 2000. [4] P. Pieters et al., “Accurate modeling of high- spiral inductors in thin-film multilayer technology for wireless telecommunication applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 4, pp. 589–599, Apr. 2001. [5] A. C. Watson, D. Melendy, P. Francis, K. Hwang, and A. Weisshaar, “A comprehensive compact-modeling methodology for spiral inductors in silicon-based RFICs,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 849–857, Mar. 2004. [6] Y. Cao, R. A. Groves, X. Huang, N. D. Zamdmer, J. O. Plouchart, R. A. Wachnik, T.-J. King, and C. Hu, “Frequency-independent equivalentcircuit model for on-chip spiral inductors,” IEEE J. Solid-State Circuits, vol. 38, no. 3, pp. 419–426, Mar. 2003. [7] D. Melendy, P. Francis, C. Pichler, K. Hwang, G. Srinivasan, and A. Weisshaar, “A new wide-band compact model for spiral inductors in RFICs,” IEEE Electron Device Lett., vol. 23, no. 5, pp. 273–275, May 2002. [8] L. F. Tiemeijer, D. Leenaerts, N. Pavlovic, and R. Havens, “Record spiral inductors in standard CMOS,” in Int. Electron Devices Meeting Tech. Dig., Dec. 2001, pp. 40.7.1–40.7.3. [9] S. Kim and D. P. Neikirk, “Compact equivalent circuit model for the skin effect,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3, Jun. 1996, pp. 1815–1818. [10] J. Zheng, Y.-C. Hahm, V. K. Tripathi, and A. Weisshaar, “CAD-oriented equivalent-circuit modeling of on-chip interconnects on lossy silicon substrate,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1443–1451, Sep. 2000.

Q

Q

Zhiping Yu (S’80–M’80–SM’94) received the B.S. degree from Tsinghua University, Beijing, China, in 1967, and the M.S. and Ph.D. degrees from Stanford University, Stanford, CA, in 1980, and 1985, respectively. He is currently a Professor and the Deputy Director of the Institute of Microelectronics, Tsinghua University. From 1989 to 2002, he was a Senior Research Scientist with the Department of Electrical Engineering, Stanford University, while serving as a faculty member with Tsinghua University. In September 2002, he returned full time to Tsinghua University, where he currently holds Pericom Microelectronics Professorship (2002–2004) established by the Pericom Semiconductor Corporation, San Jose, CA. He has authored or coauthored over 170 technical papers and coauthored a book concerning technology computer-aided design (TCAD). He possesses extensive consulting experience with major IC/computer companies including Hewlett-Packard (HP) (with HP Laboratories for ten years), TSMC, and Cypress Semiconductor, all located in Silicon Valley, CA. He was one of the chief architects for the IC-computer-aided design (CAD) system called Panda in China during the 1980s. He currently leads several research projects founded by grants from the Ministry of Science and Technology in China (973 and 863 Plans) and from the National Science Foundation in China. His research interests include device simulation for nanoscale MOSFETs, quantum transport in nanoelectronic devices, compact circuit modeling of passive and active components in RF CMOS, and numerical analysis techniques. Dr. Yu is currently the associate editor for the IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, for which he is responsible for TCAD-related field. He was the recipient of the National First Prize for Science and Technology Advancement in 1993 for The Panda project.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1065

Extension and Error Analysis of the Microstrip Transmission-Line Method for the Broad-Band Measurement of the Permeability Tensor Stéphane Mallégol and Patrick Quéffélec, Member, IEEE

Abstract—In this paper, the theory associated with a noniterative and broad-band method for measuring the permeability tensor and is extended in components order to simultaneously determine the test sample-relative permit. The error sources of the method are equally tivity presented and quantified. Detailed theoretical and experimental studies are performed to estimate the errors in the determination of , , and due to the quasi-static approximation for their calculation, as well as the uncertainty in the measured -parameters of the test cell and samples length. These define the frequency-validity domain of the method.

=

=

=

Index Terms—Anisotropic media, ferrites, permeability, permittivity, propagation constant, scattering parameters.

I. INTRODUCTION

D

UE TO their good insulating behavior at microwave frequencies, ferrites are widely employed to design two major classes of electronic devices for telecommunication systems (see, e.g., [1] and references therein). On one side, the field-dependant isotropic contribution to their permeability is used to realize reciprocal devices (filters, resonators, phase shifter, etc.). On the other side, their magnetic field-induced anisotropy property (represented by a tensorial permeability) is exploited for the achievement of nonreciprocal devices such as circulators and isolators. The optimal design of future nonreciprocal devices requires to work out experimental characterization methods taking into account the properties of magnetic media as the permeability response dependence on the material-sample shape and size through demagnetizing effects [2], etc. Thus, one should test the sample within the same shape and size, as well as in neighboring conditions as the planar microwave circuit to be designed: the sample location in the circuit, the external bias field (application direction and value), and a broad frequency band of study, including the circuit application one. In other words, in situ and broad-band measurement methods are of crucial interest. Moreover, those methods must allow one to verify

Manuscript received March 24, 2005; revised November 7, 2005. S. Mallégol is with the Mitsubishi Information Technology Centre Europe Telecommunication Laboratory, 35708 Rennes, France (e-mail: [email protected]). P. Quéffélec is with the Laboratory of Electronics and Systems of Telecommunication, 29238 Brest, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864132

the predictive character of the permeability tensor-theoretical approaches. With the above as motivation, a microstrip transmission-line measurement technique was developed by our research team [3]. and nondiagonal It provides the diagonal permeability tensor terms of rectangular anisotropic magnetized samples over a wide frequency range (from continuum up to 10 GHz). No iterative procedure is advantageously needed, similar to the approaches by Nicolson and Ross [4], and Weir [5] for characterizing isotropic materials. The principle of the method will be briefly reminded in Section II-A. The goal of this paper is twofold. At first, the theoretical analysis described in [3] will be extended in order to also determine the complex relative permittivity of the test sample and, therein a single experiment. fore, obtain , , and This will improve the self-consistency of the method. For that purpose, a new explicit formula of will be proposed, independently from . Currently, the previous knowledge of versus frequency is indeed necessary to obtain [3], implying the use of an additional experimental characterization technique. Our second aim is to accurately quantify the sources of errors associated with the method. This will firstly enable us to establish the optimum electromagnetic configuration of the nonreciprocal test cell for a sufficiently sensitive determination of , , and . The frequency-validity domain of the method will then be defined. II. EXTENSION OF THE MEASUREMENT METHOD A. Brief Remind of the Method Principle The reflection/transmission characterization method of and is based on a microstrip transmission-line measurement cell partially loaded with the magnetic sample to be tested (Fig. 1). The cell is derived from asymmetrical and nonreciprocal dielectric-loaded ferrite waveguide structures [1], and edge-mode microstrip isolators [6]. Nonreciprocity is indeed essential to provide both and . Such an effect is obtained by inserting the test sample between materials with different dielectric constants and applying a transverse static magnetic field , as shown in Fig. 1. This generates a transverse field displacement that depends on the wave propagation direction in the magnetic sample [1], [6]. By using the extension of the transmission lines theory to

0018-9480/$20.00 © 2006 IEEE

1066

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

where is a complex magnetoelectric parameter, i.e., the characteristic memductance [7] that has been exploited to account for the cell nonreciprocity. denotes the complex inductance per unit of length for the cell-loaded region [7] (5) where is the dielectric and magnetic samples thickness ( distance between the ground plane and conducting strip [see Fig. 1]). Owing to the , relations [7], [8], one can write (6) Fig. 1. Nonreciprocal test cell loaded with: (A) the magnetic and dielectric samples and (B) its transmission-lines schematic representation.

with [3] (7)

include anisotropic and gyrotropic media [7], [8], and considering magnetic walls at both edges of the cell strip (at , see Fig. 1), we expressed and by [3]

(1)

where

is the samples length along the -axis of propagation. represent the -parameters for the loaded section of the cell. They are equally linked to with the use of an analytical relation [3]. Now, by including (5) and (6) in (4) and replacing in (3) by the obtained expression, we define

(2) where denotes the radian frequency, is the half-width of the test sample (Fig. 1), and and are the permittivity and permeability of free space, respectively. The terms and are the complex propagation constants for the forward and backward propagation directions of the dominant mode in the nonreciprocal line. They are analytically calculated from the measured scattering parameters of this line [3]. B. Simultaneous Determination of , , and According to (1), the determination of requires to judiciously know , not only at a single frequency point, but over the whole frequency band exploited in practice. Thus, eventual frequency-dispersive electric properties of the magnetic sample under test, e.g., when the sample is composed of conducting elements such as a ferromagnetic one, can be accounted for. To do so, until now we employed a home-built measurement capability of and based on a reciprocal microstrip line [9]. Here, a generalization of the theoretical analysis associated with the nonreciprocal microstrip-transmission line test cell [3] is proposed to estimate both , , and by using only one measurement setup. Let us firstly consider the expression of the coefficient that characterizes the reflection at the first discontinuity between loaded and empty sections of the cell (Fig. 1) (3) where and are the characteristic impedances of the section of the cell without and with (forward wave propagation) slabs (Fig. 1). is deduced from the telegrapher equations of the nonreciprocal line (4)

(8) Based on this new equation and considering (7), the term can now be directly derived from the -parameters of the region of the cell with materials without the requirement of . This advantageously permits one to express from (1) after the calculation of

(9) Thus, according to (2), (8), and (9), the electromagnetic properties , , and of (de)magnetized rectangular samples can be simultaneously obtained versus frequency. III. ERROR SOURCES ASSOCIATED WITH THE METHOD The determination of , , and by using the microstrip transmission-line method is affected by the following errors. The systematic errors due to the test cell (conductors and radiation losses), and the hyperfrequency (HF) instrumentation used to perform measurements, i.e., electronic components of the vectorial network analyzer (VNA) providing the -parameters of the cell, coaxial cables, and subminiature A (SMA) connectors that link the VNA to the cell. These errors are minimized thanks to a short-open-load-thru (SOLT) calibration procedure by placing the cell without materials over the “thru” transmission correction [3]. If so, the cell-intrinsic losses mentioned above are considered, as is confirmed by the -parameters modulus levels of our cell after calibration (HP 8720A VNA, 801 data points): under 60 dB for and between 0.02 dB for up to 10 GHz ( mm, mm, Fig. 1). It is worth noting that our cell dimensions ( strip thickness mm, strip length mm) are chosen so that its characteristic impedance is 50 , without material (air substrate), with a strip-flatness near 1 m at both the - and -directions.

MALLÉGOL AND QUÉFFÉLEC: EXTENSION AND ERROR ANALYSIS OF MICROSTRIP TRANSMISSION-LINE METHOD

Moreover, the systematic errors include those attributed to the measured -parameters correction procedure applied to shift the reference planes position from calibration ones to the samples ends (along the -axis) and obtain the -parameters for the cell-loaded region. This is indeed necessary to use (2), (8), and (9). To validate this procedure and the newly proposed (8) and (9), dielectrics with well-known relative permittivity media have been tested. In any case, the measured , , and values are in accordance with those expected . For example, Fig. 2(a) illustrates the and data obtained for a 5 5 1.8 mm sample of alumina placed between 5 5 1.8 mm pieces of foam and alumina : , , , and at 1 GHz. • The random errors are linked to the following. — The noise figure of the VNA used. These errors can be reduced by averaging a set of -parameters measurements realized by the VNA. — The resonances that appear in addition to the magnetic sample gyromagnetic one. As previously mentioned by many authors, these resonances are linked to the samples dimensions (see, e.g., [10]). For our purpose, their appearing frequency also depends on the effective relative permittivity of the cell substrate, i.e., the relative permittivity of the test sample and the dielectric media. At this frequency and above, the -parameters of the cell tend toward zero. In particular, their phases become unexploitable. This provides a huge uncertainty in the test sample electromagnetic properties measurement, especially for , and, therefore, restricts the exploited frequency band. For example, Fig. 2(a) indicates that the measured value of the alumina slab under test differs from the expected one (9.8) above 5 GHz and completely diverges near 9 GHz where the additional resonances appear. The measurement of is equally affected by these resonances, with negatives values after 5 GHz and two poles at 8.72 and 9.53 GHz [see Fig. 2(a)]. • The uncertainty in the cell and samples dimensions. Its contribution to the measurement results accuracy is reduced by using a micrometer for the dimensions estimation. • The air gaps between the samples lower and upper interfaces and, respectively, the ground plane and conducting strip of the test cell (Fig. 1). They are not taken into account in the theory described in Section II, but can affect the measurement of , , and . Indeed, their presence, i.e., the existence of unwanted air layers below the strip, will result in the effective electromagnetic properties determination of a composite medium made of the air and test sample layers. This effect is shown in Fig. 2(b) for and when successively loading our cell with alumina test samples of various thicknesses : mm , mm, and mm. As expected, the increase in the air gaps thickness below the strip implies a reduction of the measured levels ( in any case) and, thus, an increase in the corresponding measurement uncertainty. To overcome such an air-gaps influence, samples should be machined with a thickness equal to (Fig. 1): 1.8 mm for our purpose, with an accuracy of 1 m. • The uncertainty in the determination of . It is relative to the cell nonreciprocity, i.e., it is mainly correlated to the

0

1067

0

Fig. 2. (a) Measured  =  j and " = " j" data versus frequency for the manufactured test cell (b = 4:5 mm, h = 1:8 mm) loaded with a 5 5 1.8 mm sample of alumina (" = 9:8) inserted between 5 5 1.8 mm pieces of foam (" = 1:07 j 10 ) and alumina (" = 9:8 j 10 ). (b) Measured  , " for alumina test samples of different thicknesses (t): 1.8, 1.2, and 0.6 mm. Same cell configuration as (a).

22

0

22 0

dielectric media-relative permittivity contrast . This will be detailed in Section IV. • The errors attributed to the quasi-static approach employed to develop the theory associated with the cell. As mentioned in Section II, one indeed needs to assume the existence of magnetic walls at (Fig. 1) to obtain the analytical relations of , , and . To verify the validity of such an assumption in our case, the behavior of the RF magnetic -field in the cell has been simulated (HFSS software, release 9.2, Ansoft Corporation, Santa Rosa, CA), versus frequency and the applied static magnetic field . For example, such a behavior is shown in Fig. 3 (at 4 GHz, kOe, forward wave propagation) for 5 5 1.8 mm ferrite ( kG, Oe, ) and dielectric slabs ( , ). One can observe that the RF -field is effectively perpendicular to the -plane, for , qualitatively evidencing the magnetic walls hypothesis. This has equally been noticed at for the backward wave propagation. Another possible source of error lies in the hypothesis of a quasi-TEM single fundamental propagation mode. Thus, although some errors are minimized during the experimental process (modified SOLT calibration, -parameters measurement averaging, etc.), other subsist (uncertainty due to the samples dimensions, the quasi-TEM approximation, etc.). These errors will be estimated below in order to determine the validity domain of the measurement method.

1068

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1H

H b : M "

Fig. 3. Simulated RF magnetic ( )-field at 4 GHz (HFSS Software, release 9.2) for the nonreciprocal test cell ( = 4 5 mm, = 1 8 mm) loaded with a = 1 2 kG, = 40 Oe, = 5) 5 5 1.8 mm piece of ferrite (4 and 5 5 1.8 mm dielectric slabs ( = 1, = 15). = 1 3 kOe.

2 2 2 2

: "

h

: 1H H

:

"

IV. OPTIMIZATION OF THE TEST CELL SENSITIVITY Here, the influence of the choice of the dielectric media inserted in the measurement cell and their dimensions on the magnetic test sample microwave characterization is highlighted. These experimental observations are aimed at finding the cell-optimal configuration for a sensitive characterization of the test sample over a frequency band as wide as possible. One has to note that a 5 5 1.8 mm piece of compressible foam with a relative permittivity close to the air one ( [11]) has been used as dielectric 1 (Fig. 1) during experiments. Such a slab permits one to do the following. — Hold the test sample in the cell, during the sample-magnetization process, and guarantee that the interfaces between the sample line and the air lines are transverse to the propagation direction (Fig. 1). — Avoid a noncentering of the test sample below the conducting strip (the sample is hidden by the strip). This allows one to minimize the errors in the positions of the test sample and dielectrics interfaces (at , Fig. 1) that may act upon the nonreciprocity of the cell. A. Choice of the High-Dielectric Constant Slab Dimensions In practice, the dielectric slabs used to obtain the nonreciprocity of the test cell overlap both edges of its conducting strip (along the -axis, Fig. 1). However, the theory associated with the cell does not take this overlap into account. Indeed, in order to calculate , , and with using no iterative technique, the dielectric slabs width is chosen so that their external faces correspond with the edges of the conducting strip (at , Fig. 1). In this case, the microwave energy is assumed to be mainly concentrated in the cell region below the conducting strip. The dielectric media overlap mentioned above may favor a spreading of the microwave energy out of this region, owing to possible fringing fields for and [6]. These fields imply edge admittances [6] that are not accounted for by the theory that we have performed. This can affect the characterization of the test sample, and particularly

S

S

Fig. 4. Modulus of the measured - and -parameter for the test cell = 1 2 kG, containing a 5 5 1.8 mm bulk Y–Al ferrite sample (4 = 14 9). The ferrite is placed between a 5 5 1.8 mm piece = 40 Oe, of foam ( = 1 07 10 ) and either a 5 2 1.8 mm , 5 5 1.8 mm or 5 7.5 1.8 mm slab of alumina ( = 9 8 10 ). = 0 5 kOe.

2

"

2

2 2 : " : 0j

"

2 2 : 0j

: M 22 2 2 H :

the determination of . To observe the (non)existence of such an unexpected phenomenon, samples of various widths, all manufactured in the same high dielectric-constant material have been successively inserted in the cell. Fig. 4 presents the frequency dependence of the and -parameter modulus for the cell loaded with a 5 5 1.8 mm Y–Al bulk ferrite sample (saturation magnetization: kG, gyromagnetic resonance linewidth Oe, ). The high dielectric-constant medium is a piece of alumina of 5 2 1.8 mm (the alumina slab end perfectly fits with the conducting strip edge at , Fig. 1), 5 5 1.8 mm or 5 7.5 1.8 mm . The ferrite is partially magnetized kOe . Thus, a nonvariation of and , versus the alumina sample width is clearly relieved. It has equally been observed for the other measured -parameters and the other high-dielectric media, e.g., porous titania TiO , inserted in the cell. It experimentally highlights that the microwave energy is indeed chiefly located between the ground plane and the conducting strip of the cell, independent from the high dielectric-constant slab width. In practice, this last one (5 mm) is chosen so that the sample machining is simplified. B. Optimum Relative Permittivity Contrast The goal here is to evidence how the relative permittivity contrast of the dielectric media used to provide a nonreciprocal field-displacement effect in the measurement cell may affect the determination of , , and for the test sample. To do so, various media with high dielectric constant have been successively employed. Fig. 5 presents the measured and values versus frequency for the 5 5 1.8 mm Y–Al ferrite sample ( kG, Oe, , kOe). The ferrite is surrounded with the foam slab and a 5 5 1.8 mm slab of either alumina, with a volume fraction of Al O , C or TiO , with a volume fraction of Ti, C . One can firstly notice that the use of the TiO sample restricts the exploited frequency band to 5.53 GHz. At higher frequencies, the physical condition of nonnegative energy loss in the tested magnetic material is indeed not respected [ , Fig. 5(a)], owing to the additional resonances mentioned in Section III. When

MALLÉGOL AND QUÉFFÉLEC: EXTENSION AND ERROR ANALYSIS OF MICROSTRIP TRANSMISSION-LINE METHOD

1069

2 2 ( = 1 07 0 10 ) 2 = 73% ( = 15 5 0 10 ) =15

Fig. 6. Measured  versus frequency for the 5 5 1.8 mm Y–Al ferrite sample inserted between 5 5 1.8 mm pieces of foam " : j and TiO with a volume fraction of Ti, C : j or " C : j .H : kOe. "

2 2 2 = 89% ( = 29 6 0 10 )

= 0 2 2 2 2

= 0

Fig. 5. Measured   j and   j versus frequency for the test cell loaded with the 5 5 1.8 mm bulk Y–Al ferrite. (a) . (b) . The ferrite is placed between 5 5 1.8 mm pieces of foam " : j and either alumina (volume fraction of Al O C : ," : j ) or titania (TiO , volume fraction of Ti C ," : ). H : kOe. j

10 10

= 15

( = 1 07 0 10 ) : = 99 5% = 9 8 0 : 2 = 73% = 15 5 0

using the alumina slab, this condition is verified up to 8.5 GHz [see Fig. 5(a)]. At these frequencies, the corresponding values of do not explicitly depend on the choice of the high-dielectric constant slab [see Fig. 5(b)]. This is essentially due to the direct dependence between and the difference of the forward and backward wave-propagation constants and . Furthermore, the use of the alumina or TiO sample has no influence on the measurement of up to 5.53 GHz and, especially, around the gyromagnetic resonance frequency GHz , but it clearly affects the determination of . In fact, the applied magnetic field strength (1.5 kOe) is such as the ferrite is saturated. If so, as predicted by Polder’s theory [12], the magnitudes of the real and imaginary parts and of should be close to the and one, near . This is effectively the case when the TiO slab is used, whereas when employing the alumina sample, the and levels completely diverge. For example, the measured and values are 6.99 and 7.07 (relative uncertainty of 1.1%), respectively, at 3.05 GHz, when TiO . The corresponding values for (alumina) are 6.62 and 0.845, with a relative uncertainty of 683%. Fig. 6 describes the permeability tensor term obtained for the same ferrite and dc-bias field as above when considering a 5 5 1.8 mm TiO sample with a volume fraction of Ti, C or 89% . Thus, the use of a dielectric medium with a dielectric constant close to 15 or 30 has no significant influence on the measurement. However, the high value of the real part of reduces the exploited frequency range of the characterization technique to 3.5 GHz.

= 0

2 2 ( = : 1 = 99 5% 2 = 73% ( = =0

Fig. 7. Measured " " j" versus frequency for the 5 5 1.8 mm Y–Al ferrite sample placed between 5 5 1.8 mm pieces of foam " and either alumina (volume fraction of Al O C : , : j " : j ) or TiO with a volume fraction of Ti, C " : j or C " : j .H kOe.

2 2

1 07 0 10 ) = 9 8 0 10 15 5 0 10 ) 2 = 89% ( = 29 6 0 10 )

The previous remarks regarding the results shown in Figs. 5 and 6 are also valid for the other ferrite materials that we have tested. Therefore, one can deduce that the use of a 5 5 1.8 mm TiO piece with allows us the following. — Provide an optimum nonreciprocal field-displacement effect in the test cell to measure the permeability tensor terms and with enough sensitivity, — Employ the measurement method over a sufficiently wide range of frequency, e.g., up to 5.53 GHz for the dimensions of the studied ferrite sample 5 5 1.8 mm . One should note that this experimental limit frequency can be shifted toward higher or lower frequency by the proper choice of the test sample width [13]. Moreover, the real part of the measured relative permittivity for the studied ferrite well agrees with the one given by its manufacturer, i.e., at 8.3 GHz, by using a resonant cavity method [14]. This is evidenced in Fig. 7 for the completely demagnetized ferrite kOe and all the high-dielectric constant samples previously considered. For example, at 1 GHz, when the TiO slab with is inserted in the test cell. As expected, the corresponding imaginary part is close to zero within the exploited frequency band, with, e.g., at 1 GHz, when . Hence, the ferrite microwave electrical isolating character is verified.

1070

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

V. VALIDITY DOMAIN OF THE QUASI-TEM APPROXIMATION The quasi-static approach used to analytically relate , , and to the test cell -parameters lies on the assumption that a quasi-TEM single fundamental mode propagates in the line. Such an assumption is valid only when the RF fields longitudinal components in the propagation structure can be neglected compared with the transversal one [6]. When the working frequency increases, the quasi-TEM mode hypothesis becomes less justified. As a result, the uncertainty in the measurement of the test material electromagnetic parameters can be widely increased. To quantify this, we have performed a dynamic electromagnetic analysis. It considers the longitudinal dependence of the RF fields in the cell, as well as the dielectrics used during experiments.

A. Dynamic Electromagnetic Analysis The calculation procedure starts with the determination of the RF - and -fields in each region of the measurement cell, i.e., in the region containing the magnetic sample under test , Fig. 1), as well as the regions with the dielectric ( for dielectric 1, for dielectric 2, slabs ( Fig. 1). To do so, the propagation of a dominant TE mode in the cell is considered identically to the work of Hines [6]. This is effective for a microstrip propagation structure with a conducting strip wide enough compared with the substrate thickness; this one being less than one half-wavelength (no TM mode) [6]. Such a condition is verified for our test cell whose strip width and substrate thickness are 9 and 1.8 mm, respectively. Moreover, evanescent modes may be excited in the vicinity of the boundaries between the air lines ( lines, Fig. 1) and the samlines). However, these are not accounted ples loaded one ( for in the proposed analysis. This is related to the use of a modified SOLT calibration procedure allowing one to precisely locate the reference planes at the samples ends (see Section III) lines. and, thus, only consider the : To ex1) Fields in the Magnetic Sample press the RF - and -fields in the magnetic sample, the Helinitially needs to be solved by taking an moltz equation for temporal dependence for the RF fields into account. -plane to an electric wall By identifying in addition the over the whole propagation structure, i.e., for (TE mode) and considering the continuity conditions for the RF and (Fig. 1), one obtains fields components in

represent arbitrary integration constants. The where and is the desired complex propagation constant along term , and denotes the propagation constant along with and, when considering the solutions

(11) of (11),

(12) 2) Fields in the Dielectric Samples: To provide the RF and -fields in the regions of the test cell containing dielectrics 1 and 2 (Fig. 1), magnetic walls are initially considered at the ) [6]. This is traduced by a conducting strip edges (at zero -field component along the -axis of propagation [6], at and at , with i.e., index 1 (respectively, 2) referred to dielectric 1 (respectively, 2). By equally exploiting the continuity conditions for the fields components at the dielectrics magnetic sample interfaces (at ), the RF fields in the dielectric media are

(13)

(14) where and

and

also identify to arbitrary integration constants

3) Dispersion Relation: After the expression of the RF fields in each cell region, the next step consists of applying the transversal RF fields components continuity conditions at the ) boundaries. As a result, the dielectrics test sample (at following four-equation system is established:

(15) (10)

MALLÉGOL AND QUÉFFÉLEC: EXTENSION AND ERROR ANALYSIS OF MICROSTRIP TRANSMISSION-LINE METHOD

1071

where corresponds to the equation shown at bottom of this page. to and the geoThe dispersion relation that relates metric and electromagnetic parameters of the cell is then estabequal to zero as follished by making the determinant of lows:

(16) with

Finally, the resolution of (16) provides rocal microstrip transmission line.

for the nonrecip-

B. Comparison Between Dynamic and Quasi-Static and The frequency dependence for the propagation constants derived from the above dynamic analysis and the quasi-static one [7], [8] is now highlighted versus the magnetization state of the magnetic material. The validity-limit frequency for the quasi-static approach is assumed to be reached since the relative uncertainty between and exceeds 5%. This typically corresponds to the order of uncertainty in the microwave characterization of a magnetic material through a transmission/reflection broad-band measurement method due to experimental process systematic and random errors [9]. and values, the relative To compare the simulated permittivity contrast for an optimum-measurement sensitivity , , see Section IV-B) ( has been considered. Moreover, the permeability tensor model by Gelin and Berthou [15] has been used to estimate the freand quency behavior of and required to calculate both . For realistic simulations of and , we have chosen , magnetocristalline anisotropy model-input parameters ( , damping factor: , reduced magnetization: field:

Fig. 8. Frequency dependence for the dynamic ( = + j ) and quasi-static ( = + j ) propagation constants as a function of the magnetic sample magnetization state. The input parameters of the calculation code are 4Ms = 1:2 kG, Ha = 205 Oe, (a) m = 0 and = 0:55, (b) m = 0:7 and = 0:3, a = 3:5 mm, b = 4:5 mm, h = 1:8 mm (quasi-static approach), " = 15 j 10 , " = 1:07 j 10 , " = 15:5 j 10

, and .

0

0

0

1

) that well agree with those needed to make the theoretical and values correspond to the experimental one for (de)magnetized soft ferrites [13]. Fig. 8(a) and (b) illustrates, respectively, a comparison beand when the test sample is a 5 7 1.8 mm fertween kG in a completely demagnetized ( , rite ) or partially magnetized state . The input parameters of the developed programming software kG, Oe, and are [see Fig. 8(a)], and [see Fig. 8(b)], mm, mm, mm (quasi-static approach), , , . [see Fig. 8(a)], a good accordance between and For is noticed all over the exploited frequency band. This is the case at low frequencies, especially near 1 GHz, i.e., around the material gyromagnetic resonance frequency. For example, the and are 101.83 and 101.52 rad m phase constants

1072

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

at 1 GHz, which provides a relative uncertainty of 0.3%. At 6 GHz, the corresponding relative uncertainty is 2.1% ( rad m , rad m ). Such an increase in and with frequency is the relative uncertainty between mainly correlated to the radian frequency dependence for the in dielectric 2 (14), RF -field longitudinal component is ignored by the quasi-static analysis. Furtherwhereas more, the relative uncertainty between the attenuation constants and is 3.5% at 1 GHz ( Np m , Np m ), whereas it is 4.26% at 6 GHz ( Np m , Np m ). When [see for a backward wave in the Fig. 8(b)], the phase constant one for the propagation structure also well agrees with the considered frequency range with, e.g., a relative uncertainty of rad m , rad m ) and 3.94% ( rad m , rad m ) at 6 4.23% ( and 7 GHz, respectively. However, the difference between and explicitly increases with frequency, above 4 GHz [see , ) relative uncertainties are Fig. 8(b)]. For example, the ( 6.99% and 7.9% at 6 and 7 GHz, respectively. Additional studies have compared the evolution of the dynamic and quasi-static propagation constants as a function of frequency and relative permittivity of the test sample while fixing its magnetization state. This is illustrated in Fig. 9 for a demagnetized 5 7 1.8 mm bulk ferrite slab with a relative permittivity real part of 12.5, 15, 17.5, or 20 for an imaginary part of 0.001 (typical values of conventional ferrites). kG, The other calculation code inputs are Oe, , Gelin and Berthou model [15]), mm, mm, mm, , . and

Fig. 9. Relative uncertainty between the dynamic ( ) and quasi-static ( ) phase constants as a function of the real part of the test ferrite relative permittivity. The input parameters of the calculation code are 4Ms = 1:2 kG, Ha = 205 Oe, m = 0, = 0:55, a = 3:5 mm, b = 4:5 mm, h = 1:8 mm, " = 12:5; 15; 17:5m or 20, " = 10 , " = 1:07 j 10 , " = 15:5 j 10 .

0

0

The partial derivatives in (17) are calculated from [10]

(18)

where still relates to the reflection at the discontinuity between loaded and empty sections of the cell (Fig. 1) and characterizes the corresponding transmission. The derivatives in (18) can be deduced from through (8). When the sample under test is partially magnetized or saturated, one finds [ and deduced from (7)]

VI. MEASUREMENT ERRORS OF , , AND To quantify the measurement errors in , , and , attributed to the uncertainty in the modulus and phase of the measured -parameters of the cell, and the test sample dimensions, we have extended a previous study for dielectrics [10] to the case of anisotropic magnetic materials. A. Uncertainty Formulas At first, we assume that the absolute uncertainties in the real and imaginary parts and of are given by (17), shown at the bottom of this page, where and are the magnitude and phase of the measured -parameter for the loaded section of the test cell with uncertainties and . The term denotes the absolute uncertainty in the samples length measurement.

(19)

(17)

MALLÉGOL AND QUÉFFÉLEC: EXTENSION AND ERROR ANALYSIS OF MICROSTRIP TRANSMISSION-LINE METHOD

1073

with (20)

(21) The derivative in (19) is calculated from (8), whereas the derivatives , , , , and are obtained by accounting for

(22) is chosen so that . and the sign for At last, the uncertainties and are determined by replacing the derivatives in (18) by their calculated expressions (19) and including the resulting relations in (17) after their decomposition in real and imaginary parts. For a demagnetized test sample, the derivatives of (19) should, however, be recalculated with considering the cell reciprocity ( , [(7)]) with . When and are known, the corresponding uncertainties and in the measurement of the off-diagonal term of the permeability tensor are determined by derivating (2) versus and identifying the real and imaginary parts of each member of the following resulting equation :

(23)

Similarly, the uncertainties and in the test samplerelative permittivity are deduced from (9). Thus, for a demagnetized test sample, one obtains

(24) B. Results for a Conventional Ferrite Fig. 10 depicts the variations of the measurement errors in and for the saturated 5 5 1.8 mm Y–Al ferrite ( kG, kOe) whose and values have been presented in Fig. 5 ( , ).

 and  versus 4M = 1:2 kG, 1 1 H = 1:5 kOe.

Fig. 10. Absolute uncertainties in the measurement of frequency for the saturated 5 5 1.8 mm Y–Al ferrite ( Oe, ). (a) , . (b) , .

1H = 40

2 2 " = 14:9 1 1

The frequency range of study ( 6 GHz) agrees with the one defined in Section IV-B. The uncertainties and have been estimated from specifications for the HP8720A VNA used during experiments [16]. The uncertainty corresponds to the accuracy of the micrometer employed to estimate the sample dimensions m . Note that only the absolute uncertainties and are presented here. This is due to the zero value of at the ferrite gyroresonance frequency GHz and above, and the zero one at (Fig. 5) that make the corresponding relative uncertainties diverge. At first, a decrease in and is seen in Fig. 10(a) below 1.5 GHz. The reason for that lies in the increase of and at these frequencies. For example, at 1 GHz, giving [ at 1 GHz, Fig. 5(a)]. The inaccuracy peak above 3 GHz is related to the ferrite-gyroresonance [see Fig. 5(a)]. At higher frequencies, where , , and the -parameters-magnitudes are stable, both and become almost constant. Furthermore, the frequency dependences of and [see Fig. 10(b)] are in good agreement with the and one, owing to the explicit link between those quantities (23). The and relative uncertainties for the same ferrite as above, but demagnetized, are shown in Fig. 11(a). One can refer to Fig. 11(b) for the measured frequency dependence of the tested sample, whereas its values are described in Fig. 7. Thus, the uncertainties and are under 5% up to 6 GHz. For example, is 1.04% at 1.5 GHz. The values of and are also reduced with, e.g., and at 1.5 GHz. One should notice that the high values at low frequencies

1074

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

The method thus completed provides a salient tool for the in situ microwave characterization of anisotropic magnetic media and the investigation of new materials, e.g., magnetic nanocomposites, for nonreciprocal applications [17]. An interesting extension of the method would consist in accounting for a cell cross-sectional heterogeneity along the dc-bias field direction, similarly to the work in [18], but for circulators. If so, anisotropic magnetic films of various thicknesses could be tested with the same cell by considering air gaps between the film upper interface and cell strip.

ACKNOWLEDGMENT The authors wish to thank the Microwave Department, Ecole Nationale Supérieure des Télécommunications (ENST) de Bretagne, Plouzané, France, for fabricating the test cell. D. Rozuel, Laboratory of Electronics and Systems of Telecommunication, Brest, France, is also acknowledged for the machining of the tested samples.

REFERENCES Fig. 11. (a) Relative uncertainties in the initial permeability  and relative permittivity " for the demagnetized 5 5 1.8 mm Y–Al ferrite. (b) Corresponding frequency dependence for the ferrite initial permeability.

2 2

are related to the low dielectric losses of the ferrite (Fig. 7). Moreover, ripples appear on the spectrum, below 1 GHz. These are consistent with the one noticed on the spectrum (Fig. 7), but amplified. VII. CONCLUSION The theory of the broad-band method we previously worked out for measuring the permeability tensor terms and [3] was completed to also provide the relative permittivity of the test sample. This was done by presenting a new -independent equation analytically relating to the -parameters of the nonreciprocal measurement cell. Moreover, the sources of inaccuracy in the determination of , , and were highlighted and estimated for our test cell loaded with commercial ferrites. We demonstrated that a good agreement between the , , and measurement sensitivity and the exploitable frequency range of our cell ( 6 GHz for a 5 5 1.8 mm Y–Al ferrite) was obtained when surrounding the test sample by two low-loss dielectric slabs with dielectric constants of 1.07 and 15.5. We then focused on the inaccuracy due to the quasi-static analysis used to determine , , and . For that, a dynamic electromagnetic analysis including the RF fields longitudinal components in the cell was proposed. The simulations that we made showed that the relative uncertainties between the dynamic and quasi-static propagation constants in our cell were under 5% when considering (de)magnetized ferrites with a realistic value. Finally, a uncertainty analysis was developed to evaluate the , , and measurement errors due to the measured -parameters and samples length one. These were equally reduced up to 6 GHz for the ferrites that we had characterized.

[1] J. D. Adam, L. E. Davis, G. F. Dionne, E. F. Schloemann, and S. N. Stitzer, “Ferrite devices and materials,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 721–737, Mar. 2002. [2] M. Pardavi-Horvath, “Microwave applications of soft ferrites,” J. Magn. Magn. Mater., vol. 215–216, pp. 171–183, Jun. 2000. [3] P. Quéffélec, S. Mallégol, and M. Le Floc’h, “Automatic measurement of complex tensorial permeability of magnetized materials in a wide microwave frequency range,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2128–2134, Sep. 2002. [4] A. M. Nicolson and G. F. Ross, “Measurement of the intrinsic properties of materials by time-domain techniques,” IEEE Trans. Instrum. Meas., vol. IM-19, pp. 377–382, Nov. 1970. [5] W. B. Weir, “Automatic measurement of complex dielectric constant and permeability at microwave frequencies,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974. [6] M. E. Hines, “Reciprocal and non reciprocal modes of propagation in ferrite stripline and microstrip devices,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 5, pp. 442–451, May 1971. [7] R. Marqués, F. Mesa, and F. Medina, “Generalized quasi-TEM approximation and telegrapher equations for nonreciprocal ferrite-loaded transmission lines,” IEEE Microw. Guided Wave Lett., vol. 10, no. 6, pp. 225–227, Jun. 2000. , “Correction to: ‘Generalized quasi-TEM approximation and teleg[8] rapher equations for nonreciprocal ferrite-loaded transmission lines’,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 11, p. 467, Nov. 2001. [9] P. Quéffélec, P. Gelin, J. Gieraltowski, and J. Loaec, “A microstrip device for the broad-band simultaneous measurement of complex permeability and permittivity,” IEEE Trans. Magn., vol. 30, no. 3, pp. 224–231, Mar. 1994. [10] J. Baker-Jarvis, E. J. Vanzura, and W. A. Kissick, “Improved technique for determining complex permittivity with the transmission/reflection method,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1096–1103, Aug. 1990. [11] H. Lattard, C. Person, J. P. Coupez, and S. Toutain, “Procédé pour fabriquer un substrat localement inhomogène d’un circuit hyperfréquence, substrats, circuits et interconnexions ainsi réalisés,” French Patent 13427, Oct. 27, 1997. [12] D. Polder, “On the theory of ferromagnetic resonance,” Philos. Mag., vol. 40, p. 99, 1949. [13] S. Mallégol, P. Quéffélec, M. Le Floc’h, and P. Gelin, “Theoretical and experimental determination of the permeability tensor components of magnetized ferrites at microwave frequencies,” IEEE Trans. Magn., vol. 39, no. 4, pp. 2003–2008, Jul. 2003. [14] “Microwave ferrite materials,” Temex, Sophia-Antipolis, France, Company catalog ref. Y35, [Online]. Available: http://www.temex-components.com/temex/catalog/TEM01.pdf.

MALLÉGOL AND QUÉFFÉLEC: EXTENSION AND ERROR ANALYSIS OF MICROSTRIP TRANSMISSION-LINE METHOD

[15] P. Gelin and K. B. Pichavant, “New consistent model for ferrite permeability tensor with arbitrary magnetization state,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1185–1192, Aug. 1997. [Online]. Available: http://www.univ-brest.fr/lest/caracterization/. [16] Agilent, “Agilent 8720E family microwave vector network analyzers,” Agilent Technol., Palo Alto, CA, Lit. 5968-5163E. [17] S. Mallégol, C. Brosseau, P. Quéffélec, and A.-M. Konn, “Size dependence and field-induced magnetic anisotropy of granular nanophases. Application to microwave isolation,” J. Magn. Magn. Mater., vol. 272–276, pp. 1518–1520, May 2004. [18] H. How et al., “Theory and experiment of thin-film junction circulator,” IEEE Trans. Microw. Theory Tech, vol. 46, no. 11, pp. 1645–1653, Nov. 1998.

Stéphane Mallégol was born in Morlaix, France, in 1976. He received the Ph.D. degree in electronics from the University of Brest, Brest, France, in 2003. He is currently a Research and Development Engineer within the Mitsubishi Information Technology Centre Europe (ITE) Telecommunication Laboratory, Rennes, France. His research interests focus on new material electromagnetic studies, together with design, implementation, and integration of RF/microwave devices for ultra-wideband telecommunication systems based on impulse radio.

1075

Patrick Quéffélec (M’99) received the Ph.D. and Habilitation degrees from the University of Brest, Brest, France, in 1994 and 2002, respectively. He is currently a Professor with the Laboratory of Electronics and Systems of Telecommunication (LEST) [a research unit associated with the French National Research Council (Unité Mixte de Recherche (UMR) Centre National de la Recherche Scientifique (CNRS) no. 6165)], Brest, France. His research deals with the electromagnetic-wave propagation in heterogeneous and anisotropic media. He also proposes new approaches in materials and measurements for microwave ferrites and devices. Motivated by the applications of new magnetic materials in nonreciprocal or tunable devices, he investigates the fundamental properties of magnetoelectric nanocomposites at microwave frequency.

1076

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Reflection Angles of In-Phase and Split Counter-Rotating Eigenvalues of the Three-Port Circulator Joseph Helszajn, Fellow, IEEE

Abstract—The reflection angle of the in-phase eigenvalue and that of the reflection coefficient at port 1 of a terminated circulator are equal at a unique frequency at which the gyrotropy produces a return loss of 9.5 dB. The frequency response of the terminated circulator, beyond that value of gyrotropy, displays four such frequencies. The angles of the reflection coefficients at the inner two frequencies also coincide with the in-phase reflection angle. The two outside frequencies at which the return loss at port 1 are 9.5 dB coincide with the counter-rotating reflection angles. Below this value of gyrotropy, the angles of the reflection coefficient are equal to those of the split counter-rotating eigenvalues under these same conditions. A knowledge of the three reflection angles is both necessary and sufficient for the characterization of this class of circuit at both its midband and split frequencies. Index Terms—Circulators, eigenvalues, nonreciprocal devices, reflection angles.

I. INTRODUCTION

T

HE three-port circulator can be described by either its scattering or immittance matrices or its corresponding eigenvalues. There are, therefore, six possible descriptions altogether of such a junction. The use of any description is partly a matter of preference and partly a matter of the choice of terminals of the problem region under consideration. One common approximation employed in the description of this class of circuit is to assume that the so-called in-phase eigenvalue can be approximated by an electric wall at its terminals. Means of testing this assumption are, in practice, however, not straightforward. One fundamental means of extracting the eigenvalues of a symmetrical circulator is obtained by adjusting the phases of generator settings at each port in order to coincide with those of the three possible eigenvectors of the junction [1]–[9]. Another means of doing so is achieved by measuring the amplitudes and phases of each scattering parameter of the device [10]. Still another way by which the eigenvalues may be deduced is by making a number of measurements at one port of the junction with the other two terminated in various loads [11]–[16]. The input impedance at port 1 of the terminated circulator under the assumption that the in-phase eigen-network at the split frequencies may be idealized by an electric wall has been dealt with in [13] and [14]. It allows the gyrator conductance, the split frequencies of the counter-rotating eigen-networks, and the quality

factor of the circuit to be readily revealed. The purpose of this paper is to introduce still another method, which is valid at a number of discrete frequencies. It relies on the observation that the phase angle of the reflection coefficient at port 1 of a terminated circulator is either that of the in-phase or those of the counter-rotating eigen-networks whenever the return loss passes through 9.5 dB. The in-phase reflection eigenvalue is shown to be that of the reflection coefficient at a typical port of the circulator when the split counter-rotating ones are displaced by 180 on the eigenvalue diagram. This condition is first met at a unique value of gyrotropy. Beyond this value of gyrotropy, the frequency response of the return loss displays four frequencies at which the return loss at port 1 is 9.5 dB. The angles of the reflection coefficient at the two inner frequencies also correspond to those of the in-phase eigen-network. These observations allow the usual assumption that the in-phase eigen-network displays an electric wall in many circulator designs to be verified. It is shown that the reflection angles of the split counter-rotating eigen-networks are also equal to those of the reflection coefficient under the same arrangement for all values of gyrotropy. This paper includes some detailed experimental data on this feature on one stripline circulator. A new one-port equivalent circuit of the terminated circulator, which incorporates the in-phase eigen-network, has also been realized. It applies to the situation for which the reflection angle of the circulator coincides with that of the in-phase reflection angle. The notion of the complex gyrator circuit in the design of the three-port circulator is separately understood [17]–[21]. II. EIGENVALUES OF THE THREE-PORT CIRCULATOR The adjustment of a typical reciprocal or nonreciprocal symmetrical junction is facilitated by decomposing its reflection coefficient in terms of its eigenvalues [1]–[3]. The required result, in the case of a symmetrical three-port junction, is (1) where (2)

Manuscript received April 19, 2005; revised August 19, 2005. The author is with the Department of Computing and Electrical Engineering, Heriot-Watt University, Riccarton, Edinburgh EH14 4AS, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864130 0018-9480/$20.00 © 2006 IEEE

(3) (4)

HELSZAJN: REFLECTION ANGLES OF IN-PHASE AND SPLIT COUNTER-ROTATING EIGENVALUES OF THREE-PORT CIRCULATOR

Fig. 1.

1077

Fig. 2.

Schematic diagram of stripline circulator.

Fig. 3.

Smith chart of terminated circulator for parametric values of H =M .

Relationship between reflection angles and radian length.

is the in-phase reflection coefficient and are the counter-rotating ones. is connected with a magnetic wall on the axis of the junction and are connected with an electric wall there. and are the reflection angles of the degenerate in-phase and counter-rotating eigen-networks. are the reflection angles due to the gyrotropy. For symmetric splitting, the two are related by (5) The reflection angle is not to be confused with the transmission angle of a typical eigen-network. The connection between the two is (6) where (7)

III. SMITH CHART OF THE TERMINATED CIRCULATOR

and (8) where is the midband radian frequency of the junction and is the regular radian frequency. are the relative wave impedances of the counter-rotating eigen-networks in a uniform reciprocal line. It has a value of approximately 0.25 for a typical ferrite material. The relationship between and in a reciprocal line is (9) Fig. 1 indicates the relationship between

The objective of this study is to extract the angles of the in-phase and split counter-rotating eigenvalues from a number of single measurements of the reflection coefficient at port 1 of a terminated junction. The model employed here assumes that the reflection angle of the in-phase eigen-network is stationary with frequency and that the angle associated with the gyrotropy is also frequency invariant.

and .

The geometry of the circulator employed here is indicated in Fig. 2. The reference plane adopted is that at the plane of the gyromagnetic resonator. It is fixed by replacing the resonator by a metallic plug of the same radius. It is assumed, in fixing this calibration, that the electrical and mechanical terminals of the junction are one and the same. An experimental Smith chart of the frequency response of the admittance at port 1 of such a terminated circulator of one typical 2.0-GHz stripline circulator is indicated in Fig. 3 for parametric values of gyrotropy. A 9.5-dB return-loss circle has been superimposed on this chart for clarity. It indicates that the return loss passes through 9.5 dB at a number of discrete frequencies. The purpose of this paper is to demonstrate that the angle of the reflection coefficient at

1078

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

port 1 is equal to that of the in-phase reflection eigenvalue or to either those of the counter-rotating ones whenever this is the case. There is one such frequency in a demagnetized junction, two such frequencies in a weakly magnetized geometry, three such frequencies at a unique value of gyrotropy, and four such frequencies in a strongly magnetized junction. The middle frequency in the case of three discrete points is associated with the in-phase eigen-network, the two inner frequencies in the case of four such points are also connected with the in-phase eigen-network. All other such frequencies coincide with those of the split counter-rotating eigen-networks. The return loss of a demagnetized junction also takes on a value of 9.5 dB at the passband frequency. The character of the immittance is that of a shunt circuit for the normalized gyrator conductance ( ) less than and a series one above this value. The experimental arrangement employed in obtaining these results consisted of a degree-1 junction circulator symmetrically coupled by 50- lines. The coupling angle of the junction is 0.286 rad; the radius of the resonator is 12.7 mm. The garnet is a calcium vanadium garnet (CVG) material with a magnetization equal to 0.0400 T and a relative dielectric constant of 14.1. The midband frequency is approximately 2.0 GHz. The Smith chart in Fig. 3 has historically been used to measure the gyrator conductance, split frequencies of the counter-rotating modes, and the quality factor of the circuit. It is extended here to extract the reflection angles of each eigennetwork entering into its description.

Fig. 4. Extraction of in-phase reflection angle ( 3).

p

=

 ; jS

j

= 1=3; g =

IV. PHASE ANGLE OF IN-PHASE EIGENVALUE A scrutiny of the relationship between the reflection coefficient at port 1 of the terminated circulator and the eigenvalues of the junction indicates that the amplitude of the former takes the value of 1/3 whenever any two of the three eigenvalues are in antiphase. The angle of the reflection coefficient is then that of the remaining eigenvalue. The in-phase reflection angle at discrete frequencies is obtained by setting the arithmetic mean of the counter-rotating eigenvalues to zero as follows: (10) Introducing this condition in the relationship between the reflection coefficient at port 1 of the junction and the eigenvalues of the problem region gives the required result

Fig. 5. Extraction of in-phase reflection angle.

(11) (12) In obtaining this result,

is defined as (13)

The angles of the reflection coefficient and that of the in-phase eigen-network are, therefore, in this situation equal. This condition is satisfied in the vicinity of the midband frequency at a value of gyrotropy for which the return loss has a single value of 9.5 dB and at two discrete frequencies above this value of gyrotropy. The immittances of the terminated circulator at each of these points is essentially real. The eigenvalue

diagram at which the former condition applies is depicted in Fig. 4. The eigenvalue diagram of the latter situation is shown in Fig. 5. It assumes that the reflection vectors do not rotate in unison around the eigenvalue diagram as the frequency is varied. This feature may be understood by recognizing that there is not a one-to-one correspondence between the reflection and transmission angles. The angles of the reflection coefficient of the in-phase eigen-network at discrete frequencies obtained in this way are indicated in Fig. 6 for parametric values of the normalized direct magnetic field intensity . It shows that the in-phase eigen-network may, for the geometry under consideration, be represented by an electric wall at the terminals of the junction as is often asserted.

HELSZAJN: REFLECTION ANGLES OF IN-PHASE AND SPLIT COUNTER-ROTATING EIGENVALUES OF THREE-PORT CIRCULATOR

1079

Fig. 7. In-phase equivalent circuit of terminated circulator in absence of gyrator circuit.

Fig. 6.

Reflection angle of in-phase eigen-network for parametric values of

H =M (experiment).

Fig. 8. In-phase equivalent circuit of terminated circulator in presence of gyrator circuit.

V. IN-PHASE EQUIVALENT CIRCUIT OF THE TERMINATED CIRCULATOR A general description of the one-port network of the terminated circulator in the presence of the in-phase eigen-network still awaits a solution. It can, however, be readily specialized for the eigenvalue diagram in Fig. 4. It is fixed by

The synthesis procedure continues by forming as follows:

instead of

(21) (14) (15) (16) The derivation starts by making use of the bilinear relation between reflection and impedance. This gives

This one-port impedance function is readily realized as a series connection of a resistive and reactive impedance and completes the synthesis procedure. The equivalent circuit of obtained in this way is depicted in Fig. 7. It may be used to extract the in-phase eigenvalue from a measurement of . The circuit in this illustration may also be rewired to embody an ideal gyrator with a value [25]

(17) is the absolute reactance of the in-phase eigen-netwhere work and is a pure imaginary number, is the characteristic impedance of the input line. The corresponding input admittance is (18) The realization of a one-port circuit that satisfies this immittance function starts by extracting a conductance from . The remainder immittance is

(22) This value of gyrator conductance is in keeping with the eigenvalue diagram in Fig. 4. The resulting equivalent circuit of the junction is illustrated in Fig. 8. It degenerates to that of the real part condition when is zero. There is also a relationship between and the imaginary part of . It is readily obtained by writing as (23) The real and imaginary parts of

(24)

(19) Constructing

in terms of

are

(25)

gives (20)

The latter equation allows ment of .

to be extracted from a measure-

1080

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

In the case of the geometry under consideration,

The reactance at the terminals of the in-phase eigen-network is, in this instance, given by

It is also possible to relate this quantity to the in-phase reflection angle. The bilinear relationship between the two is (26) The reflection angle obtained in this way is specified by (27) Evaluating this angle for the circuit under consideration gives

Fig. 9. Eigenvalue diagrams of counter-rotating reflection angles.

This value of is in excellent agreement with that obtained from the measurement of the reflection angle of the terminated circulator in Fig. 8. The equivalent circuit of the terminated circulator, which displays the in-phase eigen-network at a single frequency, is actually applicable whenever the arithmetic mean of the counter-rotating eigenvalues is equal to zero.

shown in Fig. 9. The reflection coefficient is displayed by the eigenvalue diagram at and the eigenvalue at . In the case of symmetry, (30)

(31)

VI. PHASE ANGLES OF COUNTER-ROTATING EIGENVALUES The pairs of frequencies at port 1 of a terminated circulator at which the return loss passes through 9.5 dB other than those that coincide with the in-phase eigen-network are associated with the counter-rotating eigen-networks. This is a classic result [15]. A scrutiny of the relationship between the reflection coefficient at port 1 and the eigenvalues of the problem for any value of gyrotropy indicates that it is also possible to extract the split phase angles of the circuit. This may be done by setting (28) and (29) Introducing these boundary conditions, one at a time, into the relationship between the reflection coefficient and the eigenvalues indicates that the split angles of the counter-rotating eigenvalues are also equal to the reflection coefficient at port 1. The possible eigenvalue diagrams at , , and are

and (32)

(33) The eigenvalue diagrams at provide one means of measuring the split frequencies of the counter-rotating eigen-networks, as is well understood [15]. It also allows the reflection angles to be extracted at , but not at . One way to do so at is to have recourse to the connection between the gyrator conductance and the split counter-rotating reflection angles. A scrutiny of the eigenvalue diagrams at suggests at first sight that the angle subtended by should be . That this is not so in practice produced some preliminary discomfort. The reason for this failure is, as already noted, that the reflection angle does not have a linear dependence on the transmission one so that there is no one to one correspondence

HELSZAJN: REFLECTION ANGLES OF IN-PHASE AND SPLIT COUNTER-ROTATING EIGENVALUES OF THREE-PORT CIRCULATOR

1081

Fig. 10. Eigenvalue diagram of degenerate counter-rotating reflection angles ( = 2 = 2 ; jS j = 1=3).

between the two. One effect of this feature is that the split reflection vectors do not move in unison around the eigenvalue diagram with frequency. Fig. 10 illustrates the classic eigenvalue diagram of a demagnetized three-port junction. VII. GYRATOR CONDUCTANCE One way to obtain the phase angles of the counter-rotating reflection coefficients in terms of is to construct that of the gyrator conductance. This quantity is not, of course, to be confused with the real part of the terminated circulator. It is related to the direct magnetic field intensity. The connection between the normalized gyrator conductance of the circuit and the counter-rotating susceptances of the junction is

Fig. 11. Experimental relationship between split counter-rotating reflection angles and direct magnetic field intensity.

Combining the preceding equations gives (34) (41)

There is also a relationship between the split counter-rotating susceptances and the split reflection angles. It is obtained by having recourse to the usual bilinear transformation between reflection and admittance. The required result is (35) (36) The result in the reflection plane with

is given by (37)

(42)

and obtained here is The relationship between superimposed in Fig. 11 on that at the cutoff frequencies for the sake of comparison. A scrutiny of these two results indicates that the reflection angles of the counter-rotating eigen-networks are approximately twice those at the center frequency of the junction for equal or less than 0.20, in keeping with the eigenvalue diagrams in Fig. 9.

This may also be written as VIII. COMPLEX GYRATOR CIRCUIT (38) There is also a connection between the gyrator conductance of a terminated circulator and the midband voltage standingwave ratio (VSWR) at a typical port [15], [16] (39) (40)

at is not only sufficient to establish the A knowledge of gyrator conductance of the circulator, but may also be employed to deduce the susceptance slope parameter and the quality factor in the reflection plane of its complex gyrator circuit. The development of these quantities requires a description of both the real and imaginary parts of the complex gyrator circuit of the circulator. The former is described by (34), the latter is described by (43)

1082

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

The development of the required classic relationship now starts by defining the normalized susceptance slope parameter in terms of the split quantities at the 9.5-dB return-loss frequencies by

(44)

In obtaining this relationship, use has been made of the fact that the susceptance at is and that, at , it is . The former quantities may, of course, be deduced experimentally in terms of the counter-rotating reflection angles under the same conditions. A quality factor is also associated with the complex gyrator circuit of a circulator. It may now be obtained by making use of the connection between the split susceptances and gyrator conductances at the split frequencies of the junction (45) (46)

Fig. 12.

Real part condition of terminated circulator.

at a typical port. Its topology at the center frequency is reproduced in Fig. 12 [13], [14]. This model and the one above both assume that the in-phase eigen-network has been idealized by an electric wall at the terminals of the resonator. The circuit in this illustration may be readily employed to deduce the classic connection between the gyrator conductance and VSWR at the terminals of the device. The required derivation starts by writing the input conductance of the circuit. It is given by inspection by (51) Solving for follows:

produces the required result without ado as

(52)

Combining the preceding equations gives (47) This equation is met provided (48) The above condition implies, in keeping with the eigenvalue diagrams, that the reflection angles at the split frequencies are twice those of the midband one. The former equation reduces to the classical result for in a simpler manner than previously articulated as follows: (49) This equation is sometimes written as [23] (50) The quality factor of the complex gyrator circuit fixes the gainbandwidth product of the circulator, as is well understood. IX. REAL PART EQUIVALENT CIRCUIT OF THE TERMINATED CIRCULATOR The nature of the equivalent circuit of the terminated circulator has been dealt with by a number of researchers. One model is obtained by adjusting the elements of a series connection of two parallel resonators in order to reproduce the frequency response of the real and imaginary parts of the circulator immittance [22]–[24]. The quantities under consideration may be directly constructed from the Smith chart in Fig. 2. Another more satisfying model, which embodies a gyrator circuit, is based on the synthesis of the immittance of the device

This result is compatible with (25) and (26). The general equivalent circuit on which Fig. 12 is based does not only reproduce the correct real part condition, but also the split frequencies of the circulator. It separately satisfies the reflection coefficient of the eigenvalue diagram in Fig. 7. It does not, however, directly display the in-phase eigen-network, which has been idealized in the synthesis problem [13], [14]. X. CONCLUSION The angles of the reflection coefficient at which the return loss of a terminated circulator passes through 9.5 dB coincide with either the split ones of the counter-rotating reflection eigenvalues or that of the in-phase one. The single value at the midband of the device is that of the in-phase one. There are two such frequencies below this value of gyrotropy and four such frequencies above it. The two inner ones, in the latter situation, coincide with the in-phase reflection angle and the outer ones with the split counter-rotating angles. The two frequencies in the former one coincide with the split counter-rotating eigenvalues. It is already understood that the reflection angle of a demagnetized symmetrical junction coincide with the degenerate counter-rotating eigen-networks of the circuit. This paper includes the development of a new one-port equivalent circuit of the terminated circulator in the presence of the in-phase eigennetwork. These conditions in conjunction with existing relationships are sufficient for the characterization of the circulator. ACKNOWLEDGMENT The author would like to thank Filtronic-Comtek, East Kilbride Country, U.K., for the experimental facilities. The author would also like to thank Dr. J. Sharp, Napier University, Edinburgh, U.K., for his support.

HELSZAJN: REFLECTION ANGLES OF IN-PHASE AND SPLIT COUNTER-ROTATING EIGENVALUES OF THREE-PORT CIRCULATOR

REFERENCES [1] T. Schaug-Patterson, “Novel design of a 3-port circulator,” Norwegian Defence Res. Establishment, Norway, Rep. R-59, Jan. 1958. [2] B. A. Auld, “The synthesis of symmetrical waveguide circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-7, no. 4, pp. 238–246, Apr. 1959. [3] U. Milano, J. H. Saunders, and L. Davis, Jr., “A Y -junction strip-line circulator,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 5, pp. 346–350, May 1960. [4] R. H. Knerr, “A thin film lumped element circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 12, pp. 1152–1154, Dec. 1969. [5] J. Helszajn, “The adjustment of the m-port single junction circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 10, pp. 705–711, Oct. 1970. [6] , “Three-resonant mode adjustment of the waveguide circulator,” Radio Electron. Eng., vol. 42, pp. 357–360, May 1972. [7] R. H. Knerr and C. E. Barnes, “A compact broad-band thin film lumped element L-band circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1100–1108, Dec. 1970. [8] B. Owen, “The identification of model resonances in ferrite loaded waveguide Y-junction and their adjustment for circulation,” Bell Syst. Tech. J., vol. 51, pp. 595–627, Mar. 1972. [9] B. Owen and C. E. Barnes, “The compact turnstile circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1096–1100, Dec. 1970. [10] G. Riblet, “Measurement of the equivalent admittance of 3-port circulators via an automated measurement system,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 5, pp. 401–405, May 1977. [11] J. Helszajn and J. Sharp, “Adjustment of in-phase mode in turnstile circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 4, pp. 339–343, Apr. 1985. [12] C. Schieblich and U. Goebel, “Complete determination of circulator eigenvalues without transmission phase measurement,” in IEEE MTT-S Int. Microw. Symp. Dig., 1985, pp. 489–492. [13] G. Bittar and G. Veszely, “A general equivalent network of the input impedance of symmetrical three port circulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 7, pp. 807–808, Jul. 1980. [14] J. Helszajn, “one-port topology of the degree-1 and -2 terminated circulator,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 147, no. 6, pp. 437–443, Dec. 2000. , “Microwave measurement techniques for below resonance junc[15] tion circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-21, no. 5, pp. 347–351, May 1973. , “Experimental evaluation of junction circulators: A review,” [16] Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 141, no. 5, pp. 351–358, Oct. 1994. [17] B. L. Humphreys and J. B. Davies, “The synthesis of N -port networks,” IRE Trans. Microw. Theory Tech., vol. MTT-10, no. 11, pp. 551–554, Nov. 1962. [18] J. W. Simon, “Broadband strip-transmission line Y-junction circulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 5, pp. 335–345, May 1965. [19] J. Helszajn, “A ferrite ring stripline circulator,” Radio Electron Eng., vol. 32, pp. 55–60, Jul. 1966. [20] S. J. Salay and H. J. Peppiatt, “An accurate junction circulator design procedure,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 2, pp. 192–193, Feb. 1972. [21] E. Schwarz, “Empirische Synthese verlustloser, symmetrischer Zirkulatoren,” Arch. Elektron. Uebertrag. (AEU), vol. 20, pp. 621–625, Nov. 1966. [22] C. E. Fay and P. Comstock, “Operation of the ferrite junction circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 1, pp. 15–27, Jan. 1965. [23] S. J. Salay and H. J. Peppiatt, “Input impedance behavior of stripline circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 1, pp. 109–110, Jan. 1971.

1083

[24] Y. S. Wu and F. J. Rosenbaum, “Wideband operation of microstrip circulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 10, pp. 849–856, Oct. 1974. [25] B. D. H. Tellegen, “The gyrator, a new electric network element,” Philips Res. Rep., vol. 3, pp. 81–101, 1948.

Joseph Helszajn (M’64–SM’87–F’92) received the M.S.E.E. degree in electrical engineering from the University of Santa Clara, Santa Clara, in 1964, the Ph.D. degree from The University of Leeds, Leeds, U.K., in 1969, for his work on spin-wave instabilities in magnetic insulators at large radio frequency signal level, the D.Sc. degree from Heriot-Watt University, Edinburgh, U.K., in 1974, for his early collected works on gyromagnetic devices and circuits, and the D.Eng. degree from The University of Leeds, in 1995, for his ongoing works. He is an international authority on nonreciprocal microwave circuits and devices. He gained his first qualification at what is now the University of North London and then undertook National Service in the Royal Air Force (1955–1957). He acquired his early industrial experience with Raytheon Inc., Sylvania Electric Inc., and Microwave Associates Inc. on the east and west coasts of the U.S. In 1971, he joined the Department of Electrical and Electronic Engineering, Heriot-Watt University, at which time he was instrumental in laying the foundation of what is now its Microwave Laboratory. In 1982, he became a personal Chair in microwave engineering with the Department of Electrical and Electronic Engineering, Heriot-Watt University. During part of the 1987 academic year, he was a Distinguished Visiting Professor with Arizona State University. He has authored 12 engineering text books, which have unified the important nonreciprocal branch of microwave engineering: Principles of Microwave Ferrite Engineering (Wiley, 1969), Non-reciprocal Microwave Junctions & Circulators (Wiley, 1975) (Chinese translation in press), Passive and Active Microwave Circuits (Wiley, 1978) (hardback reprint, 1980), (Russian translation, 1981), YIG Resonators and Filters (Wiley, 1985), Ferrite Phase Shifters and Control Devices (McGraw-Hill, 1989), Synthesis of Lumped Element, Distributed and Planar Filter Circuits (McGraw-Hill, 1990), Microwave Engineering; Passive, Active and Non-Reciprocal Circuits (McGraw-Hill, 1992) (paperback reprint, 1993), Microwave Passive Planar Circuits and Filters (Wiley, 1993) (hardback reprint, 1994), Green’s Function, Finite Elements and Microwave Planar Circuits (Wiley, 1996), Theory and Practice of Waveguide Junction Circulators (Wiley, 1998), Ridge Waveguide and Passive Microwave Components (IEE Press, 2000), The Stripline Circulator: Theory and Practice (Wiley, 2004). He has authored or coauthored over 155 papers in both the industry and university sectors. Until recently, he was one of two Honorary Editors for over 18 years of the Proceedings of the Institution of Electrical Engineers (Part H: Microwaves, Antennas and Propagation). His recent position in industry (as a consultant to Ferranti, M.o.D. contract worker, and international consultant to a number of major North American companies) has been recognized by his appointments as Honorary Vice-President of Research of Apollo Microwave, Montreal, QC, Canada, and as Director of Eurowave Ltd., Edinburgh, U.K. Prof. Helszajn is a Chartered Engineer (C.Eng.) in the U.K. He is a Fellow of the Institution of Electrical Engineers (IEE), U.K., the Royal Society of Arts, the City and Guilds Institute, the Royal Society of Edinburgh, and the Royal Academy of Engineering. He was until recently a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the 1995 IEE J. J. Thomson Medal. He was appointed an Officer of the Order of the British Empire (OBE) in the 1997 Queen’s Birthday Honors List. He was also the recipient of a 2004 Napier University Honorary Doctorate of Engineering Degree (D.Eng.).

1084

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Wide-Band Microstrip-to-Coplanar Stripline/Slotline Transitions Wen-Hua Tu, Student Member, IEEE, and Kai Chang, Fellow, IEEE

Abstract—Wide-band microstrip line to coplanar stripline (CPS) transitions are proposed. The transition consists of a multisection matching transformer and a quarter-wavelength radial stub for the impedance matching and field matching between the microstrip line and CPS, respectively. The proposed planar transition has the advantages of compact size, wide bandwidth, and straightforward design procedure. Several parameters are studied through simulations and experiments to derive some design guidelines. With the return loss of better than 14 dB, the 1and 3-dB back-to-back insertion loss bandwidth can cover from 1.4 to 6.6 GHz (1 : 4.7) and from 1.1 to 10.5 GHz (1 : 9.6), respectively. In addition, the microstrip-to-CPS transition is extended to design a microstrip-to-slotline transition by tapering the CPS into a slotline. From 2.7 to 10.4 GHz (1 : 3.85), the back-to-back return loss is better than 15 dB and the insertion loss is less than 3 dB. Index Terms—Balun, coplanar stripline (CPS), slotline, transition.

I. INTRODUCTION

T

HE COPLANAR stripline (CPS) is a balanced uniplanar transmission line with the advantages of compact size, ease of mounting lumped components in serious or shunt configuration, and low discontinuity parasitics. The uniplanar characteristics of the CPS also eliminate the need for a via-hole that introduces parasitic effects. Based on the above advantages, the CPS has found many applications in microwave circuits such as filters [1], mixers [2], phase shifters [3], and dipole antennas [4]. On the other hand, since the microstrip line is still one of the most popular transmission lines, the microstrip-to-CPS transition with wide bandwidth, low loss, and simple structure is required in order to fully take advantage of these two transmission lines. Several microstrip-to-CPS transitions have been reported. The transition based on the mode conversion has shown a 3-dB back-to-back insertion loss bandwidth of 59% [5]. As an improved design of [5], a 3-dB back-to-back insertion loss bandwidth of 68% is achieved [6]. In addition, the transition using the coupling method shows a 2.4-dB back-to-back insertion loss bandwidth of 18% [7]. The above transitions, however, are only suitable for narrow-band applications. Furthermore, they are all built on high dielectric-constant substrates for a low characteristic impedance of the CPS, and easy matching to a 50- microstrip line. Since

Manuscript received April 9, 2005. The authors are with the Department of Electrical Engineering, Texas A&M University, College Station, TX 77843-3128 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864127

the high dielectric-constant substrates are suitable for circuit design instead of the antenna design, using such transitions to feed antennas will degrade the antenna performance. Recently, the microstrip-to-CPS transitions on low dielectric-constant substrates have been reported with a 3-dB back-toback insertion loss bandwidth covering from 1.3 to 13.3 GHz (1 : 10.2) [8] and an 1-dB back-to-back insertion loss covering from 6.5 to 13.8 GHz [9]. However, these transitions use a long smooth tapered microstrip line and/or tapered ground plane to match the high characteristic impedance of CPS to the 50microstrip line and to obtain good field matching. The fabrication of the transitions requires accurate double-side etching and alignment. Furthermore, since there are no easy design equations for the long tapered lines or taper ground plane, the design of these transitions can only rely on time-consuming full-wave optimization. In this paper, a wide-band microstrip-to-CPS transition based on coupling method is proposed. The proposed transition consists of a microstrip multisection matching transformer and a radial stub. Since there is no taper line or taper ground plane needed, the proposed transition is easy to fabricate. Furthermore, the taper ground causes more power loss that introduces crosstalk, which is undesired in high-density circuits. Based on the experimentally parametric studies and transmission-line equations, the design guidelines for the proposed transition are derived. The design guidelines can be used to obtain the initial dimensions for the full-wave optimization. Experiments have been carried out to verify the design concept with good agreement. With the return loss of better than 14 dB, the 1- and 3-dB back-to-back insertion loss bandwidth can cover from 1.4 to 6.6 GHz (1 : 4.7) and from 1.1 to 10.5 GHz (1 : 9.6), respectively. In addition, a wide-band microstrip line to slotline transition is investigated. The transition is consisted of a microstrip-to-CPS transition and a taper from CPS to slotline. From 2.7 to 10.4 GHz (1 : 3.85), the return loss is better than 15 dB and the insertion loss is better than 3 dB for a back-to-back transition. Although this paper only shows the transitions on low constant substrates for antenna applications, it should be mentioned that the design concept could also be used to design the transitions on high dielectric-constant substrates for circuit applications. II. MICROSTRIP-TO-CPS TRANSITION DESIGN AND MEASUREMENT A. Impedance and Field Matching Fig. 1 shows the configurations of the proposed transitions. To design a transition, a field matching and an impedance matching are required [10]. Since the electric field in the microstrip line

0018-9480/$20.00 © 2006 IEEE

TU AND CHANG: WIDE-BAND MICROSTRIP-TO-CPS/SLOTLINE TRANSITIONS

1085

) with its sections’ impedances of 124, 87, and level 61 is used [12] for the parametric study given in Section II-B. B. Parametric Studies

Fig. 1. Configurations of the microstrip-to-CPS transitions (a) rectangular open stub (type A) and (b) radial stub (type B).

using:

Fig. 2. Cross-sectional view of the electric distributions: (a) microstrip line, (b) coupled microstrip line, and (c) CPS.

is parallel to the -axis and the electric field in the CPS is parallel to the -axis, an electric-field rotation of 90 is needed. A quarter-wavelength rectangular open stub or a radial stub can be used for the field rotation. Fig. 2 shows the three cross-sectional views of the electric-field distributions at three locations shown in Fig. 1(a). The electric field changes from the microstrip-line mode , through the transition mode , to the CPS mode . The electromagnetic-coupling-based transitions have the coupling region in the coupled microstrip-line mode region . The quarter-wavelength rectangular open stub or the radial stub introduce the virtual short near the edge of the mode and regions at the center frequency. Since the virtual short is equal potential with the ground plane, some fields of the microstrip line couple to the edge of the virtual short, and the transition mode is formed. After the ground plane is removed, the CPS mode is obtained. In addition, since the characteristic impedance of the CPS is higher than 50 of the microstrip line , a multisection matching transformer is used. The transitions are all built on RT/Duroid 5870 substrates with a thickness mm and a relative dielectric constant . With the strip width mm and gap mm, the is calculated as 155 [11]. To match the 155 to 50 , a Chebyshev three-section transformer (ripple

In order to better understand this transition and derive some design guidelines, the shape of the stub, the angle of the radial stub, and the section number of the transformer are experimentally studied. First of all, as shown in Fig. 1, the two transitions with the rectangular open and radial stubs are investigated. The center frequency of the transition is designed at 7 GHz. The dimensions of the open and radial stubs are mm, mm, mm, and , where , and is the guided wavelength at 7 GHz. A three-section impedance-matching transformer with its section impedances of 124, 87, and 61 is used for impedance matching. The dimensions of the transitions are listed in Table I, shown as A1 and B1, where A1 denotes the transition using the rectangular stub and B1 denotes the one using the radial stub. For the convenience of measurement, the back-to-back transition with the microstrip-line input/output ports is used. Fig. 3 shows the measured results of the two transitions A1 and B1. The measured results are obtained by using network analyzer HP8510C with thru-reflect line (TRL) calibration to exclude the effects of the connectors and two 50- microstrip lines. The insertion loss of the CPS are also excluded by measuring two pairs of back-to-back transitions with 10- and 20-mm-long CPSs. The insertion loss of a 10 –mm-long CPS could be estimated as the difference of the insertion loss of these two pairs of transitions. From 4.6 to 9.5 GHz, transition A1 shows a 3-dB insertion loss with the return loss of better than 10 dB. On the other hand, transition B1 has a return loss of better than 10 dB and an insertion loss of less than 3 dB from 4.6 to 10.5 GHz. The two transitions have a similar response at the center frequency band, while the major difference is near the upper bound of the bandwidth extends from 9.5 GHz of A1 to 10.5 GHz of B1. Since the impedance matching criteria are the same (i.e., same multisection impedance transformer), this suggests that the transition with the radial stub can provide a wider bandwidth due to the wide-band virtual short characteristic and the smoother field rotation by the radial stub in the coupling region. Since the transition with radial stub can provide a wider bandwidth, the angles of the radial stub should also be investigated and optimized. Transitions with the radial stubs of different angles ( , 60 , and 40 ) are compared. With the same three-section matching transformer, the transitions B1–B3 have their , 60 , and 40 radial stubs, respectively. Fig. 4 shows the measured results. The 3-dB insertion-loss bandwidth of transition B1–B3 are 1.26–10.5 GHz, 1.37–9.8 GHz, and 1.52–9.5 GHz, respectively. This suggests that the transition with a 80 radial stub can provide a wider bandwidth. These three transitions have similar lower frequency band response. However, at high frequency, the effect of different angles is significant and the upper bound of the bandwidth extends from 9.5 GHz of B3 to 10.5 GHz of B1. For a good coupling, the gap between the microstrip line and radial stub should not be too big (compared with the guided wavelength). Otherwise, a little field couples to the CPS mode from the microstrip mode. This issue becomes even more serious when the frequency is higher and

1086

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE I DIMENSIONS OF THE TRANSITIONS (UNIT: MILLIMETERS)

Fig. 3. Measured S -parameters for a back-to-back transition using rectangular stub (A1) and a back-to-back transition using radial stub (B1).

Fig. 4. Measured S -parameters of microstrip-to-CPS back-to-back transitions with  = 80 (B1), 60 (B2), and 40 (B3) radial stubs.

more electric field is confined between the microstrip line and the ground. The transition B1 has a 80 radial stub, and the gap between microstrip and the radial stub is smaller than the B2’s and B3’s. Therefore, the upper bound of the bandwidth extends from 9.5 GHz of B3 to 10.5 GHz of B1. The bandwidth of the multisection matching transformer is determined by its section number [12] and, accordingly, the bandwidth of the transition will be determined by the multisection matching transformer. The transitions with the transformers

Fig. 5. Measured S -parameters of microstrip-to-CPS back-to-back transitions with three-section (B2), two-section (B5), and one-section (B4) matching transformers.

(ripple level ) of different numbers of sections are studied. Fig. 5 shows the measured results. Transition B4 with one quarter-wavelength section (88 ) shows a return loss of better than 10 dB and an insertion loss of less than 3 dB from 6.2 to 10.2 GHz; transition B5 with two quarter-wavelength sections (112 and 68 ) shows a return loss of better than 10 dB and an insertion loss of less than 3 dB from 5.3 to 10 GHz; transition B2 with three quarter-wavelength sections (124, 87, and 61 ) shows a return loss of better than 10 dB and an insertion loss of less than 3 dB from 4.7 to 10.2 GHz. Since the coupling regions of these three transitions are very similar, the coupling mechanisms are similar. Therefore, impedance matching is critical in this case. As expected, the transition that uses a transformer with more sections can provide a wider bandwidth. C. Optimal Design and Measurements The transitions discussed in Section II-B are all designed based on transmission-line equations. They can help understand the transition; however, there are still parasitic effects and discontinuities that should be taken into consideration when these effects become more significant at high frequencies. For this purpose, a commercial full-wave electromagnetic simulator IE3D1 is used to optimize the dimensions of transition B6 for the widest bandwidth. The five-section transformer of the ripple 1IE3D,

ver. 10.1, Zeland Software Inc., Fremont, CA, 2003.

TU AND CHANG: WIDE-BAND MICROSTRIP-TO-CPS/SLOTLINE TRANSITIONS

Fig. 6. Simulated and measured microstrip-to-CPS transition B6.

S -parameters of the optimal back-to-back

level is used for wide-band performance. Fig. 6 shows the simulated and measured results. With the measured return loss of better than 14 dB, the 1- and 3-dB back-to-back insertion loss bandwidth range from 1.4 to 6.6 GHz (1 : 4.7) and from 1.1 to 10.5 GHz (1 : 9.6), respectively. On the other hand, transition B1 only has a return loss better than 10 dB and an insertion of less than 3 dB from 4.6 to 10.5 GHz (1 : 2.3). With the aid of the full-wave simulator, the optimal transition B6 shows a much better performance than its prototype B1. In summary, the design procedure is summarized as following. 1) Given the transition center frequency and substrate parameters, calculate the radius of the radial stub. The radius is , where is the guided wavelength at the center frequency. 2) Given the dimensions of CPS and required transition bandwidth, calculate and determine the section number and each section’s impedance of the multisection matching transformer. 3) With the initial parameters obtained from the above steps, using, for example, the full-wave electromagnetic simulator IE3D to take all parasitic effects into account and optimize the design. Furthermore, it is interesting to observe that there are cutoff characteristics at the very low frequency end. Since the transitions are based on electromagnetic coupling (i.e., no dc connected), it is natural that these transitions have bandpass-like responses, where the passbands are located at the center frequency of the rectangular open stub/radial stub. The cutoff frequency depends on the bandwidths of the coupling stubs and multisection impedance transformer. The smaller bandwidth determines the total bandwidth of the transition. For example, if the coupling stubs have wider bandwidths, the bandwidth of the multisection transformer dominates the bandwidth of the transition (Fig. 5). Consequently, the low/high cutoff frequencies of the transition are dependent on the low/high cutoff frequencies of the transformer. In addition, high power loss of a transition is undesired in high density circuits due to that it will cause unwanted crosstalk. Fig. 7 shows the normalized power loss of the optimal back-to-

1087

Fig. 7. Measured power loss of back-to-back optimal transition B6 and transition in [8].

Fig. 8. Configuration of the microstrip-to-slotline transition ( = 60 , D =

9 mm).

back transition B6 and the transition given in [8], where the normalized power loss is . Since the transition in [8] uses taper ground and more power radiates out from the microstrip line, the power loss of B6 is smaller than that in [8] for most of the operation bandwidth, except at the 8.2–10-GHz band. III. MICROSTRIP-TO—SLOTLINE TRANSITION DESIGN AND MEASUREMENT Several microstrip line to slotline transitions have been reported [13]–[15]. Ideally, the double-Y balun in [13] and [14] has an all-pass response and no bandwidth limitation. However, due to the uncertainty in fabrication tolerance, it is difficult to keep all the stubs with the same impedance required in the design. In addition, the bandwidth is limited by imperfect stub terminations (open and short circuits), unequal dispersions between different transmission lines, and junction parasitics [16]. For Marchand baluns [15], the microstrip line and slotline are on different sides of the substrate. This double-side configuration requires two-side etching and alignment, which are more expensive and less accurate [17]. Uniplanar transitions are also reported [17], [18]. However, these transitions only show narrow-band performance. The proposed uniplanar wide-band microstrip-to-CPS transition B6 developed in Section II is used as a building block for the microstrip-to-slotline transition design. Fig. 8 shows the configuration of the proposed microstrip-to-slotline transition. Since the gaps of the CPS and slotline are the same, the field matching is easily obtained. With the taper lines, the CPS of

1088

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

REFERENCES

Fig. 9. Measured transition.

S -parameters

of microstrip-to-slotline back-to-back

155 changes gradually into a slotline of 101 , and the optimal taper angle is found to be 60 by using IE3D. Fig. 9 shows the simulated and measured results. The measured results are obtained by using network analyzer HP8510C with TRL calibration to exclude the effects of the connectors and two 50- microstrip lines. The insertion loss of the slotline are also excluded by measuring two pairs of back-to-back transitions with 10- and 20-mm-long slotlines. The insertion loss of a 10-mm-long slotline could be estimated as the difference of the insertion loss of these two pairs of transitions. From 2.7 to 10.4 GHz, the back-to-back return loss is better than 15 dB and insertion loss is less than 3 dB.

IV. CONCLUSIONS In this paper, wide-band microstrip-to-CPS transitions have been proposed. Based on the transmission-line equations and experiment results, the design guidelines that can facilitate the optimization are derived. By using the commercial full-wave electromagnetic simulator IE3D, the optimal transition has a return loss of better than 14 dB, and the 1- and 3-dB back-to-back insertion loss are achieved from 1.4 to 6.6 GHz (1 : 4.7) and from 1.1 to 10.5 GHz (1 : 9.6), respectively. Furthermore, by tapering the CPS into a slotline, a wide-band microstrip-to-slotline transition has also been developed and has shown a return loss of better than 15 dB and a back-to-back insertion loss of less than 3 dB from 2.7 to 10.4 GHz (1 : 3.85). These wide-band uniplanar transitions on low dielectric-constant substrates should find numerous applications in antenna feeding networks and integrations with other devices.

ACKNOWLEDGMENT The authors would like to thank M. Li, Texas A&M University, College Station, for his technical assistance and H. Li, Texas A&M University, College Station, for his helpful discussions.

[1] K. Goverdhanam, R. N. Simons, and L. P. B. Katehi, “Coplanar stripline components for high-frequency applications,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 10, pp. 1725–1729, Oct. 1997. [2] S.-G. Mao, H.-K. Chiou, and C. H. Chen, “Design and modeling of uniplanar double-balanced mixer,” IEEE Microw. Guided Wave Lett., vol. 8, no. 10, pp. 354–356, Oct. 1998. [3] H.-T. Kim, S. Lee, S. Kim, Y. Kwon, and K.-S. Seo, “Millimeter-wave CPS distributed analogue MMIC phase shifter,” Electron. Lett., vol. 39, no. 23, pp. 1661–1662, Nov. 2003. [4] L. Zhu and K. Wu, “Model-based characterization of CPS-fed printed dipole for innovative design of uniplanar integrated antenna,” IEEE Microw. Guided Wave Lett., vol. 9, no. 9, pp. 342–344, Sep. 1999. [5] N. I. Dib, R. N. Simons, and L. P. B. Katehi, “New uniplanar transitions for circuit and antenna applications,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2868–2872, Dec. 1995. [6] Y. Qian and T. Itoh, “A broad-band uniplanar microstrip-to-CPS transition,” in Proc. Asia–Pacific Microw. Conf., vol. 2, 1997, pp. 609–612. [7] R. N. Simons, N. I. Dib, and L. P. B. Katehi, “Coplanar stripline to microstrip transition,” Electron. Lett., vol. 31, no. 20, pp. 1725–1726, Sep. 1995. [8] Y.-H. Suh and K. Chang, “A wide-band coplanar stripline to microstrip transition,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 1, pp. 28–29, Jan. 2001. [9] T. Chiu and Y.-S. Shen, “A broad-band transition between microstrip and coplanar stripline,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 2, pp. 66–68, Feb. 2003. [10] J. S. Izadian and S. M. Izadian, Microwave Transition Design. Norwood, MA: Artech House, 1988, ch. 1. [11] N. K. Das and D. M. Pozar, PCAAMT—Personal Computer Aided Analysis of Multilayer Transmission Lines. Amherst, MA: Univ. Massachusetts Press, Jun. 1990. [12] D. M. Pozar, Microwave Engineering. New York: Wiley, 1998, ch. 5. [13] V. Trifunovic and B. Jokanovic, “Review of printed Marchand and double Y baluns: Characteristics and applications,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 8, pp. 1454–1462, Aug. 1994. [14] B. Schtek and J. Kohler, “An improved microstrip-to-microslot transition,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 4, pp. 231–233, Apr. 1976. [15] S. B. Cohn, “Slot line on a dielectric substrate,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 10, pp. 768–778, Oct. 1969. [16] H. Gu and K. Wu, “Broadband design consideration of uniplanar double-Y baluns for hybrid and monolithic integrated circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, pp. 863–866. [17] N. I. Dib, R. N. Simons, and L. P. B. Katehi, “Broadband uniplanar microstrip to slot-line transitions,” in IEEE MTT-S Int. Microw. Symp. Dig., 1995, pp. 683–686. [18] J. G. Yook, N. Dib, L. P. B. Katehi, R. N. Simons, and S. R. Taub, “Theoretical and experimental study of microstrip-to-slot line uniplanar transition,” in IEEE Int. Antennas Propag. Soc. Symp. Dig., 1994, pp. 1206–1209.

Wen-Hua Tu (S’04) received the B.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1999, the M.S. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2001, and is currently working toward the Ph.D. degree in electrical engineering at Texas A&M University, College Station. Since 2003, he has been a Research Assistant with the Electromagnetics and Microwave Laboratory, Texas A&M University. His research interests include phased arrays and microwave devices and circuits. Mr. Tu is a member of Phi Kappa Phi.

TU AND CHANG: WIDE-BAND MICROSTRIP-TO-CPS/SLOTLINE TRANSITIONS

Kai Chang (S’75–M’76–SM’85–F’91) received the B.S.E.E. degree from the National Taiwan University, Taipei, Taiwan, R.O.C., in 1970, the M.S. degree from the State University of New York at Stony Brook, in 1972, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 1976. From 1972 to 1976, he was a Research Assistant with the Microwave Solid-State Circuits Group, Cooley Electronics Laboratory, The University of Michigan at Ann Arbor. From 1976 to 1978, he was with Shared Applications Inc., Ann Arbor, MI, where he was involved with computer simulation of microwave circuits and microwave tubes. From 1978 to 1981, he was with the Electron Dynamics Division, Hughes Aircraft Company, Torrance, CA, where he was involved in the research and development of millimeter-wave solid-state devices and circuits, power combiners, oscillators, and transmitters. From 1981 to 1985, he was with TRW Electronics and Defense, Redondo Beach, CA, as a Section Head, where he developed state-of-the-art millimeter-wave integrated circuits and subsystems including mixers, voltage-controlled oscillators (VCOs), transmitters, amplifiers, modulators, upconverters, switches, multipliers, receivers, and transceivers. In August 1985, he joined the Electrical Engineering Department, Texas A&M University, College Station, as an Associate Professor and became a Professor in 1988. In January 1990, he was appointed Raytheon E-Systems Endowed Professor of Electrical Engineering. He has authored and coauthored several books, including Microwave Solid-State Circuits and Applications (Wiley, 1994), Microwave Ring Circuits and Antennas (Wiley, 1996; 2nd edition 2004), Integrated Active Antennas and Spatial Power Combining (Wiley, 1996), RF and Microwave Wireless Systems (Wiley, 2000), and RF and Microwave Circuit and Component Design for Wireless Systems (Wiley, 2002). He has served as the Editor of the four-volume Handbook of Microwave and Optical Components (Wiley, 1989 and 1990; 2nd edition 2003). He is the Editor of Microwave and Optical Technology Letters and the Wiley Book Series on “Microwave and Optical Engineering” (over 70 books published). He has authored or coauthored over 450 papers and numerous book chapters in the areas of microwave and millimeter-wave devices, circuits, and antennas. He has graduated over 25 Ph.D. students and over 35 M.S. students. His current interests are microwave and millimeter-wave devices and circuits, microwave integrated circuits, integrated antennas, wide-band and active antennas, phased arrays, microwave power transmission, and microwave optical interactions. Dr. Chang has served as technical committee member and session chair for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the IEEE Antennas and Propagation Society (IEEE AP-S), and numerous international conferences. He was the vice general chair for the 2002 IEEE International Symposium on Antennas and Propagation. He was the recipient of the 1984 Special Achievement Award presented by TRW, the 1988 Halliburton Professor Award, the 1989 Distinguished Teaching Award, the 1992 Distinguished Research Award, and the 1996 Texas Engineering Experiment Station (TEES) Fellow Award presented by Texas A&M University.

1089

1090

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Miniaturized Coplanar Waveguide Bandpass Filters Using Multisection Stepped-Impedance Resonators Hualiang Zhang and Kevin J. Chen, Member, IEEE

Abstract—We report several types of coplanar waveguide tri-section stepped-impedance resonator (SIR) structures that offer further size reduction compared to the conventional two-section SIRs. In addition, the tri-section SIRs provide flexibility of introducing effective capacitive coupling to the ground lines for realizing slow-wave structures. The slow-wave effect can be used to implement more compact bandpass filters. The principles of achieving size reductions using tri-section SIRs are described and confirmed by simulation results. To demonstrate the effectiveness of the proposed tri-section SIRs in size reduction, the tri-section SIRs with slow-wave effects were implemented in a two-pole directly coupled bandpass filter and a fourth-order quasi-elliptic bandpass filter with reduced size. The measured results matched well with the theoretical prediction. Index Terms—Bandpass filters, cascaded quadruplet (CQ) filter, coplanar waveguide (CPW), fractional bandwidth (FBW), stepped-impedance resonator (SIR).

I. INTRODUCTION

T

RANSMISSION-LINE-BASED bandpass filters with compact size and light weight are basic components of wireless communication systems. Compared to the microstrip-line-based counterparts, coplanar-waveguide (CPW)-based [1] bandpass filters are attracting additional interest in the design of microwave and millimeter-wave circuits owing to their uni-planar structure. The CPWs eliminate the need for via-holes and, thus, simplify the fabrication process. The connections of both series and shunt components also become straightforward. Up to now, several CPW-based filters have been reported [2]–[9]. Using capacitively [2] and inductively [3] coupled half-wavelength resonators, CPW bandpass filters have been demonstrated. To reduce the size of the filters, quarter-wavelength resonators are used in the latter designs [4]–[6]. Since the signal and ground lines are in the same plane, the CPW structure is very suitable for quarter-wavelength filter design, which requires shorted terminations. In addition to the filters based on directly coupled resonators, several alternative designs of CPW filters have also been reported [7]–[9]. The ribbon-of-brick-wall-type CPW bandpass filter [7] was constructed by cascading several sections of open-ended series stubs. Weller [8] designed the

Manuscript received April 14, 2005; revised August 12, 2005. This work was supported in part by the Innovation and Technology Commission of Hong Kong under Grant UIM/144. The authors are with the Electrical and Electronic Engineering Department, Hong Kong University of Science and Technology, Clear Water Bay, Kowloon, Hong Kong (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864126

Fig. 1. Layout of the conventional CPW quarter-wavelength (=4) SIR.

edge-coupled CPW bandpass filters with a topology consisting of coupled-slot pairs. In [9], filters are constructed with a class of novel series resonators based on the lumped equivalent circuits. However, compared with the directly coupled resonators, the structures and design procedures of these filters are more complicated. Due to their design simplicity, it is preferable to engineer size reduction in the resonators. It is known that steppedimpedance method is effective in reducing the size of the resonators [10], [11]. The two-section stepped-impedance resonator (SIR), as shown in Fig. 1, has been implemented in a two-pole CPW bandpass filter [5] and a fourth-order quasi-elliptic filter [6], exhibiting its advantages in size reduction. It is noted, however, that the reduction of the longitudinal (along the -direction in Fig. 1) length needs to be compensated by the increase in the transverse (along the -direction in Fig. 1) width. In this paper, we demonstrate that further miniaturization in SIRs can be realized by tri-section designs. Two types of tri-section SIRs are proposed. Firstly, by inserting either a lowor high-impedance section in the conventional two-section SIRs, the longitudinal length of the conventional SIRs can be reduced up to 12% using realistic design parameters without sacrificing the transverse width. Secondly, taking advantage of the flexibility offered by the middle section of the tri-section SIRs, capacitive shunt stubs can be implemented to introduce the slow-wave effect that facilitates further size reduction. Reduction as much as 39% can be realized in the longitudinal length of the new SIR. A two-pole filter and a cascaded quadruplet (CQ) CPW bandpass filter are designed and characterized using the slow-wave tri-section SIR. The measured results agree with our theoretical results very well.

0018-9480/$20.00 © 2006 IEEE

ZHANG AND CHEN: MINIATURIZED CPW BANDPASS FILTERS USING MULTISECTION SIRs

1091

Fig. 2. Layout of the tri-section CPW quarter-wavelength (=4) SIR in the analysis.

II. GENERAL THEORY OF THE TRI-SECTION SIRs The theoretical analysis of the new tri-section SIRs is given here. The basic structure of a tri-section SIR is shown in Fig. 2. Using the well-known impedance transforming formula, the overall electrical length can be derived and expressed as

(1)

where, for the simplicity of analysis of tri-section SIRs, we keep the condition of , and we set and . In (1), (the second term) has been substituted by and . A similar procedure was applied in the analysis of a microstrip SIR and the details of the derivation of (1) can be found in [12]. From (1), the overall electrical length is determined by and . When and are fixed, becomes a function of and its minimum value can be found. By scanning through the realizable values of and , we aim at finding the range of the values in and that yield smaller compared to the conventional two-section SIR, achieving the goal of further size reduction by the tri-section SIR structure. Such an analyzing process can be carried out using computer simulation. Before simulation, several practical restrictions on the values of and should be mentioned. First, since cannot be negative, we have . Second, since the resonators are based on a CPW, the characteristic impedance of the CPW line is affected by both the width of the signal line and the spacing between the signal line and ground plane. Due to the limitation of linewidth and line spacing in CPW fabrication, the typical impedance for CPWs implemented on a printed circuit board (PCB) is from 20 to 250 [13], [14]. Therefore, it is reasonable to set , in our analysis. Since we are investigating the possibilities of further size reduction by tri-section SIRs compared to the two-section SIRs, all the results are normalized to the shortest electrical length of the conventional two-section SIR, which is equal to . Finally, is

Fig. 3. (i) 1

Computed minimum electrical length with different values of k and m.

 m  k. (ii) 1  k  m. (iii) 0 < m  1.

always chosen to be larger than 1, as is the case in conventional two-section SIRs. Three cases of and value combination were investigated, which are: i) ; ii) ; and iii) . In Fig. 3, the computed minimum values of under these three cases were plotted. The simulation results can be summarized as follows.

1092

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Case i)

: This is the case in which the middle section has the intermediate impedance and linewidth among the three sections. The minimum value of the tri-section SIR occurs when or and the normalized value is 1, which means the two-section SIR is already the best choice under this condition. No further reduction in can be achieved by the tri-section SIR under this circumstance. Case ii) : This is the case in which the middle section has the smallest impedance and largest linewidth among the three sections. As shown in Fig. 3(b), normalized is always less than 1 and further length reduction can be realized. The larger the difference between and , the more length reduction in the total electrical length we can achieve. In practice, the choice of value needs to be made in conjunction with other design considerations such as reasonable transverse width and the coupling capability to the neighboring resonators in a cascaded configuration. As a result, the availability of the middle section offers additional freedom in design. For example, the middle section can be easily folded so that the transverse dimension of the SIR is not sacrificed. This folding will not affect the coupling to the next stage either. An example is given in Section III. Case iii) : This is the case in which the middle section has the largest impedance and smallest linewidth among the three sections. As shown in Fig. 3(c), the lateral length can also be reduced under this circumstance. In theory, the first high-impedance section (on the left-hand side of Fig. 2) can always be made narrower for reduced longitudinal length. However, in practice, it is difficult to fabricate long and narrow lines on PCB. With the tri-section structure proposed here, the middle section can be implemented by a short bond wire, which usually has a diameter smaller than the smallest linewidth that can be realized in PCB fabrication. As shown in Case ii), a wide folded midsection in a tri-section arrangement results in size reduction. The folded arms can also be extended into the ground lines and play the role of capacitive shunt stubs. The capacitive shunt stubs can introduce slow-wave effects, which can further reduce the size of the SIR [15], [16]. In a slow-wave tri-section SIR, the midsection is very wide and is extended into the ground plane of the CPW line. This midsection can be treated as a shunt open stub with an enlarged capacitance to the ground. As a result, the resonant frequency of the resonator is reduced, enabling further size reduction. The slow-wave effect can be explained in the way of waveguiding. Considering a case shown in Fig. 4, the signal is propagating between the signal line and ground. Comparing with the conventional SIR (shown in Fig. 1), the propagating path of the signal for the slow-wave SIR has been increased by the midsection. Thus, by using the normally unused ground plane of the CPW structure, the size of the slow-wave SIR is smaller than that of

Fig. 4. Schematic illustrating the basic structure of the proposed slow-wave SIR and the wave propagating path in the slow-wave SIR.

Fig. 5. Layout and dimension of the conventional two-section SIR used in simulation.

the traditional SIR. In the practical designs given in this paper, the shunt stub is folded in the ground plane to reduce the transverse size. III. STRUCTURE OF THE PROPOSED SIRs To prove our proposed miniaturized CPW tri-section SIRs, several structures are designed and their layouts combined with the conventional two-section SIR are shown in Figs. 5 and 6. A full-wave EM simulator IE3D1 is used to simulate the performance of these resonators. The simulation results are shown in Fig. 7. For the ease of comparing these resonators’ size, all of the SIRs are tuned to resonate at the same frequency, i.e., 2.4 GHz. In the simulation, we set the substrate the same as the normal FR4 board having , , and mm. The dimensions of these SIRs are mm (i.e., the distance between the ground lines is kept the same), mm (i.e., the linewidth of the first section is kept the same), mm (i.e., the linewidth of the third section is kept the same), mm, mm, mm, mm, mm, (the gap between the shunt stub and ground) mm, and mm. The overall lengths of the various designs in Fig. 5 and Fig. 6 are: mm, mm, mm, mm, and mm. 1IE3D

10.1, Zeland Software Inc., Fremont, CA, 2004.

ZHANG AND CHEN: MINIATURIZED CPW BANDPASS FILTERS USING MULTISECTION SIRs

1093

Fig. 6. Layout of: (a) proposed tri-section SIR (Type A), (b) proposed tri-section SIR (Type B), (c) proposed slow-wave SIR (Type C), and (d) proposed slow-wave SIR (Type D).

two shunt stubs, but type D will be more convenient in the design of the quasi-elliptic filters (cascaded triplet filter, CQ filter, etc.), which normally do not feature wide ground lines on both sides of the resonators. Comparing with the conventional two-section SIR, the longitudinal size reduction for type-C SIR is approximately 39% and, for the type-D SIR, is approximately 25%. It is evident that the slow-wave SIRs are more effective in size reduction. IV. FILTER DESIGN, FABRICATION AND MEASURED RESULTS

Fig. 7.

Simulated results of the five SIRs shown in Figs. 5 and 6.

The dimensions of the proposed four tri-section SIRs are all smaller than that of the conventional two-section SIR. The type-A SIR and type-B SIR are the proposed tri-section SIRs having a very wide or narrow central section. At the resonant frequency of 2.4 GHz, the length reduction for type-A and type-B resonators is 7% and 12%, respectively. The type-C SIR and type-D SIR are the proposed slow-wave SIR. As mentioned before, the stubs have been folded into the ground. The length of the shunt stub is approximately 7.2 mm. Type C has two arms and type D has one arm. From the analysis in Section II, type C will be more effective in reducing the size since it has

In Section III, four minimized SIR patterns are given. All of them can be used as the basic resonant unit in filter design. Here, a two-pole directly coupled filter and a fourth-order CQ filter are presented. The type-C and type-D SIRs are used in these two filters. All the designs are fabricated on a low-cost FR4 PCB with dielectric constant and thickness mm. The Agilent 8720ES network analyzer is used to measure the performance of the filters. A. Two-Pole End-Coupled Bandpass Filter The two-pole filter is constructed using a type-C SIR, as shown in Fig. 6(c). Narrow meander lines connected to the ground lines are used at the input and output ports to realize the external coupling. The electromagnetic (EM) simulator IE3D is used to optimize the design. The final layout of the filter is given in Fig. 8, showing an overall size of 12.8 mm 17.8 mm. The gap ( ) between the two resonators is 2.2 mm. The simulated and measured responses of the filter are shown in Fig. 9. The measured results show an insertion loss of approximately 3.3 dB and a return loss of better than 12 dB in the pass-

1094

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 8. Layout of the two-pole filter based on type-C SIR.

Fig. 10.

Fig. 9.

Layout of the four-pole quasi-elliptic filter.

Simulated and measured responses of the two-pole filter.

band. The center frequency is approximately 2.29 GHz. Compared with the simulated response, the center frequency shifts down 140 MHz. This shift is caused by the limitation in the control of linewidth and spacing during PCB fabrication. In our filter fabrication, the minimum feature size is 0.2 mm and the fabrication tolerances are 0.05 mm. B. Fourth-Order Quasi-Elliptic Bandpass Filter A fourth-order quasi-elliptic filter is designed, fabricated, and measured. It is based on the type-D SIR shown in Fig. 6(d). As mentioned before, the type-D SIR has only one shunt stub and is less effective in reducing the size comparing with the type-C SIR. However, for the design of a quasi-elliptic filter, this kind of SIR is more convenient because we can easily adjust the spacing between adjacent resonators to obtain desired direct and cross-coupling coefficients. In our design, the filter has a fractional bandwidth (FBW) of 10% at 2.41 GHz. The attenuation poles are at , where is the frequency variable normalized to the passband cutoff frequency [19]. The coupling coefficients used here are listed as follows:

Fig. 11. Measured and simulated results of the designed quasi-elliptic filter.

is the external quality factor for the input and output where ports and the ’s are the coupling coefficients between various resonators. and are of opposite signs so that two attenuation poles can be generated. The EM simulation tool IE3D is used to satisfy the design parameters [17]–[19] of the filter. A practical design procedure can be summarized as follows. Firstly, the gap between resonators 1 and 4, as shown in Fig. 10, is adjusted to get the designed coupling coefficient . The similar procedure is applied to resonators 2 and 3 to satisfy . After these two steps, the relative position of resonators 1 and 2 together with the relative position of resonators 3 and 4 in the longitudinal direction are fixed. The width of the ground line between resonator 1 and 2 is then tuned to meet the designed coupling coefficient . Finally, the lengths of the inductive arms at the input and output ports are adjusted to satisfy the specified external quality factor. The overall size of the finalized filter is 20.1 mm 20.4 mm. The simulated and measured responses of the filter are plotted in Fig. 11. The two attenuation poles, one at the upper band and one at the lower band, can be observed from the results. These

ZHANG AND CHEN: MINIATURIZED CPW BANDPASS FILTERS USING MULTISECTION SIRs

two poles are due to the cross-coupling between resonators 1 and 4. The insertion loss is 5.8 dB and the return loss is 24 dB in the passband. The relatively large insertion loss is due to the conduction loss and the possibly excited radiation loss with the asymmetrical structure of the resonators used. There is a frequency shift of 80 MHz between the measurement and simulation results. This difference is due to the variation in the fabrication of the filter. V. CONCLUSION Tri-section SIRs have been proposed to provide additional flexibility in designing miniaturized CPW bandpass filters. Compared to the conventional two-section SIRs, the inserted midsection in a tri-section structure can be easily folded for compactness. It can also be embedded into the ground lines to introduce a significant slow-wave effect, which, in turn, leads to further size reduction of the resonators. Using the slow-wave tri-section SIRs, a two-pole end-coupled and a fourth-order quasi-elliptic bandpass filter are demonstrated with compact size. The measured results agree with the theoretical results. The design concepts can also be implemented on semiconductor substrates for monolithic microwave integrated circuit applications. ACKNOWLEDGMENT The authors would like to thank K. W. Chan, Hong Kong University of Science and Technology, Clear Water Bay, Kowloon, Hong Kong, for his valuable help in the fabrication of the tested samples.

1095

[11] M. Makimoto and S. Yamashita, Microwave Resonators and Filters for Wireless Communications: Theory, Design and Application. Berlin, Germany: Springer-Verlag, 2001. [12] H. Zhang and K. J. Chen, “A tri-section stepped-impedance resonator for cross-coupled bandpass filters,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 6, pp. 401–403, Jun. 2005. [13] K. C. Gupta, R. Garg, I. Bahl, and P. Bhartia, Microstrip Lines and Slotlines. Norwood, MA: Artech House, 1996. [14] R. W. Jackson, “Considerations in the use of coplanar waveguide for millimeter-wave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 12, pp. 1450–1456, Dec. 1986. [15] J. Sor, Y. Qian, and T. Itoh, “Miniature low-loss CPW periodic structures for filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2336–2341, Dec. 2001. [16] A. Görür, “A novel coplanar slow-wave structure,” IEEE Microw. Guided Wave Lett., vol. 4, pp. 86–88, Mar. 1994. [17] S. Amari, “Synthesis of cross-coupled resonator filters using an analytical gradient-based optimization technique,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1559–1564, Sep. 2000. [18] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [19] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001.

Hualiang Zhang was born in Wuhan, Hubei Province, China. He received the B.E. degree in electrical engineering and information science from the University of Science and Technology of China (USTC), Hefei, China, in 2003, and is currently working toward the Ph.D. degree in the Department of Electrical and Electronic Engineering, Hong Kong University of Science and Technology (HKUST), Clear Water Bay, Kowloon, Hong Kong. Since 2003, he has been with the Wireless Communication Laboratory, Department of Electrical and Electronic Engineering, HKUST. His research interests include design and synthesis of microwave filters, microelectromechanical systems (MEMS) technologies, especially their applications to the RF passive components and optimization techniques.

REFERENCES [1] C. P. Wen, “Coplanar waveguide: A surface strip transmission line suitable for nonreciprocal gyromagnetic device applications,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 12, pp. 1087–1090, Dec. 1969. [2] D. F. Williams and S. E. Schwarz, “Design and performance of coplanar waveguide bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 7, pp. 558–566, Jul. 1983. [3] J. K. A. Everard and K. K. M. Cheng, “High performance direct coupled bandpass filters on coplanar waveguide,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 9, pp. 1568–1573, Sep. 1993. [4] T. Tsujiguchi, H. Matsumoto, and T. Nishikawa, “A miniaturized endcoupled bandpass filter using 1/4 hairpin coplanar resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, pp. 829–832. [5] A. Sanada, H. Takehara, T. Yamamoto, and I. Awai, “=4 steppedimpedance resonator bandpass filters fabricated on coplanar waveguide,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 385–388. [6] J. Zhou, M. J. Lancaster, and F. Huang, “Coplanar quarter-wavelength quasi-elliptic filters without bond-wire bridges,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1150–1156, Apr. 2004. [7] F. L. Lin, C. W. Chiu, and R. B. Wu, “Coplanar waveguide bandpass filter—A ribbon-of-brick-wall design,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1589–1596, Jul. 1995. [8] T. M. Weller, “Edge-coupled coplanar waveguide bandpass filter design,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2453–2458, Dec. 2000. [9] K. Hettak, N. Dib, A. F. Sheta, and S. Toutain, “A class of novel uniplanar series resonators and their implementation in original applications,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 9, pp. 1270–1276, Sep. 1998. [10] M. Matsuo, H. Yabuki, and M. Makimoto, “Dual-mode steppedimpedance ring resonator for bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1235–1240, Jul. 2001.

Kevin J. Chen (M’96) received the B.S. degree in electronics from Peking University, Beijing, China, in 1988, and the Ph.D. degree from the University of Maryland at College Park, in 1993. From January 1994 to December 1995, he was a Research Fellow with the Nippon Telephone and Telegraph (NTT) Laboratories, Atsugi, Japan, where he was engaged in the research and development of functional quantum effect devices and heterojunction field effect transistors (HFETs). In particular, he developed the device technology for monolithic integration of resonant tunneling diodes and HFETs [MISFETs and high electron-mobility transistors (HEMTs)] on both GaAs and InP substrates for applications in ultrahigh-speed signal processing and communication systems. He also developed the Pt-based buried gate technology that is widely used in the enhancement-mode HEMT devices. From 1996 to 1998, he was an Assistant Professor with the Department of Electronic Engineering, City University of Hong Kong, where he was involved with research on high-speed device and circuit simulations. In 1999, he joined the Wireless Semiconductor Division, Agilent Technologies Inc. (formerly the Hewlett-Packard Company), Santa Clara, CA, where he was involved with enhancement-mode pseudomorphic high electron-mobility transistor (pHEMT) RF power amplifiers used in dual-band global system for mobile communications (GSM)/digital cellular systems (DCSs) wireless handsets. His research with Agilent Technologies Inc. covered RF characterization and modeling of microwave transistors, RF integrated circuit (IC) and package design. In November 2000, he joined the Department of Electrical and Electronic Engineering, Hong Kong University of Science and Technology, Clear Water Bay, Kowloon, Hong Kong, as an Assistant Professor. In 2006, he became an Associate Professor. His research interests include fabrication, characterization and modeling techniques of novel RF/microwave devices, RF/microwave ICs, microwave filter design, RF packaging technologies, and microelectromechanical systems (MEMS).

1096

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Analysis and Elimination of Hysteresis and Noisy Precursors in Power Amplifiers Sanggeun Jeon, Student Member, IEEE, Almudena Suárez, Senior Member, IEEE, and David B. Rutledge, Fellow, IEEE

Abstract—Power amplifiers (PAs) often exhibit instabilities leading to frequency division by two or oscillations at incommensurate frequencies. This undesired behavior can be detected through a large-signal stability analysis of the solution. However, other commonly observed phenomena are still difficult to predict and eliminate. In this paper, the anomalous behavior observed in a Class-E PA is analyzed in detail. It involves hysteresis in the power-transfer curve, oscillation, and noisy precursors. The precursors are pronounced bumps in the power spectrum due to noise amplification under a small stability margin. The correction of the amplifier performance has required the development of a new technique for the elimination of the hysteresis. Instead of a trial-and-error procedure, this technique, of general application to circuit design, makes use of bifurcation concepts to suppress the hysteresis phenomenon through a single simulation on harmonic-balance software. Another objective has been the investigation of the circuit characteristics that make the noisy precursors observable in practical circuits and a technique has been derived for their elimination from the amplifier output spectrum. All the different techniques have been experimentally validated. Index Terms—Bifurcation, class-E amplifier, hysteresis, noisy precursor, stability, synchronization.

I. INTRODUCTION

P

OWER amplifiers (PAs) often exhibit unstable behavior from a certain level of the input power [1]–[4]. Frequency divisions by two and oscillations at incommensurate frequencies can be predicted through a large-signal stability analysis of the amplifier solution [4]–[7]. In a previous work by the authors [4], techniques were also presented for the efficient determination, through bifurcation detection, of the circuit parameters giving unstable behavior. Oscillatory and chaotic solutions were analyzed in detail, which enabled the derivation of a suitable stabilization technique. However, other phenomena, whose origins are difficult to identify, are also commonly observed in the measurement of PAs. This study has been motivated by the anomalous behavior of a Class-E PA [8]. In the intermediate input-power range, this circuit exhibited pronounced noise bumps at frequencies different from the input-drive frequency, which degraded the amplifier performance. The bumps were observable for a relatively large input-power interval until an oscillation was suddenly obtained. The frequency of this oscillation

Manuscript received June 20, 2005; revised October 14, 2005. This work was supported by the Lee Center for Advanced Networking, California Institute of Technology and by Qualcomm. S. Jeon and D. B. Rutledge are with the Department of Electrical Engineering, California Institute of Technology, Pasadena, CA 91125 USA (e-mail: [email protected]; [email protected]). A. Suárez is with the Communications Engineering Department, University of Cantabria, 39005 Santander, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864125

mixed with the input-drive frequency to give rise to sidebands whose frequencies were surprisingly different from the central frequencies of the previous noise bumps. As will be shown, the observed phenomenon involves hysteresis in the power-transfer curve and sideband noise amplification [9], the latter giving rise to the spectrum bumps, also called noisy precursors [10]. These undesired phenomena may also be obtained in PAs for communications in which linearity and spectral purity are essential. The hysteresis causes sudden spectral growth and disrupts the linearity of amplifiers. The noisy precursors degrade the spectral purity and, particularly, bumps around the input-drive frequency may give rise to interference with other channels. The in-depth investigation of the undesired behavior of PAs requires the combination of different analysis techniques, some of which will be presented here for the first time. The hysteresis in the curve is due to the existence of a multivalued section in the solution curve traced versus as a result of infinite-slope points or turning points [11], [12] occurring in this curve. When using harmonic balance (HB), the multivalued solution curve can be traced by means of a suitable continuation technique, like the switching-parameter algorithm [11]–[13]. Here, a technique will be presented to obtain the multivalued curve in commercial HB software, unable to pass through the tuning points. However, the actual goal of the designer is the suppression of the hysteresis phenomenon, which is generally carried out through a trial-and-error procedure. In order to improve the design efficiency, a new technique is proposed here allowing the removal of the turning points through a single simulation on commercial HB. It relies on the tracing of a turning-point locus on the plane defined by the input power and a suitable stabilization parameter. As already discussed, high-power bumps were observed in the output power spectrum of the Class-E PA. In previous studies, these bumps have been related to noise amplification coming from a small stability margin [9]. The circuit resonant frequencies have low damping and, under the continuous noise perturbations, give rise to bumps in the output power spectrum. If a circuit parameter, such as the input power, is varied and the near-critical poles approach the imaginary axis, the noise bumps become narrower and higher. If the poles cross the imaginary axis, a bifurcation occurs and, from this parameter value, the bumps become distinct spectral lines. One of the objectives of this study is the study of this phenomenon in PAs. The continuous pole displacement, approaching the imaginary axis, takes place in any circuit evolving to an unstable regime. However, the noisy precursors are not always observable. Another aspect that will be investigated here is the reason for the observation of this phenomenon in particular circuits only. Pole-zero identification [6], [7] will be applied to follow

0018-9480/$20.00 © 2006 IEEE

JEON et al.: ANALYSIS AND ELIMINATION OF HYSTERESIS AND NOISY PRECURSORS IN PAs

Fig. 1. Schematic of the class-E PA at 7.4 MHz [8].

the evolution of the system poles, which will be related to the noise amplification. The output noise spectrum will be simulated with both the conversion-matrix approach [14] and the envelope transient [15], [16]. The latter enables a prediction of nonlinear phenomena occurring for high-power bumps or in the immediate neighborhood of the bifurcation. A technique will also be presented for the elimination of noisy precursors from the amplifier output spectrum. This paper is organized as follows. In Section II, the measurements of the Class-E PA, with anomalous behavior, are presented. In Section III, the amplifier solution and its stability are analyzed versus the input power. In Section IV, the noisy precursors are simulated with the conversion-matrix approach and the envelope transient. In Section V, a general technique for the elimination of hysteresis in the curve of PAs is presented and applied to the Class-E PA. Experimental confirmation is also shown. In Section VI, a technique for the elimination of noisy precursors is presented and applied to the Class-E PA with experimental verification. II. EXPERIMENTAL MEASUREMENTS ON THE CLASS-E PA The schematic of the Class-E PA is shown in Fig. 1. The output capacitance and a resonant tank composed of and fulfill the Class-E tuning together with the transistor driven as a switch [17], [18]. The resonant tank is slightly mistuned from the operating frequency MHz to present a zero-voltage switching characteristic to the drain voltage. In order to suppress the second harmonic level below 40 dBc, a second harmonic trap ( and ) is used at the output. This also performs the output impedance transformation to 8 , an appropriate load impedance for switching operation. In addition, a low-pass filter ( and ) is added to suppress VHF harmonic components from the output spectrum at least 40 dB below the fundamental. The amplifier achieves an output power of 360 W with a gain of 16.1 dB and a drain efficiency of 86.1% at 7.4 MHz, when it is driven with sufficient input power for saturated operation. The input voltage standing-wave ratio (VSWR) is 1.7. We observe different phenomena in the measurements of the Class-E amplifier. As the input power increases from zero, only leakage output power at the input-drive frequency is initially obtained. This is in good agreement with the fact that the transistor in the switching amplifier is not turned on below a certain level of input power. From the input power W, noise bumps of relatively high power then arise in the spectrum. There are three bumps centered about kHz and

1097

, respectively [see Fig. 2(a)]. As the input power is further increased, decreases and the bumps about become closer. The bump power also increases [see Fig. 2(b)] until, at W, an oscillation is obtained at the frequency MHz [see Fig. 2(c)], which is quite different from . From this power value, the circuit operates in a self-oscillating mixer regime, at the two fundamentals and [see Fig. 2(c)]. The high phase noise indicates a low quality factor of the oscillation. The oscillation frequency is close to , which gives rise to spectral lines at short frequency distance from the oscillation harmonics. At the power value W, a frequency division by 7 is obtained [see Fig. 2(d)]. The synchronization at is maintained for the input-power interval from 0.89 to 0.92 W. The synchronization capability at this high-harmonic order also indicates a low quality factor of the oscillation. When the power level is higher than W, the circuit behaves again as a self-oscillating mixer. Finally, at W, the oscillation is extinguished and, from this power value on, the amplifier operates in the desired periodic regime [see Fig. 2(e)]. For reasons to be given later, we did not notice hysteresis in these initial measurements. When reducing the input power from power levels above 1.7 W, all the transitions between the different regimes seemed to occur for the same indicated values. As stated in the introduction, the noise bumps are due to noise amplification about the natural frequencies of the circuit when the stability margin is small. Thus, the spectral lines due to the oscillation should be generated at frequencies near , with a integer, which are the central bump frequencies. However, in the Class-E amplifier, there is a substantial difference between the bump frequency and oscillation frequency . To give an explanation of this and other observed phenomena, several analysis techniques will be combined in Section III. III. NONLINEAR ANALYSIS OF THE CLASS-E PA The analysis of the Class-E amplifier will be carried out in three different steps. Initially, its power-transfer curve will be obtained through an HB continuation technique. In a second step, stability-analysis techniques will be applied to study the amplifier stability along the resulting solution curve. Finally, the oscillatory solution will be analyzed using two-tone HB. A. Analysis of the Power-Transfer Curve A discontinuity was observed when sweeping the input power, which indicated a possible hysteresis phenomenon. This is caused by turning points or infinite-slope points of the solution curve, at which the Jacobian matrix of the HB system becomes singular [11]. The used commercial HB software is unable to pass through the turning points. To overcome this difficulty, an auxiliary generator (AG), consisting of a voltage source and an ideal bandpass filter [11], is introduced into the circuit. This AG will operate at the input-drive frequency and must not perturb the circuit steady-state solution. This is ensured by imposing a zero value to its current–voltage relationship , where and are the current and voltage of the AG, respectively. The equation is solved through error-minimization or optimization procedures with the HB system as the inner loop. In the curve sections with low slope with respect to the input

1098

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 2. Measured output power spectrum of the class-E PA for different input power values. Resolution bandwidth = 3 kHz. (a) P = 0:5 W. Pronounced f . (b) P = 0:8 W. The bump frequency f is lower and its power is higher. noise bumps are observed about the frequencies f = 560 kHz and f (c) P = 0:83 W. An oscillation suddenly arises at the frequency f = 1 MHz. (d) P = 0:89 W. Frequency division by 7. The seventh harmonic of the oscillation is synchronized to the input frequency. (e) P = 4:0 W. Proper operation of the amplifier.

6

JEON et al.: ANALYSIS AND ELIMINATION OF HYSTERESIS AND NOISY PRECURSORS IN PAs

0

Fig. 3. Multivalued P P curve of the class-E PA, obtained with the AG-based switching-parameter technique. The section in which the amplifier behaves in self-oscillating mixer regime is indicated by stars.

power, this power is used as the sweep parameter and the equation is solved in terms of the AG amplitude and its phase . In the sections with high slope, the sweep parameter is switched to the AG amplitude and the equation is solved for and . In the Class-E PA, the AG is connected to the transistor drain terminal. Using the described technique, it has been possible to obtain the multivalued curve of Fig. 3. The next step will be the stability analysis of the amplifier periodic solution along the multivalued curve of Fig. 3, which will be carried out through a sequential application of the pole-zero identification technique [4], [6], [7]. For the Class-E amplifier, a small-signal current source at the frequency is connected at the transistor drain terminal in order to obtain the required impedance function [6] through the conversion matrix approach. Note that the poles of a periodic solution are nonunivocally defined because adding any integer multiple of gives another pair of poles with the same real part. These extra poles provide no additional information so only poles between and will be considered here. Initially, the input power is varied from W to W, in a 0.01-W step, following the section of Fig. 3 with a jump between and . The pole-zero identification technique is sequentially applied to each periodic solution obtained with HB. For the calculation of , we consider the frequency interval from 1 kHz to 2 MHz. The resulting pole locus is shown in Fig. 4(a), where the two pairs of poles, i.e., and , closest to the imaginary axis are represented. The pair is initially much closer to this axis than . As increases, both and move rightwards at very different velocity . The displacement of is faster than that of , which remains in the neighborhood of the axis for all the considered values. For W, due to the jump, there is a discontinuity in the pole locus and an anomalously large shift is obtained in , whereas the pair is no longer present. From this power value, the pair is located on the right-hand side of the complex plane. The amplifier periodic solution is unstable, as the pair of poles gives rise to an oscillation at about MHz.

1099

For a detailed study of the pole variations, the sections around and the turning points are also analyzed: of Fig. 3. Note that, unlike the case of Fig. 4(a), does not increase monotonically along these sections. The pole-zero identification technique is applied to the solution curve obtained with the AG-based parameter switching technique. Fig. 4(b) shows the pole evolution along the section . The pair of complex conjugate poles approaches the imaginary axis without crossing it. For clarity, only the evolution of the poles is presented. The considered frequency interval is 0 to 300 kHz. As the input power increases, the two complex conjugate poles remain close to the imaginary axis and from 0.780 to 0.789 W, move nearly vertically, approaching each other, until they meet on the real axis. This gives rise to a qualitative change in the pole configuration, as the two complex conjugate poles become two real ones and from this power value. By further increasing the power, the two real poles move in opposite directions. The pole moves to the right and crosses the imaginary axis at W. This is the power value at which the turning point is obtained in the curve of Fig. 3, which corresponds with the fact that a pole at zero implies a singularity of the HB Jacobian matrix [11]. From this point, the amplifier periodic solution is unstable. Fig. 4(c) shows the evolution of the two sets of poles and , along the section of the curve. The considered frequency interval is 0–1.5 MHz. After passing through zero, moves further right, turns, and crosses the imaginary axis through zero again at W, corresponding to the turning point . The entire section between and of the curve is unstable because the pole is on the right-hand side of the complex plane for the section. in Fig. 4(c), the For the same section poles move to the right, approaching the imaginary axis. At W, they cross to the right-hand side of the complex plane. A Hopf bifurcation [11], [19] is obtained, giving rise to an oscillation at about MHz. As is further increased, the poles move to the right, turn, and cross again the imaginary axis at W. At this power value, a Hopf bifurcation is obtained, which extinguishes the oscillation. The analysis in Fig. 4 is in correspondence with the measurements of Fig. 2. For the input-power interval 0.5–0.789 W, the first pair of complex conjugate poles is very close to the imaginary axis and the small stability margin explains, as will be shown in Section IV, the observation of the noisy precursors. Actually, the pole frequency agrees with the bump frequency . As the input power increases, the pole frequency decreases, which explains the decrease of the bump frequency observed in the measurements. At W, the turning point is encountered, which ordinarily would give rise to a jump leading to the upper section of the periodic-solution curve. However, this periodic solution is already unstable when the jump takes place because the second pair of complex-conjugate poles with MHz is on the right-hand side of the complex plane (see the section indicated by stars in Fig. 3). Thus, an oscillation at about MHz is obtained from this power, which is in agreement with the measurement results.

1100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

0

0 0

6

6

Fig. 4. Pole evolution along the P P curve of Fig. 3. (a) Section    of Fig. 3. The two pairs of poles  j2f and  j2f closest T  . The complex-conjugate poles  j2f approach each other and meet on the real axis. They to the imaginary axis are represented. (b) Section  become two different real poles and and, from that point, follow opposite directions. One of the real poles crosses the imaginary axis at P = 0:790 W, T H   . The real pole crosses the imaginary axis back corresponding to the turning point T , and the solution becomes unstable. (c) Section  j2f crosses the imaginary axis to the to the left-hand side at P = 0:777 W, corresponding to the turning point T . The pair of complex conjugate poles  right-hand side at the Hopf bifurcation H , obtained for P = 0:781 W.

0 0

In fact, this explains why the hysteresis of Fig. 3 was not initially detected in the measurements. The sudden variation of the output power was attributed solely to the oscillation. In addition, the hysteresis interval was too small to actually observe the difference between the input power values at which the oscillation was generated and extinguished.

B. Analysis of the Oscillatory Solution For the input-power interval from 0.781 to 1.45 W, the amplifier operates in a self-oscillating mixer regime with the signal at the drive frequency mixing with the oscillation at . Using the AG technique [11], it has been possible to obtain the evolution of the oscillatory solution versus the input power, which is represented in Fig. 5(a). Two different curves are traced. One

6 0 0 0 0

6

provides the power variation at the oscillation frequency. Increasing the input power, this curve arises at the Hopf bifurcation and vanishes at the Hopf bifurcation . The second curve provides the output power at the input-drive frequency when the circuit is oscillating. This curve joins the amplifier periodic solution at the two input-power values corresponding to and . The dashed curve shows the unstable amplifier periodic solution when the circuit is oscillating. For the considered input frequency MHz, no harmonic synchronization of the oscillation frequency to the input-drive signal has been observed in simulation, which is attributed to modeling inaccuracies. However, for a somewhat higher frequency MHz, a frequency division by 7, has been obtained versus . For the simulation of this divided solution of high order, an AG is connected to the drain terminal in parallel. The AG frequency is determined by the input-drive

JEON et al.: ANALYSIS AND ELIMINATION OF HYSTERESIS AND NOISY PRECURSORS IN PAs

1101

sion matrix and envelope transient, will also be discussed. These techniques will be applied in a detailed study of the noise bumps in the Class-E PA. A. Precursor Model and Analysis Techniques Let the stable periodic solution at be considered. If a small-amplitude perturbation is applied, an exponential transient will lead back to the original solution . This transient will be dominated by the pole or a pair of complex conjugate poles with the smallest real part, in absolute value [11]. Assuming the dominant poles are , the smaller the , the longer the transient at the frequency . Under continuous noise perturbations, bumps will appear in the spectrum about the frequencies [21]. If a parameter is varied and the near-critical poles approach the imaginary axis, the noise bumps will become higher and narrower. If the poles cross the axis, a bifurcation will be obtained, with the bumps turning into distinct spectral lines. Due to this fact, the bumps have been called noisy precursors [9], [10]. The phenomenon can also be explained as a result of negative-resistance parametric amplification [22]. Under the effect of the pumping signal, the nonlinear capacitances will exhibit negative resistance about the circuit resonance frequencies . Prior to the bifurcation, the absolute value of this negative resistance will be smaller than the positive resistance exhibited by the embedding circuit. At the bifurcation, the positive resistance equals the negative one. From this point on, the negative resistance will be dominant and the solution will be unstable [23]. Following [9] and [21], it is possible to relate the precursor power with the stability margin and the frequency detuning from the central values . Assuming white noise perturbations, the output noise spectrum can be approximately modeled as follows:

Fig. 5. (a) Bifurcation diagram of the class-E PA versus the input power P . The dashed curve represents the output power of the unstable periodic solution. For the self-oscillating mixer regime, the power variations at both the oscillation frequency and input-drive frequency are represented. The turning points and Hopf bifurcations are also indicated. (b) Synchronization diagram versus P for frequency division by 7. The closed curve with butterfly shape represents the synchronized solution. This solution is unstable in the dashed-line sections. The power at the oscillation frequency, outside the synchronization region, is also traced.

source and given by . Instead, the AG phase has to be calculated, due to the harmonic relationship between and [20]. The application of the above technique to the Class-E amplifier provides the closed curve of Fig. 5(b), where the output power at is represented versus . The turning points and give rise to jumps between the different stable sections. The output power at , outside the synchronization range, is also represented. The resulting paths approach the closed curve near the synchronization points and . IV. ANALYSIS OF NOISY PRECURSORS Here, a simplified mathematical model is provided for the noisy precursors. The analysis techniques, based on the conver-

(1) are taken where only the dominant poles into account. The coefficients and depend on the system linearization about the steady-state regime and the input noise sources. There are pairs of Lorentzian lines, centered about the resonance frequencies . The height of these lines increases for lower , which means smaller distance from the critical poles to the imaginary axis. Higher power is also obtained as the frequency approaches the critical values . It must also be noted that the linearization becomes invalid in the immediate neighborhood of the bifurcation. When using HB, the noisy precursors can be analyzed with the conversion matrix approach [14] or the envelope transient [15], [16]. The applicability of the conversion matrix is limited to a relatively low precursor power in order for the linearization about the noiseless solution to be valid. For higher power, the circuit nonlinearities will give rise to gain saturation and other effects [9]. The envelope transient [15], [16] should be used instead, expressing the circuit variables as . Prior to the bifurcation, the time

1102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 6. Validation of sideband amplification. Frequency variation of the current gain from the channel-noise current source to the amplifier output, calculated with the conversion matrix approach. Three different P values have been considered.

variation will be exclusively due to the noise perturbations. For the analysis to be accurate, the integration step must be small enough to cover the noisy precursor band about the near-critical frequency . The power spectrum is calculated using a periodogram technique. B. Application to the Class-E Amplifier To validate our initial assumption of sideband amplification occurring in this circuit, the conversion-matrix approach will be applied to analyze the gain about the near-critical frequencies , , and with corresponding to the imaginary part of the pair of poles in Fig. 4. The gain from the channel-noise source to the circuit output is initially considered. For this gain analysis, the noise source is replaced with a deterministic current source of small amplitude. Its frequency is swept about , , and in three different analyses, calculating the conversion gain at the three considered sidebands. As a representative case, Fig. 6 shows the gain variation about , , and when sweeping the current source about . Three different values are considered. Note that the conversion-matrix approach is applied about a different steady-state solution for each value. Qualitatively, the gain curves have the Lorentzian shape of (1), except for the asymmetries about the central bump frequencies, which cannot be predicted with this model. The central frequency of the amplification bands changes with due to the variation of . Extremely high gains will not be physically observed because small changes in cause large gain variations. Furthermore, nonlinear effects occur in the immediate neighborhood of the bifurcation. For W, the gain curves are centered about 490 kHz, in agreement with the pole frequency at this particular power value. The highest gain corresponds to the upper sideband . For the higher power values ( W and W), the central frequency decreases in good

Fig. 7. Analysis of noisy precursors. Comparison of the simulated output spectrum using the conversion-matrix and envelope-transient method. Higher power is obtained at the upper sideband, in agreement with the higher gain value obtained in Fig. 6.

correspondence with the pole displacement of Fig. 4(a) and also with the experimental observations in Fig. 2(a) and (b). The sideband gain increases more rapidly than the lower frequency gain, also in agreement with Fig. 2(a) and (b). Similar qualitative behavior is obtained when sweeping the current source about or : the gain increases and bump frequency decreases with the input power, showing the highest gain at the upper sideband. The behavior is also similar when the gain analysis is applied to other noise sources. Note that the purpose of this gain analysis is just to validate our initial assumption of sideband amplification. For the actual noise analysis, all the different noise sources must be simultaneously considered: the channel noise, the thermal noise from resistive elements, and the noise from the input-drive source, which has been modeled from experimental measurements. The available source providing the necessary power for the switching operation of the amplifier has high noise and constitutes the largest noise contribution. The results obtained with both the conversion-matrix approach and envelope transient are compared in Fig. 7. The good agreement indicates that no relevant nonlinear effects are taking place in the system for this operation condition. The power of the upper sideband about is higher than that of the lower sideband, which corresponds with the gain analysis of Fig. 6 and the measurements. As has been verified in simulation, noisy precursors of lower power are still obtained when using an input-drive source of higher spectral purity. The Class-E amplifier combines two characteristics that contribute to the practical observation of the precursors. The nearcritical poles have small derivative so they remain close to the imaginary axis for a relatively large interval. Also, the gain from the noise sources to the circuit output is high, as shown in Fig. 6. This gain is critical in allowing the precursors to be observed. For other amplifiers operating close to instability, the gain is usually too low and the precursors are below the noise floor of the measurement system.

JEON et al.: ANALYSIS AND ELIMINATION OF HYSTERESIS AND NOISY PRECURSORS IN PAs

V. ELIMINATION OF THE HYSTERESIS IN THE

CURVE

As already discussed, the hysteresis is due to the existence of turning points in the curve. Thus, the hysteresis can be suppressed if we remove these turning points. Taking the curve in Fig. 3 as an example, the two turning points occur at W and W with a difference of 0.013 W. These points can be removed by making them approach each other and eventually meet in a single point, corresponding to a “cusp” bifurcation through the variation of a suitable circuit parameter . This parameter can be either an existing circuit component or an added one for the hysteresis elimination. At the “cusp” bifurcation [19], [24], the two turning points meet and, for a further variation of the parameter, disappear from the solution curve, due to the continuity of the system. This continuity also ensures a limited disturbance of the original amplifier response. As already stated, the Jacobian matrix of the HB system becomes singular at the turning points due to the existence of a real pole at zero . In [11], it was shown that the Jacobian matrix associated to the nonperturbation equation also becomes singular at these points. When simulating the amplifier periodic solution, this Jacobian matrix is given by

(2)

where and are the real and imaginary parts of , respectively. The derivatives are calculated through finite differences using HB. The cusp point is a co-dimensional 2 bifurcation, requiring the fine tuning of two parameters [19]. One parameter will be and the other, the stabilization element . In the plane defined by these two parameters, the locus of turning points is given by

(3) The above system contains four real unknowns in three real . All the equations, so a curve is obtained in the plane points in this curve have a real pole at zero . The curve defined by (3) will be traced from the initial value corresponding to the original circuit. In the case of a multivalued curve like the one in Fig. 3, for , there will be two different turning points. Provided there is enough sensitivity to , the two turning points will vary versus and, at given , they will meet in a cusp point, obtained for the input power . At the cusp point, the two following conditions are satisfied for the real pole :

(4) Note that all the rest of turning points, composing the locus defined by (3), fulfill , as they give rise to a qualitative stability change in the solution curve. The second condition in (4) comes from the fact that the unstable section between the

1103

turning points does not exist any more due to the merging of these points so the real pole does not cross the imaginary axis. Instead, it is tangent to this axis at the origin. For a further variation (in the same sense), the solution curve will exhibit no turning points and the hysteresis will be eliminated. We can implement this technique on a commercial HB simulator. It requires the consideration of the original circuit, plus two identical copies. An AG will be connected to each of the three circuits, with different values of the AG amplitude and phase in each of them. The two copies will enable the calculation of the derivatives that compose the Jacobian matrix (2). The first of the three circuits operates at the nominal values and and must fulfill the conditions (3). One of the copies operates at and , and is used for the calculation of the derivative . The other copy operates at and , and is used for the calculation of the derivative . The three circuits are solved simultaneously in a single HB simulation. In order to obtain the turning-point locus in the single simulation, the phase is swept, optimizing , , and in the nominal circuit in order to fulfill the conditions (3). After inspection of the Class-E amplifier schematic, it was considered that the variation of the elements in the output lowpass filter, composed of and , should not strongly affect the drain efficiency and output power. Their possible influence on the turning points of the curve was examined. The capacitance was taken as a stabilization parameter . The turning-point locus fulfilling (3) was traced in the plane defined by and for three different values. The results are shown in Fig. 8. The two values obtained for each and are the ones corresponding to the turning points in the particular curve. For the original amplifier, nH and pF, the turning points, indicated with dots in Fig. 8, are the same as those in Fig. 3. As can be seen, the range of values for which the curve exhibits turning points decreases with larger . For each value, as decreases, the two turning points approach each other until they meet at the cusp point . For smaller , no turning points exist so no hysteresis phenomenon should be observed in the circuit. The results of Fig. 8 have been verified by tracing the curves for nH and different values between 80 and 100 pF (Fig. 9). For pF, two turning points are obtained at the power values predicted by the locus of Fig. 8, and a hysteresis phenomenon is observed. For pF, the two turning points are closer, in agreement with Fig. 8, and a narrower hysteresis interval is obtained. For pF, the two turning points meet at the cusp point . For pF, no hysteresis is observed. The small disturbance of the original characteristic by the application of this technique should also be noted. Similarly small disturbance can be expected regardless of the particular circuit. It is due to the continuity of the system, evolving smoothly when the stabilization parameter is varied. For pF, with no hysteresis, a wider variation has also been considered for pole-zero identification. For low , there are two pairs of complex-conjugate poles and , as in the original circuit. As increases, the poles approach each other, merge, and split into two

1104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

0

Fig. 8. Locus of turning points in the plane defined by the stabilization parameter C and the input power P . The two power values obtained for each L and C correspond to the two turning points of P P curves, like the ones in Fig. 3. For C smaller than the value corresponding to the cusp point, no turning points are obtained and no hysteresis phenomenon is observed.

P transfer characteristics before and after the Fig. 10. Measured P elimination of the hysteresis. For the stabilized PA, only the curves without oscillation are presented.

Fig. 9. Elimination of the hysteresis phenomenon with L = 257 nH. The is reduced, in hysteresis interval becomes narrower as the capacitance C agreement with the loci in Fig. 8. For capacitor values smaller than C = 85 pF, corresponding to the cusp point of this locus, no hysteresis is observed.

VI. ELIMINATION OF NOISY PRECURSORS

0

real poles at W that never cross the imaginary axis to the right-hand side. Although the distance of to the imaginary axis has increased, the precursors are still obtained in simulation. On the other hand, for values below 50 pF, the instability at MHz, due to the pair of complex-conjugate poles , is not observed. This is a beneficial effect of the modification of the output low-pass filter. Thus, in order to obtain a curve without hysteresis and oscillation, capacitor values below 50 pF must be chosen. The validity of the new technique has also been experimentally verified. Maintaining nH, the capacitor value was changed to below 50 pF. The experimental curves of the stabilized amplifier with and pF are shown in Fig. 10, where they can be compared with the original curve exhibiting a jump. Note that only the curves without oscillation are presented for the stabilized PA. The oscillation

was suppressed for a capacitor value smaller than 30 pF. Although this value is lower than the one obtained in simulation (50 pF), there is a good qualitative agreement with the predictions of Fig. 9. The reduction of value eliminates the hysteresis with minimum disturbance of the power-transfer curve. As the capacitor value decreases, the intermediate range of the curve becomes smoother and shifts to the right in similar manner to Fig. 9. For a chosen capacitor value pF, all the output harmonic levels were suppressed more than 50 dB below the fundamental so the low-pass filter still fulfills the original purpose. No oscillation was observed when varying the input power, but the bumps were still noticeable in the spectrum, both corresponding to the simulations. Thus, an additional technique is needed for the elimination of the noisy precursors. This will be presented in Section VI.

From the analysis of Section IV, the precursors of the Class-E amplifier are due to the proximity of the pair of poles to the imaginary axis with for all the values. According to (1), for a reduction of the precursor power, we need to move away from the axis. A possible technique is the parallel connection of a resistance to the transistor drain terminal. This will increase the real part of the input admittance looking from the drain terminal at , and move the near-critical poles leftward, which will give rise to the increase of the stability margin. On the other hand, the resistance at the transistor output will substantially degrade the drain efficiency and output power of the amplifier. To avoid this degradation, an inductor of relatively high value is connected in series with the resistor. Thus, the correction network is composed of a stabilization resistance of 33 , an inductor of 4 H, and a dc-blocking capacitor of 80 nF in series. This network is connected in parallel at the drain terminal. With the addition of the inductor, the impedance exhibited at will be large, and little current will flow at that frequency.

JEON et al.: ANALYSIS AND ELIMINATION OF HYSTERESIS AND NOISY PRECURSORS IN PAs

Fig. 11. Corrected amplifier. Frequency variation of the current gain from the channel-noise current source to the circuit output, calculated with the conversion matrix approach.

1105

Fig. 13. Comparison of the measured gain and drain efficiency versus the output power between the original and corrected amplifiers. The input power is 9 W for saturated switching operation in the entire measurements.

The elimination of the precursors has been experimentally confirmed. No precursors or instability were obtained in the measurements for the entire range of input power and drain bias voltage, which is in agreement with the simulations. Fig. 12 shows a representative measurement of the output power spectrum corresponding to W. The noise coming from the input-drive source is still present about , but unlike the spectrum of Fig. 2(b), no noise bumps are observed about or at low frequency. The measured gain and drain efficiency of the corrected amplifier is shown in Fig. 13. Compared with the original amplifier, the degradation of the drain efficiency is below 1.5% for all output power levels. The gain is almost same because of the saturated switching operation of the amplifier.

VII. CONCLUSION Fig. 12.

Measured output power spectrum of the corrected amplifier at

P = 0:95 W. The noise from the input-drive source is still present about

f . However, the noise bumps have disappeared, which validates the proposed correction technique.

Due to the fact that the resonance frequency is relatively close to , the impedance of the inductor at will affect the system poles. To analyze this influence, the pole locus versus has been retraced. The introduction of the inductance removes the former complex-conjugate poles . Instead, it creates a new pole pair also close to the imaginary axis, with being significantly smaller than for the entire range. However, the observation of the precursors is also strongly dependent on the gain from the noise sources to the amplifier output at the near-critical frequencies . This gain has also been analyzed with the results of Fig. 11. Compared with Fig. 6, there is a substantial gain decrease for all the values. This is due to high attenuation of the embedding circuit at the much lower value of the near-critical frequency. The gain curves about the input-drive frequency maintain the Lorentzian shape. Similar low-gain values are obtained when sweeping the current source about or .

In this study, techniques have been presented for the in-depth analysis of complex instability phenomena in PAs. They have enabled the understanding of the anomalous behavior of a Class-E PA, involving hysteresis in the curve, noisy precursors, self-oscillation, and harmonic synchronization. A general technique has been provided for the efficient elimination of hysteresis in the curve of PAs. It is based on the tracing of a turning point locus in the plane defined by the input power and one stabilization parameter. The technique has been applied to the Class-E amplifier with good results. The noisy precursors have also been analyzed and the circuit characteristics that determine their practical observation have been investigated. A technique has been presented for their efficient elimination from the output power spectrum, which has been successfully applied to the Class-E PA.

ACKNOWLEDGMENT The authors would like to thank C. Wheatley, Qualcomm, San Diego, CA, for support. The authors would also like to thank J.-M. Collantes, University of the Basque Country, Bilbao, Spain, for helpful comments.

1106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

REFERENCES [1] D. Teeter, A. Platzker, and R. Bourque, “A compact network for eliminating parametric oscillations in high power MMIC amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, Jun. 1999, pp. 967–970. [2] A. Collado, F. Ramírez, and A. Suárez, “Analysis and stabilization tools for microwave amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 945–948. [3] M. Mochizuki, M. Nakayama, Y. Tarui, Y. Itoh, S. Tsuji, and T. Takagi, “Nonlinear analysis of f =2 loop oscillation of high power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Orlando, FL, May 1995, pp. 709–712. [4] S. Jeon, A. Suárez, and D. B. Rutledge, “Global stability analysis and stabilization of a class-E/F amplifier,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3712–3722, Dec. 2005. [5] S. Mons, J.-C. Nallatamby, R. Queré, P. Savary, and J. Obregon, “A unified approach for the linear and nonlinear stability analysis of microwave circuits using commercially available tools,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2403–2409, Dec. 1999. [6] J. Jugo, J. Portilla, A. Anakabe, A. Suárez, and J. M. Collantes, “Closedloop stability analysis of microwave amplifiers,” Electron. Lett., vol. 37, pp. 226–228, Feb. 2001. [7] A. Anakabe, J. M. Collantes, J. Portilla, J. Jugo, S. Mons, A. Mallet, and L. Lapierre, “Analysis of odd-mode parametric oscillations in HBT multi-stage power amplifiers,” in Eur. Microw. 11th GaAs Symp., Munich, Germany, Oct. 2003, pp. 533–536. [8] E. Lau, K.-W. Chiu, J. Qin, J. Davis, K. Potter, and D. Rutledge, “High-efficiency class-E power amplifiers—Part 1,” QST, pp. 39–42, May 1997. [9] C. Jeffries and K. Wiesenfeld, “Observation of noisy precursors of dynamical instabilities,” Phys. Rev. A, Gen. Phys., vol. 31, no. 2, pp. 1077–1084, Feb. 1985. [10] S. Ver Hoeye, A. Suárez, and S. Sancho, “Analysis of noise effects on the nonlinear dynamics of synchronized oscillators,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 9, pp. 376–378, Sep. 2001. [11] A. Suárez and R. Queré, Global Stability Analysis of Microwave Circuits. Boston, MA: Artech House, 2003. [12] V. Rizzoli and A. Neri, “State of the art and present trends in nonlinear microwave CAD techniques,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 343–365, Feb. 1988. [13] L. O. Chua, “A switching-parameter algorithm for finding multiple solutions of nonlinear resistive circuits,” Int. J. Circuit Theory Applicat., vol. 4, no. 3, pp. 215–239, Jul. 1976. [14] V. Rizzoli, F. Mastri, and D. Masotti, “General noise analysis of nonlinear microwave circuits by the piecewise harmonic-balance technique,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 5, pp. 807–819, May 1994. [15] E. Ngoya and R. Larcheveque, “Envelope transient analysis: A new method for the transient and steady state analysis of microwave communication circuits and systems,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 1996, pp. 1365–1368. [16] J. C. Pedro and N. B. Carvalho, “Simulation of RF circuits driven by modulated signals without bandwidth constraints,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, pp. 2173–2176. [17] N. O. Sokal and A. D. Sokal, “Class E—A new class of high-efficiency tuned single-ended switching power amplifiers,” IEEE J. Solid-State Circuits, vol. 10, no. 3, pp. 168–176, Jun. 1975. [18] M. Albulet, RF Power Amplifiers. New York: Noble, 2001. [19] J. M. T. Thompson and H. B. Stewart, Nonlinear Dynamics and Chaos. New York: Wiley, 1986. [20] F. Ramirez, M. E. de Cos, and A. Suárez, “Nonlinear analysis tools for the optimized design of harmonic-injection dividers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1752–1762, Jun. 2003. [21] F. X. Kaertner, “Analysis of white and f noise in oscillators,” Int. J. Circuit Theory Applicat., vol. 18, pp. 485–519, 1990. [22] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: IEEE Press, 2001. [23] K. Kurokawa, “Some basic characteristics of broad-band negative resistance oscillator circuits,” Bell Syst. Tech. J., vol. 48, pp. 1937–1955, Jul.–Aug. 1969.

[24] J. Guckenheimer and P. Holmes, Nonlinear Oscillations, Dynamical Systems and Bifurcations of Vector Fields. Berlin, Germany: Springer-Verlag, 1990 (third printing).

Sanggeun Jeon (S’05) received the B.S. and M.S. degrees in electrical engineering from Seoul National University, Seoul, Korea, in 1997 and 1999, respectively, the M.S. degree in electrical engineering from the California Institute of Technology, Pasadena, in 2004, and is currently working toward the Ph.D. degree at the California Institute of Technology. From 1999 to 2002, he was a Full-Time Instructor of electronics engineering with the Korea Air Force Academy, Cheongwon, Korea. His research interests include high-efficiency PAs and nonlinear stability analysis. Mr. Jeon was the recipient of the Third Place Award in the Student Paper Competition at the 2005 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

Almudena Suárez (M’96–SM’01) was born in Santander, Spain. She received the Electronic Physics and Ph.D. degrees from the University of Cantabria, Santander, Spain, in 1987 and 1992, respectively, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1993. In 1987, she joined the Electronics Department, University of Cantabria, where she was involved with nonlinear simulation. From May 1990 to December 1992, she was on leave with the Institute de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges. Since 1993, she has been an Associate Professor (permanent since June 1995) with the Communications Engineering Department, University of Cantabria. She coauthored Stability Analysis of Microwave Circuits (Artech House, 2003). Her areas of interest include the nonlinear design of microwave circuits, especially the nonlinear stability and phase-noise analysis and the investigation of chaotic regimes.

David B. Rutledge (S’77–M’77–SM’89–F’93) received the B.A. degree in mathematics from Williams College, Williamstown, MA, the M.A. degree in electrical sciences from Cambridge University, Cambridge, U.K., and the Ph.D. degree in electrical engineering from the University of California at Berkeley. He is currently the Tomiyasu Professor of Electrical Engineering with the California Institute of Technology, Pasadena. He is Director of the California Institute of Technology’s Lee Center for Advanced Networking. He authored the electronics textbook The Electronics of Radio (Cambridge Univ. Press, 1999) and coauthored the microwave computer-aided-design software package Puff, which has sold 30 000 copies. His research has been in integrated-circuit antennas, active quasi-optics, computer-aided design, and high-efficiency PAs. Prof. Rutledge was the recipient of the Microwave Prize, the Distinguished Educator Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the Teaching Award of the Associated Students of the California Institute of Technology, the Doug DeMaw Award of the American Radio Relay League (ARRL), and the Third Millennium Award of the IEEE. He was the editor-in-chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, and a Distinguished Lecturer of the IEEE Antennas and Propagation Society (IEEE AP-S).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1107

Periodic Stepped-Impedance Ring Resonator (PSIRR) Bandpass Filter With a Miniaturized Area and Desirable Upper Stopband Characteristics Jen-Tsai Kuo, Senior Member, IEEE, and Chih-Yuan Tsai

Abstract—A periodic stepped-impedance ring resonator (PSIRR) is proposed to design dual-mode bandpass filters with a miniaturized area and desirable upper stopband characteristics. Design parameters of a PSIRR include impedance ratio of the hi- to low- sections, their lengths, and number of impedance steps 2 . The resonant characteristics of PSIRRs with various and values are investigated by both the transmission-line theory and electromagnetic simulation. Proper choice of the above parameters leads to an optimal reduction of circuit area and extension of upper rejection bandwidth. Two extra transmission zeros exist in the upper stopband and are tunable via changing the arm lengths of the line-to-ring coupling structure. Realized by the standard microstrip technology, the dual-mode PSIRR bandpass filter has not only the first spurious response at higher than 3.7 the passband frequency, but also an area reduction of better than 60% against a conventional ring filter. Experimental results of several fabricated circuits validate the analysis and theoretical prediction. Index Terms—Bandpass filter, dual mode, miniaturization, periodic structure, ring resonator, stepped-impedance resonator.

I. INTRODUCTION

H

IGH-PERFORMANCE microwave/RF bandpass filters are essential devices in modern wireless communications such as satellite and mobile systems. The dual-mode ring resonator filters have many attractive features such as small area, low loss, high selectivity, and simple design. Recently, many researches on ring filters have been published for innovative design or analysis methods [1]–[4], circuit miniaturization [5]–[8], and wide stopband properties [9]–[11]. In [1], -shaped arms are used to enhance couplings and dual-mode excitations of quasi-elliptic function bandpass filters. Based on the transmission-line theory, the even- and odd-mode method in [2] is now popular for analysis of a dual-mode ring resonator possessing two ports spatially separated at 90 and an impedance junction for perturbation at its symmetrical plane. In [3], the angle between the I/O ports and the coupling between the dual modes are combined in formulation to control the attenuation pole frequencies. In [4], a joint field/circuit model is proposed Manuscript received June 20, 2005; revised November 1, 2005. This work was supported in part by the National Science Council, Taiwan, R.O.C., under Grant NSC 93-2213-E-009-095 and Grant NSC 93-2752-E-009-002-PAE. The authors are with the Department of Communication Engineering, National Chiao Tung University, Hsinchu 300, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864121

to characterize line-to-ring coupling structures for design and optimization of microstrip ring resonator circuits. Recently, several structures have been developed for reducing area of a dual-mode ring filter. The meander loop in [5] has a size reduction of more than 50%. In [6], a sophisticated pattern is designed for the dual-mode resonator to achieve a size reduction of 59%. In [7], a miniaturized ring filter is designed with four equally spaced butterfly radial stubs. A new perturbation, called the local ground defect, is included to make the orthogonal modes split up. A size reduction of better than 65% can be obtained. The etched holes in the ground plane, however, need extra fabrication efforts. In [8], two pairs of shunt capacitors are used to control the even and odd resonances for perturbation. A size reduction of 55% 67% is realized. Note that their circuits involve lumped capacitors and via-holes. Planar or quasi-planar bandpass filters suffer from unwanted responses in the upper stopband due to the distributed nature and other circuit properties. For widening the upper stopband, slow-wave open-loop resonators are embedded into a square loop resonator [9]. The spurious is detected at 2.5 the design frequency. In [10], two topologies are proposed to suppress the unwanted harmonics. One intuitively connects 50- spur-line bandstop filters at the I/O ports, and the other incorporates lowpass structures into the ring. The ring in [11] increases the rejection bandwidth by directly incorporating a stepped-impedance low-pass filter to suppress the second mode. In this paper, we propose a new fully planar microstrip periodic stepped-impedance ring resonator (PSIRR) bandpass filter. The design utilizes degenerate modes of a ring resonator consisting of a periodic cascade of hi- and low- sections. The proposed PSIRR has a compact area and a wide upper stopband with two extra transmission zeros. One of the zero can be tuned to suppress the first spurious so that the upper stopband can be extended up to 3.76 the passband frequency.

II. PSIRR Fig. 1 shows layouts of the proposed PSIRRs of – . Each PSIRR consists of hi- sections of a spatial angle . Every two adjacent hi- sections are spaced by a low- section of a spatial angle . All PSIRRs are symmetric about at least one dashed line so that . Such a PSIRR is herein referred to as PSIRR . For example, a PSIRR with is

0018-9480/$20.00 © 2006 IEEE

1108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 3. Normalized resonant frequencies of perturbed PSIRRs for N ; ; ; . All rings have identical radii. Impedance ratios R and : R. R

= 1 2 ... 8 = 1 04 2

Fig. 1. Layouts of the proposed PSIRRs for N =N .

= 1; 2; 3; and 4.  +  =

Fig. 2. Transmission-line modeling of a PSIRR3. In analysis, Z for the odd and even modes, respectively.

= 0 and 1

denoted as PSIRR3. When , the -section is a perturbation of the -section to split off the degenerate modes. Define the impedance ratios of a PSIRR as (1a) (1b) is close to unity and is used only for . where The resonant conditions for the PSIRRs can be formulated by the transmission-line theory [2]. For example, the PSIRR3 can be modeled by the multistepped-impedance lines with terminations shown in Fig. 2. The odd and even resonances of the PSIRR3 occur when and , respectively. Thus, the resonant condition for the odd mode can be formulated by enforcing the sum of the following two terms to zero: (2a) (2b) where and are the input impedances seen at the junction looking to the right- and left-hand sides, and and are electrical lengths of spatial angles and , respectively. Based on (2a) and (2b), a simple root-searching program can be employed to calculate the resonant frequencies as functions of , , , and . Fig. 3 plots the leading two resonant frequencies for PSIRRs with against from

TABLE I MINIMAL NORMALIZED FREQUENCIES f

=4

FOR

PSIRR3 AND PSIRR4

for and . The 0 to plotted frequencies are normalized with respect to the fundamental frequency of a uniform impedance ring (UIR) resonator, i.e., . Here, all the PSIRRs have identical mean radii. When miniaturization is the design target, the desired resonator will have a frequency as low as possible. As indicated in Fig. 3, PSIRR2 has the lowest resonant frequency. Its second resonance, however, is far away from the previous one due to the large value. Note that the design frequency of a dual-mode ring filter should be the algebraic mean of these two resonances. The large distance between the two resonances will lead to a large bandwidth, but at the same time, very large couplings between feeders and the ring can be inevitable. Thus, PSIRR2 is not suitable to our purpose, while PSIRR3 becomes the best candidate. III. RESONATOR MINIATURIZATION AND THE UPPER STOPBAND , as shown in Fig. 3, the two resonant For PSIRRs with frequencies and splitting up from the degenerate frequency have a small distance in response to the 4% change of to . Our simulation shows that . When is varied from 0 to 90 , each PSIRR has a minimal . Detailed data show that the minimal locate at . Table I lists the minimal frequencies of the PSIRR3 and PSIRR4 for . As compared with a UIR resonator, the PSIRR3 with will use only area, i.e., an area reduction of 51.6% can be achieved. When , the value for is approximately 4.8% higher than that for and those for – gradually increase from 0.78 to 0.793. Based on Table I, the area can be effectively reduced by increasing the value; however, parasitic effects will also contribute further area reduction, which will be shown below.

KUO AND TSAI: PSIRR BANDPASS FILTER WITH MINIATURIZED AREA AND DESIRABLE UPPER STOPBAND CHARACTERISTICS

1109

Fig. 4. Ratios of the first higher order resonant frequency to the fundamental resonance for the PSIRR3 and PSIRR4.

Fig. 6. Search for spatial separation  between I/O feeders for a PSIRR3. (a)  = 30 , 45 , 60 , 150 . (b)  = 180 , 240 , 255 , 280 . Fig. 5. EM simulation results for the normalized fundamental and first higher order resonant frequencies of the PSIRR3 and PSIRR4.

It is also desirable for a distributed bandpass filter to have a wide upper stopband. The performance of the filter in the upper stopband depends much on where the second resonance arises. Fig. 4 plots against for PSIRR3 and PSIRR4. It is found that the for maximal are the same as those for minimal . It means that choosing for a PSIRR will have a minimal ring area and maximally possible upper stopband at the same time. Fig. 5 compares the simulated resonant spectrum of a PSIRR3 and PSIRR4 for with that of a UIR on a dielectric substrate with and thickness mm. The simulation data are obtained by the electromagnetic (EM) software package IE3D.1 All rings have identical radii mm, measured from the ring center to midpoint of the hi- line. This size will be used for all PSIRR filters herein. The feed lines are separated from the ring by a coupling gap so that resonant frequencies can be easily located at the sharp peaks. It can be verified that the UIR circuit has GHz. The PSIRR3 and PSIRR4 have fundamental resonances at 1.93 and 1.98 GHz, corresponding to normalized frequencies 0.643 and 0.660, respectively. These

1IE3D

Simulator, Zeland Software Inc., Fremont, CA, 1997.

two values are 7% 10% less than those given in Table I and Fig. 3. This discrepancy should be resulted from the parasitic effects due to the step discontinuities of the ring, which are not taken into account in the transmission-line analysis. For the particular I/O arrangement, the first higher order resonance of the UIR is at GHz, while those of the PSIRR3 and PSIRR4 are at 6.04 and 7.35 GHz, respectively. For the PSIRR4, if the I/O feeds are taken collinearly passing through the low- sections, there is a higher order resonance at 2.9 GHz and that at 7.35 GHz disappears at the same time. The former can be predicted by merely interchanging the roles of hi- and low- sections in (2), and the latter is attributed to a longitudinal resonance in the low- section, at which there is a field minimum. Miniaturization of resonators is usually accompanied with increase of losses. Insertion of the simulated peak responses in Fig. 5 to the formula in [12] yields the unloaded factors of PSIRR3 and PSIRR4 , which is comparable to for a uniform microstrip ring with a 50- linewidth.

IV. DUAL-MODE RING RESONATOR FILTER DESIGN The purpose for being made slightly larger than is to produce a proper coupling between the two degenerate modes.

1110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 7. Tuning of the two transmission zeros in the upper stopband for two PSIRR filters. (a) N = 3 and  = 60 . (b) N = 4 and  = 90 .

This perturbation is similar to the conventional patch perturbation in [2], [5], [6], and [8]. The coupling coefficient between the two modes in a PSIRR can be calculated as [2], [3], [8] (3) where and are the peak frequencies. Note that and are consistent with those used in Fig. 3. Comparing (3) with [1, eq. (3)], one can easily validate that their relative deviation is . For example, if , their relative deviation will be no larger than 0.0016. For a dual-mode ring resonator filter, the feeders should be properly designed for a symmetric passband response. Fig. 6 plots the responses for a PISRR3 having and with various separations between the feeders, which is symmetric about the vertical axis through center of the ring and has a small coupling gap to the ring. Two separations and 255 are found to equalize the peaks at and . For a PSIRR4, with the perturbation section being symmetrically located at vertical axis above the center of the ring, identical peaks are obtained when and . levels for in Fig. 6(a) is approxiThe peak mately 7 dB higher than that for in Fig. 6(b). It means that the latter will need more I/O couplings than the former for a

Fig. 8. Simulation and measured responses for two PSIRR4 filters. R = 4:5. (a)  = 30 ,  = 80 ,  = 90 [see Fig. 7(b)], R = 5:3. (b)  = 90 ,  = 10 ,  = 275 , R = 5:15. (c) Photograph of circuit in (a).

given filter bandwidth. To establish the necessary couplings between the dual-mode resonator and the feeders, the line-to-ring coupling structure [4] is used herein. Both the PSIRR3 and PSIRR4 filters are found to have two extra zeros in the upper stopband before the first spurious arises. These zeros are desirable since they greatly improve the rejection in the upper stopband. The generation of the two zeros depends on the structure and position of the line-to-ring feeder, separation of the I/O ports , and the PSIRR structure. Fig. 7(a) and (b) plots the simulation responses of the PSIRR3 and PSIRR4 filters when the feeders have total arc lengths and and and , respectively. Here, and . The coupling arms have a

KUO AND TSAI: PSIRR BANDPASS FILTER WITH MINIATURIZED AREA AND DESIRABLE UPPER STOPBAND CHARACTERISTICS

1111

HP8722D network analyzer, and the standard short-open-loadthru (SOLT) calibration is used. The dimensions of the experimental circuits are chosen as follows. As in Table I, the larger the value, the more the area reduction. A large value, however, corresponds to a large low- patch. Thus, is made as large as possible, but to avoid overlapping the low- sections inside the ring. The value of determines the filter bandwidth, the I/O separation is based on the results in Fig. 6, and the choices of and are from those in Fig. 7. Fig. 8(a) and (b) shows the results for two PSIRR4 filters with and , respectively. The center frequency GHz. It implies that they need only of the area of a traditional ring filter. In Fig. 8(a), the PSIRR4 has , , and , and the measured bandwidth 6.25%. The feeder has and . In Fig. 8(b), the PSIRR4 is a duplicate of the previous . Its bandwidth is 4.5%. The feeder one, except has and to increase the separation between the two zeros. Both filters in Fig. 8 have in-band insertion losses of 1.7 dB and return losses close to 20 dB. The spurious of the two circuits are at 7.3 GHz or 3.78 , as well predicted by Fig. 5. Fig. 9(a) plots the simulation and measured results of a PSIRR3 filter. The values of and are identical to those used in Fig. 8(a), but . The I/O port separation , and lengths of the feeders’ arms and . In measurement, passband center GHz, bandwidth , and in-band insertion loss is 1.24 dB. The circuit occupies only 38% of the area of a traditional dual-mode ring filter at the same . The spurious is at 6 GHz or . Fig. 9(b) plots the results of the second PSIRR3 filter. The circuit is the same as that in Fig. 9(a) with being changed to 19.88 . With , the distance is swept from 100 to 70 to tune the notch at 5 GHz in Fig. 9(a). Simulated responses with , 85.8 , 75.1 , and 71.5 are plotted to show the migration of the notch. When , the notch cancels the spurious at 6 GHz so that the upper stopband has 1-GHz bandwidth extension for a 20-dB rejection level. This circuit is then fabricated and measured. The measured insertion loss in the passband is only 1.6 dB. The measurements have good agreement with the simulation. Fig. 9. Simulated and measured responses for two PSIRR3 filters. Center : GHz. (a)  , , , measured frequency f f : GHz. (b) Tuning  and  to have spurious suppression at 6 GHz. (c) Photograph of circuit in (a).

= 1 85

= 1 86

= 17

= 93

= 8%

width and a gap of 0.14 mm from the ring. In Fig. 7(a), when is decreased from 40 to 25 , the distance between the two zeros increases. Similar results are obtained when is decreased from 33 to 23 for the PSIRR4 filter. Note that the passband responses are close to not being altered when is changed. These results indicate that the two zeros are tunable to a certain extent via adjusting . V. SIMULATION AND MEASUREMENTS Four PSIRR filters are fabricated on a substrate with and thickness mm. The circuits are measured by the

VI. CONCLUSION PSIRRs have been proposed for design of dual-mode ring bandpass filters. As compared with a traditional ring, PSIRR3 and PSIRR4 can offer a size reduction of approximately 60% for dual-mode filter design. The amount of size reduction depends on both the number of impedance junctions and impedance ratio of hi- to low- sections in a PSIRR. Two transmission zeros are generated in the upper stopband and are tunable by adjusting the arm lengths of the line-to-ring coupling structures. Measured results for two PSIRR3 and two PSIRR4 filters have been presented. For PSIRR3, one of the zeros can be used to suppress the first spurious and, hence, to extend the bandwidth of the upper stopband up to more than 3.7 the passband frequency.

1112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

REFERENCES [1] L.-H. Hsieh and K. Chang, “Dual-mode quasi-elliptic-function bandpass filters using ring resonators with enhanced-coupling tuning stubs,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 5, pp. 1340–1345, May 2002. [2] M. Matsuo, H. Yabuki, and M. Makimoto, “Dual-mode steppedimpedance ring resonator for bandpass filter application,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1235–1240, Jul. 2001. [3] A. C. Kundu and I. Awai, “Control of attenuation pole frequency of a dual-mode microstrip ring resonator bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1113–1117, Jun. 2001. [4] L. Zhu and K. Wu, “A joint field/circuit model of line-to-ring coupling structures and its application to the design of microstrip dual-mode filters and ring resonator circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 1938–1948, Oct. 1999. [5] J. S. Hong and M. J. Lancaster, “Microstrip bandpass filter using degenerate modes of a novel meander loop resonator,” IEEE Microw. Guided Wave Lett., vol. 5, no. 11, pp. 371–372, Nov. 1995. [6] A. Görür, C. Karpuz, and M. Akpinar, “A reduced-size dual-mode bandpass filter with capacitively loaded open-loop arms,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 385–387, Sep. 2003. [7] B. T. Tan, J. J. Yu, S. T. Chew, M. S. Leong, and B. L. Ooi, “A miniaturized dual-mode ring bandpass filter with a new perturbation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 343–348, Jan. 2005. [8] M.-F. Lei and H. Wang, “An analysis of miniaturized dual-mode bandpass filter structure using shunt-capacitance perturbation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 861–867, Mar. 2005. [9] A. Görür, “A novel dual-mode bandpass filter with wide stopband using the properties of microstrip open-loop resonator,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 386–388, Oct. 2002. [10] U. Karacaoglu, D. Sanchez-Hernandez, I. D. Robertson, and M. Guglielmi, “Harmonic suppression in microstrip dual-mode ring-resonator bandpass filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 1996, pp. 1635–1638. [11] J. M. Carrol and K. Chang, “Microstrip mode suppression ring resonator,” Electron. Lett., vol. 30, no. 22, pp. 1861–1862, Oct. 1994. [12] K. Chang, Microwave Ring Circuits and Antennas. New York: Wiley, 1996.

Jen-Tsai Kuo (S’88–M’92–SM’04) received the Ph.D. degree from the Institute of Electronics, National Chiao Tung University (NCTU), Hsinchu, Taiwan, R.O.C., in 1992. Since 1984, he has been with the Department of Communication Engineering, NCTU, as a Lecturer in both the Microwave and Communication Electronics Laboratories. During the 1995 academic year, he was a Visiting Scholar with the University of California at Los Angeles (UCLA). He is currently a Professor and serves as the Vice Chairman of the Department of Communication Engineering and the Director of the Degree Program of the Electrical Engineering and Computer Science Colleges, NCTU. His research interests include the analysis and design of high-frequency electronics and microwave circuits, high-speed interconnects and packages, field-theoretical studies of guided waves, and numerical techniques in electromagnetics.

Chih-Yuan Tsai was born in Kaohsiung, Taiwan, R.O.C., on May 5, 1980. He received the B.S. degree in electrical engineering from National Taiwan Ocean University (NTOU), KeeLung, Taiwan, R.O.C., in 2003, and the M.S. degree in communication engineering from the National Chiao Tung University (NCTU), Hsinchu, Taiwan, R.O.C., in 2005. His research interests include the analysis and design of passive microwave and millimeter-wave circuits, especially in development of innovative RF filters.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1113

A Compact Size Coupling Controllable Filter With Separate Electric and Magnetic Coupling Paths Kaixue Ma, Member, IEEE, Jian-Guo Ma, Senior Member, IEEE, Kiat Seng Yeo, and Manh Anh Do

Abstract—This paper presents the characteristics of a miniaturized microstrip filter, which has two separate coupling paths: electric coupling path and magnetic coupling path between two resonators. Either magnetic coupling or electric coupling in two paths can be dominant in the total coupling coefficient of the inter-stage resonators with the similar configuration, but different positions of transmission zero points (ZPs). Based on the proposed filter topology, second- and fourth-order filters have been designed and fabricated for the first time. Advantages of using this type of filter are not only its low insertion loss and much more compact size, but also its controllable transmission ZPs. Index Terms—Bandpass filter (BPF), odd and even mode, separate electric and magnetic coupling paths (SEMCPs), zero point (ZP).

I. INTRODUCTION

A

S ONE of the key components in RF front-ends, filters with compact size, good performance, and low cost are highly demanded. Planar filters have great advantages over other types as regards these aspects and, thus, draw much attention. In order to reduce the size of the planar filter without sacrificing performance, many techniques have been reported in the literature (e.g., see [1]–[13]). For instance, instead of using a dual-mode half-wavelength resonator [1]–[4] or ring resonator [5]–[7], a quarter-wavelength resonator has been used [9]–[13], which can also provide a wider stopband. It is desirable to design a filters in which extra transmission zero points (ZPs) can be generated without sacrificing the passband response [8], [13], [14]. For example, the extra ZPs of the filter can be adjusted to reject possible interferences and to improve stopband rejection. Thus, a low-order filter with the help of extra ZPs can meet the stopband requirements that are usually achieved by high-order filters. It is also known that the lower order filters generally have smaller sizes and lower insertion loss compared with the high-order filters. To generate more expected ZPs, the coupling mechanism must be investigated clearly. There are many papers in the literature that have paid attention to the coupling mechanism. Source–load coupling or cross-coupled topologies of elliptical filters are widely adopted [8], [11], [13]. The general coupling matrix is used to represent the coupling relationship for filter synthesizing [15]–[18], [21]. It can be seen that only one coupling parameter Manuscript received July 3, 2005; revised September 18, 2005 and November 3, 2005. K. Ma, K. S. Yeo, and M. A. Do are with the Center for Integrated Circuits and Systems, Nanyang Technological University, Singapore 635798 (e-mail: [email protected]). J.-G. Ma is with the School of Electronic Engineering, University of Electronic Science and Technology of China, Chendu 610054, China. Digital Object Identifier 10.1109/TMTT.2005.864118

Fig. 1. Proposed second-order filter configuration and the topology. (a) Configuration with lump coupling elements. (b) Filter topology.

exists between any two adjacent resonators in the coupling matrix. For the inter-stage coupling of the quarter-wavelength filter, the inter-stage coupling in these reports can be classified as electric coupling, magnetic coupling, or mixed coupling (both electric and magnetic coexist, but cannot be separated in space due to distributed effects). The inter-stage coupling of most reported quarter-wavelength filters has one physical coupling path with one of these three coupling types between two adjacent resonators. The filters in [20] achieved good performances by using a suspended stripline quarter-wavelength stepped-impedance resonator (SIR) and generating additional ZPs by coexisted the electric and magnetic coupling. In this paper, a filter topology and configuration (see Fig. 1), which provide two controllable separate electric and magnetic coupling paths (SEMCPs), are proposed. The characteristics of the microstrip filters, based on the SEMCP configuration using modified uniform quarter-wavelength resonators, have been investigated theoretically and experimentally. The size reduction, frequency-dependent coupling canceling, and additional ZP generation are demonstrated. The filters, with additional ZPs in low, upper stopband, or both, corresponding to electric, magnetic, or both dominant conditions, have been designed. The general topology and configuration of high-order filter are also given. This paper is arranged as follows. In Section II, the SEMCP configuration by using lump coupling loading and topology are introduced. Odd- and even-mode analysis is used to investigate the coupling characteristics, external quality factors and transmission responses. In Section III, second-order bandpass filters

0018-9480/$20.00 © 2006 IEEE

1114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

The even-mode resonant angular frequency can be given by (5) where

Fig. 2. mode.

Equivalent even and odd- mode configurations. (a) Even mode. (b) Odd

(BPFs) with distributed SEMCPs are characterized, designed, and verified by the experiment. In Section IV, the high-order SEMCP filter topology is proposed and a fourth-order BPF with a distributed loading SEMCP is designed and fabricated. A conclusion is given in Section V.

For the odd-mode case, the equivalent-circuit representation of the resonator when the electric wall is applied at the plane is illustrated in Fig. 2(b). The odd-mode input admittance is (6) where is the propagation constant at the odd-mode resonance angular frequency . Using (1) and (6), it reads

II. ANALYSIS OF FILTERS WITH SEMCP Fig. 1(a) shows the configuration of a second-order SEMCP filter loaded with lump coupling elements ( and ). Two sections of transmission lines are connected in series by a lump capacitor , which is called an electric coupling path. Meanwhile, a lump inductor is shunted along the other two ends of two transmission lines, which is called a magnetic coupling path. The coupling relationships and resonators are illustrated in the filter topology of Fig. 1(b). As in Fig. 1(a), the I/O ports and physical structure are symmetrical with respect to the reference plane . An odd- and even-mode analysis [22] is adopted to analyze this structure. From the mechanism of the resonator resonance, the structure is resonant when its input admittance is zero for both the even and odd modes, i.e.,

(7) , , the right-hand side of (7) can For a small be expanded by using Taylor expansion at . By omitting the high-order terms, (7) can be written as (8) Since

The odd-mode resonant angular frequency can be determined by

(1)

(9)

For the even-mode case, the equivalent-circuit representation of the resonator when the magnetic wall is applied at the plane is demonstrated in Fig. 2(a). The even-mode input admittance is derived as

The center frequency of the BPF can be approximated by averaging the even- and odd-mode frequencies as (10)

(2) is the propagation constant at the even-mode resowhere nance angular frequency , and is the characteristic impedance of the resonator. Using (1) and (2), we have (3) , , the right-hand side of (3) can For a small be expanded by using Taylor expansion at . By omitting the high-order terms, (3) can be written by

The coupling between two modes is characterized by the coupling coefficient [7], which can be computed from the knowledge of even- and odd-mode frequencies as (11) where

and

(4) Since

where is speed of the light in the free space.

From (11), the inter-stage couplings of the SEMCP are formed by two separate parts, i.e., electric coupling and magnetic coupling, as illustrated in the filter topology of Fig. 1(b). The couplings in two coupling paths are dependent and have the canceling effects for the total coupling coefficient .

MA et al.: COMPACT SIZE COUPLING CONTROLLABLE FILTER WITH SEMCPs

1115

The external quality factor [23] can be determined by averaging the external quality factor of the even and odd modes

(12) where

is the impedance at the I/O ports. The transmission characteristic of the filter can be calculated from the odd- and even-mode input admittances [9] and is expressed as

Fig. 3.

Spectrum responses of M dominant SEMCP filters.

Fig. 4.

Spectrum responses of E dominant SEMCP filters.

(13)

and are normalwhere ized even- and odd-mode admittances, respectively. MATLAB6.5 is used to discuss the following two useful conditions. • Magnetic coupling dominant Now , the operating frequency of the odd mode is higher than that of the even mode and the coupling in the bottom coupling path of Fig. 1(a) is dominant. For the same dimension of the transmission line and I/O ports, the effects of coupling elements ( and ) in two coupling paths on filter performance are compared in Fig. 3. When , there is only one ZP generated in the high stopband due to the harmonic effects. While , there is an additional ZP generated in the high stopband and the filter demonstrates a good rolloff in the high stopband. If both and are increased, the operating frequency can be shifted down while keeping the coupling coefficient fixed. However, the rejection in stopband will be reduced. When is fixed at nH, the increased (see pF and pF) can decrease the bandwidth of the filter by reducing the operating frequency of the odd mode. • Electric coupling dominant Now , the operating frequency of the even mode is higher than that of the odd mode and the coupling in the upper coupling path of Fig. 1(a) is dominant. For the same dimension of the transmission line and I/O ports, the effects of coupling elements ( and ) in two coupling paths on filter performances are compared in Fig. 4. When , no finite ZP can be generated in the stopband. When , there are two additional ZPs generated in the stopband and the filter demonstrates a good rolloff. When both and are increased, the operating frequency can be shifted down, while keeping the coupling coefficient fixed. However, the rejection in the stopband will be reduced. When is fixed at pF, the increased (see nH and nH) can decrease the bandwidth of the filter by reducing the coupling coefficient or the operating frequency of the even mode.

By comparing Fig. 3 with Fig. 4, it is interesting to note that, for the and dominant SEMCP filters with the same transmission line dimensions (the same width of and length of and ), the filter response can be completely controlled by choosing a different dominant coupling path, as well as different coupling element values. The canceling effects of and couplings in two paths are helpful for a narrow bandwidth filter, where a smaller coupling coefficient is required [10]. One additional ZP, generated in either the low or upper stopband, can be used to improve the stopband performance. III. SECOND-ORDER FILTER REALIZATION For more general applications, the lump capacitor can be implemented by a coupled gap or coupled transmission lines and the lump inductance can be realized by a via-hole [24], [25] or a section of a high characteristic impedance transmission line connected to the ground through a via-hole in series [10]. In Fig. 5, the three configurations for second-order SEMCP filters with a tap feeder are illustrated. The filter topology is shown in Fig. 1(b). Fig. 5(i) illustrates the structure when there exists a weak electric coupling, generated by the coupling gap, and a magnetic coupling, generated by the common via ground. Fig. 5(ii) shows the case when both electric coupling, generated by parallel coupling lines with the length of , and magnetic coupling, generated by a section of high characteristic impedance transmission line (with length of and width of ) connected to the ground through a via-hole in series, are

1116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 5. Configurations of the second-order SEMCP filters. (i) Structure 1. (ii) Structure 2. (iii) Structure 3. Fig. 7. Frequency response of the second-order M dominant SEMCP filters. (a) Configuration of Fig. 5(ii) l = 1:5 mm, l = 11:9 mm; l = 1:15 mm Rvia = 0:1 mm S = 0:1 mm, l = 0:4 mm, w = 0:2 mm. (b) Configuration of Fig. 5(iii) l = 1:5 mm, l = 11:9 mm; l = 0:5 mm Rvia = 0:1 mm = 1:5 mm, l = 12:3 mm; S = 0:1 mm. (c) Configuration of Fig. 5(i) l G = 0:1 mm, Rvia = 0:1 mm.

Fig. 6. Frequency responses of the second-order E dominant SEMCP filters. (a) Configuration of Fig. 5(ii) l = 1:5 mm, l = 8:1 mm; l = 6:2 mm Rvia = 0:1 mm S = 0:1 mm, l = 0:4 mm, w = 0:2 mm. (b) Configuration of Fig. 5(iii) l = 1:5 mm, l = 8:1 mm; l = 3:2 mm Rvia = 0:1 mm = 1:5 mm, l = 8:1 mm; S = 0:1 mm. (c) Configuration of Fig. 5(iii) l = 1:7 mm, Rvia = 0:3 mm. l Fig. 8. Comparison of simulated and measured results of the second-order M dominant SEMCP filter.

increased as compared to Fig. 5(i). By properly choosing the dimensions of the coupling parts, either magnetic or electric coupling can be dominant. Fig. 5(iii) presents the structure where parallel coupling lines and a via ground are used. The RT/Duroid 6010 dielectric substrate with relative permittivity of and thickness of 0.635 mm and commercial EM software IE3D 9.0 are used in the following design and analysis. Fig. 6 demonstrates the conditions when the electric coupling is dominant. The length of , which mainly determines the occupied area of the filter, is fixed. As expected, similar characteristics as the lump-element condition in Section II can be achieved. When the external quality factor is fixed, the increased magnetic and electric couplings cancel each other in the operating frequency range. Thus, the filter bandwidth, which is mainly determined by the inter-stage coupling coefficient , changes very little, while the operating frequency is shifted down due to the increased loadings in two coupling paths. Fig. 7 gives the condition when magnetic coupling is dominant. The length of each resonator is fixed, and the filter of the SEMCP can generate additional ZP in the upper rejection band. The increased and couplings are also canceled by each other. Thus, the filter bandwidth can be maintained even when the electric and magnetic couplings are increased; while the operating frequency is shifted down by increased coupling in each path due to the loading effects in two coupling paths. In Fig. 8, results of a magnetic coupling

dominant filter in Fig. 5(i) are compared. There are two finite transmission ZPs generated in the upper stopband. The first ZP, close to the operating frequency, is generated by canceling effects of the electric and magnetic coupling, and the generation of the second ZP is mainly due to the harmonic effects of the distributed transmission line [13]. In Fig. 9, simulated and measured results of the electric coupling dominant filter in Fig. 5(iii) are compared. The discrepancies in bandwidth and attenuation in low stopband between the measurement and simulation may come from the differences between the simulated and measured structure [20], which includes the fabrication errors in the single via ground and narrow coupling gap. The measured specifications of the filter are: 1) operating frequency of 2.4 GHz; 2) 1-dB bandwidth of 380 MHz; 3) the insertion loss of 0.85 dB; and 4) the occupied area (without considering the I/O feed lines) is only ( is the free-space wavelength at operating frequency). The fabricated photograph of the second-order filters are illustrated in Fig. 10. IV. HIGH-ORDER SEMCP FILTER Fig. 11 demonstrates an th-order SEMCP filter topology by using the SEMCP topology. The main differences of SEMCP fil-

MA et al.: COMPACT SIZE COUPLING CONTROLLABLE FILTER WITH SEMCPs

1117

Fig. 9. Comparison of simulated and measured results of the second-order E dominant SEMCP filter.

Fig. 12. Configuration and topology of the fourth-order SEMCP filter. (a) Configuration. (b) Topology.

Fig. 10. Fabricated second-order SEMCP filter. (a) dominant.

Fig. 11.

M

dominant. (b)

E

Topology of the high-order SEMCP filter.

ters from filters in [15]–[18] are that two separate controllable and coupling paths exist between some adjacent resonators in the filter. The general filter coupling topology in these papers has only one coupling path in any two adjacent resonators. The filter structures and equivalent topologies are also different from that of references [19]–[21]. In the SEMCP filter topology, the SEMCPs exist in interval, and the inter-stage coupling coefficient in SEMCP structures with complicated distributed and couplings can be determined using the full-wave EM determined procedure [9]. The dominant electric coupling can be set in order to generate ZPs in the low stopband, while the dominant magnetic coupling can be used to generate ZPs in the upper stopband. If the filter has both dominant and SEMCPs simultaneously, it is believed that the ZPs can be generated in the lower and upper stopband simultaneously. The configuration and topology of a fourth-order SEMCP filter are shown in Fig. 12(a) and (b), respectively. Two dominant SEMCPs are introduced between resonators 1 and 2 as well as 3 and 4. Firstly, a filter with 17% fractional bandwidth (FBW) is designed. The total coupling coefficient is the result of coupling after and canceling [refer to (11)].

Fig. 13. Configuration and simulation of the fourth-order E and M dominant SEMCP filter. Dimension [for both structures (a) and (b)]: W = 0:59 mm, l = 2:9 mm, l = 5:94 mm, l = 4:52 mm R = 0:1 mm, S = 0:13 mm, S = 0:9 mm, S = 0:3 mm, l = 1:2 mm, w = 0:4 mm (refer to Figs. 5 and 112).

The external quality factor and the inter-stage coupling can be calculated from [9] and [10], and are and

where the negative signs of coupling coefficients in the coupling matrix denote the electric coupling, as in (11). The optimized filter dimensions are mm, mm, mm, mm, mm, mm, and mm. To demonstrate the control of the ZPs both in the low and high stopband, the configurations and EM simulation results of the fourth-order SEMCP filters with one dominant pair and one dominant pair are shown in Fig. 13. Filters with inverted pairs and no-inverted SEMCP pairs have the same dimensions. Each filter generates additional

1118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 16. Fig. 14.

Fourth-order SEMCP and hairpin filters.

of controlling the ZPs and inter-stage coupling and the possibility of achieving low insertion loss and compact size simultaneously. The photographs of an SEMCP filter and hairpin line filter are shown in Fig. 16. The size of the fabricated fourth-order SEMCP filter occupies an area as small as cm cm cm , which is only one-third of that of the fabricated hairpin line filter (without considering the I/O feed lines, cm cm cm ).

Results of the fourth-order E dominant SEMCP filter.

V. CONCLUSION

Fig. 15. Comparison of measured results of the fourth-order SEMCP filter and fourth-order hairpin filter.

E

dominant

ZPs in both the lower and upper stopbands, as compared to a traditional combline filter. One more ZP, generated in the lower stopband of on-inverted SEMCP pairs is mainly because of the inter-stage coupling between the and dominant SEMCP pairs. The results of simulation and the experiment of the filter in Fig. 12 are shown in Figs. 14 and 15. Fig. 14 shows the results in the frequency range of 0.5 4 GHz. The rejection at 1.97 GHz ( 3-dB edge of the filter in the lower sideband) is 3 dB, while the rejection at 1.7 GHz (0.63 BW) is better than 40 dB. In Fig. 14, there are two ZPs (1.77 GHz with rejection of 53.8 dB and 1.11 GHz with rejection of 73.2 dB) in the lower stopband of the simulation. The discrepancies between simulation and measurement may come from differences between simulated and realized structure. The ZPs may be shifted toward the lower frequency range and be buried in the noise floor in measurement. A fourth-order hairpin line filter with a bandwidth of MHz has also been designed and fabricated as a contrast to the SEMCP filter. The measured results of the fourth-order SEMCP filter and fourth-order hairpin line filter are compared in Fig. 15. The measured minimum insertion losses for the SEMCP and hairpin line filters are 1.4 and 1.48 dB, respectively. The rolloff of the SEMCP filter in the low stopband is much steeper than that of the hairpin line filter. To reduce the bandwidth of the hairpin line filter, the spaces between the adjacent resonators should be further increased, and this may lead to an increase in the filter size [26]. It can be seen that the filter with the SEMCP has the advantages

In this paper, a novel SEMCP filter topology together with a configuration has been proposed. The characteristics of the dominant and dominant SEMCP filters have been investigated and the advantages such as compact size, sharp rolloff, and low loss have been demonstrated via simulation and experiment. The general high-order SEMCP filter topology has been introduced and the fourth-order dominant filter with compact size and low insertion loss has been implemented. It should be noted that, although the topology has been realized by using a microstrip line, it may be applicable to other transmission lines such as the coplanar waveguide (CPW) stripline. For example, if the CPW transmission line is used to realize the filter topology, the grounding via-hole can be replaced by a ground shunt. ACKNOWLEDGMENT The authors thank K. T. Chan, MEDs Technologies Pte. Ltd., Singapore, for help with fabrication. The authors further thank the editor and reviewers for their thoughtful comments and suggestions. REFERENCES [1] S. B. Cohn, “Parallel-coupled transmission-line resonator filters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 223–231, Apr. 1958. [2] E. G. Cristal and S. Frankel, “Hairpin-line and hybrid hairpin-line halfwave parallel-coupled-line filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 11, pp. 719–728, Nov. 1972. [3] M. Sagawa, K. Takahashi, and M. Makimoto, “Miniaturized hairpin resonator filters and their application to receiver front-end MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1991–1996, Dec. 1989. [4] H.-K. Zeng, A. Hsiao, W.-H. Hsu, S.-W. Wu, J.-Y. Lin, K.-H. Wu, J.-Y. Juang, T.-M. Uen, Y.-S. Gou, and J.-T. Kuo, “Miniaturized 3 GHz crosscoupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 14, no. 3, pp. 107–111, Mar. 2004. [5] I. Wolff, “Microstrip bandpass filter using degenerate modes of microstrip ring resonator,” Electron. Lett., vol. 8, no. 12, pp. 779–781, Jun. 1972. [6] L. Zhu and K. Wu, “A joint field/circuit design model of microstrip ring dual-mode filters: Theory and experiments,” in Proc. Asia–Pacific Microwave Conf., 1997, pp. 865–868.

MA et al.: COMPACT SIZE COUPLING CONTROLLABLE FILTER WITH SEMCPs

[7] I. Awai, A. C. Kundu, and T. Yamashita, “Equivalent-circuit representation and explanation of attenuation poles of a dual-mode dielectric-resonator bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2159–2163, Dec. 1998. [8] C.-H. Wang, Y.-S. Lin, and C. H. Chen, “Novel inductance-incorporated microstrip coupled-line bandpass filters with two attenuation poles,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 1979–1982. [9] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [10] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Dedham, MA: Artech House, 1964. [11] J. Zhou, M. J. Lancaster, and F. Huang, “Coplanar quarter-wavelength quasi-elliptic filters without bond-wire bridges,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1150–1156, Apr. 2002. [12] T. Kitamura, Y. Horii, M. Geshiro, and S. Sawa, “A dual-plane combline filter having plural attenuation poles,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1216–1219, Apr. 2004. [13] K. Ma, J.-G. Ma, M. A. Do, and K. S. Yeo, “A novel compact twoorder band pass filter with three zero points,” Electron. Lett., vol. 41, pp. 846–848, Jul. 2005. [14] J. L. Li, J. X. Chen, J. P. Wang, W. Shao, Q. Xue, and L. J. Xue, “Miniaturised microstrip bandpass filter using stepped impedance ring resonators,” Electron. Lett., vol. 40, no. 22, pp. 1420–1421, 2004. [15] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [16] U. Rosenberg and S. Amari, “Novel coupling schemes for microwave resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2896–2902, Dec. 2002. [17] J.-R. Qian and W.-C. Zhuang, “New narrow-band dual-mode bandstop waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 31, no. 12, pp. 1045–1050, Dec. 2003. [18] R. Lavy, “New cascaded trisections with resonant cross-couplings (CTR sections) applied to the design of optimal filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 447–450. [19] S. Amari and J. Bornemann, “Using frequency-dependent coupling to generate finite attenuation poles in direct-coupled resonator bandpass filters,” IEEE Microw. Guided Wave Lett., vol. 9, no. 10, pp. 404–406, Oct. 1999. [20] W. Menzel and M. Berry, “Quasilumped suspended stripline filters with adjustable transmission zeroes,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 1601–1604. [21] W. C. Tang, J. Frenna, and D. Siu, “Odd order elliptic waveguide cavity filters,” U.S. Patent 4 644 305, Feb. 17, 1987. [22] I. C. Hunter, Theory and Design of Microwave Filters. London, U.K.: IEE Press, 2001. [23] J. S. Wong, “Microstrip tapped-line filter design,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 1, pp. 44–50, Jan. 1979. [24] M. E. Goldfarb and R. A. Pucel, “Modeling via hole grounds in microstrip,” IEEE Microw. Guided Wave Lett., vol. 1, no. 6, pp. 135–137, Jun. 1991. [25] D. G. Swanson, “Grounding microstrip lines with voles,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 8, pp. 1719–1721, Aug. 1992. [26] G. L. Matthaei, “Narrow-band, fixed-tuned, and tunable bandpass filter with zig–zag hairpin-comb resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1214–1219, Apr. 2003.

Kaixue Ma (S’05–M’05) received the B.E. and M.E. degrees in electronics engineering from Northwestern Polytechnical University (NWPU), Xi’an, China, in 1997 and 2001 respectively, and currently working toward Ph.D. degree in electrical and electronic engineering with Nanyang Technological University, Singapore. From 1997 to 2002, he was with the 504th Research Institute of China Academy of Space Technology (CAST), where he was a Deputy Director of the Millimeter-Wave Group involved in the design of space RF, microwave, and millimeter-wave active components and subsystem for transponder systems. He is currently with the School of Electrical and Electronic Engineering, Nanyang Technological University. He is currently focused on designing and modeling passive RF integrated circuits (ICs) on CMOS, microelectromechanical systems (MEMS), and printed circuit board (PCB) processes.

1119

Jian-Guo Ma (M’96–SM’97) received the B.Sc. and M.Sc. degrees (with honors) from Lanzhou University, Lanzhou, China, in 1982 and 1988, respectively, and the Doctoral degree in engineering from Gerhard–Mercator University, Duisburg, Germany, in 1996. From January 1982 to March 1991, he was with Lanzhou University, where he was involved with RF and microwave engineering. Prior to joining Nanyang Technological University, Singapore, in 1997, he was with the Technical University of Nova Scotia, Halifax, NS, Canada. He was an Associate Professor and Director of the Center for Integrated Circuits and Systems, Nanyang Technological University of Singapore. Since 2005, he has been with the University of Electronic Science and Technology of China (UESTC), Chendu, China. He has authored or coauthored over 180 technical papers and two books. He holds six patents in CMOS RF integrated circuits (RFICs). His research interests are RF integrated-circuit RFIC designs for wireless applications, RF characterization and modeling of semiconductor devices, RF interconnects and packaging, system-on-chip (SOC) applications, electromagnetic compatibility (EMC)/electromagnetic interference (EMI) in RFICs, and monolithic-microwave integrated-circuit (MMIC) applications.

Kiat Seng Yeo received the B.E. degree (with honors) and Ph.D. degree from Nanyang Technological University, Singapore, in 1993 and 1996, respectively, both in electrical engineering. In 1996, he joined the School of Electrical and Electronic Engineering, Nanyang Technological University, as a member of the academic staff. He is currently the Head of the Division of Circuits and Systems, Nanyang Technological University. He provides consulting to statutory boards and multinational corporations in the areas of semiconductor devices and integrated circuit design. His research interests include device characterization and modeling, RFIC design, and low-voltage low-power IC design.

Manh Anh Do received the B.E. (with honors) in electronics and Ph.D. degree in electrical engineering from the University of Canterbury, Canterbury, New Zealand, in 1973 and 1977, respectively. From 1977 to 1989, he held various positions including Research and Development Engineer and Production Manager with Radio Engineering Ltd., Research Scientist with the Fisheries Research Centre, Canterbury, New Zealand, and Senior Lecturer with the National University of Singapore. In 1989, he joined the School of Electrical and Electronic Engineering, Nanyang Technological University (NTU), Singapore, as a Senior Lecturer, and became an Associate Professor in 1996 and Professor in 2001. He has been a consultant for numerous projects in the Singapore electronic industry and was the principal consultant for the design, testing, and implementation of the $200 000 000 electronic road pricing (ERP) island-wide project in Singapore (1990–2001). Since 1995, he has been Head of the Division of Circuits and Systems, School of Electrical and Electronic Engineering, NTU. He has authored or coauthored over 150 papers in the areas of electronic and communication circuits and systems. His current research concerns digital and mobile communications, RFIC design, mixed-signal circuits, and intelligent transport systems. He has specialized in sonar designing, biomedical engineering, and signal processing. Dr. Do is a Chartered Engineer in the U.K. and a Professional Engineer in Singapore. He is a Fellow of the Institution of Electrical Engineers (IEE), U.K. He was a council member of the IEE from 2001 to 2004. He is an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (April 2005–March 2008).

1120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Study of Intermodulation in RF MEMS Variable Capacitors David Girbau, Student Member, IEEE, Nerea Otegi, Lluís Pradell, Member, IEEE, and Antonio Lázaro

Abstract—This paper provides a rigorous study of the causes and physical origins of intermodulation distortion (IMD) in RF microelectromechanical systems (MEMS) capacitors, its analytical dependence on the MEMS device design parameters, and its effects in RF systems. It is shown that not only third-order products exist, but also fifth order and higher. The high-order terms are mainly originated by the nonlinear membrane displacement versus applied voltage and, in the case considered in this study, with an additional contribution from the nonlinear dependence of the reflection coefficient phase on the displacement. It is also shown that the displacement nonlinear behavior also contributes to the total mean position of the membrane. In order to study these effects in depth, an analytical frequency-dependent IMD model for RF MEMS based on a mobile membrane is proposed and particularized to the case of a MEMS varactor—a device for which IMD can be significant. The model is validated, up to the fifth order, theoretically (using harmonic balance) and empirically (the IMD of a MEMS varactor is measured). To this end, a two-tone IMD reflection measurement system for MEMS is proposed. Index Terms—Intermodulation distortion (IMD), microelectromechanical systems (MEMS), MEMS varactor, self-actuation, two-tone IMD measurement.

I. INTRODUCTION

M

ICROWAVE microelectromechanical systems (MEMS) have the chance of being important elements in the next future RF communication systems. MEMS switches and capacitors have already demonstrated to provide an important added value to circuits such as matching networks [1], phase shifters [2], [3], voltage-controlled oscillators [4], filters [5], antennas [6], [7], and impedance tuners [8]. The main advantages of replacing conventional switches and varactors with their MEMS counterparts are cost, size, and weight reduction, in addition to an excellent electrical performance—low loss and high quality factor [9], [10]—and the reconfigurability they provide to systems[11]. Some of the former circuits may work under a moderate-tohigh RF power condition (e.g., reconfigurable matching networks in power amplifiers or switchable filters in transmitters) and, thus,

Manuscript received July 4, 2005; revised November 16, 2005. This work was supported by the Ministerio de Ciencia y Tecnología under Spanish Government Project ESP2004-07067-C03-03. D. Girbau and L. Pradell are with the Department of Signal Theory and Communications, Universitat Politècnica de Catalunya, 08034 Barcelona, Spain (e-mail: [email protected]; [email protected]). N. Otegi is with the Electricity and Electronics Department, University of the Basque Country, 48080 Bilbao, Spain (e-mail: [email protected]). A. Lázaro is with the Electronics, Electrical, and Automatics Engineering Department, University Rovira i Virgili, 43007 Tarragona, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864116

the MEMS devices are also affected by the RF power. From the microwave circuit designer point-of-view, one undesired effect of RF power on MEMS is intermodulation distortion (IMD), which limits the maximum power a transmitter can deliver due to in-channel and cross-channel interference. Until not long ago, RF MEMS were assumed to be intermodulation-free devices. Although RF MEMS offer lower IMD than their semiconductor equivalents, this parameter cannot be ignored in MEMS devices under some excitationconditions. In the case of MEMS capacitive switches, it has been demonstrated that IMD is very small [12]. In addition, it is known that self-actuation is more critical in MEMS capacitors than in capacitive switches due to its larger area and the smaller gap required to achieve a nominal capacity (membrane in its up state), normally much higher than in capacitive switches. Consequently, since both self-actuation and IMD phenomena depend on the RF voltage at the device, it could reasonably be expected that the IMD in variable two parallel-plate capacitors would be more significant than in capacitive switches. This is because of their high nominal capacity, high RF voltage (due to standing waves at the capacitor in a shunt configuration), and a membrane positioning in highly nonlinear regions. A number of models for IMD have been presented in the literature. In [12], an analytical model for third-order IMD in MEMS switches and an ADS-based nonlinear computeraided design (CAD) model, are proposed and compared to measurements of third-order IMD. The analytical model in [12] is based on two main approximations, i.e., small displacement of the membrane (linear zone) and high bridge impedance. However, these two approximations cannot be assumed in two parallel-plate capacitors because displacements up to 33% of the initial gap are expected and their higher nominal capacity corresponds to an impedance that cannot be considered as an open circuit. The model also assumes that the difference frequency between RF tones is much smaller than the device mechanical resonance frequency. A different approach [13], formulated in the frequency domain, is based on Volterra series and restricted to weak nonlinearities. It obtains simulated third-order IMD products in MEMS capacitors. A theoretical approximation to nonlinear effects in MEMS switches is presented in [14], while a two-tone mixed-domain simulation tool is applied to the study of distortion in MEMS capacitive shunt switches in [15]. Recently, a theoretical analysis of the distortion level in a resistive-contact MEMS switch has been presented [16]. In this case, the IMD in the up-state is not significant because of a small overlapping between plates; the IMD in the down state is modeled by means of a resistance variation due to the resistivity dependence on the temperature, which is a function of the RF tones. Another recent study [17] presents an extended

0018-9480/$20.00 © 2006 IEEE

GIRBAU et al.: STUDY OF INTERMODULATION IN RF MEMS VARIABLE CAPACITORS

harmonic-balance formulation to describe MEMS nonlinearities, providing a set of complementary equations to describe the nonlinear dynamics of MEMS and a number of techniques to solve convergence problems. In [18], a harmonic-balance analysis is applied to the nonlinear modeling of MEMS in reconfigurable antennas. In a previous study by the authors [19], a numerical model for a fast prediction of two-tone IMD in MEMS capacitors is proposed, but restricted to a small separation between tone frequencies (much less than the membrane resonance frequency). Measurements of IMD produced by a MEMS varactor for a two-tone test and digitally modulated signals are provided. The aim of this paper is to propose an analytical model for computing the IMD originated in MEMS devices made up of two parallel plates and to provide a physical explanation of its origins. To this end, a general analysis of the mobile membrane displacement generated by two RF tones is developed. A solution for the one-dimensional (1-D) nonlinear differential equation ruling the membrane movement (mass–spring–damper) is proposed. The analysis is neither restricted to the linear zone, nor in frequency, as membrane displacement is frequency dependent. It must be stressed that, while an analytical model in the time domain is mandatory to relate IMD to its physical origins, its frequency dependence is also important to determine the influence of the external conditions (RF signal frequency) on IMD distortion. The proposed model is versatile and easy to use by the microwave designer because it provides the analytical dependence of the IMD products on design parameters in a closed form, as well as a quantitative description of the various nonlinear phenomena. It is theoretically demonstrated and empirically validated that, in MEMS capacitors, not only third-order intermodulation products are generated, but also fifth order (although higher order IMD products are also generated, their power is beyond our measurement limit). These products are generated by the nonlinear dependence of the membrane displacement on the applied voltage, and enhanced by the nonlinear nature of the reflection coefficient phase with the displacement. The contribution of the nonlinear terms to the membrane mean position is also analyzed. It is demonstrated that its effect is an enlargement of the mean displacement obtained from dc bias and self-actuation producing, in turn, an increase in all IMD products. II. MEMBRANE DISPLACEMENT DUE TO THE PRESENCE OF TWO RF TONES AND BIAS SIGNAL The dynamics of motion of a suspended membrane can be predicted using the 1-D nonlinear differential equation of a mass–spring–damper second-order system, which, assuming a two parallel-plate topology and electrostatic actuation, can be expressed as (1) where (see Fig. 1) is the displacement, is the initial gap, is the electrodes’ area (an effective equivalent area, which takes into account the fringing capacity: see Section VI-A), is the

1121

Fig. 1. Mechanical and electrical model of a two parallel-plate RF MEMS capacitor.

total applied voltage, and is the air permittivity; , , and are the mass, damping coefficient, and total suspension stiffness constant of the mobile plate, respectively, as shown in Fig. 1. Defining a signal at the capacitor composed of two RF tones and dc bias (2) the square of voltage

in (1) reduces to (3)

where the high-frequency terms have been omitted since, due to the low-pass behavior of (1) ( , ; being its mechanical resonance frequency), they have no influence on the membrane position. It must be stressed that and are the total voltages at the capacitor, which depend on the amplitudes of the incident waves , the specific location of the device in the circuit and the device electrical parameters (reflection coefficient). This is an important difference in comparison to terminated MEMS switches, where the total RF voltage at the device is approximately the amplitude of the incident wave. Defining (4) and the frequency difference between tones (5) in (1) is expressed as (6) This means that the electrostatic force is proportional to a squared voltage composed of a dc component—caused by dc bias and self-actuation—and a time-varying component. Consequently, a constant shift plus a certain time-dependent displacement can be expected in the membrane response. In order to approximate the solution of (1) for voltage (2), a displacement composed of two parts, i.e., a constant dc part [due to the dc force component, which includes the rectified RF tones, as can be seen in (4)] and a time-varying one is proposed: . Substituting this expression in (1), the following differential equation is obtained:

(7)

1122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Expanding (7) yields

The Fourier coefficients satisfy into (15), we obtain

. Substituting (16)

(8) where and are first and second derivatives of tively; constants and are defined as

, respec-

(9) (10) is the amplitude of the force ac component (11) and

is the amplitude of the force dc component

(17) An analytical solution for the displacement [Fourier coefficients in (16)] can be obtained by using the orthogonality property of the Fourier series in (17) for a convenient truncation order . In this study, only the constant term and the first and second harmonics and are considered . The reason is that higher order harmonics have much lower amplitude and their effect in the phase modulation can be neglected (see Section IV). Moreover, the dominant term in the response of the differential equation (15) is the forced term corresponding to the response in the linear region and, therefore, , can be assumed. With the above considerations, the following analytical approximations for the Fourier coefficients are obtained. • DC term

(12) (18) In (8), the cubic term can be neglected because is very small. Furthermore, the cross-products between and its derivatives can also be neglected in front of the linear and quadratic terms because they are multiplied by the parameters or , which are much smaller than . Applying the above approximations to (8), we obtain

(19)

• First harmonic

(20)

(13) where the dc components of displacement and force (12) satisfy the relation

and

• Second harmonic

(14)

(21) Using (16),

Equation (13) can then be written as

(22)

(15) A solution for the displacement Fourier series expansion

can be found using a

angle

(23) (24)

(16)

angle

(25)

GIRBAU et al.: STUDY OF INTERMODULATION IN RF MEMS VARIABLE CAPACITORS

1123

Note that the frequency response of the mass–spring–damper system at harmonic frequencies and [(22) and (24) respectively] has a low-pass behavior. Also note that a new contribuemerges. The total dc component tion to the dc term of displacement is then

(26) and the global displacement can be split into a total dc compo(26) and a total time-varying component nent (or constant)

(27) The dc-displacement caused by the applied dc bias and self-actuation can be obtained from (14) and, substituting it into (9)–(11) and (18)–(25), the time-dependent contribution of (27) is solved. The displacement , in spite of being the main contributor to , is not the total dc displacement of the membrane, as the time-dependent part of the proposed solution also presents a constant displacement resulting from the membrane nonlinear behavior (nonlinear terms in the equation). It can also be deduced from (9), (22), and (24) that the mechanical bandwidth, in terms of IMD, is not constant, decreasing with an increasing applied dc voltage self-actuation . It must be stressed that this analysis of the displacement is valid for any mobile membrane in a two parallel-plate configuration with electrostatic actuation either in a switch or in a capacitor and for any initial gap, area, or other parameters. Below, this displacement will be used in the particular case of a MEMS capacitor.

Fig. 2. (a) Generation of displacement harmonics due to the nonlinear behavior of the displacement as a function of the squared applied voltage. (b) Frequency dependence of the displacement harmonics due to the low-pass behavior of the membrane response. TABLE I FREQUENCIAL COMPONENTS IN DISPLACEMENT INTERMODULATION PRODUCTS

AND

III. PHYSICAL INTERPRETATION OF THE MEMBRANE DISPLACEMENT: ORIGIN OF INTERMODULATION A qualitative analysis of IMD generation under different excitation conditions, based on the study of the membrane displacement presented in Section II, is presented here. Several physical interpretations, with a direct relation to the origin of IMD in MEMS devices, can be deduced. A quantitative derivation of IMD products obtained from the analysis of the reflection coefficient phase is given in Sections IV and V. Fig. 2(a) shows the membrane displacement as a function of the squared voltage. This plot can be qualitatively compared to the load line of a transistor, the main difference being that this curve is not linear in MEMS. Therefore, the amplitude of displacement depends not only on the amplitude of the temporal component of the squared voltage [see (6)], but also on the dc component (bias voltage and self-actuation). It can be observed that, for an applied signal such as shown in (2), the displacement will be a small-amplitude sinusoid when the MEMS is in the linear region of its tuning range, generating only third-order IMD. This sinusoid suffers a growing degradation when the device behavior becomes more nonlinear, thus higher frequency components appearing in the membrane displacement, which, in turn, generate higher order intermodulation products. Moreover, the amplitudes of the displacement harmonics increase with the

displacement nonlinearity, translating into an increase of power of all intermodulation products. The analysis of Fig. 2(a) holds for the case . As deduced from (27), the displacement is a function of frequency, due to the device low-pass behavior (1). Fig. 2(b) shows the frequency dependence for the worst case of Fig. 2(a), which corresponds to a highly nonlinear position. Depending on the frequency , five different cases are defined. Table I summarizes the cases with their corresponding displacement harmonics and IMD generation. As it is demonstrated in Section IV, the intermodulation products are indeed generated by a modulation in the reflection-coefficient phase, which, in turn, is produced by the displacement harmonics.

1124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 4. Dependence of the membrane displacement on applied bias voltage for a low RF power.

and, therefore, fifth-order and higher intermodulation products are generated. In addition, there is an increase in the dc component because the nonlinear terms in the displacement equation are significant for high bias voltages. Fig. 3. Dependence of the membrane displacement on RF power at the capacitor. (a) Displacement for low RF power. (b) Displacement for high RF power.

It must be stressed that, in addition to the generation of higher order harmonics, an extra dc displacement, which is significant for , arises and grows with an increasing displacement nonlinearity [see (26)]. As a conclusion of Fig. 2(b), the use of two tones with a frequency difference much larger than is recommendable. However, this is not always feasible as, for instance, in digitally modulated wide-band signals [19]. The dependence of displacement on the total applied voltage and on the frequency is observed in Fig. 3. Fig. 3(a) shows the displacement time waveforms of a membrane without dc bias, excited with a low RF power, for several RF tone separations . It can be observed that the displacement has a sinusoidal behavior in all cases, showing decreasing amplitude for increasing . It must be stressed that the displacement dc component remains nearly constant in this case. Also note that, for , the amplitude of the time-varying displacement agrees with the value of the displacement due to self-actuation. On the other hand, Fig. 3(b) shows a case with high RF power and no dc bias. In this case, the squared voltage has large amplitude. The displacement of the membrane is plotted for several situations. It can be seen that the sinusoid suffers a distortion (higher harmonics appear) for the case of , which is translated into fifth-order and higher order intermodulation products. Also note that, in this case, there is a significant constant displacement component, which adds to the initial value due to dc bias and self-actuation. Fig. 4 shows a similar situation as in Fig. 3(a), but adding a bias voltage for two cases: low bias voltage and high bias voltage. A low RF power is considered in both cases. In the case of a low-bias voltage, it can be seen that the membrane behavior is a sinusoid for any applied frequency. On the other hand, for a high-bias voltage (placing the membrane in a highly nonlinear position), the membrane describes a nonsinusoidal movement

IV. REFLECTION COEFFICIENT PHASE AS A FUNCTION OF THE MEMBRANE DISPLACEMENT Since the device capacity depends on the instantaneous gap, the reflection coefficient is a function of the displacement. IMD is originated in the periodic phase variations caused by the instantaneous displacement studied in Sections II and III. The electrical model of the capacitor is the one proposed in Fig. 1, where a loss resistance and a parasitic capacity have been added for generalization purposes. The expressions presented here can be greatly simplified in low-loss devices or small parasitic capacity devices. In the model of Fig. 1, the total capacity is (28) and the reflection coefficient for a pulsation

is

(29)

Since the RF tone separation required for generation of displacement harmonics and IMD [see Fig. 2(b)] is comparable to the mechanical bandwidth of the device (this being in the order of kilohertz), it is assumed that the reflection coefficient is identical at both frequencies and , namely, . Substituting in (29) with (27) and defining , the reflection coefficient phase is

(30)

GIRBAU et al.: STUDY OF INTERMODULATION IN RF MEMS VARIABLE CAPACITORS

1125

Expanding (30) in a Taylor series (up to the quadratic term), the phase can be approximated as (31) where (32)

(33) being

(34) and (35) and (36), shown at the bottom of this page. In (32), it can be appreciated that two constant phase contributions, originated in the quadratic term of (31), add to —the phase at position . It can also be observed in (31) and (33) that the reflection-coefficient phase is affected by a periodic modulation, this being the cause of intermodulation product generation. Note that the nonlinear dependence of phase on the displacement has been modeled up to the quadratic term. This approximation has proven to be sufficient to model intermodulation up to the fifth-order term. Fig. 5 shows a schematic of all the contributions to IMD. First, the contribution of each displacement harmonic (whose generation has been studied in Section II) to the reflection-coefficient phase variation can be observed. In a second step, each phase harmonic is translated into its respective intermodulation tone, whose power will be determined in Section V. V. ANALYSIS OF INTERMODULATION PRODUCT POWER In Section VI, the analytical model proposed in Sections II–V is validated by comparison to harmonic-balance simulations and

Fig. 5. Analysis of the contributions to intermodulation product generation.

to experimental results. To this end, an experimental setup for characterizing the IMD produced by MEMS capacitors is proposed. It is based on measuring the reflected waves from the MEMS device. Specifically, IMD is defined as the power ratio between the intermodulation products and the fundamental reflected waves (see Fig. 5). Here, the intermodulation product power, as well as third- and fifth-order IMD and are obtained analytically. The reflected signal at the MEMS capacitor can be expressed as (37)

(35)

(36)

1126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

where and aretheamplitudesof theincidentwavesand is the magnitude of the reflection coefficient (29), which, in this study, is considered constant for all positions of the membrane. Indeed, as shown below, this parameter has no contribution to the calculation of and . Using (31), the phase can be split into a constant and a time-dependent term . Considering small enough, (37) can be approximated by a Taylor-series expansion as a function of as follows:

(38) In (38), only the constant and linear terms of the Taylor series with (33) and neglecting have been considered. Substituting those tones of order higher than fifth, the reflected signal, including the intermodulation terms corresponding to the upper sideband , can be expressed as follows in (39) (the lower sideband is identical provided that the amplitudes of the incident waves are the same):

Fig. 6. Manufactured capacitor [20] and plots of the capacity versus voltage, measured and simulated.

Since , , the reflected voltage at the main frequency can be simplified to (41)

(39)

The reflected power at the main frequency and the intermodulation product power can be derived from (42)–(44) as follows:

where

(42) (43) (44) Finally, third- and fifth-order IMD can be calculated from (45) and (46), respectively, as follows: (dBc) (dBc)

(dB) (dB)

(dB) (dB)

(45) (46)

It is observed that and do not depend on . Note also that these IMD products are a function of the RF frequency due to the reflection coefficient phase frequency-dependence [see (30)]. VI. ANALYSIS VALIDATION: SIMULATIONS AND MEASUREMENTS A. Measured Device

(40)

A two parallel-plate capacitor, previously designed and manufactured [20] (see a top view photograph in Fig. 6), has been used to validate the proposed analytical model (Sections II–V). Fig. 6 also shows a plot of the capacity versus voltage, simulated and measured. Curve fitting is crucial, as all the IMD calculations are based on the 1-D model (28). This model, along with (1), assumes the mobile plate to move as a rigid block with a uniformly distributed stiffness constant , which is approximately the case for the low- device of Fig. 6. For high- devices, the mobile plate suffers some bending, and the 1-D model

GIRBAU et al.: STUDY OF INTERMODULATION IN RF MEMS VARIABLE CAPACITORS

1127

TABLE II PARAMETERS OF THE SIMULATED AND MEASURED CAPACITOR

Fig. 8. Reflected signal spectrum for four frequency separations between = 12 dBm. RF tones ! (100 Hz and 6, 18, and 50 kHz). RF power is P A decrease in third- and fifth-order intermodulation products power with increasing ! is observed.

Fig. 7. Two-tone IMD measurement system for MEMS capacitors.

is no longer valid. In this case, the two plots differ, and the fitting of movement and capacity is performed through a reduction of the real model order by finding effective parameters for a correct prediction of IMD. Table II summarizes the main parameters of the simulated and measured capacitor manufactured using the surface micromachining PolyMUMPS process [21]. The effective area A takes into account the effect of the fringing capacity. B. Measurement Setup Fig. 7 shows the measurement system implemented to characterize two-tone IMD in MEMS capacitors. The system is designed to measure in reflection, a required feature for characterizing capacitors (one-port devices), in contrast to the one proposed in [12]. Two tones are injected into the capacitor using a power combiner. In order to provide enough isolation between both signal generators, an amplifier plus a circulator have been included in each path. A high isolation is essential to avoid injection locking between generators, as the difference frequency is very small, well inside the bandwidth of the loop filters in the synthesizers. A sample of the reflected signal in the MEMS device—which includes the generated IMD tones—is obtained through a directional coupler and displayed in a spectrum analyzer. In this way, IMD is measured in the reflected wave. All measurements in Section VI-C are performed according to this measurement concept. C. Simulations and Measurements Here, the proposed model is validated through measurements. Fig. 8 shows four spectra of the reflected signal, corresponding to four RF tone separations . Fifth-order intermodulation is clearly observed for the cases of Hz and 6 kHz. The IMD level is frequency dependent, as can be observed by a de-

Fig. 9. Validation of the proposed analysis. Comparison with measurements and harmonic-balance simulations for the case of P = 11 dBm as a function of ! .

crease of the intermodulation product power with increasing RF tone frequency separation. Fig. 9 shows a comparison of the results obtained with the proposed model to harmonic-balance simulations (based on the ADS nonlinear model of [12]), as well as to measured results. A very good agreement between models for predicting third- and fifth-order IMD is observed. Compared to measured results, it is observed that, while both models agree with measured thirdorder IMD, they predict, for the case of fifth order, a distortion worse than the measured one. This can be attributed to the fact that the measured capacitor does not behave exactly as predicted by the 1-D model, which assumes the membrane to move as a rigid block with a uniformly distributed restoring mechanical force. This means that the displacement sinusoid is not as much distorted as predicted. The measurement of IMD has been performed in open air, as can be deduced from Fig. 9, where an overdamped behavior and, thus, no resonance frequency, is observed.

1128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 10. Comparison of third- and fifth-order IMD between the proposed . analytical model and measurements as a function of bias voltage V P = 8 dBm. !=2 = 100 Hz.

Fig. 12. Third-order IMD (IM ). Comparison between the proposed (8, 10, and analytical model and measurements for three RF powers P 12 dBm).

Fig. 13. Comparison between the presented model and measurements of IM and IM for the case of !=2 = 2 kHz (! < ! ).

Fig. 11. IMD as a function of ! for two biasing cases: (a) V (b) V = 1 V with P = 10 dBm.

= 0 V and

Intermodulation increases with bias voltage, as the membrane is placed closer to the fixed electrode in a nonlinear position. This can be observed in Fig. 10, where IMD is shown as a function of the applied bias voltage for dBm and Hz. The model predicts a larger IMD increase due to bias voltage than the measured one, which is also attributed to the fact that the displacement sinusoid is not as much distorted as expected. The dependence of IMD on the bias voltage is also shown in Fig. 11, where two measurements of IMD as a function of for dBm and bias

voltages of 0 and 1 V are compared to the results predicted by the analytical model. The dependence of IMD on the applied RF power is plotted in Fig. 12, where simulations of obtained from the proposed analytical model for three RF power values are compared to measured results. An increase of with RF power, as expected, can be observed. The evolution of IMD as a function of RF power can be more clearly observed in Fig. 13, where results of third- and fifth-order intermodulation predicted by the analytical model are compared to measurements. Fifth-order IMD is significant for RF power levels higher than approximately 7 dBm. For lower power levels, its contribution is hidden by the noise floor. It should be noted that fifth-order IMD approaches third-order IMD for high input voltages. The evolution of as a function of RF power for three RF tone separations can be observed in Fig. 14. degrades with decreasing , as expected.

GIRBAU et al.: STUDY OF INTERMODULATION IN RF MEMS VARIABLE CAPACITORS

1129

the nonlinear effects and the presence of high-order IMD products studied in this paper are completely general for any two parallel-plate MEMS capacitor. VIII. CONCLUSION

Fig. 14.

IM as a function of the RF power P

!=2 : 2, 15, and 30 kHz.

for three RF tone separation

VII. DISCUSSION ON THE RESULTS The need for using the total voltages and at the capacitor instead of the incident wave amplitudes and has been stressed in the presented formulation. This is not required in capacitive switches in the ON state since they generally have both ports terminated. This remark is important because, depending on the position of the MEMS capacitor in the circuit, the voltage at the capacitor may be different (higher or lower) from the amplitude of the incident wave, producing a higher or lower intermodulation product level. In a reflection measurement configuration, the capacitor acts as the termination of a transmission line and, thus, a standing wave is generated. The more out-of-phase incident and reflected waves are, the lower the total voltage at the capacitor is, producing a lower self-actuation and IMD, also increasing the RF current density at the device. Adding a parasitic capacity to the device—in the case of capacitors mounted in a configuration similar to the one proposed here for measurement—could be considered as a method to increase the phase shift between the incident and reflected waves and, therefore, to improve IMD behavior of MEMS capacitors. An important result is that the measured MEMS capacitor—which has a low stiffness constant and a low initial gap (Fig. 6)—has shown low IMD figures (the worst measured values are dBc and dBc for the case of dBm—near pull-in due to RF power—and Hz ), much better than its commercial semiconductor equivalents. This conclusion is remarkable since, although this study has demonstrated that IMD in MEMS capacitors is higher than in MEMS switches, this increase is not as large as could be expected from the arguments given in Section I. Moreover, it has been verified that the IMD performance in MEMS capacitors can be much improved with RF tone separations higher than the device mechanical bandwidth. It must also be stressed that using a capacitor with a higher pull-in voltage does not necessarily imply that either the thirdor fifth-order IMD products disappear, but only that the same effects would shift to a higher RF power level. For this reason,

Intermodulation phenomenon in RF MEMS capacitors has been studied in depth for the general two-tone case. The roles of the various physical building parameters and external excitation conditions on IMD generation have been provided. Generation of IMD products has been analyzed and experimentally characterized by an analytical frequency-dependent model that allows a realistic IMD prediction in MEMS varactors. It has been shown that, in addition to third-order IMD products, higher order products (fifth order) emerge when the membrane is placed in the highly nonlinear regions of the tuning range (highly nonlinear dependence of the displacement on the applied voltage). These IMD products are also slightly increased due to the nonlinear dependence of the reflection-coefficient phase on the displacement. The nonlinear dependence of the displacement versus voltage contributes to the total mean position of the membrane as well. It has been demonstrated that MEMS capacitors are expected to produce more significant IMD levels than capacitive switches in spite of being considerably smaller than those generated by their commercial semiconductor equivalents. A measurement setup, based on the measurement of the reflected signal, has been proposed and used to validate the theoretical analysis, as well as the analytical model. REFERENCES [1] J. P. Busquère, K. Grenier, G. Bouche, D. Dubuc, P. Pons, R. Plana, and P. Ancey, “Development of a reconfigurable in frequency LNA through RF MEMS,” in Proc. 5th MEMS for Millimeterw. Commun. Workshop, Jul. 2004, pp. B7–B10. [2] G. M. Rebeiz, T. Guan-Leng, and J. S. Hayden, “RF MEMS phase shifters: Design and applications,” IEEE Micro, no. 2, pp. 72–81, Jun. 2002. [3] S. Barker and G. M. Rebeiz, “Distributed MEMS true-time delay phase shifters and wide-band switches,” IEEE Trans. Microw. Theory Tech., pt. 2, vol. 46, no. 11, pp. 1881–1890, Nov. 1998. [4] M. Behera, V. Kratyuk, S. K. De, N. R. Aluru, H. Yutao, and K. Mayaram, “Accurate simulation of RF MEMS VCO performance including phase noise,” J. Microelectromech. Syst., vol. 14, no. 2, pp. 313–325, Apr. 2005. [5] G. M. Kraus, C. L. Goldsmith, C. D. Nordquist, C. W. Dyck, P. S. Finnegan, F. Austin, A. Muyshondt, and C. T. Sullivan, “A widely tunable RF MEMS end-coupled filter,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 2, Jun. 2004, pp. 429–432. [6] J. Schoebel, T. Buck, M. Reimann, M. Ulm, M. Schneider, A. Jourdain, G. J. Carchon, and H. A. C. Tilmans, “Design considerations and technology assessment of phased-array antenna systems with RF MEMS for automotive radar applications,” IEEE Trans. Microw. Theory Tech., pt. 2, vol. 53, no. 6, pp. 1968–1975, Jun. 2005. [7] L. Dussopt, “MEMS technologies for antenna applications,” in Proc. 5th MEMS for Millimeterw. Commun. Workshop, Jul. 2004, pp. D6–D9. [8] Y. Lu, L. P. B. Katehi, and D. Peroulis, “A novel MEMS impedance tuner simultaneously optimized for maximum impedance range and power handling,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 927–930. [9] G. M. Rebeiz, RF MEMS, Theory, Design, and Technology. New York: Wiley, 2003. [10] H. Nieminen, V. Ermolov, K. Nybergh, S. Silanto, and T. Ryhänen, “Microelectromechanical capacitors for RF applications,” J. Micromech. Microeng., vol. 12, no. 2, pp. 177–186, 2002.

1130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

[11] T. Vähä-Heikkilä, J. Varis, J. Tuovinen, and G. M. Rebeiz, “A reconfigurable 6–20 GHz RF MEMS impedance tuner,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 2, Jun. 2004, pp. 729–732. [12] L. Dussopt and G. M. Rebeiz, “Intermodulation distortion and power handling in RF MEMS switches, varactors, and tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 927–930, Apr. 2003. [13] M. Innocent, P. Wambacq, S. Donnay, H. A. C. Tilmans, W. Sansen, and H. De Man, “An analytic Volterra-series-based model for a MEMS variable capacitor,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 22, no. 2, pp. 124–131, Feb. 2003. [14] P. Hallbjörner and J. P. Starski, “Expressions for nonlinear effects of MEMS switch beam oscillations,” Electron. Lett., vol. 37, no. 11, pp. 693–694, May 2001. [15] R. Gaddi, J. Iannacci, and A. Gnudi, “Mixed-domain simulation of intermodulation distortion in RF-MEMS capacitive shunt switches,” in 33rd Eur. Microw. Conf., vol. 2, Oct. 2003, pp. 671–674. [16] J. Johnson, G. G. Adams, and N. E. McGruer, “Determination of intermodulation distortion in a MEMS microswitch,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 2135–2138. [17] V. Rizzoli, R. Gaddi, J. Iannacci, D. Masotti, and F. Mastri, “Multitone intermodulation and RF stability analysis of MEMS switching circuits by a globally convergent harmonic-balance technique,” in Proc. Eur. Microw. Assoc., vol. 1, Mar. 2005, pp. 45–54. [18] V. Rizzoli, D. Masotti, F. Mastri, and A. Costanzo, “Nonlinear distortion and instability phenomena in MEMS-reconfigurable microstrip antennas,” in Proc. 35th Eur. Microw. Conf., Oct. 2005, pp. 565–568. [19] D. Girbau, N. Otegi, L. Pradell, and A. Lázaro, “Generation of third and higher-order intermodulation products in MEMS capacitors, and their effects,” in Proc. 35th Eur. Microw. Conf., Oct. 2005, pp. 593–596. [20] D. Girbau, A. Lázaro, and L. Pradell, “Extended tuning range RF MEMS variable capacitors using electrostatic and electrothermal actuators,” in Proc. SPIE, MEMS/MOEMS Compon., and Applicat./Micromach. Microfab., vol. 5344, Jan. 2004, pp. 59–70. [21] D. Koester, A. Cowen, R. Mahadevan, M. Stonefield, and B. Hardy, PolyMUMPS Design Handbook, Revision 10.0: MEMSCAP, 2003. [Online]. Available: http://www.memsca.com/memsrus/svcsrules.html.

David Girbau (S’05) received the Technical Engineer in telecommunications and Engineer in Electronics degrees from the Universitat Politècnica de Catalunya, Barcelona, Spain, in 1998 and 2002, respectively, and is currently working toward the Ph.D. degree at the Universitat Politècnica de Catalunya. His research is currently focusing on MEMS with an emphasis on their RF and microwave applications.

Nerea Otegi was born in Andoain, Spain, in 1977. She received the Electronic Engineering degree from the University of the Basque Country, Bilbao, Spain, in 2002, the Electronic Physics degree from the University of Cantabria, Santander, Spain, in 2003, and is currently working toward the Ph.D. degree at the University of the Basque Country. Her areas of interest include noise characterization at microwave frequencies and nonlinear analysis of microwave circuits.

Lluís Pradell (M’87) was born in Barcelona, Catalunya, Spain, in 1956. He received the Telecommunication Engineering degree and Dr. degree in telecommunication engineering from the Universitat Politècnica de Catalunya (UPC), Barcelona, Spain, in 1981 and 1989, respectively. From 1981 to 1985, he was with Mier-Allende, Barcelona, Spain, as an RF and Microwave System Design Engineer. In 1985, he joined the faculty at UPC, where he became Associate Professor in 1990. Since 1985, he has taught courses on microwave circuits and performed research on models for microwave active devices (MESFETs, high electron-mobility transistors (HEMTs), HBTs), multimodal models for guiding structures and transitions [microstrips, finlines, slotlines, coplanar waveguides (CPWs)], on-wafer measurement techniques (network-analyzer calibration, noise parameters), and development of microwave and millimeter-wave circuits (equipment for space applications, point-to-multipoint broad-band equipment, RF MEMS devices) in the frequency range of 1–75 GHz.

Antonio Lázaro was born in Lleida, Spain, in 1971. He received the M.S. and Ph.D. degrees in telecommunication engineering from the Universitat Politecnica de Catalunya (UPC), Barcelona, Spain, in 1994 and 1998, respectively. He then joined the faculty at UPC, where he taught a course on microwave circuits and antennas. He is currently with the Electronics, Electrical, and Automatics Engineering Department, University Rovira i Virgili, Tarragona, Spain His research interests are microwave device modeling, on-wafer noise measurements, monolithic microwave integrated circuits (MMICs), low phase-noise oscillators, MEMS, and microwave systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1131

Ku-Band Antenna Array Feed Distribution Network With Ferroelectric Phase Shifters on Silicon

Taeksoo Ji, Member, IEEE, Hargsoon Yoon, Member, IEEE, Jose K. Abraham, Senior Member, IEEE, and Vijay K. Varadan, Member, IEEE

Abstract—This paper presents the design, fabrication, and experimental results of a 1 : 4 monolithic power distribution -band array antenna applications. The network network for integrated on a high-resistivity silicon (HRS) substrate surface stabilized by polysilicon consists of three Wilkinson power dividers, four dc blocking filters, and four coplanar waveguide (CPW)-to-microstrip (MS) transitions. Each output ports are fed with a barium–strontium–titanate phase shifter. It is found that the introduction of the polysilicon layer between the oxide and HRS reduces RF losses significantly, which will enable the monolithic integration of high-power controller modules onto silicon because of the existence of the oxide layer, preventing any degradation of RF performances. The individual components show insertion losses ranging from 0.4 to 2.6 dB at 15 GHz, and the interconnecting CPW lines result in a loss of 0.064 dB/mm. This network was successfully integrated with MS patch antennas monolithically, showing good performance of 32-dB return loss at 14.85 GHz, and 10 beam-steering capability. Index Terms—Antenna distribution network, BaSrTiO3 , monolithic microwave integrated circuits (MMICs), phase shifter, phased-array antenna.

I. INTRODUCTION

D

EMAND FOR broad-band wireless communication services has been increasing explosively, driving the surge of research and development activities for future wireless communication systems with higher data rates and increased functionality. It is expected that this demand will be fulfilled by realizing third-generation (3G) and/or fourth-generation (4G) mobile systems, which could consist of a layered combination of different access technologies such as wireless local area networks (WLANs), intelligent transport systems (ITSs), high altitude stratospheric platform station (HAPS) systems, and Bluetooth personal networks [1]. However, these systems cannot be fully accomplished without the aid of advanced array antenna technologies such as phased-array antennas and smart antennas, which have proven to play a key role in improving system performance by increasing channel capacity, steering multiple beams, and compensating for aperture distortion electronically [2]. The array system offers the unique capability of electronic scanning of the main beam. By changing the phase of the exciting currents in each antenna element of the array, the radiation pattern can be scanned through space. By this means, the beam can be very quickly steered electronically and becomes capable Manuscript received July 10, 2005; revised November 11, 2005. The authors are with the Electrical Engineering Department, University of Arkansas, Fayetteville, AR 72701 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.869721

of tracking fast-moving and multiple targets in a fashion that is impossible with a traditional rotating antenna. The main requirement for the design of very high-performance planar arrays is the development of advanced power distribution networks in which the input power is distributed to radiating antenna elements at the output ports. High return loss, power balance stability at the output ports, and low loss are among the most important specifications of the high-frequency distribution network for the array antenna application. The power distribution network is generally comprised of several RF integrated circuits (RFICs) and microwave integrated circuits (MICs) including power dividers, right-angle bends, phase shifters, tee junctions, dc blocking filters, and some transitions between different configurations of transmission lines, which are preferably implemented on the same substrate in a monolithic way [monolithic microwave integrated circuits (MMICs)] to offer device size reduction and obtain improved reliability and reproducibility. Gallium arsenide (GaAs) has been, to date, used extensively in the development of such MMICs because of its suitability for both high-frequency transistors and low-loss passive components, but more attention is recently being paid to silicon MMICs in order to fully exploit its advantages such as low cost and compatibility with the mature CMOS technology. However, high loss at RF and microwave frequency ranges still remains problematic, which retards a blossoming of silicon MMICs. To overcome this problem, we have adopted polysilicon as a surface-stabilizing layer on the top of high-resistivity silicon (HRS) substrates [3], [4], and demonstrated a standard 50coplanar waveguide (CPW) possessing a very low attenuation characteristic of 0.64 dB/cm at 15 GHz [3]. This attenuation is much less that of the CPW either on a low-resistivity Si wafer with a polymide interface layer [5] or on high-resistivity Si with a patterned SiO layer [6], where the attenuation losses are around 1–3 and 2.5 dB/cm at 15 GHz, respectively. The CPW fabricated herein on 400- m-thick HRS ( cm, ) whose surface was stabilized by the successive deposition of polysilicon (1 m) and oxide layers (35 nm) is shown in Fig. 1. The resultant low loss is attributed to the existence of the polysilicon layer with large trap density, screening the surface charge accumulated at the SiO /Si interface [6]. This paper presents the development of a 1 : 4 power distribution network monolithically integrated on silicon operating at 15 GHz, where each output port is fed with a ferroelectric phase shifter. This network circuitry, which is based on CPW configuration to fully utilize its considerable design versatility, includes Wilkinson power dividers, CPW-to-microstrip (MS) transitions

0018-9480/$20.00 © 2006 IEEE

1132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 1. Schematic of the HRS substrate that is surface stabilized by undoped polysilicon and oxide buffer layers to reduce RF insertion loss and dc current leakage.

Fig. 2. 1 : 4 network consisting of phase shifters, power dividers, CPW-to-MS transitions, and dc blocking filters based on CPW configuration.

for MS antenna feeding, and dc blocking filters, as shown in Fig. 2. Since the efficiency of network circuitry is significantly influenced by the individual component’s performance, elaborate design optimization for each component should first be addressed to accomplish low insertion and return loss. In this study, each component was first fabricated and tested individually to optimize its geometry, and then the whole network was monolithically integrated on a silicon wafer based on the optimized results. For instance, we reported a Wilkinson power divider fabricated on surface-stabilized HRS, which employs both CPW and asymmetric coplanar strip (ACPS) configurations [3]. The divider exhibited an insertion loss of 3.5 dB with an isolation of 12 dB at 15 GHz. Fig. 3 clearly shows the electric-field distribution along the signal lines, which is simulated by Empire.1 When the signal goes from port 1, the power is equally distributed into the output ports (ports 2 and 3), as shown in Fig. 3(a). However, the reflected power from the external circuitry entering port 2 hardly propagates into port 3 [see Fig. 3(b)]. It is worthy noting that, in Fig. 3(b), considerably high power is dissipated within the thin-film resistor (TFR), resulting in a good isolation between the output ports. Below, apart from the Wilkinson power divider, the basic design and performance of individual components of the phase shifter, CPW-to MS transition, and dc block will be presented, and finally, the integration of the components to implement the power distribution networks on silicon will be discussed. We adopted the same procedure to prepare substrates using polysilicon and oxide layers on HRS (n type, cm), 1Empire,

ver. 4.15, IMST GmbH, Kamp-Lindfort, Germany.

Fig. 3. Simulated electric-field distributions along the signal lines of the Wilkinson power divider when RF power goes through: (a) port 1 (nominal 3-dB loss) and (b) port 2 (isolation between output ports).

as described in [3] and [4]. The width of the CPW transmission line ( m, m) was selected to have 220 m, much smaller than m at the target frequency of 15 GHz, in order to suppress radiation loss and prohibit the excitation of higher order modes [7]. In addition, the metal electrode (Au/Cr) thickness of all the components was increased up to 3 m by electroplating to reduce the conduction loss. The full-wave method of moments (MoM) (IE3D2) and finite-difference time-domain method (FDTD) (Empire) simulations were used to optimize the design, as well as to verify the experimental results of the individual components. II. FERROELECTRIC PHASE SHIFTERS A phase shifter is a key element in the phased-array antenna, providing a means of changing the effective path length on a transmission line resulting in phase shifts at the device terminal. Characteristics of phase shifters required to construct phased-array antennas for wireless communication include a good impedance match, proper power-handling capability, low drive power, fast response speed, and low cost. Recently, Ba Sr TiO (BST) thin films have been investigated as a potential use for continuously variable phase shifters because of their high dielectric tunability, high breakdown field, and relatively low dielectric loss. The BST film phase shifters 2IE3D,

ver. 10, Zeland Software Inc., Fremont, CA.

JI et al.:

-BAND ANTENNA ARRAY FEED DISTRIBUTION NETWORK

1133

TABLE I DESIGN PARAMETERS OF THE CPS-CPW PHASE SHIFTERS USING BST FILMS

Fig. 4. Schematic diagram and photograph of the BST phase shifter, and schematic view of the unit cell for the CPS-CPW structure. The total and C . capacitance in a unit cell consists of two sections: C

are typically constructed by loading periodic bilateral, or parallel-plate capacitors with transmission lines, where phase shifts can be obtained by the permittivity change of the BST capacitors using a dc electric field [8], [9]. We employed a bilateral CPW structure for the BST phaseshifting devices, a type of delay-line phase shifter, to make it compatible with the other components in the feed network. In our design, since the metal electrode is formed after the BST film deposition, which is generally done in considerably high temperatures ( 500 C), the BST film can be grown free of contamination owing to metal diffusion. A. Design The bilateral CPW phase shifter using BST thin films developed herein possesses periodic capacitive loads in a unit cell consisting of coupled coplanar striplines (CPSs) and CPW line (CPS-CPW), as shown in Fig. 4. Therefore, the total capacitance in a unit cell of the device would be expressed as a parallel combination of the partial capacitance coming from the CPW and the CPS region . The analytical expressions for and can be derived by using conformal mapping methods [10], which give

respectively, where is the complete elliptic integral of the first kind, is the modulus of the elliptic integral, is the effective dielectric constant, is the length of the CPS region, and is the unit cell length. The geometry of the device was then determined by considering the effective dielectric constant and the . To fully utilize characteristic impedance derived from the tunability of the BST film by confining electric fields near the surface region, the CPS electrodes employed a very narrow width and spacing of 2 and 4 m, respectively, resulting in high filling factors. The critical dimensions of the BST phase shifters with a total length of 10 mm are listed in Table I, referring to Fig. 4, which consists of 222 unit cells. B. Fabrication The fabrication of the BST phase shifter started with the deposition of BST thin films on a surface-treated HRS substrate by polysilion and oxide. During the BST deposition, a constant deposition pressure of 20 mtorr was maintained by a mixture of oxygen and argon with a ratio of 1 : 10 at a base pressure of 3.0 10 torr. The oxygen gas was supplied through a gas ring surrounding the sample holder for reactive sputtering. The substrate temperature was held at 750 C using a quartz lamp heater rotating at 10 r/min to acquire uniform BST films. In addition, to avoid cracks on the target, ramping speed of the heater and RF power were carefully adjusted as low as possible. At an RF power of 120 W, a 3500- -thick BST film was deposited for about 14 h with a deposition rate of around 4 /min. Grown BST films were characterized by X-ray diffraction (XRD), and scanning electron microscope (SEM). Fig. 5(a) shows the XRD result for the BST film grown on HRS, indicating that the film is well crystallized. The main peaks observed are ascribed to the peaks of , and , respectively. The cross-sectional view of BST film grown on an SiO /poly-Si/Si substrate can be seen in the SEM image, as shown in Fig. 5(b). The phase-shifting devices were then completed by defining metal patterns on this BST film using conventional photolithography and electroplating methods. C. Device Measurement

(1)

(2)

-parameters of the BST phase shifter were measured using an HP8510C network analyzer and Cascade microprobe station (150- m pitch) over the frequency range from 5 to 25 GHz. Calibration was done with short-open-load-through (SOLT) using an impedance standard substrate. Fig. 6(a) shows the insertion loss ( ) and return loss ( ) of the phase-shifter circuit at zero bias. The maximum insertion loss is measured to be only 2.6 dB at 15 GHz. The return loss is better than 20 dB over the frequency range

1134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 6. (a) Measured loss characteristics at zero bias. (b) Differential phase shifts of the CPS-CPW phase shifter with bias voltages up to 300 V. Fig. 5. (a) XRD pattern and (b) cross-sectional SEM image of BST film deposited on an SiO /poly-Si/Si substrate.

from 5 to 25 GHz. The measured differential phase shifts of the phase shifter are shown in Fig. 6(b). DC-bias voltages were applied up to 300 V between the ground and signal line through bias-T and dc blocks. It is easily seen that the BST phase shifter exhibits high linearity for overall frequencies and continuous phase shifts of 0 –90 at 15 GHz, corresponding to a figure-of-merit of 36 /dB. This value is not likely to be high, especially compared to the MEMS phase shifters with true-time-delay lines reported in [11] and [12] that used MEMS bridges as variable capacitors. However, its superior reliability, planar structure, and high power-handing capability make the BST phase shifters much more suitable for the integration of monolithic power distribution networks.

the dc blocks completely isolate the high dc powers applied to the phase shifters from the other components, in order to hamper the crosstalk among the output ports, while passing a wide range of RF signals. The dc blocking filter lying between the phase shifter and the power divider consists of an open-end series (OES) CPW stub [13], as shown in Fig. 7. The gap between the fingers is 10 m, and the total length corresponds to . The linewidth of the center finger ( ) is 20 m, while is 30 m. The comparison of the measured and simulated results is shown in Fig. 8. It is clearly noted that good agreement is obtained between measurement and simulation from 1 to 30 GHz. The measured results indicate that the dc block produces an insertion loss of 0.4 dB at 15 GHz, and a wide 3-dB bandwidth of 130% with a return loss of better than 12 dB over frequencies from 11 to 21 GHz. Thus, this performance can be well suited for wide-band dc blocking filters.

III. DC BLOCKING FILTERS A dc blocking filter is one important element extensively used in microwave circuits. For wide-band applications, a large bandwidth, as well as a low return loss in the passband is indispensable for the device. In our distribution circuit, it is desirable that

IV. CPW-TO-MS TRANSITION In order to integrate MS antennas in an array with the feed network based on CPW lines, a design of compact low-loss CPW-to-MS transitions is prerequisite. Some types of vialess

JI et al.:

-BAND ANTENNA ARRAY FEED DISTRIBUTION NETWORK

1135

Fig. 9. (a) Photograph and (b) layout of the back-to-back CPW-to-MS transition. TABLE II DESIGN PARAMETERS OF THE CPW AND MS SECTION CONSTRUCTING THE CPW-TO-MS TRANSITION Fig. 7. Photographs and schematic diagram of the dc blocking filter for CPW.

Fig. 8. Simulated and measured S -parameter results for the CPW dc blocking filter.

transitions based on radial stubs and sections of coupled lines have been reported [14], [15]. These transitions, however, do not give a compact design for frequencies below 30 GHz because they typically require a -long coupling region. In this study, a new design approach has been tried employing an intermediate transition region where the CPW line is gradually matched with the MS line, as was suggested by Zheng et al. [16]. Fig. 9(a) shows the photograph of the CPW-to-MS transition, which consists mainly of a CPW section, a CPW-to-MS transition section, and an MS section. In the intermediate region, both the signal line and gaps between the ground lines in the CPW section are gradually changed to adhere to a 50- characteristic impedance until the width of the signal line finally matches that of the MS line. It is expected that this configuration will be helpful in minimizing reflections by suppressing the abrupt introduction of discontinuity in circuitry. The transition length that was numerically optimized using IE3D for the center frequency of 15 GHz is 500 m, corresponding to 0.076 . Apart from the transition section, the design parameters for the CPW and MS section are summarized in Table II. To facilitate coplanar on-wafer measurements, the transition was fabricated

Fig. 10. Simulated and measured S -parameters of the CPW-to-MS transition.

in back-to-back configuration where two transitions are separated by a 2-mm-long MS line, as shown in Fig. 9(b). The measured and simulated -parameter results from 0 to 30 GHz are shown in Fig. 10. Good agreement is seen between the simulation and measurement of the back-to-back CPW-to-MS transition. The measured insertion loss ( ) at 15 GHz yields 1 dB. Since this value corresponds to the insertion loss for two transitions in the back-to-back configuration, the insertion loss attributed to one transition could be deducted by taking half of the measured insertion loss. The deduced insertion loss for a single transition including CPW and MS lines at 15 GHz is, thus, 0.5 dB, and 3-dB bandwidth is 168%. It is also seen that the return loss is better than 12 dB from 7 to 22 GHz, indicating the potential use for wide-band applications.

1136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE III COMPONENT INSERTION LOSS MEASURED AT 15 GHz

Fig. 11. MS.

Schematic of the single MS antenna with a transition from CPW to

V. FEED NETWORK WITH RADIATING PATCHES Based on the optimized designs for the individual components, a1 : 4completefeednetworkwasmonolithicallyintegratedonsilicon, where the input signalfor each of thefour outputports travels through two Wilkinson power dividers, two right-angle bends, one dc blocking filter, one BST phase shifter, one CPW-to-MS transition, and approximately 10.6 mm interconnecting CPW lines. The spacing between the output ports is 11.25 mm, which corresponds to of 15 GHz. Table III summarizes the component losses, and CPW interconnect line loss measured at 15 GHz. Note that the excess loss result above 3-dB nominal loss from the Wilkinson power divider presented in the literature [3] already comprehends that of the right-angle bend, which was attached to the divider for measurement purposes. The total excess loss of the 1 : 4 network with 6-dB nominal loss could be estimated as the sum of the measured component losses shown in Table III, which yields 5.2 dB at 15 GHz. The half loss arising from the BST phase shifter indicates that the further reduction in total excess loss considerably depends on the extent of the improvement of the phase-shifting device performance. This study will include not only the improvement of the BST film quality, but also the modification of the electrode design in such a way that the filling factor contributed from the BST film is maximized, resulting in the increase of phase-shifting capability. To demonstrate the potential of this network in conjunction with radiating elements for array antenna application, a single MS patchwasdesigned,andfinallyfabricatedwiththenetworkmonolithically using a direct contacting feeding method, as shown in Fig. 11. The transition from CPW to MS was also taken into consideration when designing the rectangular patch to result in the resonance frequency of 15 GHz. The position of inset feed point , patch length , and width were optimized by IE3D, yielding 1060, 2800, and 4000 m, respectively. Fig. 12 compares the measured and simulated return loss of the single patch, showing a slight discrepancy in resonance frequency. This could be attributed to either fabrication error or a small deviation of the silicon dielectric constant from 11.7. The measured resonance frequency is 14.8 GHz with a good return loss ( ) of 21 dB. The substantial nonradiative losses seen in the measurement, commonly found in the MS antenna structure [17], [18], indicates a poor radiation efficiency, possibly suggesting an excitation of surface waves in the substrate, e.g., by the CPW-to-MS transition, and/or by the high-index substrate

Fig. 12. Return loss of the single MS antenna. The inset shows the simulated result of the electric field traveling along the CPW lines and finally radiating from the patch via the transition.

Fig. 13. Four-element phased-array antenna fabricated on a silicon substrate consisting of power dividers, MS patch antennas, phase shifters, dc blocks, and CPW-to-MS transitions.

material. The inset simulates that electric fields travel along the CPW feed line, and eventually generate far-field patterns radiated from the patch via the CPW-to-MS transition. Fig. 13 presents the distribution network with patch antennas monolithically implemented on HRS. To minimize the RF loss from the BST film, the BST thin film deposited on the whole wafer was etched out using hydrofluoric (HF) acid, except

JI et al.:

-BAND ANTENNA ARRAY FEED DISTRIBUTION NETWORK

1137

Fig. 16. Measured radiation patterns showing the beam steering capability of 10 total scan. Fig. 14. Measured return loss and input impedance of the four-element phased-array antenna monolithically fabricated on a silicon substrate. The dot loop around the center of the Smith chart indicates a 2 : 1 SWR bandwidth.

feed network are biased with 0, 80, 150, and 300 V which corresponds to the phase shifts of 0 , 30 , 60 , and 90 at 15 GHz, respectively. These dc-bias voltages were chosen from the measured results shown in Fig. 6. The simulation does predict a 20 of total scan, but the measured 10 total scan suggests that some of the phase shifters in the feed network may not be functioning as expected. This discrepancy may require the phase calibration at the terminal of each patch element. VI. CONCLUSION

Fig. 15. Measured and simulated radiation patterns (at four-element phased-array antenna at 14.85 GHz.

= 90

cut) of the

where the phase-shifter circuits were to be built on. Fig. 14 shows the measured results for the and input impedance drawn on a Smith chart. It is noted that this array antenna has a resonance frequency of 14.85 GHz with an excellent return loss ( ) value of around 32 dB, and a 2 : 1 standing wave ratio (SWR) bandwidth of 8.7%. The measured and simulated radiation patterns (at cut) of the array antenna at the resonance frequency without any dc bias to the array elements are shown in Fig. 15. Good agreement is obtained between both the patterns with the first nulls at around 30 . The measured 3-dB half power beamwidth (HPBW) of the main lobe and sidelobe level (SLL) are around 20 and 7 dB, respectively. This high SLL value and many minor sidelobes seen in the pattern could mainly be due to the parasitic radiations from the feed network itself. These spurious radiations should be significantly reduced by adopting other feeding techniques such as the aperture coupling method. To realize beam steering of the array antenna, external voltages were applied through the bias stub directly connected to the BST phase shifters (see Fig. 13). Fig. 16 shows the measured radiation patterns when each of the phase-shifter circuits in the

In this paper, we have demonstrated a 1 : 4 distribution network for phased-array antenna applications, which consist of Wilkinson dividers, BST phase shifters, dc blocks, and CPW-to-MS transitions based on CPW configuration. Prior to the integration of the whole circuitry, the individual components were carefully designed and optimized. It appears that the substrate configuration adopting polysilicon and oxide layers on HRS can make the monolithic integration of high-power controller modules on silicon possible free of both high RF loss and dc leakage current. The performance of the prototype array antenna proves that the power distribution network fed with the BST phase shifters in a monolithic fashion is an efficient means for a phased-array antenna, where the beam direction is steered by adjusting the dc-bias voltages applied to the phase shifters. To bestow a full azimuthal scanning capability on the phase-array antenna, 360 phase shift within the network is generally required. This will be accomplished by improving the performance of phase-shifting devices, which is underway. REFERENCES [1] S. Ohmori, Y. Yamao, and N. Nakajima, “The future generations of mobile communications based on broad-band access technologies,” IEEE Commun. Mag., vol. 38, pp. 134–142, Dec. 2000. [2] L. C. Godara, “Applications of antenna arrays to mobile communications. Part I. Performance improvement, feasibility, and system consideration,” Proc. IEEE, vol. 85, no. 7, pp. 1031–1060, Jul. 1997. -band [3] T. Ji, H. Yoon, K. A. Jose, and V. K. Varadan, “Design of a Wilkinson power divider on surface-stabilized high resistivity silicon substrates,” Microw. Opt. Technol. Lett., vol. 44, pp. 436–439, Mar. 2005. [4] T. Ji, “A four element array antenna system monolithically implemented on silicon,” Ph.D. dissertation, Dept. Eng. Sci. Mech., Pennsylvania State Univ., University Park, PA, 2004.

Ku

1138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

[5] G. E. Ponchak, A. Margomenos, and L. P. B. Katehi, “Low-loss CPW on low-resistivity Si substrates with a micromachined polyimide interface layer for RFIC interconnects,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 866–870, May 2001. [6] H. S. Gamble, B. M. Armstrong, S. J. N. Mitchell, Y. Wu, V. F. Fusco, and J. A. C. Stewart, “Low-loss CPW lines on surface stabilized high resistivity silicon,” IEEE Microw. Guided Wave Lett., vol. 9, no. 10, pp. 395–397, Oct. 1999. [7] T. M. Weller, R. M. Henderson, K. J. Herrick, S. V. Robertson, R. T. Kihm, and L. P. B. Katechi, “Three dimensional high frequency distribution networks—Part I: Optimization of CPW discontinuities,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 10, pp. 1635–1642, Oct. 2000. [8] C. H. Mueller, F. W. Van Keuls, R. R. Romanofsky, and F. A. Miranda, “Ferroelectric and SiGe device development for high data rate communications,” in Asia–Pacific Microw. Conf., Dec. 2000, pp. 923–928. 2000. [9] E. G. Erker, A. S. Nagra, Y. Liu, P. Periaswamy, T. R. Taylor, J. Speck, -band phase shifter using voltage tunand R. A. York, “Monolithic able BaSrTiO parallel plate capacitance,” IEEE Microw. Guided Wave Lett., vol. 10, no. 1, pp. 10–12, Jan. 2000. [10] R. N. Simons, Coplanar Waveguide Circuits, Components, and Systems. New York: Wiley, 2001. [11] N. S. Baker and G. M. Rebeiz, “Distributed MEMS true-time delay phase shifters and wide-band switches,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1881–1890, Nov. 1998. [12] A. Borgioli, Y. Liu, A. S. Nagra, and R. A. York, “Low-loss distributed MEMS phase shifter,” IEEE Microw. Guided Wave Lett., vol. 10, no. 1, pp. 7–9, Jan. 2000. [13] T. M. Weller, K. J. Herrick, and L. P. B. Katechi, “Quasi-static design technique for millimeter wave micromachined filters with lumped elements and series stubs,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 6, pp. 931–938, Jun. 1997. [14] G. Gauthier, L. P. Katehi, and G. M. Rebeiz, “ -band finite ground coplanar waveguide (FGCPW) to microstrip line transition,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Baltimore, MD, Jun. 1998, pp. 107–109. [15] J. P. Raskin, G. Gauthier, L. P. Katehi, and G. M. Rebeiz, “Mode conversion at GCPW-to-microstrip-line transitions,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 1, pp. 158–161, Jan. 2000. [16] G. Zheng, J. Papapolymerou, and M. M. Tentzeris, “Wideband coplanar waveguide RF probe pad to microstrip transitions without via holes,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 544–546, Dec. 2003. [17] G. P. Gauthier, A. Courtay, and G. M. Rebeiz, “Microstrip antenna on synthesized low dielectric-constant substrates,” IEEE Trans. Antennas Propag., vol. 45, no. 8, pp. 1310–1314, Aug. 1997. [18] J. G. Yook and L. P. B. Katehi, “Micromachined microstrip patch antenna with controlled mutual coupling and surface waves,” IEEE Trans. Antennas Propag., vol. 49, no. 9, pp. 1282–1289, Sep. 2001.

Ka

W

Taeksoo Ji (M’06) received the B.S. and M.S. degrees in physics from Yonsei University, Seoul, Korea, in 1995 and 1997, respectively, and the Ph.D. degree from Pennsylvania State University, University Park in 2004. From 1997 to 2000, he was a Research Engineer with Hyundai Electronics Inc., Yicheon, Korea, where he was involved with plasma display panel (PDP) development. From 2001 to 2004, he was a Research Assistant with the Center for the Engineering of Electronic and Acoustic Materials and Devices (CEEAMD), Pennsylvania State University. Upon completion of his post-doctoral research with the CEEAMD, he joined the Electrical Engineering Department, University of Arkansas, Fayetteville, as a Research Assistant Professor in 2005, where he has been involved in research on MMICs, RF microelectromechanical systems (MEMS), organic electronics, wireless sensors, and microfabrication.

Hargsoon Yoon (M’05) received the B.S. and M.S. degrees in physics from Yonsei University, Seoul, Korea, in 1992 and 1994, respectively, and the Ph.D. degree in engineering science and mechanics from Pennsylvania State University, University Park, in 2003. From 1994 to 2000, he was with Hyundai Electronics Inc., where he was a Project Manager involved in the development of RF integrated circuits (RFICs). In 2004, he was a Post-Doc with the Center for the Engineering of Electronic and Acoustic Materials and Devices, Pennsylvania State University. In 2005, he joined the High Density Electronics Center (HiDEC)/Electrical Engineering Department, University of Arkansas, Fayetteville, as a Research Assistant Professor. He has authored or coauthored approximately 20 technical publications in standard journals and conferences. He also holds several patents. His research interest includes various sensors, actuators, and millimeter-wave devices using tunable ceramic materials, and silicon integrated circuits (ICs). He also develops wireless sensors for toxic gas and avian flu influenza by using vertically grown nanowires on silicon.

Jose K. Abraham (S’88–M’97–SM’05) received the Ph.D. degree from the Cochin University of Science and Technology, Kochi, India, in 1989. From 1990 to 1997, he was a member of the faculty of Cochin University. In 1997, he joined Pennsylvania State University as Senior Research Associate and Assistant Professor. In 2005, he joined the University of Arkansas, Fayetteville, as an Associate Professor. His research interests include wireless biomedical and neuroelectronics devices and wireless nanobiosensors and systems. He is currently focused on the development of microscale-to-nanoscale wireless noninvasive systems for brainwave analysis and brain fingerprinting. He coauthored RF MEMS and Their Applications (Wiley, 2002). Since 1988, he has authored or coauthored over 160 technical papers in journals and conferences, mainly in the fields of antennas, RF MEMS, neuroelectronics devices, and wireless biomedical devices and systems. He co-holds two U.S. patents. Dr. Abraham was the recipient of the 1989 Non Destructive Testing (NDT) Society Best Paper Award.

Vijay K. Varadan (M’82) is currently the Walton Endowed Chair and Distinguished Professor of Electrical Engineering (College of Engineering) and Neurosurgery (College of Medicine) with the University of Arkansas, Fayetteville. He is also the Director of the Institute for Nano-, Micro-, and Neuro-Electronics, Sensors and Systems and the Director of the High Density Electronics Center. He has concentrated on the design and development of various electronic, acoustic and structural composites, smart materials, structures, and devices including sensors, transducers, MEMS, synthesis and large-scale fabrication of carbon nanotubes, nanoelectromechanical systems (NEMS), microwave, and acoustic and ultrasonic wave absorbers and filters. He has developed the neurostimulator and wireless microsensors and systems for sensing and control of Parkinson’s disease, epilepsy, glucose in the blood, and Alzhemier’s disease. He is also developing wireless sensor systems for human gait analysis and sleep disorders and disturbances. He is an editor for the Journal of Wave-Materials Interaction and the Editor-in-Chief for the Journal of Smart Materials and Structures. He is an Associate Editor for the Journal of Microlithography, Microfabrication and Microsystem. He serves on the Editorial Board of International Journal of Computational Methods. He has authored or coauthored over 500 Journal papers and 450 conference papers and 11 books. He recently coauthored Neuroelectronics and Neurosurgery, which is to be published. He has 12 patents pertinent to conducting polymers, smart structures, smart antennas, phase shifters, carbon nanotubes, implantable devices, and neurosurgery for Parkinson’s patients and wireless MEMS accelerometers and gyroscopes.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1139

Theoretical Investigation of an Advanced Launcher for a 2-MW 170-GHz TE34;19 Coaxial Cavity Gyrotron Jianbo Jin, Manfred Thumm, Fellow, IEEE, Bernhard Piosczyk, and Tomasz Rzesnicki

Abstract—This paper investigates the antenna waveguide (launcher), the main component of the quasi-optical mode converter of a 2-MW 170-GHz TE34 19 coaxial cavity gyrotron, which is under development within the European Union. For coaxial gyrotrons operating in very high-order cavity modes like the TE34 19 , due to the ratio of the caustic to cavity radius of 0.323, the transformation of the high-order cavity mode into a nearly Gaussian distribution cannot be done as good as for gyrotron modes where the ratio of caustic to cavity radius is approximately 0.5. The simulation results for the TE34 19 mode show that the average and peak values of the power density at the edges of the cuts of a conventional dimpled-wall launcher are approximately 32.3 W/cm2 and 63.8, respectively, which will produce diffraction losses and reflection of power from the cuts. This paper reports on an advanced launcher for which average and peak values of power density of 1.9 and 5.4 W/cm2 at the edges of the cuts are achieved, and a well-focused field at the aperture with a scalar Gaussian mode content of 94.8% is obtained. Index Terms—Coupled-mode theory, gyrotron, quasi-optical (QO) mode converter, wall perturbations.

I. INTRODUCTION

A

2-MW 170-GHz coaxial cavity gyrotron is under development in cooperation between European Research institutes (Forschungszentrum Karlsruhe (FZK), Karlsruhe, Germany, Centre de Recherches en Physique des Plasmas (CRPP), Lausanne, Switzerland, Helsinki University of Technology (HUT), Helsinki, Finland) and European tube industry (Thales Electron Devices (TED), Velizy, France), which is foreseen to be used for electron cyclotron resonance heating (ECRH) of magnetically confined plasmas in the International Thermonuclear Experimental Reactor (ITER) [1]. It is the short-wavelength high-output power, and rotating asymmetric high-order cavity mode of such a tube that have led to the need for novel mode conversion concepts. The down-conversion of high-order gyrotron cavity modes with complicated field structure by using conventional waveguide mode transducers with a Manuscript received July 18, 2005; revised October 27, 2005. This work was supported in part by the Virtual HGF Research Center VH-FZ-024 and by the Forschungszentrum Karlsruhe under a Ph.D. Fellowship. J. Jin, B. Piosczyk, and T. Rzesnicki are with the Forschungszentrum Karlsruhe (FZK), Association EURATOM-FZK, Institut für Hochleistungsimpuls-und Mikrowellentechnik, Karlsruhe D-76021, Germany (e-mail: [email protected]). M. Thumm is with Forschungszentrum Karlsruhe, Association EURATOMFZK, Institut für Hochleistungsimpuls-und Mikrowellentechnik, D-76021 Karlsruhe, Germany and also with the Institut für Höchstfrequenztechnik und Elektronik, Universität Karlsruhe, Karlsruhe D-76128, Germany. Digital Object Identifier 10.1109/TMTT.2005.864114

(a)

(b)

Fig. 1. Geometrical optical description of ray propagation of the mode in a cylindrical waveguide. (a) Side view. (b) Top view.

TE

highly oversized waveguide diameter is practically impossible due to the extreme mode competition involved. The higher the operating mode is, the more it is appropriate to employ an asymptotic procedure for its description, namely, the method of geometrical optics (GO) (see, e.g., [2] and [3]). In the frame of this method, the initial mode is represented as a system of rays successively reflected from the waveguide walls (Brillouin–Keller concept). To directly convert the complicated field structure of rotating high-order asymmetric gyrotron cavity modes into a linearly polarized Gaussian beam, i.e., to modify the configuration of rays, one can use quasi-optical (QO) devices, a proper combination of a specific mode converting waveguide slot radiator (launcher) together with a few phase-correcting mirrors [4], [5]. In order to transform the high-order cavity mode into a nearly Gaussian beam mode and to achieve low fields at the edges of the launcher cuts, the launcher has a dimpled wall similar to a Denisov-type one [6], [7]. For gyrotrons operated in very high-order volume modes like at 170 GHz, due to the ratio of caustic to cavity radius of approximately 0.323, the transformation of such a cavity mode into a nearly Gaussian distribution in the dimpled-wall launcher cannot be done as good as for the - [8], - [9] and [10]-mode gyrotrons, where the ratio of caustic to cavity radius is approximately 0.5. The geometrical optical description of ray propagation in the launcher for the -mode gyrotron is shown in Fig. 1; the azimuthal bounce angle is . The stronger the fields at the edges of the launcher cuts are, the more stray radiation will be produced due to diffraction. The irregularities of the field structure at the aperture of the

0018-9480/$20.00 © 2006 IEEE

1140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

launcher makes the phase-correcting mirrors very complicated, and the mirror system has to be well designed to achieve a high conversion efficiency and to obtain a wave beam with good Gaussian mode contents [11]. In this paper, we aim to optimize the launcher for a 2-MW 170-GHz coaxial cavity gyrotron to provide relatively well-focused fields with good scalar Gaussian mode contents at the aperture. Here, the scalar Gaussian mode content is described as the correlation of a given wave-beam mode to an ideal fundamental Gaussian distribution with only amplitude information, except the phase to be evaluated. This paper is organized as follows. Section II describes the problem with achieving a Gaussian beam in a launcher for the -mode gyrotron by means of the conventional method. Section III presents the design principle of the launcher with special emphasis on how to approximate the Gaussian field distribution by a set of modes. Section IV shows the optimization of the launcher for the -mode gyrotron with high-order harmonics included in the wall perturbations.

wall perturbation cannot match the azimuthal ray propagation in the launcher (Fig. 1) very well. That is why it is very difficult to both transform the cavity mode into a nearly Gaussian beam mode and to obtain well-focused fields at the aperture to provide low diffraction at the cuts of the launcher. III. DESIGN OF LAUNCHER WITH A WAVE BEAM OF HIGH GAUSSIAN-MODE CONTENTS AT THE APERTURE As described in Section II, for the longitudinal bunching, we , the corresponding set the perturbation selection rule longitudinal wavenumber can be described as , and for the azimuthal bunching, the perturbation selection rule with the corresponding longitudinal wavenumber . Since the root of the Bessel function derivative for the mode is different from that for the mode, has two different values, and there are also two values for . This results in the following equations for the -mode gyrotron: (4)

II. DIMPLED-WALL LAUNCHER The launcher used in the converter is similar to a Denisovtype launcher [6], where wall perturbations (scattering surface) transform the input eigenwave to an eigenwave of the weakly perturbed transmission line. To compose a raised-cosine tapered field distribution, which is an approximation of an astigmatic Gaussian beam, leads to the following perturbation selection rules [12]:

(5) In the case of a constant, and

,

, thus, is no longer in (3) should be substituted by

(1) where (2) is the root of Bessel where is the azimuthal mode index, function (or derivative), is the launcher cut length, and are the caustic and cavity radius, and the angle is defined in Fig. 1. This leads to the following periodical wall perturbation (dimpled wall) [12]: (3) where is the radius at the beginning of the launcher, is the slope of the taper of the wall radius, is the longitudinal position, is the azimuthal angle, and are the amplitude and initial azimuthal angle of the th perturbation, and is an integer, which is the number of azimuthal variations for the th surface deformation, respectively. In order to prevent wave reflection at some perturbed positions and, thus, to prevent spurious oscillations in the converter region of the launcher excited by the spent electron beam, a positive slope taper is usually introduced on the wall radius of the launcher. From (3), we can see that should be a -period function about argument so must be an integer. However, for the mode, the ratio of caustic to cavity radius is 0.323 and, correspondingly, one gets for the azimuthal bunching the perturbation selection rule from (1) and (2), which cannot be well approximated by an integer; that is to say, the azimuthal

(6) is the longitudinal wavenumber, is the root of the Bessel function or its derivative, and is the wavenumber in free space. The same equation is obtained in [13]. However, if the up-taper is very small, such as that used in the -mode coaxial cavity gyrotron , (6) can be well approximated by with given in (4) and (5). The amplitude and length of the perturbation can be designed to present a required mode mixture [6]. From [6], the amplitude and length of the partially perturbed launcher can be derived as follows. 1) For higher order modes (e.g., mode, and ) where only the azimuthal or the radial index is different, a Gaussian field structure is formed along the longitudinal coordinate. In the case of , with (7) (8) where length is

, and the perturbation .

JIN et al.: THEORETICAL INVESTIGATION OF ADVANCED LAUNCHER FOR 2-MW 170-GHz

SET

OF

COAXIAL CAVITY GYROTRON

1141

TABLE I TE MODES TO GENERATE A GAUSSIAN-LIKE FIELD DISTRIBUTION (WITH RELATIVE POWER)

The parameters , In the case of

and

are in the intervals . ,

with (9) (10)

Fig. 2. Field distribution on the unrolled waveguide wall of the launcher with l1 = 1 and l2 = 3 perturbations. The edges of the launcher cut are indicated.

, 2) For the nearest quasi-degenerate modes ( , ), a Gaussian structure is formed along the azimuth of a circular waveguide with (11) (12) The relative powers of satellite modes forming the Gaussian wave beam correspond to Table I. In our simulation, appropriate mode amplitudes can be acquired for (the shortest perturbation length) and . Based on the coupled mode method [13], the calculated field patterns on the wall of the launcher for a 170-GHz coaxialcavity gyrotron operated in the mode are shown in Fig. 2, where mm, slope of taper , , perturbation length mm, and , perturbation length mm with respect to , , and , , respectively. The helical cut of the up-tapered launcher can be determined as [15]

Fig. 3.

Mode composition (relative power) coefficients vary along the z -axis.

where

is a parameter that connects between (13) and (14), , , , and are the coordinate and radius at the beginning of the cut, respectively. The coordinates describe the helical cut shown in Fig. 2. In the case when the slope of the taper is very small, (13) can be well approximated by (15)

(13) for (14) for

is the radius at the middle of the straight cut. The where behavior of the modes along the launcher is shown in Fig. 3, and the relative powers of satellite modes at the aperture of launcher are shown in Table II. Comparing Table II to Table I, we can see that a wave beam with appropriate relative amplitudes is achieved at the aperture of launcher; however, this mode mixture does not give a good Gaussian power distribution since and not (the launcher is too short so that the phases are not correct).

1142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE II RELATIVE POWERS OF THE SATELLITE MODES AT THE APERTURE OF THE LAUNCHER

Fig. 5. Field distribution on the unrolled waveguide wall of the launcher (l = 1, l = 2, l = 3, l = 5, and l = 6 perturbations). The edges of the launcher cut are indicated.

Fig. 4. Schematic drawing of wall deformations.

The pattern of the field distribution on the unrolled wall is shown in Fig. 2, the average and peak values of the normalized field at the edges of the cuts are 9.7 and 6.7 dB, and the corresponding power densities are 32.3 and 63.8 W/cm , respectively. Such strong fields at the edges of the cuts will produce large diffraction and stray radiation. Moreover, the launcher shown in Fig. 2 is too long to be integrated into the gyrotron. IV. OPTIMIZATION OF LAUNCHER TO ACHIEVE LOW FIELDS ON THE CUTS

Fig. 6.

The fields at the aperture of the launcher could not be well focused with the perturbation selection rules and only, and the fields at the edges of the cuts are relatively strong. In order to suppress the fields on the straight and helical cut further, we employ for the longitudinal bunching, the perturbation selection rule , and for the azimuthal bunching, the perturbation selection rules , , , and . The deformation is shown in Fig. 4, and the field pattern on the unrolled waveguide wall is shown in Fig. 5, where the average and peak values of the normalized field at the edges of the cuts are 12.2 and 7.6 dB, and the corresponding power densities are 16.6 and 48.1 W/cm , respectively. The profile of the launcher wall is shown in Fig. 6. The scalar Gaussian mode contents of the wave beam at the aperture is 90.34%, which is defined as the amplitude correlation of the fields to an ideal Gaussian distribution (16)

l

Schematic contour of the launcher wall (l1 = 1, l2 = 2, l3 = 3,

4 = 5, and l5 = 6 perturbations).

where represents the field at the aperture and an ideal theoretical Gaussian distribution, respectively. The vector Gaussian content including amplitude and phase is given by

(17) is the phase at the aperture and is the phase of where an ideal theoretical Gaussian distribution, respectively. Here we have . From Fig. 5, we can see that the fields at the edges of the cuts are still relatively strong and the wave beam at the aperture is not good enough to provide high-quality radiated fields. It can be expected if the perturbation amplitude in (3) is variable

JIN et al.: THEORETICAL INVESTIGATION OF ADVANCED LAUNCHER FOR 2-MW 170-GHz

COAXIAL CAVITY GYROTRON

1143

Fig. 8. Field distribution on the unrolled waveguide wall of the optimized launcher (l1 = 1, l2 = 2, l3 = 3, l4 = 5, and l5 = 6 perturbations). The edges of the launcher cut are indicated.

Fig. 7. Perturbation amplitudes of the optimized launcher. (a) ai(z ). (b) bi(z ).

along the -axis, and low fields at the edges of the cuts could be obtainable. We rewrite (3) as

(18) Based on the design shown in Figs. 4 and 5, the optimization and is carried out by making use of a commercial code SURF3D developed at Calabazas Creek Research Inc., Saratoga, CA [16]. The perturbation amplitude is shown in Fig. 7, and the pattern of fields on the unrolled waveguide wall is shown in Fig. 8, where average and peak values of the field at the edges of the cuts of 25.3 and 20.7 dB are achieved. The corresponding power densities are 1.9 and 5.4 W/cm , respectively. The maximum power density on the wall is 400.8 W/cm at (260 mm, 128 ), which meets the technical requirement of the cooling system for the launcher (power densities on the wall should be smaller than 500 W/cm ). The field contours at the aperture of the launcher are shown in Fig. 9, where the Gaussian mode contents are and . The profile of the optimized launcher wall is shown in Fig. 10. In principle, the act of cutting open the waveguide perturbs the fields and the modes inside. Therefore, the modes in the launcher with the cuts are no longer exact TE or TM modes of

Fig. 9. Normalized contour of the strength of the field at the aperture of launcher in linear steps of 0.1, the solid and dashed lines represent the wave-beam mode and ideal Gaussian distribution, respectively.

the closed waveguide. However, in the case that the field intensities at the edges of the cuts are very low, the reflected power is very low and, in addition, the diffraction losses of power are also small. In other words, low field intensities at the edges of the cuts result in small perturbation to the fields inside the waveguide launcher so that the field distributions in the launcher can be well approximated by waveguide modes. Thus, one does not have to use some complicated numerical method such as the numerical analysis of surface integral equation to calculate the field inside the launcher, and the radiated fields from the cuts can be calculated in a simple way by using, for example, the Stratton–Chu formulation [9]. Although low power densities on the launcher cuts are reached, the field distribution at the aperture shown in Fig. 9 is quite complicated with a low vector Gaussian content of 53%, and the output pattern has a central Gaussian-like beam, but it also has sidelobes. Such complicated fields will produce

1144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

we can see that the field distribution at the aperture of the launcher is very similar to that in Fig. 8, the frequency shifts have a very small influence on the beam wave. V. CONCLUSIONS

Fig. 10.

Schematic contour of waveguide wall of the optimized launcher (l1 =

1, l2 = 2, l3 = 3, l4 = 5, and l5 = 6 perturbations).

An advanced launcher for a 2-MW 170-GHz coaxial cavity gyrotron is investigated. As the ratio of caustic to cavity radius is 0.323, the transformation of the high-order cavity mode into a nearly Gaussian distribution with a well-focused wave beam at the aperture cannot be done as good as for gyrotron modes where the ratio of caustic to cavity radius is approximately 0.5. Employing for the longitudinal bunching the perturbation selection rule , and for the azimuthal bunching the perturbation selection rule , the launcher can only be designed with average and peak values of the normalized field at the edges of the cuts of approximately 9.7 and 6.7 dB. The corresponding power densities are 32.3 and 63.8 W/cm , respectively. In order to suppress the diffraction and the amount of stray radiation from the cuts of the launcher, the optimization of the wall deformations is performed to achieve low fields at the edges of the cuts. The obtained average and peak values of the normalized field are 26.9 and 20.7 dB. The corresponding power densities are 1.3 and 5.4 W/cm , respectively. The maximum power density on the launcher wall is 400.8 W/cm . With such low fields on the edges of cuts, the fields in the launcher can be well approximated by waveguide modes, and the radiated fields from aperture can be calculated in terms of scalar diffraction integral equation. The optimized launcher provides well-focused fields at the aperture with an amplitude correlation coefficient to a theoretical fundamental Gaussian mode. The correlation coefficient including amplitude and phase is . These values can be increased by reducing the average radius of the launcher. ACKNOWLEDGMENT

Fig. 11. Field distribution on the unrolled waveguide wall of the optimized launcher (l1 = 1, l2 = 2, l3 = 3, l4 = 5, and l5 = 6 perturbations, and frequency = 169:7 GHz). The edges of the launcher cut are indicated.

radiated fields with high-order modes in the outgoing beam wave, the adapted beam-forming mirror system should be well designed to suppress the high-order modes to provide a beam wave with high Gaussian-mode contents. From Figs. 8 and 10, we can see that the field distributions and optimized launcher wall are quite complicated. Generally, owing to the features of gyrotron operation and taking into account the machining tolerance of the launcher, the frequency change is approximately 0.5 GHz for the 170-GHz 2-MW gyrotron, namely, the frequency is in the intervals of 169.7 170.2 GHz. The influence of the frequency change on the field distribution at the launcher aperture is investigated by setting the operation frequency to 169.7 and 170.2 GHz. In these cases, the vector Gaussian mode contents are 52.77% and 52.83%, respectively, the average and peak field values are nearly the same as those at the frequency of 170 GHz. As an example, Fig. 11 shows the field distribution on the unrolled launcher wall, where the frequency is 169.7 GHz. From Fig. 11,

The authors are grateful to Dr. D. Bariou, Thales Electron Devices (TED), Velizy, France, for useful discussions about power densities on the launcher wall. REFERENCES [1] B. Piosczyk, G. Dammertz, O. Dumbrajs, O. Drumm, S. Illy, J. Jin, and M. Thumm, “A 2-MW 170-GHz coaxial cavity gyrotron,” IEEE Trans. Plasma Sci., vol. 32, no. 3, pp. 413–417, Jun. 2004. [2] A. Möbius and M. Thumm, “Gyrotron output launchers and output tapers,” in Gyrotron Oscillators—Their Principles and Practice, C. Edgcombe, Ed. London, U.K.: Taylor & Francis, 1993, ch. 7, pp. 179–222. [3] L. A. Weinstein, Open Waveguides and Resonators. Boulder, CO: Golem Press, 1969, vol. 139. [4] S. N. Vlasov and I. M. Orlova, “Quasioptical transformer which transforms the waves in a waveguide having a circular cross section into a highly-directional wave beam,” Radio Phys. Quantum Electron., vol. 17, pp. 115–119, 1974. [5] S. N. Vlasov, L. I. Zagryadskaya, and M. I. Petelin, “Transformation of a whispering gallery mode, propagating in a circular waveguide into beam of waves,” Radio Eng. Electron Phys., vol. 20, pp. 14–17, 1975. [6] A. A. Bogdashov and G. G. Denisov, “Asymptotic theory of high-efficiency converters of higher order waveguide modes into eigenwaves of open mirror lines,” Radiophys. Quantum Electron., vol. 47, pp. 283–295, 2004. [7] G. G. Denisov, A. N. Kuftin, V. I. Malygin, N. P. Venediftov, D. V. Vinogradov, and V. E. Zapevalov, “110 GHz gyrotron with built-in high efficiency converter,” Int. J. Electron., vol. 72, pp. 1079–1091, 1992.

JIN et al.: THEORETICAL INVESTIGATION OF ADVANCED LAUNCHER FOR 2-MW 170-GHz

[8] G. G. Denisov, A. A. Bogdashov, A. V. Chirkov, and A. B. Pavel’ev, “Concepts and present status for multi-mode quasi-optical converters in gyrotrons,” in Joint 29th Int. IRMMW Conf./12th Int. Terahertz Elect. Conf., Karlsruhe, Germany, 2004, pp. 483–484. [9] M. Blank, K. Kreischer, and R. J. Temkin, “Theoretical and experimental investigation of a quasi-optical mode converter for a 110-GHz gyrotron,” IEEE Trans. Plasma Sci., vol. 24, no. 3, pp. 1058–1066, Jun. 1996. [10] G. Dammertz, S. Alberti, A. Arnold, E. Borie, V. Erckmann, G. Gantenbein, E. Giguet, R. Heidinger, J. P. Hogge, S. Illy, W. Kasparek, K. Koppenburg, M. Kuntze, H. P. Laqua, G. LeCloarec, Y. LeGoff, W. Leonhardt, C. Lievin, R. Magne, G. Michel, G. Müller, G. Neffe, B. Piosczyk, M. Schmid, K. Schwörer, M. Thumm, and M. Q. Tran, “Development of a 140-GHz 1-MW continuous wave gyrotron for the W7-X stellarator,” IEEE Trans. Plasma Sci., vol. 30, no. 3, pp. 808–818, Jun. 2002. [11] J. B. Jin, B. Piosczyk, M. Thumm, T. Rzesnicki, and S. C. Zhang, “Quasioptical mode converter/mirror system for a high power coaxial-cavity gyrotron,” IEEE Trans. Plasma Sci., submitted for publication. [12] M. Thumm, “Modes and mode conversion in microwave devices,” in Generation and Application of High Power Microwaves, R. A. Cairns and A. D. R. Phelps, Eds. Bristol, U.K.: IOP, 1997, pp. 121–171. [13] Y. Hirata, Y. Mitsunaka, K. Hayashi, Y. Itoh, K. Sakamoto, and T. Imai, “The design of a tapered dimple-type mode converter/launcher for highpower gyrotrons,” IEEE Trans. Plasma Sci., vol. 31, no. 1, pp. 142–145, Feb. 2003. [14] J. L. Doane, “Propagation and mode coupling in corrugated and smooth-wall circular waveguides,” in Infrared and Millimeter Waves. New York: Academic, 1985, vol. 13, pp. 123–170. [15] J. Jin, B. Piosczyk, G. Michel, M. Thumm, O. Drumm, T. Tzesnicki, and S. C. Zhang, “The design of a quasi-optical mode converter for a coaxialcavity gyrotron,” in Joint 29th Int. IRMMW Conf./12th Int. Terahertz Elect. Conf., Karlsruhe, Germany, 2004, pp. 669–670. [16] J. Neilson, “Surf3d and TOL: Computer codes for design and analysis of high-performance QO launchers in gyrotrons,” in Joint 29th Int. IRMMW Conf./12th Int. Terahertz Elect. Conf., Karlsruhe, Germany, 2004, pp. 667–668.

COAXIAL CAVITY GYROTRON

1145

Manfred Thumm (SM’94–F’02) was born in Magdeburg, Germany, on August 5, 1943. He received the Dipl. Phys. and Ph.D. degrees in physics from University of Tübingen, Tübingen, Germany, in 1972 and 1976, respectively. While with the University of Tübingen, he was involved in the investigation of spin-dependent nuclear forces in inelastic neutron scattering. From 1972 to 1975, he was a Post-Doctoral Fellow with the Studienstiftung des deutschen Volkes. In 1976, he joined the Institute for Plasma Research, Electrical Engineering Department, Universität Stuttgart, Stuttgart, Germany, where he was involved with RF production and RF heating of toroidal pinch plasmas for thermonuclear fusion research. From 1982 to 1990, his research activities were mainly devoted to electromagnetic theory in the areas of component development for the transmission of very high power millimeter waves through overmoded waveguides and of antenna structures for RF plasma heating with microwaves. In June 1990, he became a Full Professor with the Universität Karlsruhe, Institut für Höchstfrequenztechnik and Elektronik, (IHE), and Head of the Gyrotron Development and Microwave Technology Division, Institute for Technical Physics, Forschungszentrum Karlsruhe (FZK), Association EURATOM-FZK, Institut für Hochleistungsimpuls-und Mikrowellentechnik (IHM), Karlsruhe, Germany. Since April 1999, he has been the Director of the Institute for Pulsed Power and Microwave Technology, FZK, where his current research projects are the development of high-power gyrotrons, dielectric vacuum windows, transmission lines and antennas for nuclear fusion plasma heating, and industrial material processing. He has authored or coauthored three books, seven book chapters, 169 research papers in scientific journals, and 798 conference proceedings papers. He holds ten patents on active and passive microwave devices. Dr. Thumm is vice chairman of Chapter 8.6 (Vacuum Electronics and Displays) of the Information Technical Society of the Verein Deutscher Elektrotechniker (VDE) and a member of the German Physical Society. He was the recipeint of the 2000 Kenneth John Button Medal and Prize in recognition of outstanding contributions to the science of the electromagnetic spectrum. In 2002, he was also the recipient of the title of Honorary Doctor, presented by St. Petersburg State Technical University for his outstanding contributions to the development and applications of vacuum electron devices.

Bernhard Piosczyk received the Dipl.Ing. degree in physics from the Technical University of Berlin, Berlin, Germany, in 1969, and the Dr.rer.nat. degree from the University of Karlsruhe, Karlsruhe, Germany, in 1974. Since 1970, he has been with the Research Center Karlsruhe, Forschungszentrum Karlsruhe (FZK), Karlsruhe, Germany, initially involved in the field of RF superconductivity for accelerator application, then in the development of continuous wave (CW), and ion sources, and since high-current 1987, in the development of high-power gyrotrons. He is responsible for the development of the coaxial cavity gyrotron.

H

Jianbo Jin was born in Guizhou Province, China, in April 1968. He received the B.S. degree from the Shanghai Railway Institute, Shanghai, China, in 1989, the M.Sci. degree from Southwest Jiaotong University, Chengdu, China, in 1993, and is currently working toward the Ph.D. degree at Forschungszentrum Karlsruhe (FZK), Karlsruhe, Germany. His interests include high-power microwave generators, free-electron lasers, and numerical simulations in electromagnetic engineering.

H

Tomasz Rzesnicki was born in Grudziadz, Poland, on September 20, 1977. He received the Dipl.Ing. degree in the electrical engineering from the Universität Karlsruhe, Karlsruhe, Germany, and also from the Politechnika Gdanska, Gdanska, Poland, in 2002, and is currently working toward the Ph.D. degree at the Forschungszentrum Karlsruhe (FZK), Karlsruhe, Germany. His research concerns the field of development of high-power gyrotrons.

1146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

A New Adaptive Prototype for the Design of Side-Coupled Coaxial Filters With Close Correspondence to the Physical Structure Antonio Morini, Giuseppe Venanzoni, and Tullio Rozzi, Fellow, IEEE

Abstract—This paper presents a novel kind of circuit prototype suitable for modeling of coaxial cavity filters, where all cavities are coupled sidewise. Initially, the parameters of the prototype are explicitly derived from those of the classical Cohn’s inline filter, which is assumed as the starting point. The main feature of the proposed adaptive model is its one-to-one correspondence to the physical structure, making design feasible without additional optimizations or expensive tuning. Another feature lies in that the prototype is adapted to the structure along the way in the synthesis process. A practical example shows the merits and the accuracy of the proposed prototype. The relationships between the proposed method and existing techniques are also discussed. Index Terms—Coaxial filters, prototype. Fig. 1.

I. INTRODUCTION

T

HE SYNTHESIS of inline coupled cavity filters, starting from a distributed prototype, is a classic topic. The method proposed by Cohn [1], based on the cascade of -long lines alternated with impedance inverters, fits well the physical structure, thus providing an accurate design or, at least, a starting point close to the final solution. The same degree of correspondence does not hold when cavities are coupled via their sidewalls, e.g., in the coaxial filters forming the diplexer shown in Fig. 1. Although refined circuit prototypes for general configurations do exist [2]–[6], their implementation into actual physical structures is not truly satisfactory due to the lack of one-to-one correspondence between circuit elements and their physical counterparts. The realization of the physical device corresponding to those ideal circuits is a nontrivial problem and many tuning elements have to be inserted in order to obtain acceptable actual performance. Although tuning elements have to be inserted anyway in order to be able to shift the band of operation and to compensate for mechanical tolerances. Some limited and deliberate of tuning ought not to be part of the realization process itself. The problem of physical realization finds an ideal solution in the case of an inline filter where Cohn’s method gives an accurate and intuitive criterion

Manuscript received August 2, 2005; revised October 7, 2005. This work was supported by the Italian Ministero dell’Istruzione, dell’Università e della Ricerca, MIUR, and by MITEL Srl, Cornate d’Adda. The authors are with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche, 60131 Ancona, Italy (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864112

S -band diplexer formed by two coaxial six-pole filters.

for compensating the phase deviations due to the coupling discontinuities. In that case, the phase of the discontinuity used for the realization of each -inverter is compensated for by shortening both the adjacent sections of waveguide by a length . The length of each cavity is first shortened in order to compensate for the phase shift induced by the coupling element placed to the left. The same cavity is further shortened in order to compensate for the phase of the coupling element placed to the right. This operation does not require additional modifications of the inverters, and can be carried out by considering one discontinuity (corresponding to a -inverter) at a time. In this case, things are much more difficult. The pair of windows feeding each cavity are not separated by a section of coaxial waveguide, but they are cut on the lateral surface of a coaxial resonator. It may seem be possible to compensate for the phase of one window, say, the right one, by changing the length of the coaxial resonator. However, one immediately realizes that the same arrangement cannot be used in order to compensate for the phase of the left coupling element without compromising the former tuning. In other words, it is impossible to compensate for the phase of two coupling elements just by adjusting the length of the interposed resonator. In order to overcome such limitation, some additional flexibility is necessary so as to allow the electrical characteristics of the actual physical structure to match those of the prototype.

0018-9480/$20.00 © 2006 IEEE

MORINI et al.: NEW ADAPTIVE PROTOTYPE FOR DESIGN OF SIDE-COUPLED COAXIAL FILTERS

1147

Fig. 2. (a) Original Cohn’s direct coupled cavity filter prototype. (b) Prototype resulting from [7]. (c) The new prototype proposed results from a modification of the one of (b) by inserting the capacitance C across the stubs and changing their lengths and inverters in such a way that the response is unaltered.

Our reasoning is based on the consideration that there are many prototypes having the same electrical characteristics. Among them we would like to choose the one whose coupling elements have the same response (both in amplitude and phase) as their physical counterparts. In practice, during the design process, some prototype parameters will be changed, although maintaining the same global response, in order to match the actual geometry. That is what we mean by an adaptive prototype in contrast to the standard approach, where the prototype does not change during design. Alternatively, the problem can be approached either by a direct optimization of the full-wave model or, as more frequently done in real life, by employing many tuning elements. The first solution is, in fact, rather time consuming, when considering actual components such as the one shown in Fig. 1, and often it is more effective to tune the physical structure directly rather than optimize a full-wave model, e.g., one provided by a commercial package. In this perspective, the purpose of this paper is to provide a new prototype where elements have a one-to-one correspondence to their physical counterparts. The circuit in Fig. 2(c) is the last improvement of the prototypes we have recently presented [7], [8]. Starting from the new prototype, the design becomes similar to that of an inline filter, thus reducing both the design and tuning effort. The features of the technique are the good performance of the prototype and the perfect correspondence between circuit and physical elements. This gives the designer perfect control over the geometry of the diplexer, which is comparable with that provided by Cohn’s method for inline filters. In addition, such correspondence is the basis for segmenting the entire physical structure into suitable elementary blocks, which can be separately analyzed by a full-wave tool, resulting in very accurate design.

II. DERIVATION OF THE PROTOTYPE With reference to Fig. 2, by taking advantage of the equivalence between the block formed by the -inverter between two 90 lines, and the inverter between two 90 stubs, it is possible to transform the classical Cohn’s direct coupled cavity filter prototype (A) into the form of shunt stubs, shown in Fig. 2(b), by the following steps. Step 1) The characteristic impedances of the lines are multiplied by 2. To maintain the original response, the values of the -inverters must change accordingly as follows:

(1) Step 2) Each cascaded line length is replaced by one in is related to by the shunt. The corresponding equation (2) Step 3) Finally, two parallel stubs of normalized impedance 2 are replaced by a single stub of unit impedance. Step 4) The stubs before the first and after the last -inverters are removed without affecting the filter response. The resulting circuit is similar to the one proposed by Matthaei et al. in [9]. The prototype shown in Fig. 2(b) does not yet quite fit the physical structure of the filters used in the diplexer of Fig. 1, as there is still a major effect not included in the model. We refer to the capacitance between the end of the central pin of the coax and the metallic enclosure of the cavity. In order to account for this effect, the prototype is further modified by inserting a capacitance across each stub, as shown in Fig. 2(c).

1148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 3. In a neighborhood of the midband frequency ! , the two circuits shown in this figure are equivalent (at the first order) provided that l and N are chosen according to (3) and (5).

Of course, the capacitances ’s alter the original response. The latter is restored by modifying the inverters and stub lengths as follows. Let us consider a single stub of length , susceptance and a stub of length loaded by a capacitance , whose equivalent susceptance is (Fig. 3). The resonant frequency does not change if the stub length is shortened, according to the following:1 (3) The capacitance , loading the stub, is normalized by the characteristic impedance of the line. In addition, the variation of the stub length changes the slope of its input impedance with respect to the frequency, thus modifying the bandwidth of the filter. The original bandwidth can be restored by placing each loaded stub of susceptance between two ideal transformers and , while the resonance frequency is unaltered. The transformer ratio is set in such a way that the slope of the reactance in the new arrangement is the same as the original susceptance . This occurs when (4) which gives the value of

that we are looking for

(5)

Now the resulting circuit can be easily compacted by replacing each cascade transformer–inverter–transformer ( ) with a new inverter of impedance , where (6)

l

C < (1=2 )l p" (3) is conveniently  l C=p", with a relative error smaller than 0.4%.

1When

approximated by

Fig. 4. The filter shown in Fig. 1 is represented as the connection of a slowly frequency-dependent manifold with shunt stubs.

Once the terms of the prototype shown in Fig. 2 are defined according to the previous equations, its response is indistinguishable from that of the original Cohn’s prototype over a bandwidth up to 20%, as required in most cases.

III. DESIGN OF THE FILTER In each filter forming the diplexer shown in Fig. 1, there are several geometrical parameters that can be used in design. For example, the resonant frequency of each cavity can be adjusted by varying the gaps between the posts and the cover or the lengths of the posts. The coupling between cavities depends on the size of the apertures, but it is also strongly dependent on the gaps. In this scenario, we have decided to simplify the design by reducing the number of free parameters. This means that some parameters can be assigned a priori depending on the specifications. Thus, in our approach, the only unknowns are the length of each post and the height of each aperture between cavities. Moreover, we have used the same capacitive gap for all cavities. In spite of this simplification, the number of free parameters is still sufficient to design a working geometry for the proposed topology. The method employed to design the initial geometry of the filter is similar to the one proposed by Cohn for different kinds of filters in [1] and [2]. The entire procedure can be summarized into two phases. First of all, we tune the couplings between cavities, considering the magnitude of the -parameters. Subsequently, we adjust the resonant frequency of each cavity by varying the length of each post. In the first phase, it is worth observing that the entire filter can be divided into a manifold, describing the couplings between cavities and stubs, which are the resonant cavities (Fig. 4). ports and a suitable representation The manifold has is given in terms of a capacitive network. We have only taken capacitances in order to retain a network with all elements of the same kind. Our design procedure relies just on the value of the coupling element at the midband frequency of the filter and neglects the slope of this element, the latter being quite slow as compared to that of the stubs. Hence,

MORINI et al.: NEW ADAPTIVE PROTOTYPE FOR DESIGN OF SIDE-COUPLED COAXIAL FILTERS

1149

Fig. 5. (top) When the bottom short of a coaxial resonator is removed, it can be seen as a section of coaxial side coupled via two apertures to the adjacent cavities. (bottom) Resulting equivalent circuit, when the reference plane is taken at the end of the internal pin.

if the physical behavior of the coupling is inductive, the correspondent capacitance will be negative and the entire procedure is still applicable. We can now concentrate upon one single cavity. If we remove the bottom short, the resulting structure can be seen as a section of coaxial cable coupled to the adjacent cavities via two windows. The structure in question is shown in Fig. 5. The input port has the same position as the removed short. We represent the equivalent circuit in terms of a three-port junction, whose ports are the input section of the coaxial cable and the midplanes of the two windows, as shown in Fig. 5. The circuit is expressed in terms of the series capacitance and parallel capacitances . We have considered two different series capacitances and , one for each side of the circuit, as, in general, the two windows are different and, correspondingly, are their equivalent circuits. In addition, it seems to us clearer to consider the parallel capacitance as given by two capacitances in parallel in order to take into account the different contributions of the left- and right-hand-side apertures. The parallel capacitances and are almost identical, as well as weakly dependent on the window aperture. Note that the equivalent circuit has the same symmetry as the physical structure, and the limiting case where the apertures are shorted is obtained by shorting the two series capacitances (under the reasonable assumption of thin windows). In this case, and the global capacitance loading the cable is given by

Fig. 6. Equivalent circuit for the physical structure. For each port, the reference plane is taken at the end of the internal pin.

Fig. 7. Equivalent circuit for the prototype

K -inverter.

(7)

The reasoning can be extended to two cavities, as shown in the top portion of Fig. 6 by removing the shorts and obtaining the circuit shown in the lower portion of the figure. Note that, in this case, the difference between the left series capacitance of cavity 1 and the right series capacitance of cavity 2 can be neglected, as both capacitances are in parallel with a larger one, i.e., . When we compute the coupling between two adjacent cavities, we neglect, as usual, the interactions with the others [12]. The coupling is, therefore, computed by shortening all other apertures, except the one considered. On the other hand, according to Cohn [1] or Matthaei et al. [9], a possible circuit representation for a -inverter is a of capacitances, as shown in Fig. 7, where

That is just the end-capacitance of the coaxial line in the closed coaxial.

(8)

1150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 8. Equivalent circuit of the entire manifold.

It is now necessary to design the aperture of the iris in such a of the prototype (Fig. 7) is way that the series capacitance equal to the series capacitance of the equivalent circuit (Fig. 6). To this aim, we have built a database containing the scattering matrices of the two-port formed by two side-coupled coaxials, as shown in Fig. 6, for a discrete set of apertures

Remembering that the parallel capacitance is divided into two identical capacitances in order to take into account the different contributions of the left- and right-hand-side apertures, when we reconnect all the sub-circuits, the entire network assumes the form shown in Fig. 8. The total parallel capacitances for each section are

with (12) and calculated at the midband frequency of the filter. The database can be built by using a commercial full-wave simulator, in our case, Ansoft HFSS. For an arbitrary aperture with

the scattering matrix has been obtained by interpolating the values stored in the database, using the B-Spline curve routines of the SLATEC software library.2 The estimation of the parallel capacitance in Fig. 6 has been evaluated by even/odd analysis of the circuit. Starting from the scattering matrix of the physical structure, for a given aperture , the even and odd reflection coefficients are

(9) The corresponding even and odd capacitances, related to and as

and

are

(10) Hence,

(11) The next step consists of the extraction of the from the equivalent circuit.

-inverters

2SLATEC, Common Mathematical Library, ver. 4.1. [Online]. Available: http://www.netlib.org/slatec

Therefore, the resulting circuit describes the physical structure very accurately since it takes into account both of the couplings between adjacent cavities and the end capacitances of the pins , which, in turn, depend not only on the gap, but also on the coupling windows. As a consequence, although the apertures are chosen to give exactly the same couplings of the prototype of Fig. 2(c), we do not have sufficient degrees of freedom to set the end capacitances equal to the corresponding ideal ’s. Their values cannot be modified arbitrarily, for instance by altering the gaps, without affecting the coupling and, consequently, also the response of the filter. Therefore, the main aim of this paper is indeed to show how to make the physical equivalent circuit of Fig. 8 equal to the prototype manifold shown in Fig. 4 (we refer only to the manifold part of the prototype, the identification of the stubs being elementary). Given the impossibility to match the physical equivalent circuit to the prototype, we have tried the reverse: to match the prototype to the physical circuit. We have seen that the two prototypes of Fig. 2(a) and (c) have the same response, for arbitrary values of , provided that the lengths are chosen according to (3). This implies that the capacitances of the prototype can be chosen arbitrarily and, in particular, they can be chosen equal to those pertaining to the physical equivalent circuit given by (12). However, whenever the above capacitances are altered, the correct -inverters also change. Therefore, in order to restore the response of the original filter prototype, it is necessary: 1) to calculate the new stub lengths by (3) and 2) to calculate the new -inverters. Once the original prototype has been updated with the new parameters, we continue the identification with the physical structure by computing the new values of and from (3), as described above.

MORINI et al.: NEW ADAPTIVE PROTOTYPE FOR DESIGN OF SIDE-COUPLED COAXIAL FILTERS

Fig. 9.

1151

S -band filter with square coaxial resonators designed.

Note that since the gap capacitances are all different, the post lengths are also different. With the new -inverters, we compute the apertures and, again, we derive the parallel capacitances from (12). This process is iterated until convergence is reached. In our tests, we have observed that two or three iterations are quite enough. The continuous refinement of the prototype is the reason why we call it “adaptive,” meaning that it changes during the synthesis process in order to match the constraints of the equivalent circuit, which directly depends on the physical structure. IV. IMPLEMENTATION AND RESULTS As a demonstration of the effectiveness of the proposed method, we have first designed a six-cavity squared coaxial filter, whose HFSS drawing is shown in Fig. 9. It must be underlined that the filter illustrated in Fig. 9 differs from that discussed above in as much as the input/output connectors are not side coupled to the first/last resonators. There are two main justifications: first, the -inverters obtainable by side coupling are smaller than typically required and, second, the external connectors are often positioned as in Fig. 9. In any case, the design is different from that discussed only for the first/last couplings, which have been chosen by positioning the input coaxial in a way that the amplitude of the transmission between input (output) horizontal coaxial and that (vertical) forming the first (last) resonator was the same as the inverter . The phase was adjusted empirically by varying the length of the first/last cavities. Fig. 10 shows a comparison between the response of the filter circuit prototype and the HFSS analysis of the physical structure. It is worth noting that the structure simulated is exactly the one resulting from the design procedure without any adjustment, trimming, or optimization, apart the one discussed above. Therefore, the results can be considered good: even the return loss is acceptable since it is of the same order as obtainable by Cohn’s method for direct coupled cavity filters. In addition, in practical cases, such as the diplexer discussed below, the structure contains tuning screws not only in order to compensate deviations due to design error, mechanical tolerances, and so on, but also for translating the filter passband according to the needs. Thus, in practice, the accuracy of the design is sufficient for most applications, and no additional full-wave optimization is necessary. Coming now to practical devices, we have also designed and built many diplexers for wireless applications, formed by two six-cavity coaxial filters.

Fig. 10. Response of the designed S -band filter of Fig. 9, as resulting from HFSS (solid line) compared with that of the corresponding prototype (dashed line).

Fig. 11. Response of the realized resonators.

S -band

diplexer with square coaxial

Here, we report the results concerning the last one designed, where square coaxial cavities have been used. The experimental response of the diplexer, shown in Fig. 11, is very close to the theoretic one. In practice, the design is carried out according to the following steps. Step 1) Choice of a Cohn’s prototype and transformation into a prototype containing parallel stubs and -inverters. Step 2) The shorts terminating the coaxial cavities are removed. The resulting structure becomes a manifold formed by coaxial cables coupled via apertures cut in their sidewalls.

1152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

As can be observed, the responses in the passband are almost the same. The shortcoming is that the longer the resonators, the closer come the spurious responses of the filter, but, in most practical cases, such responses are far enough and do not pose any problems.

VI. CONCLUSIONS Starting from the well-known Cohn’s approach, we present a filter prototype that perfectly fits the structures used in coaxial side-coupled filters. It provides a one-to-one correspondence between each element of the prototype and its physical implementation, thus improving performance and reducing development cost.

ACKNOWLEDGMENT Fig. 12. Comparison between the responses of two prototypes for different values of the end capacitance.

Step 3) The coupling apertures are chosen to have the same transmission coefficients (in magnitude) as the corresponding -inverter resulting from the prototype. Step 4) The prototype is modified to match the constraints due to the physical structure, thus correcting the lengths of the cavities. Step 5) The procedure is repeated from Step 2) until convergence.

V. CONCLUDING REMARKS The proposed circuit allows predicting the behavior of the filter with respect to selectivity and losses. The first is mainly related to the lengths of the distributed resonators, as the rapidity of variation of the reflection of each cavity is proportional to the length of the cavity itself. The dependence on the frequency of the lumped capacitances is much weaker. Therefore the selectivity in the neighborhood of the passband is strongly enhanced by reducing the capacitance and increasing the lengths of the coaxial resonators for the same -inverters. When the latter are adjusted so as to maintain the same bandwidth as the original filter, losses decrease considerably. decreases, the corresponding inverter inIn fact, when creases. Thus, the field inside any cavity is weaker since both the coupling is higher and the energy is distributed within longer resonators. Fig. 12 shows a comparison between the responses of two prototypes, which are formed by the same number of resonators and have the same return loss in the passband. This comparison concerns the prototype in Fig. 2(c) with different values of the capacitances ’s and, correspondingly, different lengths of the resonators.

The authors are indebted to Ing. M. Villa, MITEL Srl, Cornate d’Adda, Milan, Italy, not only for helpful discussions and suggestions, but also for the realization and measurement of several prototypes.

REFERENCES [1] S. B. Cohn, “Direct-coupled resonator filters,” Proc. IRE, vol. 45, no. 2, pp. 187–196, Feb. 1957. , “Parallel-coupled transmission-line-resonator filters,” IEEE [2] Trans. Microw. Theory Tech., vol. MTT-10, no. 4, pp. 223–231, Apr. 1958. [3] R. J. Wenzel, “Exact theory of interdigital bandpass filters and related coupled structures,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 9, pp. 559–575, Sep. 1965. [4] A. E. Atia, A. E. Williams, and R. W. Newcomb, “Narrow-band multiple-coupled cavity synthesis,” IEEE Trans. Circuits Syst., vol. CT-21, no. 9, pp. 649–655, Sep. 1974. [5] G. Macchiarella, “An original approach to the design of bandpass cavity filters with multiple couplings,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 2, pp. 179–187, Feb. 1997. [6] R. Levy, R. V. Snyder, and G. Matthaei, “Design of microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 783–793, Mar. 2002. [7] A. Morini, G. Venanzoni, N. Iliev, T. Rozzi, G. Cereda, and B. Gabbrielli, “A circuit prototype for the design of side and inline coupled cavity filters in waveguide,” in 33rd Eur. Microw. Conf., Munich, Germany, Oct. 2003, pp. 1373–1376. [8] A. Morini, G. Venanzoni, and N. Iliev, “A prototype for the design of planar waveguide filters, also containing transmission zeros, with close correspondence to the physical structure,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 2, Jun. 2004, pp. 467–470. [9] G. Matthaei, L. Young, and E. M. T. Jones, MicroWave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980, p. 595. [10] A. Morini, G. Venanzoni, N. Iliev, G. Cereda, and B. Gabbrielli, “An effective strategy for the use of commercial full wave simulators in the design of waveguide filters and diplexers,” in Proc. 33rd Eur. Microw. Conf., Munich, Germany, Sep. 2003, pp. 211–213. [11] A. Morini, G. Venanzoni, T. Rozzi, and M. Villa, “A new prototype for the design of side-coupled coaxial filters with close correspondence to the physical structure,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 413–416. [12] E. G. Cristal, “Coupled circular cylindrical rods between parallel ground planes,” IEEE Trans. Microw. Theory Tech., vol. MTT-12, no. 7, pp. 428–439, Jul. 1964.

MORINI et al.: NEW ADAPTIVE PROTOTYPE FOR DESIGN OF SIDE-COUPLED COAXIAL FILTERS

Antonio Morini received the Laurea degree (summa cum laude) in electronics and Ph.D. degree in electromagnetism from the University of Ancona, Ancona, Italy, in 1987 and 1992, respectively. He is currently an Associate Professor of applied electromagnetism with the Università Politecnica delle Marche, Ancona, Italy. His research activity is mainly devoted to the modeling and design of passive microwave components such as filters and antennas.

Giuseppe Venanzoni received the Laurea and Ph.D. degrees in electronic engineering from the Università di Ancona, Ancona, Italy, in 2001 and 2004, respectively. He is currently a Post-Doctoral student with the Università Politecnica delle Marche, Ancona, Italy, where he is involved with electromagnetism and microwaves. His research interests include the design of waveguide passive devices, microwave filters, and antennas.

1153

Tullio Rozzi (M’66–SM’74–F’90) received the Dottore degree in physics from the University of Pisa, Pisa, Italy, in 1965, the Ph.D. degree in electronic engineering from The University of Leeds, Leeds, U.K., in 1968, and the D.Sc. degree from the University of Bath, Bath, U.K., in 1987. From 1968 to 1978, he was a Research Scientist with Philips Research Laboratories, Eindhoven, The Netherlands. In 1975, he spent one year with the Antenna Laboratory, University of Illinois at Urbana–Champaign. In 1978, he became the Chair of Electrical Engineering with the University of Liverpool. In 1981, he became the Chair of Electronics and Head of the Electronics Group, University of Bath, where he was also the Head of the School of Electrical Engineering on an alternate three-year basis. Since 1988, he has been a Professor with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche, Ancona, Italy, where he is also Head of the department. Dr. Rozzi was the recipient of the 1975 Microwave Prize presented by the IEEE Microwave Theory and Technique Society (IEEE MTT-S).

1154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Analysis and Simulation of Distributed Nonlinearities in Ferroelectrics and Superconductors for Microwave Applications David Seron, Carlos Collado, Member, IEEE, Jordi Mateu, Member, IEEE, and Juan M. O’Callaghan, Senior Member, IEEE

Abstract—This paper gives closed-form equations for the intermodulation and third harmonic signals generated in a nonlinear transmission line with distributed quadratic nonlinearities in the conductor and dielectric. Although the formulation developed is general, it is intended to be used in planar devices combining hightemperature superconductor (HTS) and oxide ferroelectrics. The analysis in this paper shows that the intermodulation and third harmonic signals produced by an HTS tend to cancel those of a ferroelectric, and that full cancellation is theoretically possible. This opens the way for using HTS/ferroelectric multilayers, not (only) for their tunable or phase-shifting properties, but for highly linear spurious-free planar HTS devices. Index Terms—Ferroelectric, high-temperature superconductor (HTS), intermodulation distortion (IMD), nonlinear transmission line (NLTL), nonlinearities, superconductor.

I. INTRODUCTION

N

OVEL OXIDE materials such as superconductors and ferroelectrics have already shown their potential in high-performance microwave devices such as filters with low loss and high selectivity using oxide superconductors, or tunable filters and variable phase shifters made with ferroelectric materials in the paraelectric phase [1]. The combination of high-temperature superconductors (HTSs) and oxide ferroelectrics is also being considered to produce selective tunable filters with low loss [2], [3]. However, these expectations are somewhat limited by nonlinearities arising from the use of these materials, either when used alone (such as in an HTS filter) [4], [5] or in combination (such as in an HTS tunable filter using oxide ferroelectrics). Being able to predict and minimize the nonlinear response is thus of great importance to design devices that could take full advantage of the unique properties of these materials. In this paper, we propose a method to analyze and predict nonlinearities taking place in devices having superconductors

Manuscript received August 9, 2005; revised October 20, 2005. This work was supported by the Spanish Ministry of Science and Technology under Project MAT2005-05656-C04-03. D. Seron, C. Collado, and J. M. O’Callaghan are with the Departamento de Teoría de la Señal y Comunicaciones, Universitat Politécnica de Catalunya, Barcelona 08034, Spain (e-mail: [email protected]; [email protected]). J. Mateu was with the Departamento de Teoría de la Señal y Comunicaciones, Universitat Politécnica de Catalunya, Barcelona 08034, Spain and also with the Centre Tecnològic de Telecommunicacions de Catalunya, Barcelona 08034, Spain. He is now with the National Institute of Standards and Technology, Boulder, CO 80305 USA. Digital Object Identifier 10.1109/TMTT.2005.864110

and ferroelectric materials. We find closed-form equations for the intermodulation distortion (IMD) and third harmonic generation (3rdH) occurring in traveling-wave and resonant transmission lines (TLs). These equations are validated with harmonic-balance (HB) simulations. The analysis is useful to make quantitative assessments of the combined effects of HTS and ferroelectrics in IMD and 3rdH. In particular, the analysis allows finding the conditions under which the nonlinear effects of the HTS can be compensated with those of the ferroelectric. If these conditions could be translated to an experimental device (which, for the case of a planar circuit including an HTS/ferroelectric bilayer would involve aspects such as ferroelectric thickness and proper dc electric-field bias), the implications for system performance would be important since it would be a way to obtain highly selective and highly linear HTS planar filters. Even though this paper is centered in traveling-wave and resonant TLs, the extension of these results to more sophisticated devices such as filters and other resonators may be done following procedures similar to those described in [6]. II. DIELECTRIC AND SUPERCONDUCTING NONLINEARITIES IN TLs In this paper, we will analyze the IMD and 3rdH occurring in a nonlinear transmission line (NLTL), either in a traveling wave or in a resonant configuration. We assume that the sources of nonlinearity are within the conductor and in the dielectric, as would be the case in an HTS/ferroelectric bilayer film grown on a dielectric substrate such as LaAlO [3]. The analysis is also applicable when only the HTS produces nonlinear effects (as it happens when using an HTS on a standard dielectric crystal). Likewise, the analysis can also be used when the nonlinearities are only due to the ferroelectric such as in planar circuits made with Ba Sr TiO (BSTO) on LaAlO and a metal conductor layer [3], [7]. The analysis below equally applies to incipient ferroelectrics [8] like STO, displacement-type ferroelectrics [9] like BSTO, or even the effects of the MgO substrate used in planar HTS circuits where the MgO may behave nonlinearly in certain conditions [10]. In Sections II-A and B, we detail the origin of nonlinearities in HTS and ferroelectric materials, and we discuss their impact on an NLTL.

0018-9480/$20.00 © 2006 IEEE

SERON et al.: ANALYSIS AND SIMULATION OF DISTRIBUTED NONLINEARITIES IN FERROELECTRICS AND SUPERCONDUCTORS

Fig. 1. Telegrapher’s model of an elemental segment of nonlinear TL of length dz made of HTS and ferroelectric materials.

A. HTS Nonlinearities: Origins and Modeling The basic source of microwave nonlinearities in superconductors is the nonlinear dependence of the penetration depth and the quasi-particle conductivity on the microwave current (or microwave magnetic field) [11], [12]. While this intrinsic contribution sets the minimum level of nonlinearities [13], other extrinsic nonlinearities due to material defects may further enhance nonlinear distortions [14]–[16]. IMD and 3rdH are sensitive probes of the nonlinear effects, even at very low power for which other direct power-dependent measurements would observe a linear response [17], [18]. In most cases, HTS have an IMD power response that varies as the third order of the input microwave power, but other dependencies are also frequently observed [18]. In this paper, we will just consider this dependency, although other variations could be considered as well following the steps described in [6]. The nonlinear distortions produced by an HTS material used as a conductor in a (quasi) TEM TL (either traveling wave or resonant) may be modeled by a nonlinear resistance and inductance per unit length [6], [12]. These parameters can be related to HTS intrinsic nonlinearities as follows: the nonlinear resistance is due to nonlinearities in quasi-particle conductivity and the nonlinear inductance is due to nonlinearities in penetration depth. At sufficiently small currents, we should expect a quadratic dependence of the overall inductance and resistance per unit length on the total current through the cross section of the TL with with

(1) (2)

and are positive to be consistent with the where model of intrinsic nonlinearities in HTS TLs [11], [19]. These nonlinear terms just add to the linear , , , and distributed elements of the model of a TL (Fig. 1). For larger signals and close to the transition temperature, intrinsic nonlinearities increase drastically, thus (1) and (2) would have to include higher order terms or even be written in forms other than a Taylor’s series. Besides, as mentioned earlier, extrinsic HTS nonlinearities may also give rise to nonlinearities in and , but their mathematical treatment is not different from the one of (1) and (2) so the distinction between intrinsic and extrinsic HTS nonlinearities will not be made henceforth. B. Nonlinearities in Ferroelectric Oxides Ferroelectric oxides also exhibit large microwave nonlinear effects due to a dependence of the dielectric constant on the

1155

electric field (or voltage ) inside the ferroelectric. The dielectric nonlinearity is an intrinsic property of ferroelectrics in their paraelectric phase, whichever the type of ferroelectrics (incipient or displacive). It relates the polarization state of the material with the applied voltage or electric field. This potential variation is interesting to control microwave devices [3], [20] via a direct external voltage, but also produces nonlinearities. As the microwave input power is increased in a device, the electric field strength increases, changing the value of in a nonlinear form. To model the nonlinear dependence of in the case of a microwave circuit, a nonlinear capacitance and a nonlinear conductance are introduced [21]. A quadratic model is also chosen to fit the IMD or 3rdH data—as most of the experimental data suggest [22]—as follows: with with

(3) (4)

Nevertheless, other dependencies have also been reported and explained [23]. As previously done for HTS, these nonlinear components will be added to , , , and of the distributed model of a TL (Fig. 1). It should be noted that is negative as opposed to [24]. As discussed in Section IV, this could make possible the compensation of nonlinear effects between ferroelectrics and HTS. III. IMD AND THIRD HARMONIC IN TLs A. Closed-Form Expressions for IMD and 3rdH Fig. 1 shows the equivalent circuit of an infinitesimal segment of TL (of length ) showing the nonlinear contributions of HTS and ferroelectric according to (1)–(4). This simple, but representative model will be used to extract closed-form expressions of the third-order intermodulation product and harmonic signals generated in matched TLs or TL resonators. These structures are the basis of many microwave circuits and they allow a fundamental investigation with direct repercussions on applications. From the linear model of a TL given in Fig. 1, the telegraphers’ equations can be written as follows: (5) (6) If nonlinear terms are introduced, they will generate spurious signals such as higher order harmonics or IMD due to mixing of different frequencies. In the following, the subscript is used to denote the different frequencies of interest . Individual tone at or two closely separated tones at and are applied to get the third harmonic at or the IMD product at , respectively. To model the nonlinear TL, (1)–(4) are introduced in the telegraphers’ equations (5) and (6). The resulting equations (7)

1156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

and (8) describe a TL containing a nonlinear current generator and a nonlinear voltage generator (7)

The substitution of (16) and (17) and their equivalent expresand ) into (14) and (15) of sions for the voltage ( the nonlinear generators yields the expression for the functions and at the IMD frequency (18)

(8)

(19)

with the nonlinear contributions and for the third-order harmonic (9) (10)

(20) (21)

The combination of (7) and (8) with (1)–(4) results in a propagation equation written in the frequency domain for a given frequency

As done in [6] for a matched superconducting TL, we can assume that the propagation equation (11) accepts solutions of the form

(11) where is the propagation constant and istic impedance at

is the character-

(12) (13)

(22) (23) and for the IMD and 3rdH, respectively. . Using (18) and (19) to solve (11) for a traveling-wave TL in a similar way, as done in [6], we obtain the following expression for the IMD current as a function of the distance from the source :

The nonlinear current generator and the nonlinear voltage generator take the following general forms in the frame of quadratic nonlinearities (1)–(4): (24) (14) (15) where

, , and is the Fourier transform of particularized at . This function depends on the voltage distribution in the line at . Likewise, is the Fourier transform of particularized at and depends on the current distribution in the line at . The following paragraphs detail and for the particular cases of a traveling-wave TL and a resonant TL. 1) Traveling Wave Along a Matched TL: The expression of the current (voltage) of a traveling wave along a matched TL can take the following form in the time domain: (16) with (17)

where, to simplify this expression, we have assumed that both fundamental frequencies are very close to each other so propagation constants and characteristic impedances are similar: and . Equation (24) can be further simplified if we assume low propagation loss and as follows:

(25) The IMD power delivered to a terminated load located at a distance from the source can be easily calculated according to

(26) For the 3rdH, the procedure is similar and the approximation will be used as the ferroelectrics are known to be nondispersive until frequencies of the order of 10 10 Hz

SERON et al.: ANALYSIS AND SIMULATION OF DISTRIBUTED NONLINEARITIES IN FERROELECTRICS AND SUPERCONDUCTORS

[24], but note that, in this case, The result is

and, thus,

.

(27) and the For small dispersion and weak attenuation, simplified expression of the 3rdH output power will be (28) Equations (24)–(28) show that the signals generated by the ferroelectric nonlinearities are superimposed to those caused by the nonlinearities in the HTS. 2) Resonant TL: Considering the resonant mode at of an open-ended TL, the current and voltage along the resonator can be written as (29) (30) where the maximum voltage related by

and current

in the line are (31)

Substitution of (29) and (30) into (14) and (15) yields an expression for the nonlinear terms due to the ferroelectric layer and the HTS film (32) (33) can be obtained The expression of the peak IMD current at by equating the power dissipated into the resonator at with the power generated also at [6] as follows:

(34) so that, combining (29)–(34), we obtain

(35) Note that in (35), the term into brackets, which quantifies the nonlinear behavior, is the same as in (25). The output power dissipated in a terminal load located at a distance from the source and accessible experimentally can be calculated using conventional microwave theory [25] as follows: (36) In (36), is the output coupling factor that quantifies the fraction of power flowing from the resonator to the load impedance [26].

1157

In (24)–(28) and (35), the particular condition represents a device where the conductor is linear. This would be the case if a metal is used instead of a superconductor. The equations then describe ferroelectric nonlinearities alone. Similarly, describes conducting (e.g., HTS) nonlinearities alone, as the nonlinear source from the substrate would not be active. The latter situation is described in [6] and the equations derived there agree with (24)–(28) and (35) taking . B. Validation of the Closed-Form Expressions by Comparison With Harmonic-Balance Simulations The proposed closed-form expressions are very useful, but present limitations, as they do not consider compression effects or higher order nonlinear effects that could also influence both the fundamental and third-order terms if the circulating power in the device is very high. In order to identify the limit of validity of the equations, we have done HB simulations. This method was previously used to model the nonlinearities from HTS and a detailed description on how it is applied for distributed nonlinearities can be found in [6]. Simulations based on the HB algorithm provide a large flexibility on the device design [27] and type of input signals [28]. The TL section shown in Fig. 1 is the elemental cell of length . The distributed nature of the electrical parameters is taken into account by cascading a large number of such elemental cells until it matches the length of the line. 1) Matched TL: The simulation is done for a traveling-wave propagating in a coplanar TL of length 47.07 mm and characteristic impedance . The width of the central line is 50 m and the gap between the central line and ground planes is 100 m. This line is made of a 0.508-mm thick LaA1O substrate ( , ) that has a ferroelectric layer ( , ) and superconducting electrodes ( at 5 GHz). The effective is estimated from computation of the filling factors and effective dielectric constant [29]. Computation of IMD is done by applying two tones at GHz and GHz. The 3rdH is computed for a single input tone at GHz and we look at the output at GHz. The line is divided in 200 segments per wavelength representing a total of cells. The values of the distributed linear elements , , , and were calculated from the line dimensions using MATRIX. The distributed nonlinear terms and are obtained from Sheen’s model for superconductors [30] and mA , H mA . With the sole purpose of checking the validity of (24)–(28), we choose mV and F mV . Fig. 2 shows that the closed-form expressions fit the results of the HB simulation on a large range of input power for a traveling-wave TL. The absolute error before compression effects occur is less than 2%. 2) Resonant TL: The resonator model is an open-ended coplanar TL of length mm with capacitive gap couplings to the source and load, otherwise with a similar cross section than the traveling-wave TL. This geometry yields a resonance at GHz. We again choose , .

1158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

IV. COMPENSATION BETWEEN NONLINEAR EFFECTS According to the equations derived thus far, it is theoretically possible to compensate the IMD and 3rdH generated by the nonlinearities in the dielectric with those generated by the superconductor. The IMD and 3rdH could cancel under the following conditions, respectively: (37) (38) . Note that (37) and (38) are the same condition if Note also that (37) is the condition to cancel the IMD signal in a TL resonator. IMD and 3rdH signals cancel for and

(39)

Fig. 3. Comparison of the IMD calculated from closed-form expressions (34)–(36) (straight lines) and HB method (circles) as a function of the incident microwave power P for a resonant TL integrating a ferroelectric layer and HTS electrodes. The inset gives the absolute error on the fundamental and IMD peak currents.

The conditions posed by (37)–(39) are valid for any type of NLTL and may hold over a broad band of frequencies if the characteristic impedance can be assumed to be constant and real. These equations have been tested with HB simulations and a significant decrease of the nonlinear response was observed when both sources of nonlinearities are present under the conditions of (37)–(39). As shown, the compensation is dependent on the magnitude and the sign of all nonlinear terms , , , and . For the specific case of NLTLs with HTS and ferroelectrics, full cancellation of the real part is not possible since and have the same sign and, therefore, (39) cannot be strictly fulfilled. Note, however, that this is not the case for , , thus almost full (and broad-band) cancellation would be possible if reactive nonlinearities dominate over the resistive ones. For this to occur, one should use ferroelectric layers with values of much smaller than those usually reported in the literature. For example, values in [22] are approximately 20 times larger than the value used in this paper. These values might be reduced by acting on the thickness of the ferroelectric layer, on the dimensions of the layout of the CPW line, or on the dc bias of the ferroelectric layer. Finally, we would like to note that, although we have focused on the compensation of IMD and 3rdH in NLTLs, the scope of application of these results is broad since there are many situations where the nonlinear performance of a complex device may be modeled as several NLTLs, e.g., in the case of a planar HTS filter [27]. V. CONCLUSION

As observed on Fig. 3, (35) and (36) are well suited to fit the results from HB simulation, although compression effects rapidly occur due to large peak currents in high- resonators. The compression effects limit the validity of the closed-form expressions. The inset of Fig. 3 gives the absolute error between the peak currents obtained with both methods for the fundamental and IMD. In the presented case of an undercoupled resonator dB , the distribution of the signal in the line closely follows (29) and (30) and the absolute error is less than 1%. A deviation from (29) and (30) may happen for strongly coupled resonators. In the case where dB, the absolute error is slightly less than 10%.

This paper proposes a description of nonlinear effects from different origins and likely to occur in materials to be integrated in novel high-performance microwave devices. The model integrates linear and nonlinear distributed parameters to represent the distributed nature of the nonlinearities in a TL. It is a deeper development of a previous model written for HTS nonlinearities only that has proven its validity [6]. This new model gives closed-form expressions (24)–(28) and (34)–(36) for the IMD and 3rdH signals in the case of simple devices made with TLs, when conducting nonlinearities (from the HTS) and dielectric nonlinearities (from the ferroelectric) are combined. Its extension to disk resonators was previously analyzed for HTS nonlinearities [5], [31] and would not present more difficulties if

Fig. 2. Comparison of the power of the fundamental and third-order harmonic calculated from closed-form expressions (28) (straight lines) and HB method (circles and squares) as a function of the incident microwave power P for a traveling wave propagating in a TL made of a dielectric substrate (LaA1O ), a ferroelectric layer, and HTS electrodes. The inset shows the absolute error vesus input power for the fundamental and third harmonic. Similar results are found for the IMD.

SERON et al.: ANALYSIS AND SIMULATION OF DISTRIBUTED NONLINEARITIES IN FERROELECTRICS AND SUPERCONDUCTORS

two types of nonlinearities were active. Equations (24)–(28) and (36) were subsequently validated through comparison with the results of HB simulations. In the framework of this modeling, we considered that each type of nonlinearity could be isolated, which should not be easy from microwave measurement of a multilayer. The presence of one layer may indeed influence the nonlinearities of the other (e.g., because of interface issues). Under these conditions, it was observed that the nonlinear effects just cumulate in the case of a traveling wave and for a resonant open-ended TL. The important result observed in this paper is that it is theoretically possible to reduce the nonlinear contribution of the HTS by using a thin ferroelectric layer. More generally, nonlinear effects of different origins could compensate between them. Conditions to cancel the IMD and 3rdH signal have been extracted for NLTLs. This could, for example, be a way to solve the limitations of IMD occurring in state-of-the-art planar HTS filters. ACKNOWLEDGMENT The authors would like to thanks Dr. J. Booth, National Institute of Standards and Technology (NIST), Boulder, CO, for fruitful discussion on the nonlinearities in the ferroelectrics and its modeling. REFERENCES [1] O. G. Vendik, E. K. Hollmann, A. B. Kozyrev, and A. M. Prudan, “Ferroelectric tuning of planar and bulk microwave devices,” J. Supercond., vol. 12, no. 2, pp. 325–338, 1999. [2] B. H. Moeckly and Y. Zhang, “Strontium titanate thin films for tunable YBa Cu O microwave filters,” IEEE Trans. Appl. Supercond., vol. 11, no. 1, pp. 450–453, Mar. 2001. [3] B. Marcilhac, D. G. Crété, Y. Lemaître, D. Mansart, J. C. Mage, K. Bouzehouane, C. Dolin, E. Jacquet, P. Woodall, and J. P. Contour, “Frequency-agile microwave devices based on Y Ba Cu O/Sr Ti O==LaA1O structure,” IEEE Trans. Appl. Supercond., vol. 11, no. 1, pp. 438–441, Mar. 2001. [4] J. C. Booth, L. R. Vale, R. H. Ono, and J. H. Claassen, “Power-dependent impedance of high temperature thin films: Relation to harmonic generation,” J. Supercond., vol. 89, no. 12, pp. 7750–7755, Dec. 2001. [5] D. E. Oates, P. P. Nguyen, G. Dresselhaus, M. S. Dresselhaus, G. Koren, and E. Polturak, “Nonlinear surface impedance of YBCO thin films: Measurements, modeling and effects in devices,” J. Supercond., vol. 8, no. 6, pp. 725–733, 1995. [6] C. Collado, J. Mateu, and J. O’Callaghan, “Analysis and simulation of the effects of distributed nonlinearities in microwave superconducting devices,” IEEE Trans. Appl. Supercond., vol. 15, no. 1, pp. 26–39, Mar. 2005. [7] K. S. Champlin and D. R. Singh, “Small-signal second-harmonic generation by a nonlinear transmission line,” IEEE Trans. Microw. Theory Tech., vol. 34, no. 3, pp. 351–353, Mar. 1986. [8] O. G. Vendik and S. P. Zubko, “Ferroelectric phase transition and maximum dielectric permittivity of displacement type ferroelectrics (Ba Sr TiO ),” J. Appl. Phys., vol. 88, no. 9, pp. 5343–5350, 2000. [9] , “Modeling the dielectric response of incipient ferroelectrics,” J. Appl. Phys., vol. 82, no. 9, pp. 4475–4483, 1997. [10] M. H. Hein, D. E. Oates, P. J. Hirst, R. G. Humphreys, and A. V. Velichko, “Nonlinear dielectric microwave losses in MgO substrates,” Appl. Phys. Lett., vol. 80, no. 6, pp. 1007–1009, 2002. [11] D. Xu, S. K. Yip, and J. A. Sauls, “Nonlinear Meissner effect in unconventionnal superconductors,” Phys. Rev. B, Condens. Matter, vol. 51, no. 22, pp. 16 233–16 253, 1995. [12] T. Dahm and D. J. Scalapino, “Theory of intermodulation in a superconducting microstrip resonator,” J. Appl. Phys, vol. 81, no. 4, pp. 2002–2008, 1995. [13] M. Hein, W. Diete, M. Getta, S. Hensen, T. Kaiser, G. Müller, H. Piel, and H. Schlick, “Fundamental limits of the linear microwave power response of epitaxial Y–Ba–Cu–O films,” IEEE Trans. Appl. Supercond., vol. 7, no. 2, pp. 1264–1267, Jun. 1997.

0 0 0

0 0

1159

[14] J. Halbritter, “Extrinsic or intrinsic conduction in cuprates: Anisotropy, weak and strong links,” Phys. Rev. B, Condens. Matter, vol. 48, no. 13, pp. 9735–9746, 1993. [15] D. E. Oates, M. A. Hein, P. J. Hirst, R. G. Humphreys, G. Koren, and E. Polturak, “Nonlinear microwave surface impedance of YBCO films: Latest results and present understanding,” Physica C, vol. 372–376, pp. 462–468, 2002. [16] W. Diete, M. Getta, M. Hein, T. Kaiser, G. Müller, H. Piel, and H. Schlick, “Surface resistance and nonlinear dynamic microwave losses of epitaxial HTS films,” IEEE Trans. Appl. Supercond., vol. 7, no. 2, pp. 1236–1239, Jun. 1997. [17] D. E. Oates, S.-H. Park, and G. Koren, “Observation of the nonlinear Meissner effect in YBCO thin films: Evidence for a d-wave order parameter in the bulk of the cuprate superconductors,” Phys. Rev. Lett., vol. 93, no. 19, pp. 197 001/1–197 001/4, 2004. [18] B. A. Willemsen, K. E. Kihlstrom, and T. Dahm, “Unusual power dependence of two-tone intermodulation in high-T superconducting microwave resonators,” Appl. Phys. Lett., vol. 74, no. 5, pp. 753–755, 1999. [19] P. P. Nguyen, D. E. Oates, G. Dresselhaus, M. S. Dresselhaus, and and A. C. Anderson, “Microwave hysteretic losses in YBa Cu O NbN thin films,” Phys. Rev. B, Condens. Matter, vol. 51, no. 10, pp. 6686–6695, 1995. [20] M. J. Lancaster, J. Powell, and A. Porch, “Thin-film ferroelectric microwave devices,” Supercond. Sci. Technol., vol. 11, pp. 1323–1334, 1998. [21] A. B. Kozyrev et al., “Nonlinear behavior of thin film SrTiO capacitors frequencies,” J. Appl. Phys., vol. 84, no. 6, pp. 3326–3332, 1998. [22] J. C. Booth, R. H. Ono, I. Takeuchi, and K.-S. Chang, “Microwave frequency tuning and harmonic generation in ferroelectric thin film transmission lines,” Appl. Phys. Lett., vol. 81, no. 4, pp. 718–720, 2002. [23] R. Ott, P. Lahl, and R. Wördenweber, “Nonlinear microwave properties of ferroelectric thin films,” Appl. Phys. Lett., vol. 84, no. 21, pp. 4147–4149, 2004. [24] A. Kozyrev, A. Ivanov, T. Samoilova, O. Soldatenkov, K. Astafiev, and L. C. Sengupta, “Nonlinear response and power handling capability of ferroelectric Ba Sr TiO film capacitors and tunable microwave devices,” J. Appl. Phys., vol. 88, no. 9, pp. 5334–5342, 2000. [25] D. M. Pozar, Microwave Engineering. New York: Wiley, 1998. [26] M. J. Lancaster, Passive Microwave Devices Applications of High Temperature Superconductors. Cambridge, U.K.: Cambridge Univ. Press, 1997. [27] J. Mateu, O. Menédez, C. Collado, and J. M. O’Callaghan, “Nonlinear performance characterization in an eight-pole quasi-elliptic bandpass filter,” Supercond. Sci. Technol., vol. 17, no. 5, pp. S359–S362, 2004. [28] C. Collado, J. Mateu, R. Ferrus, and J. M. O’Callaghan, “Prediction of nonlinear distortion in HTS filters for CDMA communications systems,” IEEE Trans. Appl. Supercond., vol. 13, no. 2, pp. 328–331, Jun. 2003. [29] H.-T. Lue and T.-Y. Tseng, “Application of on-wafer TRL calibration on the measurement of microwave properties of Ba Sr TiO thin films,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 48, no. 6, pp. 1640–1647, Nov. 2001. [30] D. M. Sheen, S. M. Ali, D. E. Oates, R. S. Withers, and J. A. Kong, “Current distribution, resistance, and inductance for superconducting strip transmission lines,” IEEE Trans. Appl. Supercond., vol. 1, no. 2, pp. 108–115, Jun. 1991. [31] J. Mateu, C. Collado, and J. M. O’Callaghan, “Nonlinear analysis of disk resonators: Application to material characterization and filter design,” IEEE Trans. Appl. Supercond., vol. 11, no. 1, pp. 135–138, Mar. 2001.

David Seron was born in Caen, France, in 1974. He received the Master degree in materials sciences and Ph.D. degree in physics from the Université Paris VI, Pierre & Marie Curie, Paris, France, in 1998 and 2002, respectively. From 2002 to 2003, in collaboration with the Analog Devices Group, Massachusetts Institute of Technology (MIT) Lincoln Laboratory, he was a Post-Doctoral Fellow with the Research Laboratory of Electronics, MIT. In 2004, he was a Research Associate with the Institute of Material Science of Barcelona (ICMAB). At the end of 2004, he joined the Universitat Politècnica de Catalunya (UPC), Barcelona, Spain. His research interests include the high-frequency characterization of materials with an emphasis on high-T c superconductors and ferroelectric and ferromagnetic materials.

1160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Carlos Collado (M’05) was born in Barcelona, Spain, in 1969. He received the Telecommunication Engineering degree and Ph.D. degree from the Universitat Politècnica de Catalunya (UPC), Barcelona, Spain, in 1995 and 2001, respectively, and the M.S. degree in bioengineering from the Biomedical Engineering Research Centre, UPC, in 2002. In 1998, he joined the faculty of UPC, where he has been teaching courses on theory of electromagnetism, microwave laboratory, and high-frequency devices and systems. From June to December 2004 he was a Visiting Researcher with the University of California at Irvine. Since April 2005, he has been an Associate Professor with UPC. His primary research interests include microwave devices and systems, electrooptics applications, and superconducting devices.

Jordi Mateu (M’05) was born in Llardecans, Spain in 1975. He received the Telecommunication Engineering and Ph.D. degrees from the Universitat Politècnica de Catalunya (UPC), Barcelona, Spain, in 1999 and 2003, respectively. Since September 2005, he has been a Fulbright Research Fellow with the National Institute of Standards and Technology (NIST), Boulder, CO. From September 1999 to September 2002, he was Research Assistant with the Department of Signal Theory and Communications, UPC. From May to August 2002, he as a Visiting Researcher with Superconductor Technologies Inc., Santa Barbara, CA. From October 2002 to August 2005, he was Research Associate with the Telecommunications Technological Center of Catalonia (CTTC), Barcelona, Spain. During September 2004, he was Visiting Researcher with NIST. From September 2003 to August 2005, he was Part-Time Assistant Professor with the Universitat Autònoma de Barcelona. His primary research interests include microwave and photonic devices and systems and superconducting devices with special emphasis on the characterization of nonlinearities of superconductors. Dr. Mateu was the recipient of the 2004 Prize for the best doctoral thesis in fundamental and basic technologies for information and communications and their applications presented by the Colegio Oficial de Ingenieros de Telecomunicación (COIT) and Asociación Española de Ingenieros de Telecomunicación (AEIT). He was also the recipient of a Fulbright Research Fellowship and a Ramón y Cajal Contract.

Juan M. O’Callaghan (SM’01) was born in Tortosa, Spain, in 1963. He received the Telecommunication Engineering degree from the Universitat Politècnica de Catalunya (UPC), Barcelona, Spain, in 1987 and the M.S. and Ph.D. degrees from the University of Wisconsin–Madison, in 2000 and 2003, respectively. From July to December 1989, he was an Intern with the Systems Research Center, Honeywell. In 1993, he joined the faculty of UPC, where he has been teaching courses on radar, radio-navigation, and high-frequency devices and systems. Since May 2003, he has been a Full Professor with UPC . His research interests include microwave devices and systems, including high-frequency applications of superconductors.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1161

Compact Millimeter-Wave Filters Using Distributed Capacitively Loaded CPW Resonators Farshid Aryanfar, Member, IEEE, and Kamal Sarabandi, Fellow, IEEE

Abstract—In this paper, a method for reducing the size of coplanar-waveguide (CPW) resonators, used in the design of compact bandpass filters, is presented. This is accomplished by incorporating a distributed array of capacitive loads along a resonant CPW line. Design of a miniaturized bandpass filter using capacitively loaded CPW resonators, which are inductively coupled, is presented. Inductively coupled bandpass filters with the proposed capacitively loaded CPW line resonators are designed and a size reduction of 25%, compared with filters using a standard CPW line resonator, is demonstrated. It is shown that, through this size reduction, the insertion loss is minimally increased ( 0.4 dB). A hybrid algorithm, combining the method of moments (MOM) and circuit simulation is used to facilitate design process. Few filters using this technique are designed, -band. An excellent agreement fabricated, and measured at between the simulation and measurement responses of these filters is presented. Index Terms—Bandpass, capacitively loaded, coplanar wave-band. guide (CPW), filter, millimeter wave, miniaturized, Fig. 1. Capacitively loaded CPW line (type I) and its circuit model used in simulation.

I. INTRODUCTION

W

ITH THE recent advancement in millimeter-wave devices and new Federal Communications Commission (FCC) regulations making available 10-GHz bandwidth at -band frequencies, gigabit wireless systems providing fiber-like services are fast approaching the commercial market [1]–[3]. Apart from available wide bandwidth, another advantage of millimeter-wave systems is the compact size of the active and passive components; however, in order to achieve on-chip transceiver integration [4] designs, further size reduction of passive elements such as filters is required. This is especially important in the design of high-performance multipole filters, which require high- resonators. Filter miniaturization is also important in other applications such as compact millimeter-wave probes and design of filter-lens arrays [5]. One standard way to reduce the size of planar filters is by loading the resonators to create a slow-wave structure. At lower frequencies, there are different techniques to load a resonator, the most recent one is using microelectromechanical systems Manuscript received July 31, 2005; revised October 11, 2005. This work was supported by the U.S. Army Research Office under Contract DAAD19-00-10115. F. Aryanfar was with the Radiation Laboratory, Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109 USA. He is now with the Microwave Technologies Research Laboratory, Motorola, Schaumburg, IL 60196 USA (e-mail: [email protected]). K. Sarabandi is with the Radiation Laboratory, Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864109

Fig. 2. Capacitively loaded CPW line (type II). TABLE I STANDARD AND LOADED CPW LINE DIMENSIONS

(MEMS) bridges along the length resonators to increase the line’s capacitance without decreasing the line inductance appreciably [6]. As a result, the electrical length of the resonator, which is proportional to , is increased. However, most parasitic elements associated with three-dimensional (3-D)structures like MEMS bridges, which can be ignored at lower frequencies, have significant effects at millimeter-wave frequencies and will drastically change the filter response. In

0018-9480/$20.00 © 2006 IEEE

1162

Fig. 3.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Measured and simulated S -parameters of capacitively loaded CPW line (type I). (a) Magnitude. (b) Phase. TABLE II MEASURED CHARACTERISTICS OF STANDARD AND LOADED CPW LINE @ 95 GHz

Fig. 5. Effect of probe station’s chuck on measured S -parameters of a CPW stepped-line low-pass filter.

Fig. 4. Effect of probe station’s chuck on measured S -parameters of a CPW bandpass filter.

addition, incorporation of MEMS bridges is rather cumbersome and costly due to the required complicated fabrication and packaging processes. Hence, to avoid dealing with the parasitic effects and complicated fabrication, use of planar structures with minimal parasitic features are preferred. Although microwave filters have been studied extensively, the literature concerning planar millimeter-wave filters, especially at -band frequencies, is scarce. One of the major difficulties involved in filter design at millimeter-wave frequencies and above is the absence or inaccuracy of the existing circuit models. This paper provides a hybrid method combining method

of moments (MOM) and circuit simulation, which facilitates a systematic approach for filter design at millimeter-waves frequencies. Using this proposed technique and capacitively loaded coplanar waveguide (CPW) resonators, a miniaturized bandpass and a stepped-line low-pass filter are designed and fabricated. In what follows, the structure of capacitively loaded CPW lines is first introduced and their electromagnetic behavior is characterized. In Section III, sensitivity of CPW circuits to ground effect in traditional on-wafer measurement is shown, and a simple method for avoiding this effect is presented. A hybrid design technique, which is a combination of circuit models and the MOM, is explained in Section IV. Finally, in Section V, using an existing model of shunt inductive stubs for -band frequency range [7] and loaded CPW resonators, design, fabrication, and measurement of a miniaturized bandpass filter is presented and compared with standard CPW line resonators filters.

ARYANFAR AND SARABANDI: COMPACT MILLIMETER-WAVE FILTERS USING DISTRIBUTED CAPACITIVELY LOADED CPW RESONATORS

1163

Fig. 6. Circuit model of miniaturized inductive coupled resonator bandpass filter.

Fig. 7. Hybrid circuit model of a CPW stepped-line low-pass filter.

II. CAPACITIVELY LOADED CPW LINES

III. ON-WAFER MEASUREMENT

In order to increase effective electrical length of CPW resonators and create a slow-wave structure, small parasitic fingers, shown in Figs. 1 and 2, are added to the center conductor and ground of a standard CPW line. For the dimensions shown in Table I, effective electrical lengths of the loaded CPW lines types I and II are increased by 36% and 70%, respectively. The loaded CPW lines can be modeled as a line with different propagation constants and attenuation coefficients. In order to characterize the electrical properties of the loaded CPW lines, a number of such lines with different lengths were fabricated on a 10-mil-thick m quartz wafer. The measured -parameters, effective line characteristic impedance, effective propagation constant, and attenuation constant are then extracted. Fig. 3 shows the measured and simulated -parameters (magnitude and phase) for the line shown in Fig. 1 with a total length of 700 m. For the dimensions given in Table I, the characteristic impedances, phase constants, and attenuation coefficients of the lines are extracted by comparing the measured and simulated results. These are provided in Table II. As it can be seen, for the loaded CPW line of type I, the electrical length is increased without significant impedance change in comparison with a standard CPW line with similar dimensions. However, the line impedance is decreased for the loaded CPW line of type II because of the increase in the line capacitance caused by periodic inclusion of interdigital capacitors. This provides a higher miniaturization in comparison with the previous case; however, due to the limited quality factor of the interdigital capacitors, it introduces higher attenuation coefficient. Hence, miniaturized filters shown in Section V are designed using a loaded CPW line of type I only. The -parameter measurements reported in this paper at -band (75–110 GHz) were obtained using a probe station (for on-wafer measurements), HP-8510C network analyzer, and HP-W85104A millimeter-wave test setup. MOM and circuit simulations are performed using the Agilent Advanced Design System (ADS).

The probe station’s chuck, which holds the wafers, changes the CPW to a conductor-backed coplanar waveguide grounded (CPWG) line and, thus, it affects the propagation constant of the line [8] and the values of the parasitic elements. In order to eliminate these effects, a supporting structure with a hole in the middle [7] is built and placed on top of the probe station’s chuck. Fig. 4 shows significant changes in measured results of a CPW bandpass filter constructed of inductively coupled resonators due to the presence or absence of this test structure. Placing the filter wafer on the probes station’s chuck creates a ground plane for the CPW line, which can not only change the line parameters, but also provides an opportunity for power leakage carried by parallel-plate waveguide modes. However, the cutoff frequency of the first non-TEM parallel-plate mode in this case is around 312 GHz m ; hence, deformation of the filter response is only due to changes in coupling and the electrical lengths of the resonators. Fig. 5 confirms this postulation by comparing the measured -parameters of a CPW stepped-line low-pass filter once with and once without using this test structure. As it can be seen, there is no significant difference between two cases since stepped-line low-pass filters are not as sensitive to the electrical lengths of the lines as are bandpass filters. IV. HYBRID DESIGN TECHNIQUE The main goal of developing circuit models for various discontinuities is to facilitate the design process and avoid full-wave simulation in the initial design steps because of their time-consuming nature. For this purpose, usually the whole structure is broken into a few parts such that each one can be modeled individually with a minimum or no interaction with others. For example, in the specific bandpass filter selected for miniaturization, which is constructed of inductively coupled CPW resonators, the whole structure can be broken into a number of CPW resonators and inductive stubs. We used an existing

1164

Fig. 8. filter.

Fig. 9.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Simulated and measured S -parameters of a CPW stepped-line low-pass

Fig. 10. filter.

Measured and simulated

S -parameters

of miniaturized bandpass

Fig. 11. filter.

Measured and simulated

S -parameters

of miniaturized bandpass

Layout of miniaturized inductive coupled resonator bandpass filter.

model of shunt inductive stubs for the -band frequency range [7] and transmission-line model, whose parameters are given in Table II. Fig. 6 shows schematics of this filter. In less complicated cases, where there are only a few types of fixed discontinuities in the whole circuit, it is easier and more accurate to directly use the -parameters extracted from the full-wave simulation in the design process instead of developing a circuit model, which usually needs a significant number of measurements for determining the unknown parameters and validating the circuit model. An example for this case is a CPW stepped-line low-pass filter. As shown in Fig. 7, in a symmetrical case ( ; is order of filter), there are only two types of discontinuities encountered in this filter. One between the input line and either a high or low impedance line ( ) and the other between the low and high impedance lines ( ). This filter has been fabricated on a 10-mil-thick m quartz wafer. In Fig. 8, the -parameters obtained from the hybrid simulation are shown versus MoM results and measured values. It can be seen that the hybrid model results have good agreement with the full-wave MOM and measurement results. V. MINIATURIZED BANDPASS FILTER Here, we demonstrate the miniaturization of a bandpass filter using capacitively loaded CPW line introduced in Section II. A

Fig. 12. Comparison of the measured responses of the miniaturized and standard inductively coupled resonator bandpass filters.

ARYANFAR AND SARABANDI: COMPACT MILLIMETER-WAVE FILTERS USING DISTRIBUTED CAPACITIVELY LOADED CPW RESONATORS

standard inductive coupled resonator bandpass filter [9] is modified by replacing its resonators with capacitively loaded resonators. The schematics and layout of the miniaturized filter are shown in Figs. 6 and 9, respectively. This filter was fabricated on a 10-mil-thick quartz wafer using the standard lithography and wet etching on 3- m electroplated gold. Figs. 10 and 11 show excellent agreement between the measured and simulated -parameters of this filter for two different designs with different bandwidths. The low-frequency corner of the bandpass filter response in both cases is shown to be slightly degraded due to radiation. This defect can be improved by an appropriate packaging method [10]. Fig. 12 compares the measured results for the miniaturized filter with a standard one. As shown, for a fixed 3-dB bandwidth of 15%, the new filter is slightly (0.4 dB) lossier than the standard filter, while the size is reduced by 25%.

1165

Farshid Aryanfar (S’01–M’05) received the Ph.D. degree in electrical engineering and computer science (with an emphasis on applied electromagnetics and RF circuits) from The University of Michigan at Ann Arbor, in 2005. His doctoral research concerned design and fabrication of a scaled propagation measurement system operating at W -band frequencies for wireless channel characterization. His master’s thesis concerned wave-propagation modeling in microcellular and picocellular environment using the ray-tracing algorithm, which later led to a 3-D physics-based wave-propagation simulator for wireless channel characterization. From 1997 to 2000, he was a Senior Microwave Engineer involved with the developing satellite terminals and nondirectional beacon (NDB) transmitters. He has served as investigator on several research projects sponsored by the Defense Advanced Research Projects Agency (DARPA), the U.S. Air Force (USAF), the U.S. Army, and numerous industries. He is currently with the Microwave Technologies Research Laboratory, Motorola, Schaumburg, IL. His current research interests include microwave/millimeter-wave circuits and systems, wave propagation in complex environment, and wireless channel characterization. He is listed in Who’s Who in America.

VI. CONCLUSIONS Using slow-wave CPW lines, two planar miniaturized bandpass filters are designed, fabricated, and measured at -band frequencies. For a three-pole filter, 25% miniaturization has been achieved with a slight increase (0.4 dB) in the insertion loss. A hybrid technique based on combined circuit and MOM simulation has been presented, which facilitates the design process while maintaining accuracy. The simulation results using the hybrid technique for the miniaturized bandpass filter and a CPW stepped-line low-pass filter has shown excellent agreement with the measured results. Measurement error due to the ground effect of the probe station’s chuck through on-wafer measurements of CPW circuits have been studied and a simple solution for eliminating this effect have been introduced. REFERENCES [1] R. Emrick, S. Rockwell, B. Bosco, S. Franson, and J. Holmes, “A 60 GHz transceiver with multi-gigabit data rate capability,” in Radio Wireless Conf., Sep. 2004, pp. 135–138. [2] R. R. Tummala and J. Laskar, “Gigabit wireless: System-on-a-package technology,” Proc. IEEE, vol. 92, no. 2, pp. 376–387, Feb. 2004. [3] M. Ito, S. Kishimoto, K. Ikuina, T. Hashiguchil, K. Ikeda, K. Ohata, K. Maruhashi, and N. Takahash, “1.25 Gbps wireless gigabit ethernet link at 60 GHz-band,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 1, Jun. 2003, pp. 373–376. [4] M. Shen, T. Torrika, W. Michielsen, L. Zheng, X. Duo, and H. Tenhunen, “Design and implementation of system-on-package for radio and mixedsignal applications,” High Density Microsyst. Des. Packag. Compon. Failure Anal., vol. 1, pp. 97–104, 2004. [5] A. Abbaspour-Tamijani, K. Sarabandi, and G. M. Rebeiz, “Antennafilter-antenna arrays as a class of bandpass frequency-selective surfaces,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1781–1789, Aug. 2004. [6] N. S. Barker and G. M. Rebeiz, “Optimization of distributed MEMS transmission-line phase shifters U -band and W -band designs,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 1957–1966, Nov. 2000. [7] F. Aryanfar and K. Sarabandi, “Characterization of semi-lumped CPW elements for millimeter-wave filter design,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1288–1293, Apr. 2005. [8] D. F. Williams, “On-wafer measurement at millimeter wave frequencies,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3, Jun. 1996, pp. 1683–1686. [9] J. K. A. Everard and K. K. M. Cheng, “High performance direct coupled bandpass filters on coplanar waveguide,” IEEE Trans. Microw. Theory and Tech., vol. 41, no. 9, pp. 1568–1571, Sep. 1993. [10] S. V. Robertson, L. P. B. Katehi, and G. M. Rebeiz, “Micromachined W -band filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 4, pp. 598–606, Apr. 1996.

Kamal Sarabandi (S’87–M’90–SM’92–F’00) received the B.S. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 1980, and the M.S.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1986 and 1989, respectively, both in electrical engineering. He is currently Director of the Radiation Laboratory and a Professor with the Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor. His research interests include microwave and millimeter-wave radar remote sensing, metamaterials, electromagnetic-wave propagation, and antenna miniaturization. He possesses 20 years of experience with wave propagation in random media, communication channel modeling, microwave sensors, and radar systems and currently leads a large research group including two research scientists, ten Ph.D. students, and two M.S. students. Over the past ten years, he has graduated 22 Ph.D. students. He has served as the Principal Investigator on many projects sponsored by the National Aeronautics and Space Administration (NASA), Jet Propulsion Laboratory (JPL), Army Research Office (ARO), Office of Naval Research (ONR), Army Research Laboratory (ARL), National Science Foundation (NSF), Defense Advanced Research Projects Agency (DARPA), and numerous industries. He has authored or coauthored many book chapters and over 130 papers in refereed journals on EM scattering, random media modeling, wave propagation, antennas, metamaterials, microwave measurement techniques, radar calibration, inverse-scattering problems, and microwave sensors. He has also had over 320 papers and invited presentations in many national and international conferences and symposia on similar subjects. He is listed in American Men and Women of Science, Who’s Who in America, and Who’s Who in Science and Engineering. Dr. Sarabandi is a vice president of the IEEE Geoscience and Remote Sensing Society (GRSS), and a member of the IEEE Technical Activities Board Awards Committee. He is the associate editor of the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION and the IEEE SENSORS JOURNAL. He is also a member of Commissions F and D of URSI and of The Electromagnetic Academy. He was the recipient of the Henry Russel Award presented by the Regent of The University of Michigan at Ann Arbor (the highest honor The University of Michigan at Ann Arbor bestows on a faculty member at the assistant or associate level), the 1999 GAAC Distinguished Lecturer Award presented by the German Federal Ministry for Education, Science, and Technology given to approximately ten individuals worldwide in all areas of engineering, science, medicine, and law, the 1996 Electrical Engineering and Computer Science Department Teaching Excellence Award, the 2004 College of Engineering Research Excellence Award, the 2005 IEEE Geoscience and Remote Sensing Distinguished Achievement Award, and the 2005 The University of Michigan Faculty Recognition Award. Over the past several years, joint papers presented by his students at a number of symposia [IEEE Antennas and Propagation Society (IEEE AP-S) Symposia (1995, 1997, 2000, 2001, 2003, 2005); the IEEE International Geoscience and Remote Sensing Symposium (1999, 2002); the IEEE Microwave Theory and Techniques Society (IEEE MTT–S) International Microwave Symposium (IMS) (2001), and URSI (2004, 2005)] have been the recipients of Student Prize Paper Awards.

1166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Simulation-Assisted Design and Analysis of Varactor-Based Frequency Multipliers and Dividers Almudena Suárez, Senior Member, IEEE, and Robert Melville, Member, IEEE

Abstract—Varactor-based circuits, used for frequency conversion, have the advantages of a simple topology, high efficiency, reasonable bandwidth, and good isolation of the input signal. However, they often exhibit spurious oscillations, which complicate the design and limit their applicability. In the case of frequency dividers, the operation bands are bounded by bifurcation phenomena. In this paper, the behavior of these circuits is investigated with stability-analysis and bifurcation-detection capabilities through harmonic-balance techniques. The techniques are applied for the design of a frequency tripler, a frequency divider-by-3 and a fractional-order frequency divider-by-3/2. Good experimental behavior has been obtained in all cases. The discrepancies with the simulated results have been found to be due to the high tolerances of the lumped elements. Index Terms—Fractional-order division, frequency divider, frequency multiplier, parametric circuits, phase noise, stability.

I. INTRODUCTION

C

IRCUITS based on a nonlinear reactance—such as a varactor diode—have long been used to achieve low-noise gain [1], [2]. Indeed, 40 years ago, parametric amplifiers provided one of the few inexpensive ways to amplify microwave signals with a noise figure of a few decibels. With modern devices, interest in parametric amplifiers has dropped off, although we point out two very recent efforts to use parametric circuits for low-noise gain [3], [4]. However, a nonlinear reactance can also be used to convert RF energy from one frequency to another with high efficiency. Frequency multiplication and frequency division are possible [5]–[9] and power exchange can also be achieved between frequencies that are not in a simple harmonic or sub-harmonic relationship (e.g., a frequency ratio 3 : 2). Varactor-based dividers can operate at frequencies approaching terahertz [10], [11], which might be above the operating limit of other divider architectures. Theoretically, the conversion efficiency can be 100% (because the nonlinearity is reactive, not resistive), although practical designs suffering parasitic losses exhibit conversion efficiency in the range of 20%–80%. Power levels from milliwatts to watts are practical with available deManuscript received August 3, 2005; revised November 14, 2005. The work of A. Suárez was supported under the Spanish National Project TEC2005-08377-C03-00. A. Suárez is with the Communications Engineering Department, University of Cantabria, 39005 Santander, Spain (e-mail: [email protected]). R. Melville was with the Department of Electrical Engineering, Columbia University, New York, NY 10027 USA. He is now with the Raytheon Polar Service Company, Centennial, CO 80112-3938 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864108

vices. Except for the varactors, the circuits consist entirely of passive elements and consume no dc power (although zero-current dc bias may be necessary for the diodes). The circuits are simple, inexpensive, and robust. Recently, varactor-based multpliers are applied for frequency generation at millimetric and submillimetric frequencies [12]–[15]. In [13], GaAs-based heterostructure barrier varactors are used to build a frequency tripler with more than 1 mW at 450 GHz and a flange to flange efficiency of 1.45%. In [14], a broad-band distributed tripler is presented, using 15 heterostructure barrier varactors to obtain more than 10% 3-dB bandwidth at 130.5 GHz. In [15], a tripler based on a matched pair of antiparallel varactors provides 11% peak efficiency with 5–7-mW output power at 325 GHz. Regarding the varactor dividers, most of the realizations presented in the literature correspond to dividers by order 2 [6]–[8], [16]. More recently, developments of divide-by-3 optical parametric oscillators have been reported [17], [18]. Varactor multipliers and dividers have a well-deserved reputation for being tricky [19] to design and exhibiting various “spurious” modes. In [9], the hysteresis in varactor multipliers is investigated using an analytical approach. The experimental observation of parasitic oscillations is also reported. In [20] and [21], the chaotic behavior of a frequency doubler is investigated and found to be due to the generation of two spurious oscillations at noncommensurate frequencies. In the case of frequency dividers, the operation bands are delimited by bifurcation phenomena, thus, the stability analysis is essential. As in frequency multipliers, hysteresis and other undesired phenomena have been observed within the division bands [8]. In [22], the complex dynamics of parametric divider-by-2, with basic R–L–diode topology is analyzed, showing that it is capable of generating chaotic solutions. Harmonic balance (HB) has been used in some previous papers [6], [7], [20] to obtain the steady-state response of parametric circuits. In [20] and [21], it has been combined with Nyquist analysis to determine the stable-operation boundary of frequency multipliers. In this paper, recent simulation methods, based on HB, are applied to both parametric multipliers and dividers for the accurate tracing of their solutions curves versus the input power or frequency and for a detailed investigation of their dynamic behavior. The methods comprise the use of an auxiliary generator (AG) at the self-oscillation frequency [23], the stability analysis with pole-zero identification [24]–[26], and the bifurcation detection [23]. This will allow an efficient analysis of varactor-based dividers, avoiding the trivial solution, and

0018-9480/$20.00 © 2006 IEEE

SUÁREZ AND MELVILLE: SIMULATION-ASSISTED DESIGN AND ANALYSIS OF VARACTOR-BASED FREQUENCY MULTIPLIERS AND DIVIDERS

the study of the instability phenomena that delimit their operation bands. The undesired modes of both dividers and multipliers will be investigated, obtaining the limits of nonspurious operation (e.g., an upper or lower limit on input drive to ensure correct operation). As will be shown, the auxiliary-generator technique can also be applied to correct the initial approximate design, using simplified expressions, in order to achieve the desired performance when accurate models for the circuit elements are considered. This should prevent undesired band deviations or changes in the output-power level. The improved understanding and design-control of the parametric circuits facilitates practical applications, which are also briefly described in the paper. One of the presented circuits is a frequency tripler, converting from 600 to 1800 MHz. When injected through the output port, the circuit has a nearly bi-directional behavior, i.e., with slight variations in the bias point and one of the linear-element values, it also operates as a frequency divider-by-3, from 1800 to 600 MHz. Another investigated circuit is a “fractional-order divider,” dividing by 3/2 and converting from 1350 to 900 MHz. All designs were implemented with inexpensive surface-mount technology (SMT) parts using commonly available FR4 (PCB) material. The frequencies were determined by intended applications and by availability of parts and test equipment. An extension to higher frequencies should be straightforward, although a better PCB substrate would be needed. This paper is organized as follows. Section II is a brief review of parametric excitation and Sections III–V present the frequency tripler the frequency divider-by-3, and fractionalorder divider-by-3/2, respectively. Each of these three sections contains subsections showing the circuit applications, its design concepts, and its nonlinear analysis and experimental characterization.

1167

Fig. 1. Simplified schematic of electromechanical resonator with “pumped” capacitor to sustain oscillation.

II. BRIEF REVIEW OF PARAMETRIC EXCITATION A classic early physics experiment provides an intuitive understanding of parametric excitation [27]. These researchers assembled an electromechanical device, which probably had a connection to the work of Van De Graff et al. [28]. With reference to Fig. 1, consider an inductor of value in parallel with a time-varying capacitor . This capacitor was implemented by connecting a mechanically variable capacitor to a powerful motor. The tank has an incipient oscillation with period , with being the average capacitance value. The voltage across the capacitor reaches a positive peak at time , as shown in Fig. 2(a). Suppose at this instant that the capacitor plates are moved apart slightly, resulting in an incremental decrease in the value of the capacitance, indicated by D. Mechanical work required to move the plates apart against the electric field (provided by the motor) is translated into an incremental increase in the capacitor voltage and the energy of the tank. One quarter of a period later, at time , the plates are reset to their original spacing, indicated by R. However, this operation is neutral in terms of energy exchange because the field across the plates was zero at . At , the plates are again moved apart against a strong negative field, which again imparts energy to the tank and finally reset at . Observe that two complete “decrease/reset” (DR) cycles of

Fig. 2. Voltage across capacitor as a function of time showing the “pumping” action of the variable capacitor. Only (a) and (c) support sustained oscillations.

the time-varying capacitor take place for one period of the oscillation with period . The motor speed is chosen to accomplish two complete “pumping” cycles of the capacitance variation in the period , i.e., the motor runs at twice the frequency of the tank . If the energy imparted to the tank from the motor is sufficient to overcome the tank loss through R at each cycle, a sustained oscillation results. For the divide-by-2 case, the D and R operations are spaced equally by 1/4 of the output period. However, a natural attempt to extend the above scheme to divide-by-3 does not work. Suppose we set the pump frequency to three times the natural resonant frequency of the tank: . Will a sustained oscillation result? Fig. 2(b) shows the timing of the capacitance variation: DRDRDR. Observe that three cycles of the capacitor variation occur over one period of the tank, i.e., in the divide-by-3 case, the D and R operations are

1168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 3. Circuit implementation of the pumping action in Fig. 2. The circuit works for case (a), but fails for case (b) (see text).

spaced equally by 1/6 of the output period. The first voltage indue to the capacitance reduction occurs a bit before crement the peak voltage at . The plates are reset at , while there is then still a substantial field across the capacitor. This is no longer a “neutral” operation like it was at in Fig. 2(a) and the capacitor voltage is decreased. At , the plates are moved apart across almost zero field (which accomplishes nothing), then at the plates are reset across a large field, which decreases the tank voltage. The voltage increase at is offset by the voltage reductions at and . Adjusting the timing of the pump relative to the oscillation will change the picture, but never enough to result in a net increase of energy imparted to the tank. The solution is to simultaneously pump two oscillations: one at and . Fig. 2(c) shows a composite waveform with a sinusoid at and its second harmonic at . The waveform to be “pumped” conveniently has its peaks and zero crossings aligned with the appropriate increase or decrease points of the capacitor to allow energy transfer into the tank. In the jargon of parametric excitation, one of the two sustained oscillations is called the idler, a term attributed to a Bell Laboratories physicist [29]. Either sustained oscillation can be considered the idler—power is generally extracted at only one of the two frequencies of sustained oscillation, the unused frequency is then called the idler. The idler current is unused in the sense that no power is extracted at this frequency, but it is nonetheless necessary to form the varactor voltage for effective pumping. The idler current is typically terminated in a short circuit so that no power is dissipated at the idler frequency. Fig. 3 shows a circuit topology adapted from [30], which implements case (a) of Fig. 2. Suppose we wish to generate a current at frequency through the load resistor with input drive at frequency to obtain a divide-by-2 frequency converter. The design steps would be the following. Chose to series resonate with the bias-point capacitance of at a frequency in between and —the geometric ratio is convenient. Now, select to be parallel resonant at and also form a series-resonant circuit with , at . This is possible because there is a continuum of choices for to achieve parallel resonance at the stated frequency. Likewise, chose to be parallel resonant at and to form a series-resonant circuit with , at . Observe that input current at is blocked from the load resistor by . The current flowing through the diode acts like the “pump” in Fig. 2(a) causing variation of the capacitance. The sub-harmonic current at does not flow back through the input circuit because of

Fig. 4. Schematic of the frequency tripler with input frequency 600 MHz. A current at 1200 MHz circulates through D1 and D2, but does not flow through either the input or the output branch. The parallel resonant traps form diplexers to mutually isolate the 600- and 1800-MHz signals.

and, hence, can only flow through the load rethe trap sistor. The circuit implements a frequency divider-by-2. The obvious extension of this circuit to the divide-by-3 case would be to present an infinite impedance to modify the values of at in addition to a modification of to be parallel resonant at , but series-resonate , at . However, this simple scheme does not work, as illustrated in Fig. 2(b). What , as illustrated in Fig. 2(c). A is needed is an idler circuit at practical circuit will be presented in Section IV, along with a discussion of biasing. III. FREQUENCY MULTIPLIER A. Applications of Varactor-Based Frequency Multipliers Reference [31] reports on a practical application of a varactor-based frequency multiplier. Specifically, the work considers a software reconfigurable dual-band radio, which is expected to transmit digital modulation [such as quadrature phaseshift keying (QPSK)] at both 950 and 1900 MHz. Of course, it would be possible to implement two independent transmit and power amplifier chains, but this would be expensive both in terms of part costs and board area. The alternative proposed in [31] is to implement a power amplifier at 950 MHz and generate the 1900-MHz signal by passing the 950-MHz output through a frequency doubler. Obviously, a doubler with low conversion loss is desirable. Reference [31] considers and solves the problem of pre-distortion, i.e., what signal should be generated at 950 MHz so that—after passing through the doubler—it will be the desired QPSK signal at 1900 MHz with a sufficiently small error vector magnitude. A varactor doubler with conversion loss less than 2 dB was used for the experiments in [31], but it was implemented with coaxial-line resonators, thus, it was physically bulky. For this study, we designed an SMT tripler in order to try a more ambitious order of frequency multiplication and to demonstrate that usable efficiency can be obtained from an SMT implementation, which is very small (approximately 2 cm ). B. Design of a Varactor-Based Frequency Tripler Fig. 4 shows the circuit for the tripler 600–1800 MHz, which is adapted from [32]. The input frequency is higher than in

SUÁREZ AND MELVILLE: SIMULATION-ASSISTED DESIGN AND ANALYSIS OF VARACTOR-BASED FREQUENCY MULTIPLIERS AND DIVIDERS

[5]. The packaged diode is the hyper-abrupt silicon varactor BB833 and the used nonlinear model is the one provided by Infineon Technologies AG, Neubiberg, Germany.1 The symmetric legs and are series resonant at twice the input frequency—1200 MHz and from the so-called idler network, as discussed above. Due to the orientation of the diodes, 1200-MHz currents are evoked in antiphase and circulate inside the idler circuit, i.e., 1200-MHz current does not flow to the output or back to the source. The input signal at 600 MHz is and introduced into the diodes through a tuning resonator an 1800-MHz parallel-resonant circuit (a “trap”). The trap prevents 1800-MHz current from flowing back into the source. Likewise, the output circuit consists of a 600-MHz trap and a capacitor , which is chosen to series resonate the output at 1800 MHz. Finally, input impedance matching is accomplished with an and output matching is accomplished with L-section a -wave transformer. Note that two different methods of signal separation have been employed in the circuit: a balanced scheme separates the 1200-MHz signal from the input and output. Diplexers isolate the input and output from each other. Conveniently, the diplexers mutually isolate the frequencies, which are furthest apart. The proper biasing of the varactor diodes is essential. Our own implementation experience agrees with the warnings given in [19]. We have found it most effective to introduce bias only at low-impedance points. If there is a significant Thevenin resistance in the bias supply, the bias-point can shift under largesignal conditions by self-rectification across the varactor and qualitatively change the operation of the circuit. This so-called “self bias” operation is possibly useful [2], but can introduce additional complications into the operation of the circuit. In this particular circuit, the diode RF signals could be grounded through relatively large dc blocking capacitors and bias introduced at the nongrounded end of each capacitor. A dc return path is provided by bridging with an RF choke, which prevents dc-bias current from flowing through either the input or output ports. C. Nonlinear Analysis and Experimental Characterization of the Frequency Multiplier The frequency tripler has been analyzed with HB using 15 harmonic components. For an initial study, constant input frequency MHz has been considered. Fig. 5(a) shows the power transfer curve to the third harmonic component of the output signal . Measurement points have been superimposed. The good agreement has required a careful modeling of resistive loss in all the circuit components. The curve shows irregular shape between dBm and dBm, which is attributed to the forward biasing of the varactor diodes for this large input-power values. The multiplier efficiency has also been calculated. It increases versus input power, until reaching the peak value 27% at dBm. From this point, the efficiency decreases due to dc consumption in the diodes. When driven with 22-dBm input power, the conversion loss is approximately 4 dB, which represents an efficiency of approximately 27%. Fig. 5(b) shows the experimental 1[Online].

Available: http://www.infineon.com

1169

Fig. 5. Simulated and measured results of the frequency multiplier. (a) Variation of the output power at 3f and efficiency of versus input power at f = 574 MHz. Measurements of output power (o) have been superimposed. (b) Experimental output-power spectrum for P = 23 dBm. The conversion loss is 4.5 dB with more than 22-dB suppression of the input tone.

output power spectrum for input power dBm. The third harmonic power is 18.5 dBm, which implies 4.5-dB conversion loss. The suppression of the input tone is more than 22 dB. In simulation, it is approximately 37 dB. For comparison, [5] describes a frequency tripler using two diodes in a coaxial resonator. Self-bias is achieved with separately adjustable biasing potentiometers. The output frequency is 795 MHz and 4.8-dB conversion loss is reported with 28.75-dBm input-drive power. The large-signal stability of the frequency multiplier has been analyzed with pole-zero identification [22], [26]. This requires the introduction into the circuit of a small-signal current generator connected in parallel at a sensitive node like one of the diode terminals. The frequency of the current source is not harmonically related to the input-drive frequency and this gives rise to sidebands with positive integer when using the conversion-matrix approach. The ratio between the node voltage and the introduced current provides a single-input single-output transfer function [22]. It is a closed-loop transfer function associated to the circuit linearization about its large-signal regime at . All the closed-loop

1170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 6. Pole locus of the frequency tripler when varying the input power from P = 20 dBm to P = 28 dBm with constant input frequency f in = 574 MHz. The frequency of the complex-conjugate poles slightly changes with the input power. At P = 26 dBm, a pair of complex-conjugate poles crosses the imaginary axis to the right-hand side of the complex plane, giving rise to instability, due to the generation of an oscillation at approximately 610 MHz.

transfer functions of a linear system share the same denominator, thus, they have the same poles [26]. The zeroes will depend on the particular transfer function and, thus, on the particular location of the current source. Unless pole-zero cancellation occurs, the pole information will be the same regardless of the connection node. The exact pole-zero cancellation is unlikely if a device terminal is used, but several connection nodes may also be considered to check for the possible occurrence of these cancellations. The transfer function is calculated through a conversion-matrix analysis of the circuit, sweeping the current-source frequency . Standard pole-zero identification routines are applied to this function, which provides the information on the solution stability. This analysis has been sequentially performed along the along the solution curve of Fig. 5(a). has been swept, calculating, at each sweep step, the large signal solution with HB and using the conversion-matrix approach to determine . Pole-zero identification was applied to . The resulting evolution of the pair of poles closest to the imaginary axis is shown in Fig. 6. As can be seen, the solution becomes unstable from the quite high input power dBm. At this power value, a Hopf bifurcation [23] takes place in the circuit. An oscillation originates at a frequency close to 610 MHz, corresponding to the natural resonance of the circuit. This frequency slightly varies along the pole locus. From dBm, the solution is quasi-periodic, with mixing with the nonharmonically related oscillation frequency . The tripler response has also been analyzed versus the input frequency with the results of Fig. 7(a). The analysis has been carried out for constant input power dBm. As can be seen, both the output power and efficiency have smooth variation versus frequency. Measurements of the output power have been superimposed. The 3-dB frequency band is approximately 565–635 MHz. The efficiency is above 15% along this entire band. The maximum values of output power (15.5 dBm) and efficiency (24%) are obtained at the central design frequency MHz. The application of the stability analysis shows

Fig. 7. Frequency multiplier. (a) Variation of the output power at 3f and efficiency versus the input frequency f for P = 19:5 dBm. Measurements (o) have been superimposed. (b) Simulation results when considering statistical variations of the different circuit inductances within their tolerance limits. The output-power curves have been obtained for six different sets of inductance values.

stable behavior along the entire frequency band. To study the influence of the circuit-element modeling on the predicted frequency band, a simulation test has been carried out, considering statistical variations of the used inductances within their tolerance limits. The results for six different sets of inductance values are shown in Fig. 7(b). As can be seen, the inaccuracies give rise to a band shifting. The circuit performance is very sensitive to the resonant frequencies of the different tanks so, in hybrid technology, the use of tunable elements is advisable, as was done in [5]. Here we show what can be achieved without the use of tunable components. Except for the frequency shift, the results, in terms of efficiency and bandwidth, are close to those of [5]. IV. INTEGER-ORDER FREQUENCY DIVIDER A. Design of an Integer-Order Frequency Divider The possible backward use of frequency multipliers as frequency dividers is a remarkable property of parametric energy exchange circuits—they are, in some sense, bi-directional. Consider the case in which input drive is provided at , and output is desired at either or . Following the discussion in Section I, Fig. 2(c) shows how a diode may be “pumped” at with sustained oscillations at both and . In order to achieve output at (the divide-by-3 case) it is only necessary to terminate the current in a short circuit. Such termination still allows the voltage waveform shown in Fig. 2(c) across the

SUÁREZ AND MELVILLE: SIMULATION-ASSISTED DESIGN AND ANALYSIS OF VARACTOR-BASED FREQUENCY MULTIPLIERS AND DIVIDERS

varactor. For output at (the divide-by-3/2 case) the current at is terminated in a short circuit. However, when injected through the output port, the circuit might not directly work as a frequency divider. The original multiplier design might need some slight adjustments to achieve the desired divider performance. These adjustments will be changes in the bias voltage or small variations in an element value. A technique, based on the introduction of an AG into the circuit [23], is proposed here for these adjustments. This technique will be applied to adjust the circuit of Fig. 4 in order to obtain a divider-by-3 with 1800-MHz input frequency. For this purpose, an AG is introduced in parallel with one of the diodes. The AG operates at the oscillation frequency with amplitude and phase , and must be an open circuit at all other frequencies. Thus, an ideal filter is used in series with the AG. The AG must not influence the oscillatory solution of the circuit so it must exhibit a zero value of its current-to-voltage relationship [23]. This equation will be called the nonperturbation condition. Since a divided-by-3 regime is desired, the AG . The AG amplitude frequency is made equal to must ensure sufficient output power at the sub-harmonic frequency . The objective here was to obtain a frequency dividerby-3 with input frequency GHz and power dBm and low conversion loss. In the AG-based design process, the AG frequency is set to the divided-by-3 value MHz. The bias voltage and inductance have been found to be sensitive circuit parameters. Thus, is swept and, at each step, , , and are determined in order to fulfil the nonperturbation condition . Following this procedure, a set of different designs, each with different values of and , is obtained. The output power at varies with the imposed amplitude , which agrees with the voltage amplitude across the diodes at the sub-harmonic frequency. This output power variation, together with the required value, is shown in Fig. 8(a). Each point corresponds to a different a design, all of them performing the division-by-3 of the input frequency 1.8 GHz thanks to the use of the AG at MHz. The variation of in the same design process is shown in Fig. 8(b). The selected values for the definitive design were V and nH, corresponding to V and 5-dB conversion loss. The change in was essential to make the circuit operate as a frequency divider-by-3, as has been experimentally verified. B. Nonlinear Analysis and Experimental Characterization of the Integer-Order Frequency Divider For the analysis of the frequency divider-by-3, the AG is kept connected to the circuit, which avoids the HB default convergence to the solution with the input frequency as fundamental and no sub-harmonic oscillation. All the circuit elements are left constant and the AG amplitude and phase are determined in order to fulfill . When varying the input power, a bi-valued solution curve is obtained, as shown in Fig. 9. For each value, there are two frequency-divided solutions, merging at the turning point . In order to obtain this curve, a parameter-switching continuation technique has been applied. Around the turning point, the AG phase is swept

1171

Fig. 8. Design of the frequency divider-by-3 using an AG. The AG amplitude V is swept, calculating the bias voltage V and L at each step, = 0. (a) Variations of the output power at the in order to satisfy Y divider-by-3 frequency and the required V versus the imposed AG amplitude. (b) Corresponding variation of the inductance L .

to avoid the convergence difficulties associated with the infinite slope. The rest of the upper (lower) section of the curve is obtained through a simple power sweep. Note the relatively low value of the conversion loss. In addition, the dc power consumption is zero along the entire power-transfer curve as long as the diodes are not over-driven into forward conduction. Only solution points corresponding to the upper section of the curve in Fig. 9 are physically observed, which will be explained after a global stability analysis of the divider circuit along this curve. The measured output-power values have been superimposed. For input power below 19 dBm, spurious oscillations are experimentally observed, which will also be explained later in this section. Experimental results do not track simulation results exactly, which is attributed to imperfect models for the diodes and passive components, as well as imperfect modeling of the PCB substrate. This kind of difficulties is also mentioned in [7]. The analysis of Fig. 7(b) actually showed a significant dispersion of the solution curves when variations of the circuit elements within their tolerance limits are taken into account. In hybrid technology, the use of tunable elements should enable the experimental correction of these inaccuracies.

1172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 9. Frequency divider-by-3. Variation of the output power at f =3 versus input power for f = 1:8 GHz. Prior to the frequency division, the input frequency f mixes with an oscillation at f = f =3 for a short input-power interval. This gives rise to a quasi-periodic regime. The output power at the oscillation frequency f in quasi-periodic regime has been traced via the dashed line. Experimental points have been superimposed.



Fig. 10. Pole locus corresponding to the periodic solution Fig. 9(a) when varying the input power P around the turning point T P . The upper section of the solution curve is stable, while the lower section is unstable. The frequency of the complex-conjugate poles does not vary along the considered P interval and exactly agrees with f =3.

For the stability analysis, pole-zero identification has been applied along the bi-valued solution curve of Fig. 9 in a similar manner to what was explained in Section III-B. The resulting pole locus is shown in Fig. 10. As can be seen, the upper section is stable for the entire range considered in Fig. 9(a). In contrast, the lower section is unstable for the entire considered range. The lower section has a pair of complex conjugate poles on the right-hand side of the complex plane. Since the imaginary part of the poles agrees with the fundamental frequency, there should also be a real pole crossing the imaginary axis through zero at . This is because of the periodicity of the solution at , having a pole distribution of the form and , where ( to ) and ( to ) are, respectively, the real and complex-conjugate poles comprised in the frequency interval and is the harmonic index,

varying between to . Thus, a pair of complex conjugate poles crossing the imaginary axis at implies the crossing of a real pole through zero for the same value. This is in agreement with the singularity of the Jacobian matrix of the HB system at turning points [23]. As shown in [23], there are two different types of turning points: those giving rise to discontinuous jumps from one solution to another of the same or different type and those giving rise to synchronization. The synchronization-type turning points correspond to local/global saddle-node bifurcations [33], [34]. At these points, an oscillation is generated from the intersection of the manifolds of the stable and unstable periodic solution [33], merging at the turning point [see Fig. 9(a)]. A quasi-periodic solution is generated at this point, due to mixing of the already existing frequency with the newly generated self-oscillation at the frequency . For the analysis of the quasi-periodic regime, a two fundamental frequency basis must be considered. One of the fundamentals is the input frequency . The other is the oscillation frequency . To avoid undesired convergence toward nonoscilas only fundamental), a voltage AG is lating solutions (with introduced at the oscillation frequency . Both its frequency and amplitude must be calculated in order to prevent the AG influence over the steady-state oscillatory regime, which is ensured by imposing . Since is nonharmonically related to , the AG phase may be arbitrarily set to . Applying this technique, it has been possible to obtain the dashed-line curve of Fig. 9, which shows the evoluversus tion of the output power at the oscillation frequency the input power . For low input power, the circuit does not oscillate and no frequency division is obtained. The fundamental frequency of the circuit solution agrees with the frequency of the input source . However, at dBm, this solution at the input-drive frequency becomes unstable due to the onset of an oscillation at the frequency , which is very close to the divided-by-3 frequency . The circuit operates in a quasi-periodic regime at the two fundamental frequencies and . Note that the autonomous frequency will vary with the input power until synchronization takes place at the point TP. When approaching the synchronization point, the accuracy of the two-tone HB degrades because the two fundamentals are approaching a rational relationship. This is why the dashed line, corresponding to the quasi-periodic solution, ends beyond the turning point. The solution becomes very sensitive to the considered number of frequency components and, as shown in [23], the accuracy increases with the nonlinearity order. The mixer-like output-power spectrum for dBm is shown in Fig. 11(a). The tightly spaced spectral lines are due to the fact that the oscillation frequency is very close to the divided-by-3 frequency. The frequency continuously approaches along the dashed-line curve of Fig. 9(a) until synchronization occurs at the point TP, from which the relationship is fulfilled. Thus, a short interval with quasi-periodic behavior precedes the frequency division by order 3. This is consistent with the fact that a direct division to is unlikely. Note that the situation is different in frequency dividers-by-2 since the pair of poles is associated with

SUÁREZ AND MELVILLE: SIMULATION-ASSISTED DESIGN AND ANALYSIS OF VARACTOR-BASED FREQUENCY MULTIPLIERS AND DIVIDERS

Fig. 11. Simulated output-power spectrum of the frequency divider-by-3. The input frequency is f = 1:8 GHz. (a) P = 8 dBm. Quasi-periodic solution prior to synchronization. The tightly spaced lines are due to the fact that the oscillation frequency f is very close to f =3. (b) P = 16 dBm. Frequency division-by-3.

a real Floquet multiplier, crossing the unit circle through 1 when the frequency division takes place [23]. Thus, the multiplier simply moves along the real axis. The direct frequency division-by-3 would require the crossing of the unit circle by a pair of complex conjugate multipliers through exactly , which is unlikely. Fig. 11(b) shows the output-power spectrum for dBm with a clear frequency division-by-3. The output power is 14 dBm and the isolation of the input signal at is approximately 36 dB. The even harmonics of the divided frequency are cancelled due to diode balance. Similar results are obtained for the entire interval, which are considered in Fig. 9(a). The frequency-division band has been analyzed for the constant input power dBm. The resulting closed curve is represented in Fig. 12(a) in terms of the output power at the divided frequency . This curve has been obtained through a switching-parameter algorithm, sweeping the AG amplitude or phase , instead of the input frequency, about the infinite slope points. The operation bandwidth is limited by the synchronization-type turning points and .

1173

Fig. 12. Frequency band of the divider-by-3. (a) Simulated results. Variation of the output power at f =3 versus input frequency for P = 19:5 dBm. The solution is stable between the two synchronization points S and S . Outside this frequency interval, the solution is quasi-periodic with f mixing with the oscillation frequency f . (b) Experimental results with a shift in center frequency. In agreement with the simulation results, the solution is quasi-periodic outside the represented frequency interval.

Below these two synchronization points, the solution curve is unstable, as has been verified with pole-zero identification. The other two turning points on the left-hand side, and , are jump points occurring in the unstable section of the curve so they have no physical effect. Thus, the divider frequency band is 574–612 MHz. Outside this frequency interval, the circuit behaves in a quasi-periodic regime with the input frequency mixing with the nonsynchronized oscillation frequency . The experimental band with frequency division-by-3 is shown in Fig. 12(b). The obtained variation of output power exhibits good qualitative agreement with the stable section of Fig. 12(a). Again, discrepancies are attributed to imperfect modeling of the passive devices and the varactor diodes. As predicted by the simulations, spurious oscillations are observed experimentally outside the represented frequency interval. The circuit behavior prior to the synchronization point has been analyzed with the envelope-transient approach. In this technique, the circuit variables are expressed in a Fourier series with time-varying coefficients with and being the carrier frequency [35]–[37]. The harmonic components typically exhibit

1174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 13. Frequency divider-by-3. Envelope-transient simulation using the solutions in the upper half of the curve in Fig. 12(a) as initial conditions (interval T S ). The amplitude of the envelope of the sub-harmonic component f =3 has been analyzed. Constant amplitude, different from zero, indicates a stable divided-by-3 solution. Periodic envelopes indicate quasi-periodic behavior. The envelopes are periodic between T and S and are constant and different from zero S and S .

0

slow time variation compared to the carrier signal. When introducing these expressions in an HB formulation at , a set of differential equations in the slowly varying coefficients is obtained, which is solved through time integration at a typically much larger time step than the one that would be necessary for a full time-domain integration. For the application of the above technique to the parametric divider, the divided-by-3 frequency is taken as fundamental . Within the interval , the periodic solution obtained with HB through the AG technique, and corresponding to the upper half of the closed curve in Fig. 12, is used as initial condition for the system integration. The AG is connected to the circuit at the initial time only and, from this time instant (after the AG disconnection), the system is allowed to evolve according to its own dynamics [38]. In the interval , the amplitude of all the harmonic components reaches a constant value in steady state, which indicates a periodic solution. On the other hand, the amplitude at the harmonic component at is different from zero, as corresponds to a divided-by-3 regime. This can be seen in Fig. 13, where the envelope amplitude of the output voltage at the sub-harmonic frequency has been traced for three different values. In the interval , the divided-by-3 solution is unstable and the envelope shows a steady-state oscillation at , which is in agreement with the nonsynchronized state of the solution prior to the point [see Fig. 11(a)]. For the phase-noise analysis of the frequency divider, the conversion-matrix approach [39] has been applied. The noise perturbations give rise to sidebands with . For their calculation, the HB equations are linearized about the nonlinear steady state, replacing the nonlinear devices by their conversion matrixes and obtaining the linear-network matrixes at the sidebands. The noisy input generator is represented with an ideal source at and the two noise sidebands at . The AM noise of the input generator is neglected for the calculation. Noise contributions from the varactor diodes and resistive loss are also taken into account. The varactor noise model includes shot and Flicker noise sources [40], although their influence is small because of the low value of the dc current. The

Fig. 14. Frequency divider-by-3. Variation of the phase-noise spectral density of the output signal at f =3 versus the offset frequency, for P = 19:5 dBm and P = 1800 MHz. Close to the carrier, the phase-noise reduction agrees with 20 log 3.

Fig. 15. Frequency divider-by-3. Variation of the output power at f =3 versus the value of a resistance, introduced in series with the varactor diodes. The turning point TP suddenly leads to the nondivided regime. The analysis shows that the sensitivity to the quality factor is only moderate.

input and output phase-noise spectra for dBm and MHz are compared in Fig. 14. Due to the division order , a phase-noise reduction approximately 20 3 is expected [41], [42]. This is confirmed by the simulations, which show a phase-noise improvement of 9 dB close to the carrier. A similar result was obtained in measurements with a 9.4-dB phase-noise reduction at 100-kHz frequency offset. This “spot” measurement was performed using a spectrum analyzer (HP8566) with wide dynamic range and very narrow resolution filters; however, a dedicated phase-noise measurement system would be preferable. The sensitivity of the design to the quality factor of the resonant circuits has also been analyzed. Fig. 15 shows the variation of the divider output power versus a resistance, introduced in series with the varactor diodes. As can be seen, the output power remains relatively flat until the turning point TP is encountered, from which the oscillation becomes unstable. This is a jump point, suddenly leading to the nondivided regime, with as fundamental. The resistance range with frequency division is relatively large so the sensitivity to the quality factor is only moderate.

SUÁREZ AND MELVILLE: SIMULATION-ASSISTED DESIGN AND ANALYSIS OF VARACTOR-BASED FREQUENCY MULTIPLIERS AND DIVIDERS

1175

Fig. 16. First attempt at a divide-by-3/2 by running the circuit of Fig. 4 backward; performance was disappointing because of limited rejection of common-mode signals by the balun.

V. FRACTIONAL-ORDER FREQUENCY DIVIDER A. Fractional-Order Division and a Possible Application Parametric circuits can also achieve fractional-order frequency division at least over a relatively narrow bandwidth. For example, given an input signal at frequency , the circuit can generate a coherent signal at the frequency . As a possible application, consider a direct-conversion receiver with its local oscillator (LO) running at 900 MHz, driving a down-converting mixer. The radio is tuned to a desired signal at 900 MHz, but a strong signal keys up at 900.1 MHz. There may be sufficient leakage through the mixer so that the 900.1-MHz signal pulls the LO off frequency by injection locking [43]. The effect is to de-tune the radio so that reception of the desired signal is lost. One approach to reducing such pulling is to operate the LO at 1800 MHz and feed it pass it through a digital divide-by-2 before going into the mixer. However, digital frequency dividers are rather power hungry (although broad-band) and some oscillator pulling is possible even at the second harmonic. A much more robust scheme is to operate an oscillator at 1350 MHz and perform frequency division by the ratio 3/2. Pulling effects are effectively eliminated and the oscillator runs at a lower frequency. Wide division bandwidth is not needed in this application. B. Design of the Fractional-Order Divider We first tried to modify the circuit of Fig. 4, as shown in Fig. 16, for fractional-order division from 1800 to 1200 MHz. Note that the idler current at 600 MHz is simply shorted to ground. The performance was disappointing. The problem is the balun. In the circuit of Fig. 16, three currents flow through each diode at 600, 1200, and 1800 MHz. The 1200-MHz currents are in antiphase so it is natural to try to extract the 1200-MHz signal with a balun. However, a balun across the two diodes will be subject to common-mode signals at 600 and 1800 MHz, and must appear as a high impedance at these frequencies. The balun, therefore, must be very broadband: reject signals at 600 and 1800 MHz while passing signals at 1200 MHz. An alternative is the four diode bridge of Fig. 17(a) adapted from [2]. This is a practical rational-ratio divider taking input at 1350 MHz and providing output at 900 MHz. It uses baluns, but they only need be narrow-band. In this circuit, inductors

Fig. 17. Improved divide-by-3/2 circuit in which a four-diode balanced structure essentially eliminates the common-mode rejection requirements of the baluns. (a) Circuit schematic. The so-called “idler” current (see text) at 450 MHz flows through L . Biasing is discussed in the text. (b) Photograph of the circuit board.

and are chosen to series resonate at 900 MHz. Subject to bridge balance, current at only 900 MHz flows through and to the output balun. The input balun splits the 1350-MHz signal into antiphase drive for the other corners of the bridge. Inductors and provide series resonance at 1350 MHz. The traps , and , isolate the input circuit from the idler at 450 MHz. The idler current at 450 MHz flows through and the 1350-MHz trap and . This trap prevents the idler circuit from shorting out the antiphase drive at 1350 MHz. The output balun can be used to introduce the bias for the varactors through the output inductors. The dc return is completed through a center tap on the input balun. A photograph of the circuit board is shown in Fig. 17(b). The AG technique was used to select the optimum load impedance at the MHz output. An AG at the idler frequency MHz with amplitude and phase was connected in parallel with one of the diodes. was then swept from 0.15 to 6 V calculating, at each step, the AG phase and load resistance in order to satisfy the nonperturbation condition . When this

1176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 18. Design of the frequency divider-by-3/2 using an AG at the idler frequency f =3. The AG amplitude has been swept, representing the variation of the output power at 2=3f and the required value of the load resistance R . The intermediate value R = 25 with 10-dBm output power has been selected.

condition is fulfilled, the AG voltage agrees with the voltage at the diode node and the circuit behaves as a frequency divider. From this sweep, it has been possible to determine the output power at variation versus the voltage at the idler frequency . This has been represented in Fig. 18, together with the required value of the load resistance (see Fig. 18). The selected value was , providing 10-dBm output power in the middle of the oscillation range. The design works nicely driving 25 to ground from each of and . Hence, a 50- 1 : 1 balun can be used to match the output to a single ended 50- load. A 25- differential drive is a good match for the input at 1350 MHz so that another 1 : 1 balun can be used at the input. On the board shown in Fig. 17(b) there is no input balun. Instead, an external hybrid coupler was used to generate antiphase drive to a pair of quarter-wavelength transformers, which perform an impedance transformation down to 25 . Again, we stress the advantage of this design is that the baluns are not expected to reject out-of-band common-mode currents. Simulations also show that the bridge network is more broad-band than a two-diode network designed for the same frequency and can produce more output power before saturating. C. Nonlinear Analysis and Experimental Characterization of the Fractional-Order Divider For the analysis of the fractional-order frequency divide-by3/2, an AG is introduced at the idler frequency , connected in parallel with one of the varactor diodes, at a circuit location where this frequency component is present. As in Section IV-B, the AG prevents the HB default convergence to the nonoscillatory solution with as only fundamental. For fixed input power and frequency, the amplitude and phase of this AG must be calculated in order to fulfill the nonperturbation condition . In a first simulation, constant input frequency GHz has been considered. The output frequency is GHz. The output power of the divided regime at is represented, denoted by the solid line, in Fig. 19(a). As in the case of Fig. 9, the divided-by-3/2 solution starts with a turning point of synchronization type TP.

Fig. 19. Frequency divider-by-3/2. (a) Nonlinear analysis. Variation of = 1:350 GHz. the output power at 2=3f versus input power for f Prior to the frequency division, the input frequency f mixes with an oscillation at f = f =3 for a short input-power interval, which gives rise to quasi-periodic solutions. (b) Measurements. In good qualitative agreement with the simulations, the solution is quasi-periodic for input power below 21 dBm.



This turning point, at which a real pole crosses the imaginary axis through zero, separates a stable and an unstable section. Prior to the turning point, a quasi-periodic regime is obtained, in which the input frequency mixes with a self-oscillation at . Thus, the frequency division occurs by synchronization at the turning point TP. Note the relatively low conversion loss with nearly zero dc power consumption. The experimental power-transfer curve to is shown in Fig. 19(b) for GHz. As can be seen, there is good qualitative agreement with the stable section of Fig. 19(a). The spectrum for dBm is shown in Fig. 20(a). As can be seen, the isolation of the input signal at GHz and the idler frequency GHz are more than 60 dB in simulation. Similar values are obtained for the entire input-power range of Fig. 19(a). In the experimental spectrum for similar operation conditions [see Fig. 20(b)], the isolation is not as good—there is some feedthrough of the drive signal, but it is 40 dB below the desired output tone, as verified by the Spectrum Analyzer marker in “delta” mode. There is also some leakage of the idler tone, approximately 25 dB down from the output tone. Isolation of the input signal from the output is obtained by bridge balance and would be perfect for perfectly

SUÁREZ AND MELVILLE: SIMULATION-ASSISTED DESIGN AND ANALYSIS OF VARACTOR-BASED FREQUENCY MULTIPLIERS AND DIVIDERS

Fig. 20. Spectrum of the fractional-order divider for input power P = 19:5 dBm. (a) Simulated results. (b) Experimental results showing isolation of 40 dB.

matched diodes. Of course, in practice, slight differences among the four diodes compromise the perfect isolation. The variation of output power versus output frequency has also been analyzed considering three different values of input power. The resulting curve family is shown in Fig. 21(a). Each closed curve has been determining by sweeping the AG phase and calculating and . Since the synchronized solution curves do not exhibit any turning points versus this phase, each curve has been obtained with a single sweep on HB. The bandwidth percentage (approximately 4%) is remarkably larger than the one in [42] where the fractional-order division was achieved through ultra-subharmonic synchronization. As can be seen, this bandwidth increases with the input power. In Fig. 21(b), three experimental curves have been represented, which show the variation of the frequency division band with the input power. The qualitative behavior agrees with the simulation predictions. In overall, the discrepancy between simulated and measured frequencies is approximately 8%, which is reasonable, considering that untuned medium-tolerance components have been used. It must also be pointed out that the balun

1177

Fig. 21. Frequency divider-by-3/2. Variation of the output power at 2=3f versus the output frequency at three different values on input power. (a) Simulated results. A closed curve is obtained for each input-power value. Only the upper section of each closed solution curve (between the turning points) corresponds to stable behavior. (b) Experimental results along the stable sections.

loss was not taken into account for these simulations due to modeling difficulties. The circuits were tested over a reasonable variation in room temperature—18 C–24 C—with no variation in performance. For more in-depth investigation, statistical variations of the inductances within their tolerance limits have been considered. Fig. 22 shows the dispersion of the closed synchronization curve corresponding to dBm for five different sets of inductance values. As gathered from this analysis, the modeling inaccuracies justify the frequency-band shift and discrepancy in output power. Tunable elements would be required for the readjustment of the operation band. The phase-noise of the output signal at has also been analyzed using the conversion-matrix approach. Fig. 23 shows the comparison between the input and output phase-noise spectra for dBm and GHz. Due to the division order 3/2, the expected phase-noise reduction, close to the carrier, would be dB. However, in simulation, a reduction of approximately 6.5 dB was obtained. This is attributed to the coupled topology of the circuit. In coupled

1178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

obtained with harmonic-injection techniques. The attenuation of undesired frequency components is also higher. All circuits discussed in the paper have been fabricated and measured. Agreement between simulation and measurement is not perfect, but reasonable given that the only tunable parameter was diode bias. From a practical standpoint, we conclude that the simulation techniques presented here can predict the qualitative behavior of the circuits. However, some additional tunable elements would be needed to put a design “on frequency.” For future work, we would like to improve the measurements of phase noise (using a dedicated measurement system) and closely compare to simulation. Fig. 22. Variations of the synchronization band for P = 16 dBm when six different sets of inductive-element values are considered. These values are randomly generated within the tolerance limits.

ACKNOWLEDGMENT The authors gratefully acknowledge the detailed and useful discussions with R. Frye, RF Consulting, Piscataway, NJ, T. Banwell, Telcordia Research, Red Bank, NJ, S. Kenney, Georgia Institute of Technology, Atlanta, and J. Kruth, Space Science Center, Morehead, KY. Author Suarez would also like to acknowledge F. Ramirez, University of Cantabria, Santander, Spain, for his help. The passive components used for the experimental design were manufactured by Murata, which provided a comprehensive modeling tool. The varactor diodes were samples from Alpha Semiconductors, which also provided SPICE models. REFERENCES

Fig. 23. Frequency divider-by-3/2. Variation of the phase-noise spectral density of the output signal at 2=3f versus the offset frequency.

oscillators, phase-noise reductions of 10 , with being the number of oscillators, have been demonstrated [44]. Thus, the coupled topology of the circuit in Fig. 17 would provide an additional reduction of 10 2, which justifies the observed phase-noise improvement by approximately 6.5 dB. A more detailed and sophisticated analysis of the phase-noise performance will be attempted at a later time when we gain access to more accurate measurement equipment. VI. CONCLUSION In this paper, design techniques have been presented for varactor-based frequency multipliers and dividers of integer and fractional order. The techniques, intended for HB simulators, make use of AGs for design aid and global stability analysis. These techniques prevent spurious and anomalous behavior reported by other authors. The obtained circuits exhibit very high efficiency and low conversion loss compared with conventional designs. The isolation of the input signal is also very high. The varactor-based frequency divider by fractional order, presented here for the first time, shows broader bandwidth than the ones

[1] A. Van der Ziel, “On the mixing properties of nonlinear condensers,” J. Appl. Phys., vol. 19, p. 999, 1948. [2] P. Penfield and R. P. Rafuse, Varactor Applications. Cambridge, MA: MIT Press, 1962. [3] S. Ranganathan and Y. Tsividis, “Discrete-time parametric amplification based on a three-terminal MOS varactor: Analysis and experimental results,” IEEE J. Solid-State Circuits, vol. 38, no. 12, pp. 2087–2093, Dec. 2003. [4] J. P. Raskin, A. R. Brown, B. T. Yakub, and G. M. Rebeiz, “A novel parametric-effect MEMS amplifier,” J. Microelectromech. Syst., vol. 9, no. 6, pp. 528–537, Dec. 2000. [5] W. R. Avellino, “Efficient odd harmonic generation with opposingly biased varactor diodes,” Proc. IEEE, vol. 52, no. 7, pp. 868–869, Jul. 1964. [6] G. R. Sloan, “The modeling, analysis, and design of filter-based parametric frequency dividers,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 2, pp. 224–228, Feb. 1993. [7] A. Lipparini, E. Marrazzi, and V. Rizzoli, “A new approach to the computer aided design of nonlinear networks and its application to microwave parametric frequency dividers,” IEEE Trans. Microw. Theory Tech, vol. MTT-30, no. 7, pp. 1050–1058, Jul. 1982. [8] R. Harrison, “A broad-band frequency divider using microwave varactors,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1055–1059, Dec. 1977. [9] E. Bava, G. P. Bava, A. Godone, and G. Rietto, “Analysis of varactor frequency multipliers: Nonlinear behavior and hysteresis phenomena,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 2, pp. 141–147, Feb. 1979. [10] M. T. Faber, J. Chramiec, and M. E. Adamski, Microwave and Millimeter-Wave Diode Frequency Multipliers. Norwood, MA: Artech House, 1995. [11] A. Maestrini, J. Ward, J. Gill, G. Chattopadhyay, F. Maiwald, K. Ellis, H. Javadi, and I. Mehdi, “A planar-diode frequency tripler at 1.9 THz,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 747–750. [12] R. Meola, J. Freyer, and M. Claassen, “Improved frequency tripler with integrated single-barrier varactor,” Electron. Lett., vol. 36, no. 9, pp. 803–804, Apr. 27, 2000. [13] M. Saglam et al., “High performance 450-GHz GaAs-based heterostructure barrier varactor tripler,” IEEE Electron Device Lett., vol. 24, no. 3, pp. 138–140, Mar. 2003.

SUÁREZ AND MELVILLE: SIMULATION-ASSISTED DESIGN AND ANALYSIS OF VARACTOR-BASED FREQUENCY MULTIPLIERS AND DIVIDERS

[14] S. Hollung, J. Stake, L. Dillner, M. Ingvarson, and E. Kollberg, “A distributed heterostructure barrier varactor frequency tripler,” IEEE Microw. Guided Wave Lett., vol. 10, no. 1, pp. 24–26, Jan. 2000. [15] N. R. Erickson, R. P. Smith, S. C. Martin, B. Nakamura, and I. Mehdi, “High efficiency MMIC frequency triplers for millimeter and submillimeter wavelengths,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, pp. 1003–1006. [16] M. M. Driscoll, “Phase noise performance of analogue frequency dividers,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 37, no. 4, pp. 295–301, Jul. 1990. [17] T. Ikegami, S. Slyurasev, and S. Oshima, “Realization of a 3 : 1 optical frequency divider using a CW optical parametric oscillator,” in CLEO’99, 1999, pp. 10–11. [18] A. Douillet, J. J. Zondy, A. A. Yelisseyev, S. Lobaniv, and L. Isaenko, “Toward a 3 : 1 frequency divider based on parametric oscillation using AgGaS and PPLN crystals,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 47, no. 5, pp. 1127–1133, Sep. 2000. [19] S. A. Maas, Nonlinear Microwave Circuits. Norwood, MA: Artech House, 1988. [20] S. Basu, S. Maas, and T. Itoh, “Predicting the onset of instabilities in frequency multipliers,” in IEEE MTT-S Int. Microw. Symp. Dig., 1995, pp. 1511–1514. , “Stability analysis for large signal design of a microwave fre[21] quency doubler,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2890–2898, Dec. 1995. [22] G. Sarafian and B. Z. Kaplan, “The dynamics of parametric frequency divider and some of its practical implications,” in 19th Electr. Electron. Conv., 1996, pp. 523–526. [23] A. Suárez and R. Queré, Global Stability Analysis of Microwave Circuits. Norwood, MA: Artech House, 2003. [24] J. Jugo, J. Portilla, A. Anakabe, A. Suárez, and J. M. Collantes, “Closedloop stability analysis of microwave amplifiers,” Electron. Lett., vol. 37, pp. 226–228, Feb. 2003. [25] V. Iglesias, A. Suárez, and J. L. García, “New technique for the determination through commercial software of the stable-operation parameter ranges in nonlinear microwave circuits,” IEEE Microw. Guided Wave Lett., vol. 8, no. 12, pp. 424–426, Dec. 1998. [26] A. Anakabe, J. M. Collantes, J. Portilla, J. Jugo, S. Mons, A. Mallet, and L. Lapierre, “Analysis of odd-mode parametric oscillations in HBT multi-stage power amplifiers,” in Eur. Microw. Conf./11th GaAs Symp., Munich, Germany, Oct. 2003, pp. 533–536. [27] L. Mandelstam and N. Papalexi, “Ueber Resonanzerscheinungen bei Frequenzteilung, on resonance phenomena in frequency division,” Z. Phys., vol. 73, 1932. [28] R. J. Van de Graaff, K. T. Compton, and L. C. Van Atta, “The electrostatic production of high voltage for nuclear investigations,” Phys. Rev., vol. 43, no. 3, pp. 149–157, Feb. 1933. [29] M. E. Hines, “The virtues of nonlinearity—Detection, frequency conversion, parametric amplification and harmonic generation,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 9, pp. 1097–1984, Sep. 1984. [30] V. Manassewitsch, Frequency Synthesizers, Theory and Design. New York: Wiley, 1987. [31] Y. C. Park, R. C. Melville, R. C. Frye, and J. S. Kenney, “Dual-band transmitters using digitally predistorted frequency multipliers for reconfigurable radios,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 115–122, Jan. 2005. [32] H. A. Watson, Ed., Microwave Semiconductor Devices and Their Circuit Applications. New York: McGraw-Hill, 1969. [33] J. M. T. Thompson and H. B. Stewart, Nonlinear Dynamics and Chaos. New York: Wiley, 1986. [34] J. Guckenheimer and P. Holmes, Nonlinear Oscillations, Dynamical Systems and Bifurcations of Vector Fields. Berlin, Germany: Springer-Verlag, 1990. [35] D. Sharrit, “New methods of analysis of communication systems,” presented at the IEEE MTT-S Nonlinear Comput.-Aided Des. Workshop, San Francisco, CA, Jun. 17, 1996. [36] E. Ngoya and R. Larcheveque, “Envelope transient analysis: A new method for the transient and steady state analysis of microwave communication circuits and systems,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 1996, pp. 1365–1368.

1179

[37] J. C. Pedro and N. B. Carvalho, “Simulation of RF circuits driven by modulated signals without bandwidth constraints,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, pp. 2173–2176. [38] E. de Cos, A. Suárez, and S. Sancho, “Envelope transient analysis of self-oscillating mixers,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1090–1100, Apr. 2004. [39] V. Rizzoli, F. Mastri, and D. Masotti, “General noise analysis of nonlinear microwave circuits by the piecewise harmonic-balance technique,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 5, pp. 807–819, May 1994. [40] P. Antognetti and G. Massobrio, Semiconductor Device Modeling With SPICE, 2nd ed. New York: McGraw-Hill, 1993. [41] U. L. Rohde, Microwave and Wireless Synthesizers. Theory and Design. New York: Wiley, 1997. [42] F. Ramirez, M. E. de Cos, and A. Suárez, “Nonlinear analysis tools for the optimized design of harmonic-injection dividers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1752–1762, Jun. 2003. [43] R. A. Adler, “A study of locking phenomena in oscillators,” Proc. IRE, vol. 61, no. 6, pp. 351–357, Jun. 1946. [44] U. L. Rohde, A. K. Poddar, J. Schoepf, R. Rebel, and P. Patel, “Low noise low cost ultra wideband -push VCO,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 1171–1174.

N

Almudena Suárez (M’96–SM’01) was born in Santander, Spain. She received the Electronic Physics and Ph.D. degrees from the University of Cantabria, Santander, Spain, in 1987 and 1992, respectively, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1993. In 1987, she joined the Electronics Department, University of Cantabria, where she was involved with nonlinear simulation. From May 1990 to December 1992, she was on leave with the Institute de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges. Since 1993, she has been an Associate Professor (permanent since June 1995) with the Communications Engineering Department, University of Cantabria. She coauthored Stability Analysis of Microwave Circuits (Artech House, 2003). Her areas of interest include the nonlinear design of microwave circuits, especially the nonlinear stability and phase-noise analysis and the investigation of chaotic regimes.

Robert Melville (S’75–M’76) received the B.S. degree in computer science from the University of Delaware, Newark, in 1978, and the Ph.D. degree in computer science from Cornell University, Ithaca, NY, in 1981. From 1981 to 1984, he was a junior faculty member with The Johns Hopkins University, Baltimore, MD. In 1985, he joined Bell Laboratories, where he was involved with computer-aided design and numerical simulation for electronic circuits. In 1994, he became Distinguished Member of Technical Staff for his research on efficient simulation of large nonlinear circuits. He then transferred to a research group working on wireless design. In 2003, he became a Senior Lecturer with the Department of Electrical Engineering, Columbia University, where he taught graduate and undergraduate courses in circuit design and electronic measurement. He is currently involved with the U.S. Antarctic Program, Raytheon Polar Service Company, Centennial, CO. Dr. Melville has served as a referee for the IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS, the IEEE JOURNAL OF SOLID-STATE CIRCUIT, and numerous other professional publications. In the spring of 2002, he co-organized a conference on numerical circuit simulation at Sandia Laboratories.

1180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Precision Measurement Method for Cryogenic Amplifier Noise Temperatures Below 5 K James Randa, Senior Member, IEEE, Eyal Gerecht, Member, IEEE, Dazhen Gu, Student Member, IEEE, and Robert L. Billinger

Abstract—We report precision measurements of the effective input noise temperature of a cryogenic (liquid-helium temperature) monolithic-microwave integrated-circuit amplifier at the amplifier reference planes within the cryostat. A method is given for characterizing and removing the effect of the transmission lines between the amplifier reference planes and the input and output connectors of the cryostat. In conjunction with careful noise measurements, this method enables us to measure amplifier noise temperatures below 5 K with an uncertainty of 0.3 K. The particular amplifier that was measured exhibits a noise temperature below 5.5 K from 1 to 11 GHz, attaining a minimum value of 2.3 K 0.3 K at 7 GHz. This corresponds to a noise figure of 0.034 dB 0.004 dB. The measured amplifier gain is between 33.4 dB 0.3 dB and 35.8 dB 0.3 dB over the 1–12-GHz range. Index Terms—Amplifier noise, cryogenic monolithic-microwave integrated-circuit (MMIC) amplifier, noise measurement, noise temperature, thermal noise.

I. INTRODUCTION A. Background and Motivation

C

RYOGENIC low-noise amplifiers (LNAs) have been important in radio astronomy for some time [1], [2], [3]. They have been used as the first stage of receivers at microwave frequencies and in IF sections following mixers at millimeter-wave and sub-millimeter-wave frequencies. Recently, they have assumed added importance as IF amplifiers in terahertz applications. The development over the past decade of near-quantumlimited heterodyne detectors for terahertz frequencies, such as hot electron bolometer (HEB) receivers [4], has made possible very low noise receivers for a range of terahertz applications, including imaging utilizing multipixel focal plane arrays (FPAs) for biomedical and homeland security uses, and spectroscopy instrumentation for biomedical applications and astrophysical observations. In all these applications, whether in past or present radio astronomy or in terahertz receivers for terrestrial applications, the noise performance of the LNA is crucial. The need for ultra-low-noise (less than 15 K) amplifiers in these applications has driven the development of a new family of cryogenic amplifiers. The use of InP high electron-mobility transistors

Manuscript received August 16, 2005; revised November 12, 2005. This work was supported in part by the U.S. Department of Commerce under the National Institute of Standards and Technology Advanced Technology Program. The authors are with the Electromagnetics Division, National Institute of Standards and Technology, Boulder, CO 80305 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864107

Fig. 1. Cryogenic InP HEMT LNA.

(HEMTs) and recent advances in modeling and fabrication technologies have resulted in monolithic-microwave integrated-circuit (MMIC) LNAs with remarkable noise performance (less than 10 K) and low dc-power consumption [5]–[7]. Characterization of the noise properties of these and other cryogenic LNAs presents a significant measurement challenge in terms of accounting for the small noise contributions from components mismatches and losses. Current methods have typical uncertainties of approximately 1 K or more [7]. This paper demonstrates a method for accurate measurements of the very low noise temperatures (below 5 K) that can be achieved by present cryogenic LNAs. The method is not quick, efficient, or easy; but it does offer very small uncertainties. At frequencies for which the “matched” loads have small reflection coefficients, the standard uncertainty (1 ) in the amplifier’s noise temperature is 0.3 K. The method uses measurements on the external room-temperature ports of the cryostat to determine the gain between the two cryostat ports. A series of separate measurements is used to characterize the lines between the amplifier and cryostat’s external ports. The amplifier’s noise temperature is then determined by measurements with an internal liquid-helium-temperature matched load on the input of the amplifier. B. Amplifier The MMIC LNA chip under test was developed by Weinreb and Wadefalk at the Jet Propulsion Laboratory (JPL), California Institute of Technology, Pasadena. This amplifier has three stages of InP transistors with 0.1- m gate length, demonstrated gain of approximately 10 dB per stage, and total noise temperature expected to be less than 10 K throughout the 1–10-GHz band and relatively independent of bias settings [7], [8]. Fig. 1 shows the MMIC chip (size 0.75 2 mm). The three capacitors shown on top are the pads for dc bias of the transistors. Both the input and output pads are wire (ribbon) bonded to microstrip transmission lines placed at either end of the circuit.

U.S. Government work not protected by U.S. copyright.

RANDA et al.: PRECISION MEASUREMENT METHOD FOR CRYOGENIC AMPLIFIER NOISE TEMPERATURES BELOW 5 K

1181

Fig. 3. Configuration and reference planes for amplifier and cryostat. NS denotes noise source.

Fig. 2. Cryogenic measurement setup for the MMIC LNA. The LNA is in the center under the metal strap.

The MMIC LNA chip is mounted in an amplifier block with input and output subminiature A (SMA) connectors. The amplifier block is mounted on the cold plate with indium sheets to optimize the thermal contact. The cold plate and, therefore, the amplifier block are cooled to an ambient temperature of 4.1 K in a cryogenic Dewar (see Fig. 2). II. THEORY A. Noise Temperature At the outset, we should clarify the definition of the amplifier noise temperature that we will use. In many amplifier noise measurements at microwave frequencies, one can ignore some technicalities with impunity, but for the very small temperatures and even smaller uncertainties that we will deal with, it is necessary to exercise extra care. The basic defining equation is that the output noise temperature is given by (1) is the noise where is the available gain of the amplifier, temperature of the input termination, is the effective input noise temperature of the amplifier, is Planck’s constant, is the frequency, and is the Boltzmann’s constant. The available gain and the effective input noise temperature both depend on the reflection coefficient (or impedance) of the input termination and are also a function of frequency. In the present measurements, we consider only the case of matched (reflectionless) input terminations for the amplifier so that the measured amplifier noise temperature corresponds to the noise figure for reflectionless input terminations. The temperatures appearing in (1) and elsewhere throughout this paper are noise temperatures rather than physical temperatures unless otherwise stated. They are defined as the available noise spectral power divided by Boltzmann’s constant so that, for a passive termination, the noise temperature is given by the familiar Planck form (2) where

is the physical temperature.

The aspect of (1) that may be unfamiliar to some is the apterm. This is the contribution to the pearance of the input noise due to quantum vacuum fluctuations [9]–[11]. For convenience, we define . Normally it is not a concern at microwave frequencies, and certainly not in the 1–12-GHz range, where ranges from 0.024 to 0.29 K. However, since we are dealing with such low temperatures, and because our uncertainties will be about 0.3 K, it is necessary to account for this term. There is some question whether should be included in the input noise temperature or whether it should be attributed to the amplifier and included in . We adopt the convention that it is present at the input of the amplifier [12] so that it is not included in . We depart from [12] in that we do not include in the noise temperature of a passive termination (2) and, therefore, it appears explicitly in (1). This difference has no effect on the results. B. Calculations We consider the case of matched (i.e., reflectionless) input terminations, and we refer to the amplifier’s effective input noise temperature for this case as . Before immersing ourselves in algebraic details, we preview the general measurement plan. Figs. 3 and 4 show the measurement configurations to be used. We shall refer to a configuration by the number of the figure representing it. A standard hot/cold measurement (detailed below) on configuration 3 is used to determine the gain at the cryostat ports (outside the cryostat at room temperature). A similar hot/cold measurement on configuration 4(a) determines the losses in the lines, permitting us to extract the amplifier gain from the gain at the cryostat ports. Configurations 4(b) and (c) are used to measure how much noise is added by each section of transmission line within the cryostat. Finally, in configurations 4(d) and (e), a matched load at liquid-helium temperature is connected directly to the amplifier’s input, which allows us to measure , given the earlier measurements of amplifier gain and the characteristics of the transmission lines. The relevant reference planes are shown in Fig. 3. Planes and are the input and output planes of the cryostat, at room temperature, and planes 1 and 2 are at the input and output of the amplifier, within the cryostat and, therefore, at liquid-helium temperature. The cryostat planes and are accessible for measurements, whereas we wish to determine the amplifier gain and noise temperature at the amplifier planes 1 and 2. We must therefore characterize the transmission lines between and 1 and between 2 and , and we must correct for their effects.

1182

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

to another. In practice, the matched loads are far from perfect, of the amplifier can be sizable. particularly at 4.1 K and We must therefore exercise some care for the ’s occurring in Figs. 3 and 4. The required care is exercised in the Appendix, where we show

(4) and are the values for configuration 4(a). where In Fig. 3, if a reflectionless noise source of noise temperature is connected to plane , then the noise at the output plane will be given by

Fig. 4. Additional measurement configurations. ML denotes matched load and NS denotes noise source.

The small blocks near planes 1 and 2 represent the location of the internal connectors relative to those planes. Since we will measure output noise temperatures at plane , and we will use known input noise temperatures at plane , the characterization that is required is the relationship between noise temperatures at and 1, and at and 2. The noise temperature at ( ) is related to that at 2 ( ) by (3) where is the available-power ratio from plane 2 to plane , and is the cumulative noise added by the line in going from liquid-helium temperature at plane 2 to room temperature at plane . An important point is that depends on the direction: it is different going from 2 to from what it is going from to 2. This may seem counter-intuitive at first, but it becomes less so if one considers the case of two attenuators at different temperatures and computes the output noise temperature in the two directions for the same input noise temperature. (It is similar to computing the noise temperature of cascaded amplifiers for different ordering of the amplifiers.) To deal with the direction dependence, we adopt the convention that the unprimed and will be used for the direction from inside the cryostat to the outside (plane 1 to plane , and 2 to ), and and will be used for the opposite direction, from outside in. In general, also depends on the direction, and in particular it depends on the reflection coefficient of the termination of the input end. In principle, we are working with matched terminations so that the input reflection coefficients should be very near zero, and and will not change from one configuration

(5) where is the available gain of the amplifier (between planes 1 and 2) for . (We neglect the small reflections introduced by the connections at plane and to the left of plane 1 so that a reflectionless input termination at results in a reflectionless termination at the amplifier’s input plane 1.) The quantities of interest in (5) are and , which must be disentangled from the line parameters , , , and . Measurements with two different input noise sources and , as in the standard -factor method, allow determination of the gain at the cryostat ports . We then use (4) to relate to and obtain (6)

and refer to configuration 3 with hot and where cold input noise sources. We could also determine the intercept , but we do not use that information. To obtain from (6), we must then determine . The configurations for the additional measurements are shown in Fig. 4. Fig. 4(a) is similar to Fig. 3, but with the amplifier replaced by a short through section. For a noise temperature connected at port , the noise temperature at port is given by (7) where we neglect any loss in the short section of through (at liquid-helium temperature) between planes 1 and 2 (a very good

RANDA et al.: PRECISION MEASUREMENT METHOD FOR CRYOGENIC AMPLIFIER NOISE TEMPERATURES BELOW 5 K

assumption based on measurements of the through section at room temperature). Measurement of this configuration with two different values of allows us to determine (8) It also determines , but again, we will not use this. As a check, this configuration is measured in both directions, measuring the noise temperatures at for two different noise sources connected at , and measuring the noise temperatures at for two different noise sources connected at . We then can use (8) in conjunction with (6) to determine the amplifier gain . In Fig. 4(b) and (c), a matched load is connected directly to one of the internal connectors, either plane 1 or plane 2. In these cases, the output noise temperatures are given by

(9) is the liquid-helium temperature, as measured by the where GRT. Since is small, (9) provides a good direct determination of and even if the uncertainties in and are rather large, provided that we can measure and well. In fact, we shall see in the uncertainty analysis below that it is sufficient to use in (9). For and , we then have

(10) where is given by (8). In Fig. 4(d) and (e), a matched load is connected to the input of the amplifier, inside the cryostat. The output noise temperatures in these cases are given by

(11) Since we can determine the product good method for measuring and the two equations of (11) to yield

, but we do not have a individually, we combine

(12) , The preceding measurements have already determined , , and , and thus we can use (12) to determine the amplifier’s effective input noise temperature

(13) where

is related to

by (4).

1183

III. MEASUREMENTS A. Setup and Procedures The input and output ports of the cryostat, as well as the internal ports, had SMA connectors. Adapters were connected to the SMA input and output ports of the cryostat so that all measurements were made with GPC-7 connectors. This was done to minimize concerns about connector repeatability. These adapters remained in place throughout the course of the measurements; they were not removed and reconnected. Since the amplifier itself had SMA connectors, the internal connectors of the cryostat (near planes 1 and 2) were left as SMA. Water jackets were constructed and fitted around the input and output ports of the cryostat to stabilize and maintain these ports at room temperature (23 C physical temperature). Internal cables of the cryostat were ordinary stainless-steel semirigid cables with Teflon dielectric. The noise measurements were all performed on the National Institute of Standards and Technology (NIST) coaxial radiometer NFRad [13], and therefore the measurand was the noise temperature. NFRad is an isolated double-sideband total-power radiometer that compares the noise power from the device-under-test to that from two primary standards, one at liquid-nitrogen temperature and one near ambient temperature. Full corrections are made for mismatches and path differences. The mixing is performed at baseband, and the bandwidth of each sideband is 5 MHz. The system noise temperature and gain depend on frequency; typical values are a gain of 100 dB and a noise temperature of 450 K at 8 GHz. The standard uncertainty in the noise-temperature measurement depends on the noise temperature. For noise temperatures in the 1000–15 000-K range, the standard uncertainty is about 0.4% or 0.5% of the noise temperature. For higher temperatures, such as those at the output of the amplifier, a characterized attenuator must be used at the radiometer input, increasing the uncertainty somewhat. For noise temperatures below 100 K, the standard uncertainty is typically between 1.0 and 1.5 K. The noise measurements required that we first measure relevant reflection coefficients. These measurements were performed using a commercial vector network analyzer (VNA). The procedure was to first cool the cryostat until the temperature of the internal cold plate stabilized at about 4.1 K. The temperature was measured by a germanium resistance thermometer (GRT) mounted on the cold plate. A silicon thermometer was also mounted on the plate and was used for verification purposes. For measurement configurations that included the amplifier, the amplifier was always biased with the same gate and drain voltages ( V, V), resulting in an operating current of about 17 mA, which corresponds to the maximum gain. The reflection coefficient at the measurement planes ( and/or ) were then measured on the VNA for each of the input sources to be used. For the amplifier measurements of Fig. 3, two input sources were used, a matched load at room temperature and a cryogenic (liquid nitrogen) noise source, whose noise temperature was measured separately. Two different input noise sources were also used in the line measurements of Fig. 4(a), but in this case, they

1184

Fig. 5. Measurement results for measurements.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

G( G(OI )) from noise and VNA

were the ambient temperature matched load and a commercial diode noise source, whose noise temperatures we had previously measured at the frequencies of interest. After the VNA measurements, the cryostat was moved to NFRad for the noise measurements. Measurements were made from 1 to 12 GHz at 1-GHz intervals. NFRad uses four different receiving modules to cover 1–12 GHz, with the break points occurring at 2, 4, and 8 GHz. At these three overlap frequencies, all measurements were performed with both receiver modules as a check of consistency and repeatability. In principle, the measurement configurations of Figs. 3 and 4 require four different internal configurations of the cryostat and thus could be accomplished with four separate cooling-warming cycles. The first cycle can be devoted to the hot/cold measurements on configuration 3, and the second cycle can be used for the hot/cold measurements on configuration 4(a). Configurations 4(b) and (e) can be accommodated simultaneously in the cryostat, and therefore they both can be measured in the third cooling-warming cycle. And finally, configurations 4(c) and (d) can also coexist simultaneously in the cryostat, and therefore they both can be measured in the fourth cooling-warming cycle. The ordering of the four cycles is not important, but we chose to measure configuration 3 first, in order to verify that the amplifier was operating as expected. (In practice, of course, more than four cooling–warming cycles were needed due to scheduling, availability of liquid helium, etc.) B. Results and Checks Measurements on configuration 3, with two different input noise sources, yield values for from (6). As a check of our results, we also measured the -parameters at cryostat ports and , from which we computed the available gain, from to , which should be approximately equal to , for a matched termination on . Fig. 5 plots the results for both (labeled “noise measurement”) and for two separate VNA measurements of , taken about one month apart (and labeled “VNA”). In this and subsequent graphs, the error bars correspond to the

Fig. 6. Measurement results for measurements.



(dimensionless), from noise and VNA

Fig. 7. Measurement results for the amplifier gain between planes 1 and 2.

standard uncertainty (1 ) [14]. For the noise measurement, the uncertainty analysis is given in Section III-C. The VNA uncertainties are estimates based on the manufacturer’s values. The manufacturer’s values extend only up to gains of 10 dB, where they are about 0.1 dB. The values used in Fig. 5 are 0.2 dB. The noise and VNA results are generally in good or very good agreement, except at 12 GHz, where they differ by about 0.9 dB. In a similar manner, measurements on configuration 4(a), using two different input noise sources, can be used in (8) to determine . In the Appendix, we show that should be approximately independent of direction ( to versus to ), and so we measured it in both directions as a check. As an additional check, the -parameters between and were measured with the VNA from 1 to 4 GHz, and the available gain was computed, similar to the treatment above of . All three sets of results are plotted in Fig. 6. Error bars are not shown because they are the approximate size of the symbols. Very good agreement is seen, except at 11 and 12 GHz, where the difference between the measurements in the two directions is 0.02 and 0.03. This discrepancy will be discussed below when we treat the uncertainties. The value of

RANDA et al.: PRECISION MEASUREMENT METHOD FOR CRYOGENIC AMPLIFIER NOISE TEMPERATURES BELOW 5 K

Fig. 8. Measurement results for

1T

and

1T .

used in the rest of the computations is the average of the measurements in the two directions. Combining the results for with those for , we can compute , which is plotted in Fig. 7. The gain is between 33 and 36 dB throughout the measurement range, and the measurement uncertainties are about 0.15 dB. The values of and were determined from measurements on configurations 4(b) and (c), using (10), and they are plotted in Fig. 8. Two independent measurements of were performed, with a disconnect/reconnect at plane 1, in order to test the repeatability of the connections and measurements. The average of those two measurements is shown in Fig. 8, and the difference is included as a type-A uncertainty. The relatively large error bar on at 12 GHz is due to a disparity between the two measurements. Both and are seen to increase with frequency from about 25 K at 1 GHz to about 75 K at 12 GHz. The values for and are nearly equal, except from 5 to 7 GHz and at 12 GHz. The disparity from 5 to 7 GHz is suspicious. It could be due to a real difference between the two lines or it could be due to a faulty internal connection or some other problem with one measurement. Fortunately, a difference of 10 or 20 K in or has virtually no effect on our results for . This can be seen by referring to (11) and (13). and enter only as relatively small corrections to and , both of which are in the range of 15 000–20 000 K and have uncertainties in excess of 100 K. Consequently, we need to know and only to within about 50 K. Finally, the measurements on configurations 4(d) and (e) are used in (13), along with the values already obtained for , , , and , to obtain the amplifier’s effective input noise temperature, which is plotted in Fig. 9. The measured noise temperature is below 5.5 K from 1 to 11 GHz, rising to about 9 K at 12 GHz. The lowest value is attained at 7 GHz where K K. (For those accustomed to noise figure in decibels, this corresponds to 0.034 dB 0.004 dB.) Uncertainties throughout the range are approximately 0.3 K at frequencies were the matching was good, and higher at frequencies where the matching was poor. The measurement results for and are tabulated in Table I. The uncertainties in this table are

1185

Fig. 9. Effective input noise temperature of amplifier as a function of frequency.

RESULTS FOR

G AND T

TABLE I WITH STANDARD UNCERTAINTIES (1 )



the standard uncertainties, corresponding to one standard deviation, computed in accordance with [14]. Care should be taken in comparing uncertainties from other sources, who often quote “3- ” uncertainties. In such comparisons, one should also be careful that all major components of uncertainty are included. C. Uncertainties When measuring such small amplifier noise temperatures, it is obviously essential to evaluate and understand the uncertainties. A detailed uncertainty analysis was performed for both and ; here we present only a summary of the most important components. We determined from the ratio of , using (6), with determined from (8). In addition, there are possible errors due to the fact that we assume, but obviously cannot achieve, perfect matching conditions. This is an important, even dominant, contribution to the uncertainty [15]. The relative uncertainty in is therefore given by (14) is the uncertainty in due to imperfect where matching. We estimated by taking the difference be-

1186

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

tween the full expression for available gain and the expression for the matched case using measured magnitudes of reflection coefficients or reasonable approximations, and averaging (rms) over relative phases. This leads to a value for of between 0.6% and 6%, depending on frequency. The uncertainty in , , is the other major contribution to (14). If we let and , where K is the ambient temperature, then from (6) the fractional uncertainty in takes the form

(15) where again refers to the uncertainty due to imperfect matching conditions. The term due to imperfect matching is estimated in the same manner as in (14), and this fractional uncertainty is found to be between 1% and 7%. The other major in (15) is , and it, is domicontribution to nated by the uncertainty in , . The output noise temperatures and were measured on the NIST coaxial radiometer NFRad [11], through a 20-dB attenuator, in order to keep the output temperature in the linear range of the radiometer. The fractional type-B uncertainties in these measurements, including the uncertainty introduced by the attenuator, are about 0.6%. However, at the “overlap points” (2, 4, and 8 GHz), where the measurements were repeated, using two different receiving modules, the difference between the two measurements was often significantly larger than 0.6%. To account for this, we added an effective type-A uncertainty equal to the average magnitude of the difference for the given set of measurements. This type-A fractional uncertainty was 2% for and . This resulted in a fractional uncertainty of 3%–4% in and therefore in as well. Combining the components in (15) yields a fractional uncertainty of 3%–8% in . A similar analysis applied to , as determined by (8), indicates that the fractional uncertainty in is approximately 0.5%, which is small compared to the rest of (14). Referring back to (14), we see that is approximately equal to the root sum of squares of and . This yields a fractional uncertainty from 3% to about 8%, in approximate agreement with Table I. In computing in (13), we use , thus writing in terms of quantities ( and ) that are independently measured. This avoids the strong correlations that would be present between the errors in and . The uncertainty in is then obtained from

(16)

is negligible, , and we can get directly from (16). From the discussion of above, we know that is about 3%–8%, and is considerably smaller than that. The first two terms on the right-hand side of (16) are both roughly , so that neglecting the matching uncertainties, K. To this we must add the effect of possible errors due to imperfections of the matched loads . The uncertainty in due to imperfect matching conditions is difficult to estimate because we do not know the full noise parameters of the amplifier under test. We can, however, make reasonable estimates of their magnitudes based on the measured value of . Those estimates led us to use Since the uncertainty in

(17) which ranges from less than 1% to 8.5% over the 1–12-GHz range. This uncertainty was added in quadrature with the value of obtained from (16), resulting in the uncertainties of Table I. For frequencies at which is sufficiently small, the uncertainty is 0.3 K; at other frequencies, (17) is appreciable or even dominant. D. Discussion Repeatability of connectors and cables under cryogenic conditions may have caused some problems. In particular, the 2% differences seen between measurements of the amplifier output at the same frequency with two different receiving modules may have been due to the connectors or cables, since measurements in the different bands were made several weeks apart and involved breaking of connections and heating and recooling. (Usually the repeatability of such measurements is about 0.5% or better.) In future measurements, we will try to use PC-3.5 connectors in place of the SMA connectors used in these measurements. We will also attempt to use better cables and matched loads in order to achieve the best uncertainties over a wider range of frequencies. Since the amplifier must be measured in three different configurations, and therefore in at least three different cooling/warming cycles, it is important that the amplifier’s properties remain the same from cycle to cycle. We did not systematically study this question, but there is considerable evidence that the amplifier properties repeat well. The two sets of VNA measurements in Fig. 5 were made a month apart and agree very well with each other. Also, noise measurements at the radiometer’s overlap frequencies (2, 4, and 8 GHz) were often made in different cooling/warming cycles on the different receiver modules. The 2% differences noted above could have been due at least in part to changes in the amplifier. However, differences between the two measurements are included in the overall uncertainties. Thus, in Figs. 5, 7, and 9, the fact that the uncertainties at 2, 4, and 8 GHz are not noticeably larger than at other frequencies is an indication that any variation in the amplifier’s properties from one cycle to another is small compared to other uncertainties.

RANDA et al.: PRECISION MEASUREMENT METHOD FOR CRYOGENIC AMPLIFIER NOISE TEMPERATURES BELOW 5 K

A key point is the temperature of the matched load on the amplifier input in configurations 4(d) and (e). The matched load is immersed in liquid helium and connected directly to the amplifier input, and the amplifier block is mounted through indium sheets on the cold plate. The temperature of the cold plate is monitored by the GRT, with an uncertainty of about 0.05 K, and is checked by the silicon thermometer. Consequently, the temperature of the matched load is known very well. Furthermore, in configurations 4(d) and (e), there is no cable connecting the matched load to an external port, and thus there is no danger of the center conductor heating the matched load. This is an attractive feature of the present method. For cables connected to an external port [see configurations 3 and 4(a)–(c)], the temperature of the center conductor may be higher than 4.1 K because the center conductor is not in contact with the liquid helium, and the thermal contact between the inner and outer conductor may not be very good, but configurations 4(b) and (c) are only used to determine and , where relatively large errors in the temperature of the matched load can be tolerated. And configuration 4(a) is used to correct for the line losses in configuration 3, so we only need the line temperatures to be the same in the two configurations. An obvious question that arises is why we were able to achieve such small uncertainties in measuring the amplifier’s noise temperature. The key point is the use of a liquid-helium temperature matched load directly on the input of the amplifier, and the measurement of the output noise through both (input and output) cryostat lines [see Fig. 4(d) and (e)]. This provides a very accurately known input noise temperature, and the uncertainties in the gain and line characterization enter as fractional uncertainties, contributing to the fractional uncertainty in . The gain and line loss can be measured to within a few percent with accurate noise measurements. Our fractional uncertainty in is actually rather large, but because is so small, the absolute uncertainty is small. It also helps that the method is not very sensitive to the noise added by the lines, and , which are difficult to measure accurately. Some aspects of the present method could be used to improve the accuracy of other methods, such as those used in [7]. Careful characterization of, and correction for, the noise properties of the cables would reduce the uncertainties, but at the expense of added measurements and cooling/warming cycles. We think that there still would be an advantage to our method of first measuring the gain with external noise sources and then determining the noise temperature with just one internal matched load, but we cannot say definitely without a detailed analysis. There are possibilities for making the present method somewhat faster. The fact that the present method is relatively insensitive to the noise added by the lines ( and ) suggests a possible shortcut method similar to that proposed, but requiring fewer internal configurations and fewer noise measurements. The quantities and could be determined from VNA measurements alone (provided the VNA measurement of has sufficient accuracy for large values of ). Furthermore, the configurations of Fig. 4(b) and (c) could be omitted if it could be verified that and were negligible compared to . This might be done by noting that and must be bounded above by and .

1187

Another possible efficiency in the present method could be achieved by building a dedicated test setup and characterizing the lines once (with periodic checks). The line characterization measurements would then not need to be done each time a new device was measured. We intend to build such a test setup in the near future. IV. SUMMARY We have proposed and applied a method for measuring the effective input noise temperature of a cryogenic (liquid-helium temperature) amplifier under matched conditions. The method uses a basic hot/cold measurement to determine the gain of the amplifier between the cryostat’s input and output ports. Replacing the amplifier with a through section and performing a similar hot/cold measurement to determine the loss in the lines between the internal reference planes and the cryostat’s input and output ports enabled us to determine the amplifier’s gain between its input and output reference planes within the cryostat. Once the gain was known, the amplifier’s noise temperature was determined by measurements using a matched load connected directly to the amplifier input within the cryostat, and therefore at liquid-helium temperature. Additional measurements determined the noise added by the lines connecting the amplifier to the cryostat ports. This method (and a careful uncertainty analysis) enabled us to measure the effective amplifier input noise temperature with an uncertainty of 0.3 K at frequencies for which the nominal matched loads were well matched, which is about equal to the input noise contribution of the quantum vacuum fluctuations (0.29 K) at the upper end of the frequency range measured (12 GHz). There are two noteworthy aspects of the results. One is the outstanding noise performance of the amplifier over a full decade of frequency. Its measured noise temperature was below 5.5 K from 1 to 11 GHz, with a minimum of 2.3 K at 7 GHz. The second noteworthy point is that we were able to measure such small amplifier noise temperatures with an estimated standard uncertainty of only 0.3 K. Such accuracy should benefit any application of cryogenic amplifiers, including the terahertz receiver application, which prompted this study. With the recent development of near quantum-limited terahertz detection systems, the need for precise characterization of cryogenic LNAs is higher than ever. The method presented here should enhance the development of focal-plane-array imagers and spectrometers based on heterodyne detectors covering the entire terahertz frequency range. APPENDIX The available power ratio from plane 2 to plane or Fig. 4(a) is given by

in Fig. 3

(A.1) For configuration Fig. 4(a), with an ambient-temperature matched load on plane , all reflections are small ( , or less), and thus . We use to refer to this reflectionless case, .

1188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

When the amplifier is present, as in Fig. 3, and are sometimes as large as 0.09, and we must therefore account for them in (A.1) if we are to achieve the accuracy we desire. In still refers to the section of transmission such a case, line, not the amplifier, and is still small enough to neglect. We measure , and we can write (which we can not measure) in terms of as follows:

(A.2) Therefore,

of Fig. 3, denoted by

, is related to

(A.3) In evaluating (A.3), we were able to use because the additional error introduced is a small correction to a small correction and therefore negligible. The same value of is used for both noise sources. This is justified because our measurements verified that is the same for both. In a similar manner, we can show that the other ’s involving the amplifier are given by

[2] M. W. Pospiszalski, “Extremely low-noise amplification with cryogenic FET’s and HFET’s: 1970–2004,” Ferdinand-Braun-Inst. Höchstfrequenztech., Berlin, Germany, Res. Rep., vol. 3, 2005. , “Extremely low-noise amplification with cryogenic FET’s and [3] HFET’s: 1970–2004,” Nat. Radio Astronomy Observatory, Charlottesville, VA, Electron. Div. Int. Rep. 314, May 16, 2005. [Online]. Available: http://www.gb.nrao.edu/electronics/edir/edir314.pdf. [4] E. Gerecht, C. Musante, Y. Zhuang, K. S. Yngvesson, T. Goyette, J. Dickinson, J. Waldman, P. Yagoubov, G. Gol’tsman, B. Voronov, and E. Gershenzon, “NbN hot electron bolometric mixers, a new technology for low-noise THz receivers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2519–2527, Dec. 1999. [5] I. Lopez-Fernandez, J. D. Gallego-Puyol, C. Diez, and J. Martin-Pintado, “Wide band, ultra low noise cryogenic InP IF amplifiers for the Herschel mission radiometers,” Proc. SPIE—Int. Soc. Opt. Eng., vol. 4855, pp. 489–500, Aug. 2002. [6] I. Angelov, N. Wadefalk, J. Stenarson, E. Kollberg, P. Starski, and H. Zirath, “On the performance of low noise, low DC power consumption cryogenic amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 50, pp. 1480–1486, Jun. 2002. [7] N. Wadefalk et al., “Cryogenic wide-band ultra-low-noise IF amplifiers operating at ultra-low DC power,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1705–1711, Jun. 2003. [8] F. Rodriguez-Morales, K. S. Yngvesson, E. Gerecht, N. Wadefalk, J. Nicholson, D. Gu, X. Zhao, T. Goyette, and J. Waldman, “A terahertz focal plane array using HEB superconducting mixers and MMIC IF amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 4, pp. 199–201, Apr. 2005. [9] H. B. Callen and T. A. Welton, “Irreversibility and generalized noise,” Phys. Rev., vol. 83, no. 1, pp. 34–40, Jul. 1951. [10] C. M. Caves, “Quantum limits on noise in linear amplifiers,” Phys. Rev. D, vol. 26, no. 8, pp. 1817–1839, Oct. 1982. [11] J. R. Tucker and M. J. Feldman, “Quantum detection at millimeter wavelengths,” Rev. Mod. Phys., vol. 57, no. 4, pp. 1055–1113, Oct. 1985. [12] A. R. Kerr, “Suggestions for revised definitions of noise quantities, including quantum effects,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 3, pp. 325–329, Mar. 1999. [13] C. Grosvenor, J. Randa, and R. L. Billinger, “Design and resting of NFRad—A new noise measurement system,” NIST, Boulder, CO, Tech. Note 1518, Mar. 2000. [Online]. Available: http://www.boulder.nist.gov/div818/81801/Noise/publications/noise_pubs.html. [14] ISO Guide to the Expression of Uncertainty in Measurement. Geneva, Switzerland: Int. Org. Standard., 1993. [15] J. D. Gallego and M. W. Pospieszalski, “Accuracy of noise temperature measurement of cryogenic amplifiers,” Nat. Radio Astron. Observatory, Charlottesville, VA, Electron. Div. Int. Rep. 285, Apr. 1991. [Online]. Available: http://www.gb.nrao.edu/electronics/edir/edir285.pdf.

(A.4)

where we define

as we did

,

.

ACKNOWLEDGMENT The authors thank S. Weinreb and N. Wadefalk, both of the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, for providing the MMIC chip and S. Yngvesson and F. Rodriguez-Morales, both of the University of Massachusetts, Amherst, for their collaboration.

REFERENCES [1] J. C. Weber and M. W. Pospieszalski, “Microwave instrumentation for radio astronomy,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 986–995, Mar. 2002.

James Randa (M’89–SM’91) received the Ph.D. degree in physics from the University of Illinois at Urbana-Champaign, in 1974. He then held post-doctoral and/or faculty positions with Texas A&M University, College Station, the University of Manchester, Manchester, U.K., and the University of Colorado at Boulder. During this time, he performed research on the phenomenology of elementary particles and on theories of fundamental interactions. Since 1983, he has been with what is now the Electromagnetics Division, National Institute of Standards and Technology (NIST), Boulder, CO. From 1983 to 1994, he was with the Fields and Interference Metrology Group, involved with various topics in electromagnetic interference (EMI) metrology. Since 1994, he has been with the RF Electronics Group, NIST, where he heads the Thermal Noise Metrology Project.

RANDA et al.: PRECISION MEASUREMENT METHOD FOR CRYOGENIC AMPLIFIER NOISE TEMPERATURES BELOW 5 K

Eyal Gerecht (S’88–M’98) received the B.S.E.E. degree in electrical engineering (magna cum laude) (with a minor in solid-state physics) from the University of Houston, Houston, TX, in 1990, and the M.S.E.C.E and Ph.D. degrees in electrical and computer engineering from the University of Massachusetts at Amherst, in 1994 and 1998, respectively. In 1998, he joined the Department of Physics and Astronomy, University of Massachusetts at Amherst, as a Senior Post-Doctoral Research Associate. Since 2000, he has been a Physicist with the Electromagnetics Division, National Institute of Standards and Technology (NIST), Boulder, CO, where he develops numerous terahertz-related technologies. His interests also include the development of receivers for millimeter and submillimeter applications. Dr. Gerecht is a member of Tau Beta Pi and Eta Kappa Nu.

1189

Dazhen Gu (S’01) received the B.S. degree in physics from Nanjing University, Nanjing, China, in 1999, the M.S. degree in electrical engineering from the University of Massachusetts at Amherst, in 2004, and is currently working toward the Ph.D. degree in electrical engineering at the University of Massachusetts at Amherst. From 1999 to 2001, he was a Research Assistant with the Superconductor Electronics Laboratory, Nanjing University, where he was involved with the three-terminal device employing high-T c superconductor, ferroelectric, and ferromagnetic materials. Since 2001, he has been involved with the design and fabrication of an NbN hot electron bolometric mixer for terahertz receivers. Since November 2003, he has been with the Electromagnetics Division, National Institute of Standards and Technology (NIST), Boulder, CO, where he is engaged in the development of terahertz imaging systems and characterization of LNAs. His research interest includes nanofabrication, quantum electronics, and RF circuit design.

Robert L. Billinger received the Associates degree in electronics technology from Wichita Technical Institute, Wichita, KS, in 1979. From 1979 to 1984, he was with the PureCycle Corporation, where he became Manager of Mechanical and Electronic Production and Test. Since 1985, he has been with the Electromagnetics Division, RF Electronics Group, National Institute of Standards and Technology (NIST), Boulder, CO, where he is an Electronics Technician involved in the area of thermal noise.

1190

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Miniature Ridge-Waveguide Filter Module Employing Moldable Dielectric Material Christen Rauscher, Fellow, IEEE, and Steven W. Kirchoefer, Member, IEEE

Abstract—The experimental 6–8.6-GHz five-pole bandpass filter being presented is composed of ridge-waveguide resonator segments, evanescent-mode inter-resonator coupling sections, and planar-circuit impedance-matching port networks. To reduce overall filter size, cavities are filled with a low-loss moldable dielectric material that does not shrink during curing. Good agreement is observed between measured and predicted filter response characteristics. Two additional bandpass filter designs with different fractional bandwidths further highlight the versatility of the design methodology that relies entirely on the use of commercially available general-purpose design software.

following adaptation, are to become part of a triplexer for combining the high-power output signals of three solid-state transmitter amplifiers, spanning 6–18 GHz. To avoid duplication, the reader is pointed to [1] for all nonspecific details of the underlying approach, including the rationale behind the technique, the design process, available implementation options, and a set of literature references to relevant work by others, with two of the references, i.e., [2] and [3], reiterated here for the sake of convenience.

Index Terms—Bandpass filter, evanescent-mode waveguide, high power, injection molding, moldable dielectric, ridge waveguide, waveguide filter.

II. FILTER DESIGN

I. INTRODUCTION

I

N MANY military and commercial system designs, the physical realization of small microwave filters with low passband loss and good frequency selectivity remains a persistent concern. Among contending solutions are cavity filters that comprise resonated ridge waveguide sections and evanescent-mode inter-resonator coupling segments. Such filters are capable of combining, to a large extent, the low insertion loss, high selectivity, and good power handling of single-conductor waveguide structures with the broad spurious-free frequency-band coverage of two-conductor transmission-line circuits, while controlling filter size with the help of dielectric fill materials. An efficient design methodology for filters of the mentioned genre was introduced in [1]. Among the convenient features of the technique is its full reliance on commercial general-purpose design software, used in conjunction with semiempirical design equations. The objective of the current study was to project beyond the low-frequency example presented earlier, and to experimentally demonstrate the practicability of the approach also at higher microwave frequencies. The primary challenge was the fabrication of the filter’s compound cavity structure, as internal cross-sectional areas shrunk to only a few square millimeters and necessitated key structural changes. Further illustration of the approach is provided by two supplementary numerical-only filter designs with diverse fractional passband widths. The three contiguous-band examples represent channel-filter designs that,

Manuscript received August 19, 2005; revised November 12, 2005. This work was supported in part by the Office of Naval Research. The authors are with Code 6850, Naval Research Laboratory, Washington, DC 20375-5347 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864106

Originally, the 6–8.6-GHz five-pole bandpass filter described below was to be derived from the 1–1.45-GHz bandpass filter presented in [1] through simple frequency scaling by a factor of six. The lower frequency structure, with its relaxed dimensional tolerances, was mainly used to verify the design approach, as this did not require special fabrication techniques. Practical considerations, however, forced the envisioned simple scaling process to be modified. Pertinent changes involved not only cavity aspect ratios, but also dielectric fill materials and matching circuit topologies. Cross-sectional drawings of the resultant filter structure are shown in Fig. 1. As in the past, , , and represent ridge-waveguide width, evanescent-mode-waveguide width, and common waveguide height, respectively, , , and , and and denote respective ridge-waveguide and evanescent-mode-waveguide lengths, refers to ridge width, and refers to ridge gap spacing. The ratio of waveguide height to waveguide width was chosen to be less than in the lower frequency test case to facilitate the physical implementation of the filter as originally envisioned and discussed later. With the filter’s waveguide ridges to be realized by forming precision blind holes within a solid dielectric core and subsequently metallizing the core from the outside, it was advantageous to minimize the depth of the holes—and, thus, the height of the composite filter structure—to keep mechanical-tolerance-induced aberrations within acceptable bounds. With reference to the evanescent-mode coupling-gap model depicted in [1, Fig. 4], and the series-connected stub contained therein and described by [1, eqs. (12)–(15)], the height reduction led to a decrease in the equivalent stub electrical length for each of the filter’s coupling gaps. This shifted the associated transmission nulls, akin to those in [1, Fig. 5], to higher frequencies, partially denying stopband benefits that might have been derived from the presence of such nulls. A resultant slight decrease in obtainable

0018-9480/$20.00 © 2006 IEEE

RAUSCHER AND KIRCHOEFER: MINIATURE RIDGE-WAVEGUIDE FILTER MODULE EMPLOYING MOLDABLE DIELECTRIC MATERIAL

1191

Fig. 1. Horizontal and vertical cross-sectional views of the experimental 6–8.6-GHz bandpass filter drawn to scale with cross-sectional planes positioned at half height and half width, respectively.

fractional stopband width proved acceptable, however, while still permitting the filter’s upper stopband to extend to 22 GHz, as specified by the application. In return, the reduction in waveguide height brought about simpler filter-internal electromagnetic field patterns that translated into enhanced computational efficiency. The fields propagating vertically in a combline-type fashion along the vertical end faces of respective waveguide ridges thus became primarily governed by the fields propagating in the direction of the filter’s main longitudinal axis [1]. This led to a subordinate role for the series-connected stub in the evanescent-mode coupling-gap model. The experimental filter example presented in [1] pointed to advantages that may be derived from the use of layered dielectric cavity fill materials with substantially different relative dielectric constants. The current filter design might also have theoretically profited therefrom. This option was not pursued, however, due to the small dimensions of the cavity structure and the additional resources that would have been required to implement a composite of multiple dielectric materials. Instead, a single dielectric fill material with a relative dielectric constant of 9.5 was found to offer an acceptable compromise that still allowed the application’s requirements to be met. Impedance-matching networks are typically used to connect a filter’s ridge-waveguide end resonators to external 50ports. Planar-circuit configurations offer an effective means for providing both needed impedance transformation and compensation for parasitic reactance effects at transition interfaces. Among the simplest solutions are cascades of strip transmission-line sections with stepped characteristic impedances. In the current filter design, as indicated in Fig. 1, a microstrip format was chosen with pertinent strip widths and lengths

labeled , , and , , , and , respectively. The thickness of the microstrip substrate is denoted as . Aside from the mentioned structural details, the design process followed the outline provided in [1]. This included the derivation of equivalent circuits for each of the filter’s main components based on the results of three-dimensional electromagnetic structure simulations, the construction of an equivalent circuit for the composite filter from the derived component equivalent circuits, the equivalent-circuit-based numerical optimization of the filter’s port characteristics, and iterative rounds of refinement that involved convergent reconciliation between results predicted by the electromagnetic structure simulator and results predicted by the filter’s equivalent circuit. The three-dimensional electromagnetic structure analyses were carried out with CST Microwave Studio, which embodies a finite-integral approach, and the linear-circuit analyses and numerical optimizations were performed with AWR Microwave Office, which is a general-purpose microwave design suite. The optimized parameter values thus obtained for the experimental 6–8.6-GHz bandpass filter have been collected in the first numerical column of Table I. III. FILTER EXPERIMENT The original idea was to precision machine a replica of the filter’s cavity structure and then use this as a die for casting monolithic filter cores from a moldable dielectric material. All that additionally would have been required, in essence, was to selectively metallize the outer surface of the core and mount it with suitable port transitions on a metal carrier. The approach could provide a cost-effective way to mass-produce miniature high-performance microwave filters. The associated technical challenges that derived from the dielectric core’s small size, its

1192

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE I STRUCTURAL DIMENSIONS IN MICROMETERS OF THE EXPERIMENTAL 6–8.6-GHz BANDPASS FILTER AND THE SUPPLEMENTAL 8.6–11- AND 11–18-GHz FILTER DESIGNS

Fig. 2. Filter’s cavity structure, upside down and prior to backfill with moldable dielectric material, together with its carrier plate and positioned port impedance-matching circuits.

close tolerances, and its delicate nature, however, would have projected well beyond the scope and available resources of the current assignment. The main shorter term goal thus became simply to demonstrate the practicability of the approach with an experimental proof-of-concept realization of the aforementioned 6–8.6-GHz bandpass filter design. A first fabrication attempt involved the machining of a filter dielectric core from a slab of magnesium–aluminum–titinate ceramic material in its fully fired state. A laser-based method was initially thought to offer the best chance of success, chosen from a number of contending precision-machining techniques. The most challenging operation, as alluded to earlier, was the machining of blind holes with rectangular cross sections and sharp edges that, following the external metallization of the finished core, would become the filter’s waveguide ridges. The crux was to achieve hole bottoms that were flat and smooth, as these would define critical ridge gap spacings. In the end, despite concerted design efforts to minimize required hole depths, the laser beam could not be focused tightly enough to achieve acceptable bottom surfaces at needed depths in excess of 1 mm. The approach that was finally taken essentially constituted the inverse of the former, involving wire electric discharge machining to cut the filter’s compound cavity out of solid metal, and using moldable dielectric material as backfill. The structure was actually machined as two separate pieces that were subsequently brazed to form a composite unit. With reference to Fig. 1, the first piece comprised the waveguide cavities’ common roof and the filter’s five stalactite waveguide ridges. The second piece assumed the shape of a frame that defined the

Fig. 3. Fully assembled 6–8.6-GHz filter module with a total length of 18.3 mm.

structure’s vertical outer cavity walls. After brazing, the combined unit was plated with 3- m-thick gold, and the flange area at ground-plane level was resurfaced to achieve a consistent 125- m ridge gap spacing, as required. A photograph of the precision-machined structure lying upside down is provided in Fig. 2, together with the module’s carrier plate and temporarily positioned microstrip port matching networks. The resultant hollow cavity structure was backfilled with Eccostock-CK, a moldable dielectric material made by Emerson & Cuming Microwave Products Inc., Randolph, MA. The material, which was applied at the factory, was specially formulated to exhibit a desired nominal relative dielectric constant of 9.5. Among the material’s attractive attributes for the current application were its stated loss tangent of less than 0.002, and the absence of shrinkage during the curing process. Excess material was lapped off to establish a flat surface at the ground-plane level. Inadvertently, small glass beads contained in the material broke loose during the lapping process, which left behind tiny voids in the surface. This was a consequence of the improvised use of a material that is designed for casting

RAUSCHER AND KIRCHOEFER: MINIATURE RIDGE-WAVEGUIDE FILTER MODULE EMPLOYING MOLDABLE DIELECTRIC MATERIAL

1193

Fig. 4. Filter module mounted in its test fixture.

with a closed mold, where selective reconditioning of critical surfaces is not normally required. In the current situation, the surface voids were simply filled with compatible epoxy-based Eccostock-HiK Cement obtained from the same company. Next, the backfilled structure was supplied with a conducting ground plane. This was achieved through e-beam evaporation of a 0.015- m-thick adhesion layer of chromium and a 2- m-thick layer of gold, thereby guaranteeing a solid galvanic connection between ground plane and cavity walls. Resonator end faces were masked off during the evaporation process. The completed cavity structure and small alumina substrates with microstrip port matching circuits were then attached to a common metal carrier, as indicated in Fig. 1. This was accomplished by applying a constant-thickness layer of conductive epoxy to the carrier’s top surface, and then dropping the cavity structure and microstrip substrates in place. For the application of the conductive epoxy, a framed printing screen supplied by SEFAR Printing Solutions Inc., Burnsville, MN, was employed, comprising a mesh of taught stainless steel wires of 0.0011-in diameter, with a density of 325 wires per inch. The microstrip impedance-matching circuits were connected to the external faces of the filter’s end-resonator waveguide ridges with the help of small pieces of angled gold foil that were ultrasonic-wedge bonded to the microstrip end lines and attached with conductive epoxy to the vertical ridge faces, respectively. The fully assembled filter module, depicted in Fig. 3, was mounted in a special test fixture and connected to coaxial 50- subminiature A (SMA) launchers, as shown in Fig. 4. Predicted and measured port characteristics of the ensemble are compared in Fig. 5. IV. DISCUSSION Considering the exploratory nature of the filter implementation, and the fact that the structure did not permit any post-fabrication adjustments, the observed agreement between measured and predicted results in Fig. 5 is considered very good. This includes the reproduction of resonances within the upper satellite passband. In trying to determine, for the sake of completeness, the origin of minor discrepancies in the vicinity of the main passband, the filter’s equivalent circuit used in the design was reemployed to help pinpoint specific causes. None such could be identified. Instead, it was concluded that general machining

Fig. 5. Measured and predicted responses of the 6–6.8-GHz experimental bandpass filter.

tolerances were the principal culprit. Even though an effort was made to keep mechanical tolerances below 10 m, actual dimensional deviations turned out to be 25–30 m, and randomly distributed. These, together with the test fixture’s standard-issue SMA port connectors that were not accounted for in the calculations, largely explain apparent frequency shifts in filter reflection-coefficient nulls. The small extra hump in the satellite passband was traced to parasitic signal feed-through within the test fixture, not the filter module itself. Comparing the predicted midpassband transmission loss of 0.6 dB to the measured value of 1.3 dB, it is believed that at least 0.2 dB of the latter can be attributed to the neglected effects of the two SMA connectors. This leaves 0.5 dB to have been caused by the aggregate effects of tolerance-induced shifts in filter characteristic frequencies, imperfect metal surfaces and ridge edges, fabrication-related lower than anticipated metal conductivities, and a ground-plane metallization thickness of only two skin depths at passband frequencies. Recognizing that these issues remain particular to the current proof-of-concept demonstration and the improvised way in which it was performed, and recalling the excellent agreement observed between measurement and prediction in [1], there is little reason to believe that a new filter, implemented as originally envisioned with a reusable precision mold, should not perform almost exactly as predicted. To further illustrate the approach, the calculated port responses of two additional filter designs with contiguous passbands are given in Figs. 6 and 7, respectively. The associated structural dimensions can be found in Table I. As in the 6–8.6-GHz-passband case, both metal and dielectric losses were included in the calculations, but not the effects of coaxial external connectors. The additional designs also employ a single dielectric material for the sake of expediency. When contemplating filter configuration options, there is no fundamental prerequisite that the width of the evanescent-mode waveguide coupling sections be narrower than the width of adjacent ridge-waveguide segments, as the three design examples might suggest. To substantiate this,

1194

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 6. Calculated response of a 8.6–11-GHz bandpass filter.

Fig. 7. Calculated response of a 11–18-GHz bandpass filter.

numerical designs for five-pole ridge-waveguide filters that did not utilize constrictions in the coupling areas were derived, using the exact same design methodology. Associated performance characteristics were found to be consistent with those of the examples reported here. However, in order to maintain proper inter-resonator coupling, increases in the lengths of the evanescent-mode waveguide sections were required, adding noticeably to the overall length of each filter. In return, respective passband insertion-loss numbers were projected to be slightly lower. Within practical bounds, this offers an opportunity for tradeoffs among filter size, circuit performance, and manufacturing effort. In the cited application, size reduction was an important concern, for which the inclusion of width-constricted coupling areas was indicated. As for alternative ways of fabricating ridge-waveguide filters designed in accordance with the methodology presented in [1],

low-temperature cofired ceramic (LTCC) processes, such as used in [3], deserve special mention. Such processes are well established and can be quite cost effective. An often-expressed concern, though, relates to the accuracy with which a filter design can be reliably reproduced. The concern is of a compound nature, as it encompasses the necessity to dependably predict the amount of substantial shrinkage that occurs during the firing of the material, deal with a degree of uncertainty surrounding the exact value of the fired material’s dielectric constant, and accommodate relatively large fabrication tolerances on the placement of via-holes. This last issue can pose a particular problem when using arrays of vertical via-holes in conjunction with buried conductive strips to approximate waveguide ridges. Designers are often encouraged to slightly offset via-hole arrays toward the centers of respective strips to facilitate the definition of critical ridge edges, but at the risk of increasing a structure’s dissipation loss and reducing its power-handling capability due to potentially higher strip-edge current concentrations. LTCC-implemented ridge waveguide that employs via-hole arrays already tends to exhibit higher dissipation loss than is encountered in comparable ridge waveguide with solid-metal walls. In addition, LTCC processes do not lend themselves well to the practical realization of commonly desired rounded ridge edges for the reduction of dissipation loss, something that is simple to accommodate in structures that utilize moldable dielectric materials. The ultimate goal remains the production of cost-effective filters in the form of monolithic ridge-waveguide structures made of cast dielectric material with selective external metallization. The approach would, in fact, permit a filter’s planar-circuit port impedance-matching networks to also be included as part of the monolithic unit by extending connected end-resonator ridges out to respective external port reference planes and designing the footprints of the ridge extensions to coincide with desired matching-circuit strip patterns. The casting of the dielectric core would be followed by the evaporation of a thin layer of precious metal onto the core’s entire outer surface and the fortification thereof through electroplating. After mounting the unit on a metal carrier to ascertain structural integrity, excess material would be removed from areas above prospective port-matching circuits, leaving low-profile metallized channels to function as strip conductors, and residual dielectric material to serve as substrates. The process would simultaneously expose the dielectric material at the filter’s resonator end faces and at its port reference planes, in accordance with design requirements. The top portion of an applicable die might look similar to the empty cavity structure depicted in Fig. 2, augmented at both ends to accommodate filter port matching networks. Naturally, the design would have to be modified to include holes for injecting the moldable material, and slanted sidewalls to facilitate the release of molded cores after curing. Mechanical tolerances would again be a critical issue. Fortunately, precision milling machines are commercially available that are said to be capable of maintaining a general tolerance of 2.5 m. This would be more than sufficient to realize, with good reproducibility, filters of the type being proposed. Other established techniques, such as the use of LIGA molds, could be applied to the fabrication of precision dielectric cores as well.

RAUSCHER AND KIRCHOEFER: MINIATURE RIDGE-WAVEGUIDE FILTER MODULE EMPLOYING MOLDABLE DIELECTRIC MATERIAL

V. SUMMARY Three ridge-waveguide bandpass filter designs have been presented, spanning passband frequencies from 6 to 18 GHz, with fractional passband widths ranging from 25% to 50%. One of these examples, a 6–8.6-GHz bandpass filter, was reduced to practice, displaying very good agreement between predicted and measured results. The examples aim to reaffirm the practicability of the underlying design approach, which relies on equivalent-circuit representations of key filter subcomponents, used in conjunction with commercial software for linear-circuit and three-dimensional electromagnetic analyses. A perceived benefit of the approach is its potential to realize miniature filter modules from monolithic dielectric cores that are fabricated with the help of injection-molding techniques and metallized selectively to form conductive cavity boundaries. By adhering to the inline format, resultant filters would retain an intrinsic structural simplicity that should prove attractive in a variety of practical system applications. ACKNOWLEDGMENT The authors extend special thanks to R. Stanford and his colleagues, Applied Physics Laboratory, The Johns Hopkins University, Baltimore, MD, for their help with the machining of the filter cavity structure, M. Osward and J. DelPrete, both of Emerson and Cuming Microwave Products Inc., Randolph, MA, for the custom formulation and application of the dielectric material used in the experiment, B. Fruehling, SEFAR Printing Solutions Inc., Burnsville, MN, for supplying the screen used to deposit conductive epoxy, and D. Jachowski, Naval Research Laboratory, Washington, DC, and J. Willhite, Sonnet Software Inc., North Syracuse, NY, for constructive discussions and assistance. REFERENCES [1] C. Rauscher, “Design of dielectric-filled cavity filters with ultrawide stopband characteristics,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1777–1786, May 2005. [2] J. Bornemann and F. Arndt, “Transverse resonance, standing wave, and resonator formulations of the ridge waveguide eigenvalue problem and its application to the design of e-plane finned waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1104–1113, Aug. 1990.

1195

[3] Y. Rong, K. A. Zaki, M. Hageman, D. Stevens, and J. Gipprich, “Lowtemperature cofired ceramic (LTCC) ridge waveguide bandpass chip filters,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2317–2324, Dec. 1999.

Christen Rauscher (S’73–M’75–SM’84–F’89) received the Diploma degree in electrical engineering and Doctorate degree from the Swiss Federal Institute of Technology, Zürich, Switzerland, in 1969 and 1975, respectively. From 1976 to 1978, he studied the nonlinear behavior of GaAs field-effect transistors (FETs) at Cornell University, Ithaca, NY, and at the Naval Research Laboratory (NRL), Washington, DC. He subsequently joined the NRL, where he is currently Staff Consultant to the Microwave Technology Branch, after having spent a sabbatical year with the Los Alamos National Laboratory, Los Alamos, NM, from 1985 to 1986, and having served from 1986 to 2002 as Head of the NRL Solid-State Circuits Section. His current research interests are centered on the pursuit of new concepts for realizing miniature high-frequency filters and the exploration of nonlinear signal interaction in semiconductor devices at microwave, millimeter-wave, and optical frequencies. Dr. Rauscher served as an IEEE Distinguished Microwave Lecturer from 1997 through 1999. He was the recipient of a 1976 International Fellowship presented by the Swiss National Science Foundation, the 1987 IEEE Microwave Prize, the 1991 NRL Sigma Xi Applied Science Award presented by the Scientific Research Society of America, the 1999 IEEE Microwave Application Award, and the 2002 Engineering Sciences Award presented by the Washington Academy of Sciences.

Steven W. Kirchoefer (S’80–M’82) received the B.S., M.S., and Ph.D. degrees from the University of Illinois at Urbana-Champaign, in 1978, 1979, and 1982, respectively, all in electrical engineering. Since 1982, he has been with the Naval Research Laboratory, Washington, DC. His research has involved the application of compound semiconductors and other materials to high-speed devices. He has fabricated and demonstrated a wide variety of prototype device concepts. These include semiconductor quantum-well devices utilizing real space transfer and confined particle effects. Recently, he has been involved in the investigation of microwave properties ferroelectric of thin films and strain effects in such films. This research includes the study of interdigital capacitors for varactor applications, as well as the fabrication and measurement of distributed coplanar waveguide structures for phase-shifter applications.

1196

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Improved Wide-Band Schiffman Phase Shifter Yong-Xin Guo, Senior Member, IEEE, Zhen-Yu Zhang, and Ling Chuen Ong, Senior Member, IEEE

Abstract—In this paper, one improved wide-band Schiffman phase shifter is presented by modifying the ground plane underneath the coupled lines. In this new design, with the ground plane under the coupled lines removed, the even-mode impedance will be increased substantially. Meanwhile, we propose that one additional isolated rectangular conductor is placed under the coupled lines to act as one capacitor so that the odd-mode impedance is decreased. The proposed new design was simulated by the full-wave electromagnetic software IE3D and validated by the measurement. Compared with the cascading microstrip multisection coupled-line configuration, our newly proposed planar one with a patterned ground plane is small in size and, meanwhile, has a good performance. As an example, one Schiffman phase shifter on a double-sided printed circuit board is designed, simulated, fabricated, and measured. The measured amplitude and phase imbalance between the two paths are within 0.5 dB and 5 , respectively, over the frequency band from 1.5 to 3.1 GHz, or around 70% bandwidth. The measured return loss is found to be better than 12 dB over the operating frequency band. Index Terms—Coupled lines, patterned ground plane, phase shifter, Shiffman phase shifter, wide-band phase shifter.

I. INTRODUCTION

P

HASE shifters are key components used extensively in electronic beam-scanning phased arrays. Broad-band phase and amplitude balance can be achieved by using various configurations of phase shifters [1]–[5]. The most interesting configuration is the Schiffman differential phase shifter [1], which consists of two transmission lines, one of them folded (coupled section) to be dispersive. By the proper selection of the length of these lines and the degree of coupling, the phase difference between them can be made to be almost constant over a broad bandwidth. Schiffman’s original work was based on stripline transmission structures, where the odd and even modes propagating along the coupled lines have equal phase velocities. When this type of circuit is designed in a microstrip form, the unequal odd- and even-mode velocities will be produced and, thus, it will result in a limited coupling ratio realized in a coupled line. For the edge-coupled structure, the coupling factor is largely dependent on the gap between the two coupled lines and the dielectric constant of the used substrate. For the monolithic-microwave integrated-circuit (MMICs) design, the gap between the two coupled lines can be a few micrometers, thus, tight coupling is still achievable in the edge-coupled way.

Manuscript received August 21, 2005; revised October 20, 2005. Y.-X. Guo and L. C. Ong are with the Institute for Infocomm Research, 117674 Singapore (e-mail: [email protected]; [email protected]. edu.sg). Z.-Y. Zhang was with the Institute for Infocomm Research, 117674 Singapore. He is now with the Electrical Engineering Department, Arizona State University, Tempe, AZ 85287 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864105

However, for the designs using the printed circuit board (PCB) fabrication technology, it is difficult to obtain a tight coupling for such a phase shifter. On the other hand, this problem has been recognized and modifications have been made to compensate for the difference in the odd- and even-mode velocities using multisection coupling lines [2]. Several other methods were also proposed to realize the Schiffman phase shifter in microstrip form using the double Schiffman phase shifter or the parallel Schiffman phase shifter [4]. A compensating network to the standard coupled line using parallel open and short stubs shunted at the edge points of the coupled line was introduced to get a wide-band phase shifter in [5]. In this paper, one improved Schiffman phase shifter is proposed with the ground plan underneath the coupled lines modified. In this new design, with the ground plane under the coupled lines removed, the even-mode impedance will be increased substantially. Meanwhile, we propose that an additional isolated rectangular conductor is placed under the coupled lines to act as one capacitor so that the odd-mode impedance is decreased. The new design is based on the concept that the capacitance of the microstrip conductor to the ground plane mainly affects the even-mode impedance of a coupler, while the odd-mode impedance is affected both by the capacitance between the microstrip conductor to the ground plane, as well as the capacitance between the two coupled conductors [6]–[8]. One simple design procedure is presented to optimize the designed Schiffman phase shifter. The proposed new design was simulated by the full-wave electromagnetic software IE3D and validated by the measurement. Compared with the cascading microstrip multisection coupled-line configuration, our newly proposed planar one with a patterned ground plane is small in size and, meanwhile, has a good performance. As an example, one Schiffman phase shifter on a double-sided PCB is designed, simulated, fabricated, and measured. The measured return loss is found to be better than 10 dB over the frequency band from 1.5 to 3.1 GHz, or around 70% bandwidth. The measured amplitude and phase imbalance between the two paths are within 0.5 dB and 5 , respectively, over the operating frequency band. II. PROBLEM Fig. 1 shows one standard 90 Schiffman phase-shifter structure. The phase shifter is one four-port network with two separate branches. One branch is a section of reference transmission line, and the other comprises a symmetrical coupled-line section with one end, called the C-section network, connected. In the ideal case, the connection part should be zero in length. Input and output ports were stretched from the other end. A well-designed phase shifter would introduce an insertion loss as small

0018-9480/$20.00 © 2006 IEEE

GUO et al.: IMPROVED WIDE-BAND SCHIFFMAN PHASE SHIFTER

1197

Fig. 3. Side view of the edge-coupled transmission line.

Fig. 1.

Standard 90 Schiffman phase-shifter structure (K = 3).

Fig. 2. Phase deviation versus impedance ratio  for the standard 90 Schiffman phase shifter.

as possible. The maximum of differential phase shift terms of was derived [3] as

in

(1) where denotes the ratio of the length of uniform transmission line to the coupled one, . Fig. 2 shows one design curve based for the phase deviation versus the impedance ratio between the even- and odd-mode impedance of the coupled lines. Referring to the design curve as above, an impedance ratio is chosen for designing a 90 Schiffman phase shifter with phase deviation to ensure an optimal bandwidth. Accordingly, the even- and odd-mode impedances of the coupled lines could be determined as and . This also implied that a strong coupled line section with the coupling factor being 7 dB should be attained. For achieving such evenand odd-mode impedances, the width of the coupled lines ( ) and the spacing ( ) between coupled lines could be determined as mm and mm on microwave substrate RO4003 having thickness 0.8 mm and dielectric constant . For a conventional single-layer PCB process, such a tight coupling is difficult to obtain using the edge coupled line structure since the spacing was too small to be fabricated. As shown in Fig. 3, and were defined as the width of the coupled lines and the spacing between coupled lines, respectively.

Fig. 4. Proposed 90 Schiffman phase shifter using a patterned ground plane W = 1:9 mm, W = 3:15 mm, W = 2:4 mm, W = 4 mm, W = 0:3 mm, L = 21 mm, and S = 0:3 mm. (a) Layout (not to scale). (b) Photograph.

III. DESIGN For this case, a patterned ground-plane technique is proposed to handle this problem. With a slot under the coupled lines cut on the ground plane, both the even- and odd-mode capacitances

1198

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE I RELATIONSHIP BETWEEN THE EVEN- AND ODD-MODE IMPEDANCES Z AND Z AND THE PHYSICAL DIMENSIONS OF THE COUPLED LINES WITH A PATTERNED GROUND PLANE AS IN FIG. 5, W = 2:4 mm, W = 0:3 mm

Fig. 5. Even-mode impedance Z versus odd-mode impedance Z design procedure for the proposed Schiffman phase shifter.

in the

of the coupled lines would be decreased substantially. However, the decrease of the even-mode capacitance is much faster than that of the odd-mode capacitance. Meanwhile, an additional separated rectangular conductor is placed under the coupled lines to act as one capacitor so that the odd-mode capacitance could be increased. The patterned ground plane is backed by one metallic cavity of depth . Fig. 4(a) and (b) shows the layout and photograph of the proposed 90 Schiffman phase shifter, respectively. In Fig. 5, a design procedure is illustrated for this new 90 Schiffman phase-shifter design. Note that one infinite large cavity is assumed and no cavity effect is considered here. Point C denotes the desired and and and . Firstly, the coupled lines are set 2.4 mm in width; and the gap between the coupled lines is set as 0.3 mm. At this stage, with a perfect ground plane under the coupled lines, the even- and odd-mode impedances of the coupled lines can be determined as and , which is locate at point A in Fig. 5. A slot is then cut on the ground plane beneath the coupled lines to increase the value of . and The dots ( , , , point B) denote the trace of with varying the width of the slot. Regarding this movement from to B as in Fig. 5, it is observed that a larger slot width will produce a higher even-mode impedance. At point B, . From Fig. 5, is too big to meet the requirement. An additional conductor is then . This treatment will not affect the value placed to decrease much. The dots and between points B and C denote of and with varying the width of the addithe trace of tional conductors. Table I exhibits the relationship between the even- and odd-mode impedances and and the physical dimensions of the coupled lines with a patterned ground plane during the above process from points A to C.

Fig. 6. Simulated and measured results of the proposed 90 Schiffman phase shifter. (a) Amplitude response. (b) Phase response.

IV. RESULTS AND DISCUSSIONS The simulated and measured -parameter amplitude and phase difference responses of the phase shifter are shown in Fig. 6(a) and (b), respectively. Again, one infinite large cavity is assumed and no cavity effect is considered here. The simulation work was carried out using the commercial software

IE3D. From the measured results, it can be observed that the current design is capable of controlling the insertion loss less than 1 dB and the return loss better than 12 dB across a wide bandwidth from 1.0 to 3.5 GHz. The phase derivation is within 2 covering a band from 1.7 to 2.9 GHz, or 52%

GUO et al.: IMPROVED WIDE-BAND SCHIFFMAN PHASE SHIFTER

1199

V. CONCLUSION A new Schffman phase shifter using a patterned ground plane has been presented. In the new design, with a slot under the coupled lines cut on the ground plane, the even-mode impedance can be increased substantially. Meanwhile, we propose that an additional rectangular conductor is placed under the coupled lines to act as one capacitor so that the odd-mode impedance is decreased. Design procedure is presented to optimize the phase shifter. As an example, one Schiffman phase shifter on a doublesided PCB is designed, simulated, fabricated, and measured. The measured amplitude and phase imbalance between the two paths are within 0.5 dB and 5 , respectively, over the frequency band from 1.5 to 3.1 GHz, or around 70% bandwidth. The measured return loss is found to be better than 12 dB over the operating frequency band. REFERENCES

Fig. 7. Simulated amplitude and phase-difference responses of the proposed 90 Schiffman phase shifter with various values of depth of the air cavity d. (a) Return losses and insertion losses. (b) Phase responses.

bandwidth. A 5 phase deviation can be obtained from 1.5 to 3.1 GHz, or about 70% bandwidth. Discrepancies between simulated and measured results probably come from the fabrication tolerances and the subminiature A (SMA) connectors, which were used in the measurement, while not included in the simulation. Since the proposed phase shifter may be used in system applications, the radiation effects from the patterned ground plane should be considered. A minimum depth for the air cavity is necessary; otherwise practical values of and will be disturbed. To investigate the performance interference when the proposed balun is packaged into a system box, we place a ground plane as a virtual system box surface, and use IE3D to simulate the effects. Since the ground plane under the path 2–1 in Fig. 1 is perfect, we only consider the radiation effects of path 4–3. Fig. 7 shows the amplitude and phase-difference responses of the proposed 90 Schiffman phase shifter for various values of depth of the air cavity . With reference to Fig. 7, it can be seen that, in our design, the interference can be ignored when is larger than 3 mm. When mm, the smaller the depth of the air cavity, the stronger the interference. A value of cavity depth less than 0.5 mm is not recommended in order to maintain good performance.

[1] B. M. Schiffman, “A new class of broad-band microwave 90-degree phase shifters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 232–237, Apr. 1958. [2] B. Schiek and J. Kohler, “A method for broad-band matching of microstrip differential phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 8, pp. 666–671, Aug. 1977. [3] J. L. R. Quirarte and J. P. Starski, “Synthesis of Schiffman phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 11, pp. 1885–1889, Nov. 1991. [4] , “Novel Schiffman phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 1, pp. 9–14, Jan. 1993. [5] S. Y. Eom, S. I. Jeon, J. S. Chae, and J. G. Yook, “Broadband 1800 bit phase shifter using a new switched network,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, pp. 39–42. [6] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, pp. 388–391. [7] Z. Y. Zhang, Y. X. Guo, L. C. Ong, and M. Y. W. Chia, “Improved planar Marchand balun with a patterned ground plane,” Int. J. RF Microw. Comput.-Aided Eng., vol. 15, no. 3, pp. 307–316, May 2005. [8] M. C. Velazquez, J. Martel, and F. Medina, “Parallel coupled microstrip filters with floating ground-plane conductor for spurious-band suppression,” IEEE Trans. Microw. Theory Tech, vol. 53, no. 5, pp. 1823–1828, May 2005.

Yong-Xin Guo (M’03–SM’05) received the B.Eng. and M.Eng. degrees from Nanjing University of Science and Technology, Nanjing, China, in 1992 and 1995, respectively, and the Ph.D. degree from the City University of Hong Kong, in 2001, all in electronic engineering. From 1995 to 1997, he was a Teaching and Research Assistant and then a Lecturer with the Department of Electronic Engineering, Nanjing University of Science and Technology. From January 1998 to August 1998, he was a Research Associate with the Department of Electronic Engineering, City University of Hong Kong. Since September 2001, he has been with the Institute for Infocomm Research, Singapore, as a Scientist. He also holds an appointment as an Adjunct Assistant Professor with the National University of Singapore. He has authored or coauthored over 80 technical papers in international journals and conference proceedings. He holds one Chinese patent and one U.S. patent. His current research interests include microwave and millimeter-wave circuits, low-temperature co-fired ceramic (LTCC) passives and modules, microstrip antennas, and dielectric-resonator antennas.

1200

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Zhen-Yu Zhang received the B.Eng. degree in electronics and information engineering from HuaZhong University of Science and Technology, Wuhan, China, in 2002, the M.Eng. degree in electrical engineering from the National University of Singapore, Singapore, in 2005, and is currently working toward the Ph.D. degree in electrical engineering at Arizona State University, Tempe. From 2003 to 2005, he was a Research Student with the Institute for Infocomm Research (I2R), where he was with the Radio Systems Department, Communication and Devices Division. His research areas include RF circuit design, passive components design using the LTCC technique, and electromagnetic (EM) device modeling.

Ling Chuen Ong (SM’04) received the Ph.D. degree from the University of Birmingham, Edgbaston, U.K., in 1996. From 1992 to 1994, he was a Research Associate with the University of Birmingham. From 1996 to 1999, he was a Network Planner and Project Manager with Singapore Telecom, where he was involved with its first digital trunked radio system. He is currently an Associate Lead Scientist with the Institute for Infocomm Research, Agency for Science, Technology and Research (A3STAR), Singapore. His research interests include radio-overfiber technology for intelligent transport systems and future wireless communications, LTCCs, and ultra-wideband technology. He is also currently an Adjunct Assistant Professor with the National University of Singapore and Nanyang Technological University, Singapore. Dr. Ong was the recipient of a Science and Engineering Research Council grant and a postgraduate scholarship presented by the Institute of Electrical Engineers (IEE), U.K.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1201

Complex Permittivity and Permeability Extraction for Multilayered Samples Using S -Parameter Waveguide Measurements Daniel L. Faircloth, Member, IEEE, Michael E. Baginski, Senior Member, IEEE, and Stuart M. Wentworth, Senior Member, IEEE

Abstract—In this paper, a novel technique is presented for accurately extracting the complex constitutive parameters ( ^, ^ ) for individual layers of a multilayer sample using -parameter waveguide measurements. The technique is based on a modified sequential quadratic programming algorithm, which utilizes a large number of initial guess points, thereby alleviating the possibility of local minima trapping. The algorithm was found to be significantly faster and more accurate than traditional global optimization methods such as the genetic algorithm. Computer-generated -parameter data sets were initially used to establish the achievable accuracy of the algorithm for one-, two-, and three-layer cases. Sensitivity of the -parameters to changes in the constitutive parameters and layer thicknesses was also investigated. -parameter measurements (8.2–10 GHz) were Two-port conducted on three material samples in single and multilayer arrangements. The algorithm accurately extracted the complex constitutive parameters for each layer. These values were then compared with values extracted using a modified short-circuit line (SCL) method (single-layer cases only). -parameters were also generated using the extracted values and compared with the measured data. In all cases, results were found to be in good overall agreement with both the SCL method values and the measured data. Index Terms—Permeability extraction, permittivity extraction, scattering parameters, waveguide measurements.

I. INTRODUCTION

A

VARIETY of techniques are available to extract the complex constitutive parameters from a single-layer material. These techniques include cavity resonator and transmission-line measurement methods of which the advantages and disadvantages are discussed in [1]–[11]. When information over a broad range of frequencies is desired, transmission-line methods are generally preferred, although the accuracy of these methods suffers somewhat relative to resonator techniques [10], [12]. Of the transmission-line techniques, waveguide methods are often employed since sample preparation is quite simple. Of particular interest is the extraction of complex permittivity and permeability of individual layers in a multilayered sample. Manuscript received September 3, 2005; revised December 5, 2005. D. L. Faircloth was with the Department of Electrical and Computer Engineering, Auburn University, AL 36849 USA. He is now with the Signature Development Branch, Dynetics Inc., Huntsville, AL 35814 USA (e-mail: [email protected]). M. E. Bagniski and S. M. Wentworth are with the Department of Electrical and Computer Engineering, Auburn University, Auburn, AL 36849 USA. Digital Object Identifier 10.1109/TMTT.2005.864104

Techniques that can accurately estimate individual layers’ constitutive parameters are necessary for nondestructive evaluation of materials whose layers cannot be readily examined individually. Such conditions may arise in remote-sensing applications, reverse engineering, or after fabrication of multilayered structures, which may have been altered in the manufacturing process. Similarly, samples with varying constitutive parameters may be analyzed using a multilayered approximation. Recently, several methods have been proposed to accurately determine the complex permittivity of each layer in a multilayer sample. Sanadiki and Mastafavi have presented a least squares minimization algorithm used to extract complex permittivity from computer-generated scattering data [13]. Zwick et al. developed a modified genetic algorithm (GA) to determine the complex permittivities of individual layers in samples comprised of up to three layers [14]. This method uses free-space techniques to obtain transmission and reflection information over a band of frequencies or a range of incidence angles. Deshpande and Dudley employ the sequential quadratic programming (SQP) optimization technique to minimize an objective function utilizing magnitude and phase information from -parameter waveguide measurements [12]. More recently, Baginski et al. compare SQP and the GA when coupled with a variety of error functions to determine which method may yield more accurate results for multilayer complex permittivity extraction from -parameter waveguide measurements [15]. The results of their studies indicate that three-layer samples can be accurately characterized using a GA in conjunction with an error function that requires only -parameter magnitude information. Although SQP was found to be significantly faster than the GA, it often suffered from local minima trapping and, therefore, mischaracterized certain samples. In this paper, the method of Baginski et al. is significantly modified to allow extraction of both complex permittivity and permeability for each layer in a multilayer sample. In order to determine the accuracy of this method, the extracted constitutive parameters are used to generate -parameters for comparison with measured -parameters. The single-layer complex constitutive parameter-extraction technique utilized by Wolfson and Wentworth [16], [17] is also employed to provide another means of method verification. This technique is a modification of the well-known short-circuit line (SCL) technique involving two measurements with different offset short terminations [1] and shall henceforth be referred to as the SCL method. Waveguide -parameter measurements of three materials (Teflon, F40, and F125) were obtained using an HP-8510C

0018-9480/$20.00 © 2006 IEEE

1202

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

vector network analyzer for a frequency range of 8.2–10 GHz. Teflon was used to ensure the method obtained accurate results for nonmagnetic low-loss materials, while two radar-absorbing materials (RAMs), F40 and F125, were used since these materials exhibit complex permittivity and permeability, as well as significant loss tangents. Multilayer samples were constructed by placing these single-layer samples adjacent to one another in different combinations. In Section II, the SCL method is briefly described as a courtesy to the reader. The necessary modifications made to the method of Baginski et al. are discussed in this section as well. Section III presents the performance of the algorithm using ideal data, and Section IV discusses the sensitivity of -parameters to changes in constitutive parameters, as well as other measurement uncertainties. Section V details the measurement procedure. Sections VI and VII present the results of our study and the conclusions, respectively.

Fig. 1.

Rectangular waveguide loaded with n-layer sample.

TABLE I COMPLEX PERMITTIVITIES AND PERMEABILITIES AND THICKNESSES FOR THE ONE-, TWO-, AND THREE-LAYER COMPUTER-GENERATED DATA SETS

II. EXTRACTION TECHNIQUES A. SCL Method The SCL method consists of placing a sample in a section of rectangular waveguide and measuring the -parameter with the waveguide terminated by two offset shorts of differing length [16], [17]. The -parameter measurements are used to find the input impedance of the sample for each case. The input impedances along with transmission-line equations are then used to extract the complex permittivity and permeability of the sample over the frequency range of interest. This approach requires the material sample thickness to be less than one-half wavelength for the mode inside the sample in order to avoid exciting higher order modes. The excitation of higher modes is a possibility since the measured samples are not perfectly machined to match the geometry of the waveguide and slight inhomogeneities may be present within the sample. These conditions result in a loss of orthogonality between the modes of the empty waveguide and the modes in the sample region. A thorough discussion of the phenomenology can be found in [1]. Another constraint on the sample thickness results from the requirement that a low-loss sample must be thick enough to provide significant reflections. If both of these thickness conditions cannot be met, spurious data for the extracted values of permittivity and permeability can result, more often occurring at high frequencies. A detailed description of this method is given in [17]. B. Baginski Method The method of Baginski et al. is a two-port technique requiring a full set of -parameters to extract complex permittivity for each layer of an -layer sample (see Fig. 1). Here, however, the method is modified to also account for magnetic materials. Assuming only the mode propagates in the sample and unloaded waveguide, the -parameters for the th layer can be expressed as

TABLE II COMPARISON OF GA AND MPSQP EXTRACTED VALUES COMPUTER-GENERATED S -PARAMETER DATA SETS

FOR

where is the layer thickness and and are the propagation constant and wave impedance of the th layer, respectively. These terms must be formulated to account for both complex permittivities and permeabilities and are expressed as

(2) (3) where is the maximum cross-sectional dimension of the waveguide. These results are then used to form the complete set of -parameters for the multilayer sample according to

(4) (1)

-parameters are transformed into equivalent -paThe rameters using a simple conversion [18].

FAIRCLOTH et al.: COMPLEX PERMITTIVITY AND PERMEABILITY EXTRACTION FOR MULTILAYERED SAMPLES

1203

TABLE III COMPARISON OF GA AND MPSQP ERRORS FOR COMPUTER-GENERATED S -PARAMETER DATA SETS

A number of error functions were evaluated for their ability to obtain accurate results with the known samples. Initially, a mean-squared error function involving only the magnitudes of the -parameters was considered. However, for multilayer samples having both and , this function was ill suited for accurately determining the constitutive parameters since -parameter phase information becomes critical to the extraction process’s ability to obtain low rms errors. Therefore, two error functions involving both magnitude and phase information were tested and are given by

TABLE IV SAMPLE THICKNESS SENSITIVITY FOR LOW-PERMITTIVITY MATERIAL

TABLE V SAMPLE THICKNESS SENSITIVITY FOR HIGH-PERMITTIVITY MATERIAL

(5)

(6) where and are the formulated and measured -parameters at frequency point , respectively, and is the number of frequencies. After a number of studies, (6) was found to give a lower overall rms error between both the magnitude and phase of the formulated and measured -parameters. This may be due to a number of factors such as numerical precision of the calculations and unequal weighting of the magnitude and phase information in (5). The GA of [15] was determined to be an extremely robust method for determining accurate values for complex permittivities from -parameter measurements. SQP, a local optimization technique, was unable to accurately determine permittivity values in some cases due to its severe dependence on the algorithm’s initial starting point . However, SQP was found to be 50–1700 times faster than the GA depending on the number of layers (higher speed-up for larger number of layers). SQP has also been shown to more accurately obtain the value of the global minimum than the GA when the initial starting point was in the vicinity of the minimum [15]. For this study, a modified SQP algorithm is employed to exploit its speed and accuracy while eliminating the issue of local minima trapping. The novel multipoint sequential quadratic programming (MPSQP) developed and used in this study relies on the gen-

eration of randomly1 distributed initial guesses ( , ) to reach the global minimum in the bounded solution space. The SQP algorithm is performed on each initial guess resulting in solutions. If a sufficient number of points are taken, the MPSQP will accurately and quickly determine the global minimum by taking the solution with minimum error of all the returned solutions. To ensure that the minimum has been accurately determined, the MPSQP algorithm is repeated, but bounded by a reduced search space centered about the previously determined solution. In all cases considered, this added step returned results identical to the results of the initial set of iterations. A study comparing the performance of the GA [15] and MPSQP for the extraction of multilayer material parameters is presented in Section III. III. COMPUTER-GENERATED -PARAMETERS Computer-generated -parameter data sets over the 8.2–10-GHz frequency range for one-, two-, and three-layer cases were initially used to determine the accuracy of the MPSQP algorithm. The complex permittivities and permeabilities and thicknesses are shown in Table I (single-layer extraction used layer-1 data; two-layer extraction used layer-1 and layer-2 data; three-layer extraction used all layers ordered appropriately). For all single-layer optimizations, the GA utilized a population size of 100, crossover rate of 80%, and mutation rate of 10%. The redundancy removal scheme of [15] was also em1Uniform

probability density function.

1204

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 2. RMS errors for S -parameter sensitivity analysis. Decrease in S -parameter sensitivity for increasing number of layers is illustrated. (a) RMS error sensitivity of jS j to changes in " in single and multilayer cases. (b) RMS error sensitivity of S to changes in " in single and multilayer cases. (c) RMS error sensitivity of jS j to changes in " in single and multilayer cases. (d) RMS error sensitivity of S to changes in " in single and multilayer cases.

ployed to accelerate convergence. Since a single-layer problem has a four-dimensional (4-D) search space, the GA was allowed to iterate for 1000 generations, which corresponds to the parameter utilized in [15] for 4-D problems. For MPSQP, the number of initial guesses was set to 100. The real parts of both the permittivity and permeability were restricted to the range of 0.1 to 25, while the imaginary parts were restricted from 0 to 8. For the two-layer case, the GA was allowed to iterate for 6000 generations, and MPSQP utilized 500 initial guess points. With the three-layer case, the GA was limited to 12 000 generations, and MPSQP began with 1000 initial guess points. For all cases, if the error function value was below 10 , the algorithm terminated. Tables II and III list the extracted values and rms -parameter errors, respectively, obtained for each case. To better gauge the performance of each algorithm’s ability to obtain the globally optimal solution, ten simulations were conducted for each case shown. The MPSQP results shown are the average values and rms errors, whereas the GA results are the best results obtained. Not only does the MPSQP algorithm operate significantly faster (speed-up taken as the ratio of GA run time to MPSQP run time), it also returns much lower errors, indicating a higher accuracy of the extracted constitutive parameters. The GA results listed

for the two- and three-layer cases illustrate the difficulty of the multilayer extraction problem. Although the errors reported in Table III are fairly low, clearly the accuracy of the extracted constitutive parameters has suffered significantly (see Table II). The three-layer extraction was also a significantly more difficult problem, which the GA was unable to solve in a reasonable amount of time.

IV. SENSITIVITY ANALYSIS The results shown above indicate a need to quantify the expectable accuracy for the multilayer extraction problem. As discussed in [1], several factors may contribute adversely to the performance of the algorithm such as uncertainty in sample thickness, insensitivity of -parameters, - and -plane air gaps, inhomogeneities in the sample, reference plane uncertainties, etc. Of these, we focus our attention on the effects of sample thickness uncertainties and sensitivity of -parameters to changes in the constitutive parameters. Air-gap and reference-plane uncertainties are well documented [1], and a discussion of the effects of inhomogeneities in the sample is beyond the scope of this current research.

FAIRCLOTH et al.: COMPLEX PERMITTIVITY AND PERMEABILITY EXTRACTION FOR MULTILAYERED SAMPLES

A. Sample Thickness Uncertainty Consider the single-layer sample of Section III. Calipers with an accuracy of 10 m are used to measure the sample thickness. Table IV lists the results of the MPSQP algorithm assuming certain errors in the thickness measurement. As evidenced by the results, even errors of 20 m in sample thickness measurements have a minimal effect on the extracted constitutive parameters (error function values ). As a second case, consider a single-layer sample of the material given as Layer 2 in Table I. Table V lists the results of the MPSQP assuming the same errors in thickness as listed for the previous sample. For this material, the thickness errors result in slightly larger discrepancies of the extracted parameter values. However, the maximum error is only 1% ( in Table V) and, therefore, sample thickness measurement uncertainty is not considered a primary source of error in the extraction algorithm. B.

1205

TABLE VI SINGLE-LAYER RESULTS

TABLE VII MPSQP ERROR FOR SINGLE LAYER SAMPLE

-Parameter Sensitivity

An important factor in the accuracy of the extraction procedure for multilayer materials is the intrinsic sensitivity of the -parameters to changes in the constitutive parameters [12], [14], [15]. Although a thorough treatment of this topic is beyond the scope of this study, several illustrative examples are presented and discussed. In the first example, is allowed to vary for Layer 1 in the one-, two-, and three-layer cases presented in Section III. Fig. 2(a) and (b) shows the rms error in and , respectively, for changes in . Similarly, Fig. 2(c) and (d) shows the rms error in and , respectively, for changes in . These error terms were chosen because they were the most sensitive for the specific cases shown. For changes in , the magnitudes of the -parameters were progressively less sensitive with increasing number of layers. This is indicated by the lower rms errors for the two- and three-layer samples. Similar conclusions can be drawn from the rms phase errors although these terms are more sensitive overall than the magnitudes. As represented by Fig. 2(c) and (d), the -parameters are far less sensitive to changes in for low-loss materials. Although this analysis is not intended to fully characterize the sensitivity of -parameters to changes in constitutive parameters, two important trends become apparent, which are: 1) as measurement errors become greater than the numerical precision of the extraction algorithm, the ability of the algorithm to extract accurate parameter values is likely to decrease with increasing number of layers and 2) the imaginary parts of both the permittivity and permeability are likely to contain the greatest inaccuracies since the -parameters are less sensitive (for low-loss materials) to these parameters than the real parts. V. MEASUREMENTS The 2.4-mm test port of an HP-8510C vector network analyzer was connected via a coax-to-waveguide adapter to WR90 waveguide. Instrument settings for improved measurements using the HP-8510C follow the procedure of Wolfson [19]. Improved accuracy was found using 128 averaging with the analyzer in step-sweep mode rather than in ramp-sweep mode. In step-sweep mode, data is stored and averaged at each frequency step before incrementing to the next frequency. After a signal has locked onto a particular frequency point, the dwell time is the length of time before storing the data. In

order to ensure the dwell time exceeds the response time of the analyzer and given that a coaxial cable 8 ft in length connects the analyzer to the waveguide, a 2-ms dwell time was used. For waveguide measurements, the -mode cutoff frequency is entered as the waveguide delay (6.557 GHz for the WR90 waveguide used in this study). For the SCL method, the measurement reference plane is established at the open end of the waveguide of sufficient length to allow unwanted modes to attenuate before reaching the measurement reference plane. The reference plane was defined at the end of this waveguide using an offset short calibration procedure [20]. The procedure uses a short at the reference plane and offset shorts of lengths approximately and , where is chosen to give maximum phase separation for the offset shorts across the band [20]. For WR90 rectangular waveguide, the optimum offset short lengths are 0.483 and 1.455 cm. Following calibration, is measured for the reference plane terminated by both offset short loads. A short section of waveguide (2.987 cm) is then attached to the reference plane, with the material sample placed in the far end of the guide. is measured for the sample terminated by both offset short loads. These values along with measured for the offset shorts are inserted into the routine described by Wolfson and Wentworth [17] to extract and . A through-response measurement for the method presented here requires two sections of waveguide for attenuation of unwanted modes. The measurement reference planes are established at the ends of these two waveguides using a full two-port calibration [20] wherein each port is, in turn, terminated by a short and the pair of offset shorts described for the SCL approach. A direct connection of the two-reference planes provides the “through” connection for the calibration, and an isolation measurement is omitted. Following calibration, the material

1206

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 3. Comparison of MPSQP, SCL, and measurements for the single-layer F125 sample. (a) Comparison of MPSQP and SCL method extracted complex permittivity values for F125 sample. (b) Comparison of MPSQP and SCL method extracted complex permeability values for F125 sample. (c) Measured and generated S -parameter magnitudes for the F125 sample. (d) Measured and generated S -parameter phases for the F125 sample.

sample is placed within a short section (2.987 cm) of waveguide and then inserted between the two reference planes. In our measurements, one surface of the sample is aligned with the second reference plane. A complete set of -parameters are then measured and the results used in the method presented here. To establish an estimate of the measurement error using the testing procedure described, was measured for offset shorts of length 2.83 and 3.78 cm. These offset shorts ideally have an over the measured frequency range. The rms error from this ideal value was typically between 0.01–0.03. This is indicative of the order of error expected for the results presented in Section VI.

TABLE VIII RESULTS FOR TWO-LAYER SAMPLES

VI. RESULTS A. Single-Layer Measurements For the single-layer materials, samples of Teflon mm , F40 mm , and F125 mm were used. -parameter data sets were calculated from the values extracted using the GA, MPSQP, and SCL method. These data sets were, in turn, compared to the measured -parameters. In addition to -parameter comparisons, the extracted constitutive

parameters from each algorithm were directly compared. It was initially assumed for the GA and MPSQP that the constitutive parameters were constant over the frequency band of interest, whereas the SCL method makes no such assumptions. The results of the SCL method show that the materials are indeed frequency independent, and, therefore, this assumption is used

FAIRCLOTH et al.: COMPLEX PERMITTIVITY AND PERMEABILITY EXTRACTION FOR MULTILAYERED SAMPLES

1207

Fig. 4. Comparison of MPSQP and measured S -parameters for the F125/Teflon sample. (a) Measured and generated S -parameter magnitudes for the F125/Teflon sample. (b) Measured and generated S -parameter phases for the F125/Teflon sample.

throughout this paper. However, if the materials had shown frequency-dependent behavior, the necessary modifications to the MPSQP algorithm could be easily made. For the Teflon sample, the extracted constitutive parameters are nearly identical for the GA and MPSQP, as shown in Table VI. Good agreement is also shown between these two methods and the results of the SCL method (within the tolerances previously discussed). The constitutive parameter values listed for the SCL method are the average values over the frequency band. The rms errors between the MPSQP-generated and measured -parameter magnitudes and phases are listed in Table VII and give an estimate as to the magnitude of errors that may be expected in the multilayer cases. The GA results were not listed due to the similarity to the MPSQP results. Analogous results were also obtained for the two RAM samples, as shown in these tables. In all cases, MPSQP returned slightly lower rms -parameter errors (generated versus measured) than the SCL method and the GA. Fig. 3(a) and (b) shows comparisons of the extracted constitutive parameters from MPSQP and the SCL method over the entire frequency range. Fig. 3(c) and (d) shows excellent agreement between the MPSQP-generated -parameters and the measured data. B. Two-Layer Measurements The samples used for two-layer -parameter measurements were F125/Teflon, Teflon/F40, and F125/F40. To ensure an accurate solution, was set to 500 initial points. The increase in corresponds to that given in Section III for two-layer materials. The upper and lower bounds for each layer remained the same as those of the computer-generated and single-layer cases. Table VIII shows the extracted parameter values, as well as the error function value and rms errors between the generated and measured -parameters. Overall, good agreement is shown by the low error values for all material combinations. Additionally, the extracted parameter values agree well with the values obtained from the single-layer optimization to within the tolerances that may be expected (see Section IV and [14] and [15]). Fig. 4(a) and (b) shows the magnitude and phase of the generated and measured and for the F125/Teflon sample. As with the data from Table VIII, the agreement between the

TABLE IX RESULTS FOR F125/F40/TEFLON SAMPLE

extracted and measured data is well within the tolerance of systematic errors [15]. C. Three-Layer Measurements -parameters A three-layer F125/F40/Teflon sample’s were measured and the complex constitutive parameters were extracted using MPSQP. The upper and lower bounds remained unchanged, while was increased to 1000 due to the twelve-dimensional search space. Table IX shows the extracted constitutive parameters for each layer, as well as the error function value and rms errors between the measured and generated -parameters. As in the prior cases, the algorithm was able to successfully match the generated and measured -parameters, as evidenced by the very low rms error values. Inspection of the extracted parameter values shows that each of the materials was correctly classified and agreement with the single- and two-layer parameter values was reasonable. Clearly, the conclusions reached in Section IV are apparent in the results presented here. With three layers present and the previously mentioned system errors that may result, not only is the accuracy of the imaginary parts of the constitutive parameters affected, but now the real parts also suffer some inaccuracy. However, the results presented are still well within

1208

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

the acceptable tolerances presented previously and as discussed in [14] and [15]. VII. CONCLUSIONS In this paper, a method has been presented for accurately extracting the complex permittivity and permeability from each individual layer in a multilayer sample using -parameter waveguide measurements. The forward solution formulation presented in [15] was modified to account for magnetic materials. Also, an MPSQP was presented, which utilized a large number of initial guess points to alleviate the possibility of local minima trapping, a problem with gradient-based optimization methods. Use of such an algorithm was beneficial since it provides significant computational gains over traditional global optimization methods such as the GA. Specifically, for the single-layer cases presented here, the MPSQP showed an average speed-up of 45.6 over the GA, as well as improved accuracy. Computer-generated -parameter data were used to characterize the accuracy of MPSQP versus that of the GA utilized in [15]. The MPSQP was shown to be more accurate than the GA for one-, two-, and three-layer cases. A sensitivity analysis was also conducted using the numerical data presented. Uncertainties in sample thickness were shown to have an inconsequential effect provided that accurate calipers were used. More importantly, the sensitivity of -parameters to changes in the constitutive parameters was shown to decrease with an increasing number of layers. Also, the imaginary parts of the constitutive parameters showed significantly less influence over the -parameters than the real parts (for low-loss materials). Overall, it was concluded that increasing the number of layers in a sample will reduce the confidence in the accuracy of the extracted parameters when measurement errors are present. -parameter measurements were conducted on three material samples used to construct multilayer samples. The MPSQP was used successfully to extract the complex constitutive parameters for each layer. These values were then compared with values extracted using the SCL method (single-layer cases only). -parameters were also generated using the extracted values and compared with the measured data. In all cases, results were found to be in good overall agreement with both the SCL values and measured data. In summary, the MPSQP is a computationally efficient and robust algorithm for extracting complex constitutive parameters from multilayer materials. It should also be emphasized that the accuracy of the extracted values is not limited by the algorithm itself, but by the quality and precision of the available measured data. REFERENCES [1] J. Baker-Jarvis, M. D. Janezic, J. H. Grosvenor, and R. G. Geyer, Transmission/reflection and short-circuit line methods for measuring permittivity and permeability, NIST, Boulder, CO, 1992. [2] M. D. Janezic and J. Baker-Jarvis, “Full-wave analysis of a split-cylinder resonator for nondestructive permittivity measurements,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2014–2020, Oct. 1999. [3] T. C. Williams, M. A. Stuchly, and P. Saville, “Modified transmissionreflection method for measuring constitutive parameters of thin flexible high-loss materials,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1560–1566, May 2003.

[4] M. A. Saed, S. M. Riad, and A. Elshabini-Riad, “Wide-band measurement of the complex permittivity of dielectric materials using a wideband cavity,” IEEE Trans. Instrum. Meas., vol. 38, no. 2, pp. 488–495, Apr. 1989. [5] J. Baker-Jarvis, E. J. Vanzura, and W. A. Kissick, “Improved technique for determining complex permittivity with the transmission/reflection method,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1096–1103, Aug. 1990. [6] C. H. Riedell, M. B. Steer, M. R. Kay, J. S. Kasten, M. S. Basel, and R. Pomerleau, “Dielectric characterization of printed circuit board substrates,” IEEE Trans. Instrum. Meas., vol. 39, no. 2, pp. 437–440, Apr. 1990. [7] J. Abdulnour, C. Akyel, and W. Ke, “A generic approach for permittivity measurement of dielectric materials using a discontinuity in a rectangular waveguide or a microstrip line,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 5, pp. 1060–1066, May 1995. [8] H. Esteban, J. M. Catala-Civera, S. Cogollos, and V. E. Boria, “Characterization of complex permittivity properties of materials in rectangular waveguides using a hybrid iterative method,” IEEE Microw. Guided Wave Lett., vol. 10, no. 5, pp. 186–188, May 2000. [9] M. Kamarei, N. Daoud, R. Salazar, and M. Bouthinon, “Measurement of complex permittivity and permeability of dielectric materials placed on a substrate,” Electron. Lett., vol. 27, no. 1, pp. 68–70, Jan. 1991. [10] M. D. Janezic and J. A. Jargon, “Complex permittivity determination from propagation constant measurements,” IEEE Microw. Guided Wave Lett., vol. 9, no. 2, pp. 76–78, Feb. 1999. [11] F. Duhamel, I. Huynen, and A. V. Vorst, “Measurements of complex permittivity of biological and organic liquids up to 110 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1997, pp. 107–110. [12] M. D. Deshpande and K. Dudley, Estimation of complex permittivity of composite multilayer material at microwave frequency using waveguide measurements, NASA Langley Res. Center, Hampton, VA, 2003. [13] B. A. Sanadiki and M. Mostafavi, “Inversion of inhomogeneous continuously varying dielectric profiles using open-ended waveguides,” IEEE Trans. Antennas Propag., vol. 39, no. 2, pp. 158–163, Feb. 1991. [14] T. Zwick, J. Haala, and W. Wiesbeck, “A genetic algorithm for the evaluation of material parameters of compound multilayered structures,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1180–1187, Apr. 2002. [15] M. E. Baginski, D. L. Faircloth, and M. D. Deshpande, “Comparison of two optimization techniques for the estimation of complex permittivities of multilayered structures using waveguide measurements,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3251–3259, Oct. 2005. [16] B. Wolfson and S. Wentworth, “Complex permittivity and permeability measurement using rectangular waveguide,” Microw. Opt. Technol. Lett., vol. 27, no. 3, pp. 180–182, Nov. 2000. , “Complex permittivity and permeability measurement at elevated [17] temperatures using rectangular waveguide,” Microw. Opt. Technol. Lett., vol. 38, no. 6, pp. 449–553, Sep. 2003. [18] D. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2003. [19] B. Wolfson, “Complex permittivity and permeability extraction at elevated temperatures using rectangular waveguide,” M.S. thesis, Dept. Elect. Comput. Eng., Auburn Univ., Auburn, AL, 2001. [20] Introduction to Basic Measurements Using the HP 8510, HewlettPackard, Palo Alto, CA, 1984.

Daniel L. Faircloth (S’02–M’06) received the B.S., M.S., and Ph.D. degrees in electrical engineering from Auburn University, Auburn, AL, in 2002, 2003, and 2006, respectively. In 2005, he joined the Signature Development Branch, Dynetics Inc., Huntsville, AL. His research includes finite-element analysis of transient and steady-state electromagnetic phenomena, optimization techniques applicable to electromagnetic design, inverse problems, synthetic aperture radar antenna design, and numerical modeling of land-mine and unexploded ordnance (UXO) problems. Mr. Faircloth is a member of Eta Kappa Nu, Tau Beta Pi, and Phi Kappa Phi. He was the recipient of a National Aeronautics and Space Administration (NASA) Graduate Student Researchers Program (GSRP) Fellowship.

FAIRCLOTH et al.: COMPLEX PERMITTIVITY AND PERMEABILITY EXTRACTION FOR MULTILAYERED SAMPLES

Michael E. Baginski (M’87–SM’95) was born in Erie, PA, on October 20, 1957. He received the B.S., M.S., and Ph.D. degrees from Pennsylvania State University, University Park, in 1980, 1984, and 1987, respectively, all in electrical engineering. He is currently an Associate Professor of Electrical Engineering with Auburn University, Auburn, AL, where he has resided since the completion of his doctorate degree. His research interests include analytic and numerical solutions to transient electromagnetic problems, transient heat flow and solid-state structural analysis using finite-element routines, and the analysis of the RF drying of textile materials, electromagnetic interference (EMI) and electromagnetic compatibility (EMC) characterization of multichip modules (MCMs) and printed circuit boards (PCBs), simulation of rapid thermal expansion of metals under the action of large electric currents, S -parameter extraction routines, and synthetic aperture radar design and data processing routines. He is listed in Who’s Who in Science and Engineering and Who’s Who Among America’s Teachers. Dr. Baginski is a member of Eta Kappa Nu, Sigma Xi, the New York Academy of Sciences, and the IEEE Education and Electromagnetic Compatibility Societies.

1209

Stuart M. Wentworth (S’88–M’90–SM’95) received the B.S. degree in chemical engineering from Auburn University, Auburn, AL, in 1982, and the M.S. and Ph.D. degrees in electrical engineering from The University of Texas at Austin, in 1987 and 1990, respectively. In 1990, he joined the Department of Electrical Engineering, Auburn University, specializing in electromagnetics and microelectronics, and where he is currently an Associate Professor. His research interests include microwave circuit and interconnect modeling, high-frequency material characterization, and RF identification tags. He recently authored Fundamentals of Electromagnetics with Engineering Applications (Wiley, 2005). He is an Associate Editor of the International Journal of Radio Frequency Identification Technology and Applications. Dr. Wentworth is a member of the American Society for Engineering Education.

1210

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Sampling-Oscilloscope Measurement of a Microwave Mixer With Single-Digit Phase Accuracy Dylan F. Williams, Fellow, IEEE, Hassen Khenissi, Fabien Ndagijimana, Kate A. Remley, Member, IEEE, Joel P. Dunsmore, Member, IEEE, Paul D. Hale, Senior Member, IEEE, Jack C. M. Wang, and Tracy S. Clement, Senior Member, IEEE

Abstract—We describe a straightforward method of separately characterizing up- and down-conversion in microwave mixers using a sampling oscilloscope. The method mismatch-corrects the results, determines both magnitude and phase, and uses a novel time-base correction scheme to improve the accuracy of the measurements. We estimate our measurement accuracy to be on the order of a tenth of a decibel in magnitude and a few degrees in phase. We use the method to characterize the magnitude and phase reciprocity of a microwave mixer. Index Terms—Down-conversion, frequency translation, jitter correction, magnitude measurement, mismatch correction, mixer measurement, mixer reciprocity, oscilloscope, phase measurement, time-base correction, up-conversion.

I. INTRODUCTION

W

E demonstrate separate mismatch-corrected measurements of the magnitude and phase of a microwave mixer’s up- and down-conversion transfer functions. The method uses a conventional sampling oscilloscope to measure the input and output signals of the mixer, and a vector network analyzer (VNA) to perform measurements required for the mismatch corrections. We also employ a novel measurement setup and algorithm to correct for jitter, drift, and distortion in the oscilloscope’s time base. The resulting measurements are accurate enough to characterize “golden” diode-based reference mixers suitable for use with multifrequency VNAs equipped for mixer characterization. Microwave mixer measurements are, in general, quite complicated because the input and output frequencies of the mixers are different. In addition, Torrey and Whitmer observed in 1948 that mixers are not, in general, reciprocal [1], i.e., the up- and down-conversion transfer functions of even diode-based mixers are not generally equal. Thus, a complete characterization of a microwave mixer requires that the up- and down-conversion transfer functions be measured separately [1], [2]. It is possible to use a single-frequency VNA to measure the “round-trip” product of the up- and down-conversion transfer functions of a mixer using deembedding methods [3]–[5]. These Manuscript received September 17, 2005. D. F. Williams, K. A. Remley, P. D. Hale, J. C. M. Wang, and T. S. Clement are with the National Institute of Standards and Technology, Boulder, CO 80305 USA (e-mail: [email protected]). H. Khenissi was with the Université Joseph Fourier, 38041 Grenoble, France. F. Ndagijimana is with the Université Joseph Fourier, 38041 Grenoble, France and also with the Institut Universitaire de Technologie de Grenoble, 38031 Grenoble, France. J. P. Dunsmore is with Agilent Technologies, Santa Rosa, CA 95404 USA. Digital Object Identifier 10.1109/TMTT.2005.864102

approaches are based on measuring the input impedance of the mixer at its input port while connecting a number of known impedances to the output port of the mixer. These methods are similar to deembedding methods used to characterize electrical adapters and transitions at microwave frequencies [6], and are easy to perform because the VNA impedance measurements need be performed at only a single frequency at the input port of the mixer. However, these methods measure the mean of the mixer’s up- and down-conversion transfer functions, and cannot distinguish differences between them. This is because the transfer function of the mixer is measured by signals that first go forward through the mixer, are then reflected off the load connected to the mixer’s output port, and finally traverse backward through the mixer again, The magnitude of the up- and down-conversion loss of a mixer can be measured directly with a VNA and supplementary power calibration, as described in [3] or [7]. However, this “power-meter-calibrated” VNA approach does not measure the phase of the mixer transfer function. Thus, separately characterizing the phase response of a mixer is still the most difficult aspect of its characterization, and characterizing the difference in the phase of the up- and down-converted signals of microwave mixers, and particularly of diode-based reference mixers, remains problematic. Reference mixers are often characterized with the “threemixer method.” This method is based on VNA measurements of the product of signals up-converted by one mixer and down-converted by another. These measurements are fairly straightforward, as the frequencies of the incident and reflected signal at the mixer port are the same. When three mixers are measured in various combinations [8]–[12], it is possible to uniquely determine the separate up- and down-conversion transfer functions of all three mixers if one of the three mixers is reciprocal. However, this still leaves open the question of how one determines that the phase response of one of the three mixers is reciprocal. Van Moer and Rolain suggested an approach for separately measuring the phase of the up- and down-conversion transfer functions of microwave mixers with a three-port large-signal network analyzer (LSNA) in [13]. The LSNA separately measures the signals at all three ports of the mixer, and is thus able to measure both the magnitude and phase of the up- and down-conversion transfer functions separately. While this method is extremely precise, it requires custom instrumentation and lacks the frequency resolution to adequately characterize a mixer’s phase reciprocity in the conventional way. In [13], the authors did not

0018-9480/$20.00 © 2006 IEEE

WILLIAMS et al.: SAMPLING-OSCILLOSCOPE MEASUREMENT OF MICROWAVE MIXER WITH SINGLE-DIGIT PHASE ACCURACY

1211

phase between the input and output signals of the mixer and, thus, determine its transfer function in the setup. During all of the measurements, we simultaneously measure “copies” of the local oscillator and source signals on channels 1, 2, and 4 of the oscilloscope to correct for drift and jitter in the oscilloscope time base, as described below. This allows us to set the time reference for our measurements to a constant phase of the local oscillator, and to better determine the relative phases of all of the signals in the experiment in the presence of drift and jitter in the reference signals that lock the sources together and trigger the oscilloscope, and in the presence of distortion in the oscilloscope time base. A. Time Base Fig. 1. Our measurement setup. Not shown in this figure are an isolator in the LO path and 16-dB attenuators at the two output ports of the lower splitter that prevent signals reflected from or generated in the mixer from interfering with the signals on channels 1, 2, and 4.

account for the effect of the local-oscillator phase in the conventional way, resulting in apparent nonreciprocal behavior. Boulejfen et al. [14] suggest a similar approach that overcomes the frequency restrictions of [13]. However, their approach is based on a custom setup requiring a number of couplers, switches, a microwave transition analyzer, and other components. Nevertheless, microwave mixers are most commonly characterized with a VNA and a diode reference mixer whose upand down-conversion transfer functions have already been determined by other means [8]–[12]. Typically, the methods of characterizing the reference mixer assume phase reciprocity. Here, we develop a measurement approach based on widely available sampling oscilloscopes for characterizing microwave mixers. The procedure separately measures both the magnitude and phase of the up- and down-conversion transfer functions of a microwave mixer on a nearly arbitrary frequency grid. The approach is accurate and greatly simplifies the characterization of reference mixers for VNA calibrations. II. MEASUREMENT SYSTEM We use a four-channel oscilloscope and the setup shown in Fig. 1 to measure the two transfer functions of microwave mixers. We first characterize the signal from the source at the input of the mixer by connecting the source output at A to channel three of the oscilloscope. This requires removing the mixer from the setup and inserting an adapter in its place. During the source characterization, we also measure the reference signal on channel 4. This reference signal helps us to later reconstruct the actual magnitude and phase of the signal at A when the mixer is being tested. After characterizing the relationships between the source signal at A and the signal measured on channel 4 of the oscilloscope, we insert the mixer as shown in the figure. We then measure the signal at B at the output of the mixer on channel 3 of the oscilloscope. Since we are able to determine the magnitude and phase of the signal at the mixer’s input port at A from our measurement on channel 4, after correcting for mismatch, we can directly calculate the difference in the magnitude and

To establish a uniform time base for the sources and oscilloscope, we lock the local oscillator and input sources together and trigger the oscilloscope with the 10-MHz reference signals shown in Fig. 1. If there were no jitter or drift in these 10-MHz locking and trigger circuits relative to the microwave sources and oscilloscope trigger, and if there were no distortion in the oscilloscope time base, we would only need the measurements of the signals at A and B in this figure to accurately measure the transfer functions of the mixer. Unfortunately, there is a great deal of jitter and drift in the 10-MHz locking circuits, and the distortion in the oscilloscope time base is not negligible. We surmount these problems by adding the splitters and hybrid couplers shown in Fig. 1 to the measurement setup. These splitters and couplers allow us to measure copies of the signals from the source and local oscillator simultaneously with the measurements we perform on channel 3. The supplementary measurements on channels 1, 2, and 4 of the oscilloscope allow us to correct the time-base distortion in the oscilloscope, and to track and correct for the jitter and drift in the 10-MHz locking and trigger circuits. The procedure that we use to correct for jitter, drift, and timebase distortion is described in [15], and is based on the fact that, in our oscilloscope, a single strobe is used to close the four sampling gates. Since the same strobe pulse is split and used to close the sampling gates of the oscilloscope, the voltage samples on each channel of the oscilloscope are taken at nearly the same time, i.e., the relative times between when the samples are taken on each channel are almost impervious to the much larger jitter and drift in the oscilloscope time base, and remain very nearly the same even in the presence of large overall drift and jitter. The new time base for the measurements is based on first fitting distorted sine waves to the copies of the local-oscillator signals we measure on channels 1 and 2 of the oscilloscope [15]. These signals are indicated by thin black lines in Fig. 2. During the actual adapter and mixer measurements, we then use the algorithm described in [15] as implemented in the freeware package [16] to adjust our estimates of the time that the oscilloscope actually performed its measurement. This is achieved by finding the measurement time for each sample that best aligns the voltages measured on channels 1 and 2 of the oscilloscope with our distorted sine-wave fits. Since all four samplers in the oscilloscope are driven by the same strobe pulse, this procedure results in an extremely precise determination of the measurement time with respect to the local-oscillator signals, and eliminates distortion in the oscilloscope time base [15].

1212

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

C. Mixer Characterization

Fig. 2. Typical oscilloscope traces measured during our experiment before time-base and jitter correction. The thin traces are the in-phase and quadrature copies of the local oscillator measured on channels 1 and 2 of the oscilloscope. These traces are used to establish the time base. The thick black line is the copy of the signal going into the image port of the mixer measured on channel 4 of the oscilloscope. The thick grey line is the signal at the IF output of the mixer measured on channel 3 of the oscilloscope.

An important advantage of our new time base is that the measurement time is determined in terms of the in-phase and quadrature copies of the local-oscillator signals we measure on channels 1 and 2. This is extremely convenient, as we are able to perform all of our measurements with respect to a fixed local-oscillator phase even as the input source and oscilloscope timebases drift with respect to the local oscillator. B. Source Characterization After establishing our time base for the measurements with the local-oscillator signals, we characterize the source (labeled “input source” in Fig. 1). We do this by placing an adapter in the setup where the mixer is shown in Fig. 1, and use channel 3 of the oscilloscope to measure the signal at the point marked “B” just after the adapter. We then determine the magnitude and phase of the signal we are interested in by fitting a sine wave to the temporal signal measured by the oscilloscope. Finally, using a VNA measurement of the scattering parameters of the adapter and the reflection coefficients of the source and oscilloscope, we deembed the measurement and determine the magnitude and phase of the signal emanating from the source at reference plane A. During this source characterization step, we simultaneously measure the copy of the source signal on channel 4 of the oscilloscope, and determine its magnitude and phase by fitting to a sine wave. This allows us to determine the relationship between the magnitude and phase of the source signal at A and the magnitude and phase of the signal measured on channel 4 of the oscilloscope. Later we determine the magnitude and phase of the input signal at A in Fig. 1 from our measurements on channel 4 of the oscilloscope during the actual mixer tests. To ensure fixed relationships between the signal measured on channel 4 and the signal at A, we inserted two 16-dB attenuators (not shown in Fig. 1) on the two output ports of the lower splitter in this figure to prevent signals reflected by the mixer or oscilloscope port 3 from reflecting back and being measured on channel 4 of the oscilloscope.

Next we characterize the mixer itself. We begin by removing the adapter and inserting the mixer in its place. During this phase of the measurement procedure, the setup is as shown in Fig. 1. We measure the up-converted signal at the point marked “B” in this figure emanating from the mixer on channel 3 of the oscilloscope, as well as the copies of the source and local-oscillator signals discussed above on the other channels of the oscilloscope. Fig. 2 plots typical signals we measure on the four channels of the oscilloscope. Next we calculate the actual source voltage at point A in Fig. 1 from the reference voltage measured on channel 4 of the oscilloscope with , where is the voltage of the source we characterized with the adapter in place, and was the voltage we measured on channel 4 of the oscilloscope when we characterized the source voltage . Again, we use sine-wave fits to determine the magnitudes and phases of these voltages. Finally, we reverse the direction of the mixer and measure the down-converted signal emanating from the mixer at B. Again, we use our measurement on channel 4 to compensate for drift in the measurement setup. A comparison of the magnitude and phase of these signals allows us to determine the magnitude and phase of the up- and down-conversion transfer functions of the mixer. D. Transformation to a Single-Frequency Problem Once we have determined the magnitude and phase of the signals at the input A to the mixer and the output B of the mixer, we are ready to account for all of the mismatches in the measurement system, and to solve for the mixer transfer functions. We begin this correction process by measuring the reflection coefficients of the mixer with a VNA using the method of [3]. This is a straightforward process since it involves measuring only single-frequency reflection coefficients of the mixer with various loads terminating the other port of the mixer. These measurements, when combined with the reflection coefficient of the oscilloscope on channel 3 we measured previously at point B in Fig. 1 and the source impedance we measured previously at point A in Fig. 1, leaves us with only two unknowns in the measurements, i.e., the up- and down-conversion transfer functions of the mixer. We determined these two unknown transfer functions by iteratively adjusting them until the up- and down-converted voltages we calculated from an equivalent-circuit model of our measurement setup agree with the upand down-converted voltages we measured on channel 3 of the oscilloscope. We used the mixer representations and procedures described in [17] to transform the multifrequency setup of Fig. 1 to a single-frequency problem. While, as explained in [17], this requires some bookkeeping to properly match up the different frequencies in the problem, it greatly simplifies the models and the calculations. Following [17], we represented our mixer, which is surrounded by the dashed boxes in the equivalent circuits of Figs. 3 and 4, with a scattering parameter “error box” and an ideal mixer. The ideal mixer has an unknown, but constant local-oscillator phase represented by the phase of , where .

WILLIAMS et al.: SAMPLING-OSCILLOSCOPE MEASUREMENT OF MICROWAVE MIXER WITH SINGLE-DIGIT PHASE ACCURACY

1213

the frequency of the measurements, but conjugates the phase of the elements describing these error boxes and sources. This conjugation behavior is due to the phase reversals that take place in image mixing, and do not occur for regular RF mixers [17]. The equivalent circuits in Fig. 4 correspond to the downconversion measurement. The bar over the scattering-parameter error box indicates that the error box in Fig. 4 points in the opposite direction: i.e., , , , and . Again, as the ideal image mixer is moved through scattering-parameter error boxes and combined with sources, it conjugates the phase of the elements describing these error boxes and sources. E. Iterative Solution Procedure

Fig. 3. Reduction of the multifrequency up-conversion measurement problem to a single-frequency equivalent circuit. The ideal mixer conjugates [S ], b , and 0 as it is moved to the left and combined with the source using the rules outlined in [17].

Fig. 4. Reduction of the multifrequency down-conversion measurement problem to a single-frequency equivalent circuit. The ideal mixer conjugates only b and 0 as it is combined with the source using the rules outlined in [17].

In our experiments, we used an image mixer. Figs. 3 and 4 illustrate the procedure for an image mixer: similar procedures for an RF mixer are presented in [17]. The equivalent circuits in Fig. 3 correspond to the up-conversion measurement. The sketch at the top of this figure shows the actual multifrequency circuit, and the sketch at the bottom of this figure shows the single-frequency equivalent circuit after the ideal mixer in the circuit at the top of this figure has been “moved through” the scattering-parameter error box describing the nonideal behavior of the mixer and the source, as described in [17]. Note that, as the ideal image mixer is moved through scattering-parameter error boxes and combined with sources following the rules outlined in [17], it not only translates

Finally, we used our single-frequency equivalent-circuit models describing the measurement setup to numerically solve for the transfer functions and of the mixer from the measurements. Recall that we already measured the reflection coefficients and of the mixer, source, and oscilloscope with our VNA, leaving as unknowns only and in the equivalent circuits of Figs. 3 and 4. To solve for these transfer functions, we began with a guess of 1 for and , and iteratively adjusted them until the calculated magnitude and phase of the signal at the output of our mixer (point B in Fig. 1) in our equivalent-circuit model matched the actual magnitude and phase measured by the oscilloscope on channel 3. The solutions are easy to find as the ratio of the measured input and output signals in our setup are very nearly given by the terms and , and the reflection coefficients only perturb the measurements slightly. This makes the solution algorithm very nearly linear and, as a result, there are no local minima to complicate finding the solution. We were able to greatly speed this procedure by estimating new values and for and from the current guesses and for and . We used the formula to update our guess for , where is the voltage of the source we measured on channel 3 of the oscilloscope, and is the voltage we calculated that we should have measured on channel 3 of the oscilloscope from the measured reflection coefficients and estimates and . Likewise, we used the formula at each iteration step to update our guess for , where is the voltage of the source we measured on channel 3 of the oscilloscope, and is the voltage we calculated that we should have measured on channel 3 of the oscilloscope from the measured reflection coefficients and estimates and when the mixer was reversed. Our iterative procedure was extremely fast and robust, and we were able to achieve convergence of one part in 10 in only six or seven iterations. In practice, we found that it not worth implementing and checking stopping conditions, as simply performing ten iterations of the algorithm achieves convergence to better than one part in 10 .

1214

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 5. Comparison of the up-conversion loss jS j and down-conversion loss j measured with our oscilloscope and a power-meter-calibrated VNA. jS

Fig. 6.

Comparison of the product of the up- and down-conversion loss measured with our oscilloscope and the average of the round-trip conversion losses measured with a VNA.

S S

j

j

III. MEASUREMENT RESULTS We used a commercial off-the-shelf 2000–4200-MHz balanced diode mixer at a local-oscillator frequency of 4500 MHz to demonstrate our measurement system. We arranged the mixer in an image configuration, and added a low-pass filter with a cutoff frequency of 1650 MHz to the IF port, and a 2000–4000-MHz bandpass filter on the image port. These filters reduced local-oscillator leakage to the other ports, and suppressed other mixing products at the mixer’s IF and image ports. These filters reduce the sensitivity of the mixer to terminations at the local-oscillator frequency and higher order mixing products, and ensure validity of the assumptions of [17]. We also added dc blocking capacitors to the mixer’s intermediate and local-oscillator ports, and the bandpass filter on the image port served as a dc block on that port as well. These dc blocks eliminated any sensitivity of the mixer to dc bias and the dc return paths applied to the mixer. Finally, we tested our image mixer, which consisted of the diode mixer, filters, and dc blocks, on our measurement system. We then measured the transfer function of the mixer plus filters and dc blocks, which we simply refer to as our mixer in what follows. Fig. 5 compares the mixer’s up-conversion loss and down-conversion loss we measured with our oscilloscope to the same quantities measured by a power-meter-calibrated VNA [3], [7]. This figure shows excellent agreement between the measurements. Fig. 6 plots the difference of the product and the average of two round-trip measurements of that same quantity measured with our VNA, using the method of [4]. Again, the agreement is excellent. The error bars in Fig. 6 represent our estimate of the standard uncertainty in the measurements. Table I summarizes the different components of these uncertainty estimates. We estimated the standard uncertainty due to repeatability in our measurements from three repeat measurements of the mixer. To this we added estimates of our uncertainty in the swept-sine magnitude corrections for our oscilloscope response, which we performed following the procedure described in [18], and combined our uncertainties following the recommendations of [19]. As can be

TABLE I ESTIMATED STANDARD UNCERTAINTIES FOR MEASUREMENTS OF THE MIXER’S TRANSFER FUNCTION

seen in this table, the systematic part of our estimated uncertainties dominated the total uncertainty. As we do not possess accurate low-frequency electrical phase standards, we were not able to calibrate the oscilloscope’s phase response. We set the systematic phase uncertainty equal to the standard deviation of the differences of oscilloscope measurements of a photodiode to calibrated phase measurements of the same photodiode performed on the National Institute of Standards and Technology (NIST) electrooptic sampling system described in [20]. We believe that these differences were, for the most part, due to errors in the electrooptic sampling system, which is designed primarily for high-frequency measurements [21], and not to the oscilloscope’s phase response. Thus, our actual phase measurement errors could be significantly smaller than our uncertainty estimates. IV. PHASE AND PHASE RECIPROCITY As discussed earlier, we used our time-base correction algorithm to hold the phase of the local-oscillator drive term constant during the measurements. Thus, our measurements of the signal at the input to the oscilloscope at B in these

WILLIAMS et al.: SAMPLING-OSCILLOSCOPE MEASUREMENT OF MICROWAVE MIXER WITH SINGLE-DIGIT PHASE ACCURACY

Fig. 7. Comparison of the phases of S and S measured with our oscilloscope and with the average round-trip phase measured with our VNA. and We subtracted a constant offset to account for the unknown phase of a a constant delay to better show the mixer’s phase distortion.

Fig. 8. Comparison of the phase of the product oscilloscope and with a VNA.

S S

measured with our

figures allow us to measure the two transfer functions and , as is clear from the single-frequency equivalent circuits at the bottom of Figs. 3 and 4. Fig. 7 compares the and of our mixer after subtracting a conphase of , and after subtracting a constant stant due to the phase of delay. Not only does this figure shows good agreement with the round-trip VNA measurement based on the method of [4], it shows that the phase response of the mixer is, for the most part, reciprocal. Fig. 8 plots the difference between the phase of the product and the average of the two round-trip measurements of that same quantity measured with our VNA using the method of [4]. In this case, the measurements agree to within approximately a degree, illustrating the high accuracy achievable with the sampling oscilloscope. Fig. 8 also shows that our oscilloscope phase measurements agree with our round-trip VNA measurements to well within our estimated uncertainties. Like our random magnitude uncertainty estimates, we derived our random phase uncertainty from three

1215

Fig. 9. Difference of the phase of S and S measured with our oscilloscope after subtracting a constant offset due to the unknown phase of the local oscillator.

repeated measurements, and the systematic uncertainties in the phase from a comparison to measurements we performed on the NIST electrooptic sampling system. To these, we added smaller uncertainties due to cable bending during the measurements, which we also characterized with our VNA. As we pointed out in Section I, mixer reciprocity, and particularly mixer phase reciprocity, has been a troublesome issue since World War II. Our oscilloscope measurement method addresses the measurement of mixer phase reciprocity directly. The unknown phase of the local oscillator in the models of Figs. 3 and 4 results in an absolute phase offset in the measured and .1 Fig. 9 plots the phase of transfer functions we measured after subtracting the constant offset in this quantity. This is, to within a constant offset, the difference and . in the phases of We were surprised by the fairly large and rapid changes in the and , particularly between difference of the phases of 550–600 MHz, where the amplitude response of the mixer is fairly smooth. We can only speculate at this point that this difference in phase near 600 MHz is related to the small dip in the , shown in Fig. 5, and that this sharp phase magnitude of change is related to some resonance in the reflective filters that we used in our mixer. While we did not perform measurements over a fine enough frequency grid to accurately determine the differences in the up- and down-conversion group delay of our mixer, the measurements do indicate differences in the up- and down-conversion group delay of at least 0.5 ns. 1While we could have measured the phase of the local-oscillator signal at the local-oscillator port of the mixer in our experiments, there would still have at been a constant, but unknown phase difference between the phase of a at the ideal the physical local-oscillator input of the mixer and phase of a mixer in the equivalent-circuit models of Figs. 2 and 3 [17]. Thus, we can never determine the absolute difference of the phases of S and S from the two measured transfer functions a S and a S . is not controlled, and the absolute In most applications, the phase of a offsets of the phases of S and S introduced by the phases of the localoscillator frequency are unimportant. Rather, the most important information about mixer behavior from a system point-of-view is contained in the way that S and S evolve with frequency. This explains the focus on the measurement of the group delay of mixer transfer functions in the literature.

1216

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

V. CONCLUSION The method we presented here measures the magnitude and phase reciprocity of microwave mixers with relatively inexpensive instrumentation. We showed that our measurement scheme is quite accurate, and easily able to distinguish differences in the evolution of the phases of the transfer functions of microwave mixers with frequency that are ignored by the VNA deembedding method of [3] and [4]. Measuring these phase differences with the methods of [8], [9], [22], and [23] would require a calibrated reference mixer. Only the method described in [14] could have measured this phase difference on a frequency grid fine enough to determine group delay, but requires significantly greater resources to perform. The frequencies we used were low enough that it was not necessary to correct for the phase response of our oscilloscope. However, as the frequency of the local oscillator increases, so does the slope of the sinusoids. The increase in the slope of the sinusoids improves our ability to determine at what time our measurements were performed in the presence of additive noise from the voltages measured on channels 1 and 2 of the oscilloscope. Thus, our approach to establishing a uniform time base for the measurements scales well to higher frequencies. Furthermore, we could also measure and correct for the oscilloscope phase response at higher frequencies with a photodiode characterized on our electrooptic sampling system. While here we described only experiments employing small-signal sinusoidal excitation, the apparatus can also be used to characterize more complex mixer behavior with large-signal multitone excitations. We could have equally well applied the approach to the characterization of highly nonreciprocal active transistor mixers. REFERENCES [1] H. C. Torrey and C. A. Whitmer, Crystal Rectifiers. New York: McGraw-Hill, 1948. [2] S. Maas, Microwave Mixers. Boston, MA: Artech House, 1992. [3] J. Dunsmore, “Novel method for vector mixer characterization and mixer test system vector error correction,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Jun. 2002, pp. 1833–1836. [4] J. Dunsmore, S. Hubert, and D. F. Williams, “Vector mixer characterization for high-side LO cases,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3, Jun. 2004, pp. 1743–1746. [5] M. E. Knox, “A novel technique for characterizing the absolute group delay and delay linearity of frequency translation devices,” in ARFTG Conf. Dig., vol. 53, Jun. 1999, pp. 50–56. [6] R. F. Bauer and P. Penfield, “De-embedding and unterminating,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 3, pp. 282–288, Mar. 1974. [7] B. Roth, D. Kother, M. Coady, T. Sporkmann, and C. Sattler, “Applying a conventional VNA to nonlinear measurements without using frequency converting standards,” in 3rd Int. Integr. Nonlinear Microw. Millimeterw. Circuits Workshop, May 1994, pp. 243–252. [8] C. J. Clark, A. A. Moulthrop, M. S. Muha, and C. P. Silva, “Transmission response measurements of frequency translating devices,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3, Jun. 1996, pp. 1285–1288. [9] , “Transmission response measurements of frequency-translating devices using a vector network analyzer,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2724–2737, Dec. 1996. [10] , “Network analyzer measurements of frequency translating devices,” Microwave J., vol. 39, no. 11, pp. 114–126, Nov. 1996. [11] D. R. Thornton, “A simple VNA method for mixer conversion loss measurement,” Microw. J., vol. 40, no. 3, pp. 78–86, Mar. 1997.

[12] M. L. Truong, “Increased accuracy of absolute group delay measurements,” Microw. J., vol. 42, no. 9, pp. 150–160, Sep. 1999. [13] W. Van Moer and Y. Rolain, “Proving the usefulness of a 3-port nonlinear vectorial network analyzer through mixer measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3, Jun. 2003, pp. 1647–1650. [14] N. Boulejfen, F. M. Ghannouchi, and A. B. Kouki, “A novel measurement technique for microwave frequency translating devices (FTD),” in Precision Electromagn. Meas. Dig. Conf., Jul. 1998, pp. 422–423. [15] P. D. Hale, C. M. Wang, D. F. Williams, K. A. Remley, and J. Wepman, “Compensation of random and systematic timing errors in sampling oscilloscopes,” IEEE Trans. Instrum. Meas., submitted for publication. [16] P. D. Hale, C. M. Wang, D. F. Williams, K. A. Remley, and J. Wepman, “Time base correction (TBC) software package,” NIST, Boulder, CO, 2005. [Online]. Available: http://www.boulder.nist.gov/div815 /HSM_Project/Software.htm. [17] D. F. Williams, F. Ndagijimana, K. A. Remley, J. Dunsmore, and S. Hubert, “Scattering-parameter models and representations for microwave mixers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 314–321, Jan. 2005. [18] D. C. DeGroot, P. D. Hale, M. Vanden Bossche, F. Verbeyst, and J. Verspecht, “Analysis of interconnection networks and mismatch in the nose-to-nose calibration,” in Automatic RF Tech. Group Conf. Dig., vol. 55, Jun. 2000, pp. 116–121. [19] B. N. Taylor and C. E. Kuyatt, “Guidelines for evaluating and expressing the uncertainty of NIST measurement results,” NIST, Boulder, CO, Tech. Note 1297, Sep. 1994. [20] T. S. Clement, P. D. Hale, D. F. Williams, and J. M. Morgan, “Calibrating photoreciever response to 110 GHz,” in 15th Annu. IEEE Lasers and Electro-Opt. Soc. Meeting Conf. Dig., Nov. 2002, pp. 877–878. [21] D. F. Williams, P. D. Hale, T. S. Clement, and C. M. Wang, “Uncertainty of the NIST electrooptic sampling system,” NIST, Boulder, CO, Tech. Note 1535, Dec. 2004. [22] “Amplitude and phase measurements of frequency translating devices using the HP 8510B network analyzer,” Hewlett-Packard Company, Palo Alto, CA, Product Note 8510-7, 1987. [23] D. Ballo, “Measuring absolute group delay of multistage converters,” in 33rd Eur. Microw. Conf., vol. 1, Oct. 2003, pp. 89–92.

Dylan F. Williams (M’80–SM’90–F’02) received the Ph.D. degree in electrical engineering from the University of California at Berkeley, in 1986. In 1989, he joined the Electromagnetic Fields Division, National Institute of Standards and Technology, Boulder, CO, where he develops metrology for the characterization of monolithic microwave integrated circuits and electronic interconnects. He has authored or coauthored over 80 technical papers. Dr. Williams is an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the Department of Commerce Bronze and Silver Medals, two Electrical Engineering Laboratory’s Outstanding Paper Awards, two Automatic RF Techniques Group (ARFTG) Best Paper Awards, the ARFTG Automated Measurements Technology Award, and the IEEE Morris E. Leeds Award.

Hassen Khenissi received the Engineering degree (with a specialization in microelectronics and radio frequencies) from the Ecole Nationale Supérieure d’électronique et de Radioélectricité de Grenoble (ENSERG), Grenoble, France, in 2004, and the Master degree in opto-electronics and microwaves from the Institut National Polythecnique de Grenoble, Grenoble, France, in 2004. He then joined the National Institute of Standards and Technology (NIST), Boulder, CO, for five months in 2004, where he was a Guest Researcher involved with the mixer characterization method using a calibrated oscilloscope.

WILLIAMS et al.: SAMPLING-OSCILLOSCOPE MEASUREMENT OF MICROWAVE MIXER WITH SINGLE-DIGIT PHASE ACCURACY

Fabien Ndagijimana received the Ph.D. degree (with a specialization in microwave and optoelectronics) from the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, in 1990. He then joined the faculty of electrical engineering as an Associate Professor with the Ecole Nationale Supérieure d’électronique et de Radioélectricité de Grenoble (ENSERG), Grenoble, France, where he teaches microwave techniques and electromagnetic modeling. He is currently a Professor with the Université Joseph Fourier, Grenoble, France, and the Institut Universitaire de Technologie (IUT), Grenoble, France. His research activity with the Institut de Microélectronique d’Electromagnétisme et Photonique (IMEP) focuses on the characterization and electromagnetic modeling of microwave and high-speed circuits, and their integration on silicon/silicon-on-insulator (SOI) technologies.

Kate A. Remley (S’92–M’99) was born in Ann Arbor, MI, in 1959. She received the Ph.D. degree in electrical and computer engineering from Oregon State University, Corvallis, in 1999. From 1983 to 1992, she was a Broadcast Engineer in Eugene, OR. From 1989 to 1991, she was Chief Engineer of an AM/FM broadcast station. In 1999, she joined the Radio-Frequency Technology Division, National Institute of Standards and Technology (NIST), Boulder, CO, as an Electronics Engineer. Her research activities focus on development of metrology for wireless systems, and characterizing the link between nonlinear circuits and system performance. Dr. Remley was the recipient of the Department of Commerce Silver Medal and the Automatic RF Techniques Group (ARFTG) Best Paper Award.

Joel P. Dunsmore (M’83) received the B.S.E.E. and M.S.E.E. degrees from Oregon State University, Corvallis, in 1982 and 1983, respectively. He is currently a Senior Design Engineer with the Component Test Division, Agilent Technologies (formerly the Hewlett-Packard Company), Santa Rosa, CA. He has also been involved with consulting on measurement applications. He has authored or coauthored numerous papers on measurement technology. He has recently been involved in the research of nonlinear testing including differential devices, and mixer measurements. He holds 14 patents related to his research.

1217

Paul D. Hale (M’01–SM’01) received the Ph.D. degree in applied physics from the Colorado School of Mines, Golden, CO, in 1989. Since 1989, he has been a Staff Member with the National Institute of Standards and Technology (NIST), Boulder, CO, where he has conducted research in birefringent devices, mode-locked fiber lasers, fiber chromatic dispersion, broad-band lasers, interferometry, polarization standards, and high-speed opto-electronic measurements. He is currently the Leader of the High-Speed Measurements Project of the Sources and Detectors Group. His research interests include high-speed opto-electronic and microwave measurements and their calibration. Dr. Hale is currently an associate editor of the JOURNAL OF LIGHTWAVE TECHNOLOGY. Along with a team of four scientists, he was the recipient of the 1994 Department of Commerce Gold Medal for measuring fiber cladding diameter with an uncertainty of 30 nm. Along with four other scientists, he was the recipient of a 1998 Department of Commerce Bronze Medal for developing measurement techniques and standards to determine optical polarization parameters.

Jack C. M. Wang received the Ph.D. degree in statistics from Colorado State University, Fort Collins, in 1978. He is currently a Mathematical Statistician with the Statistical Engineering Division, National Institute of Standards and Technology (NIST), Boulder, CO. His research interests include interval estimation on variance components, statistical graphics and computing, and the application of statistical methods to physical sciences. Dr. Wang is a Fellow of the American Statistical Association.

Tracy S. Clement (S’89–M’92–SM’05) received the Ph.D. degree in electrical engineering from Rice University, Houston, TX, in 1993. Her doctoral research involved the development and study of a variety of ultrashort pulse and very short wavelength lasers. Since 1998, she has been with the Optoelectronics Division, National Institute of Standards and Technology (NIST), Boulder, CO. Her current research interests include the development of measurement systems for high-speed electro-optic components, as well as ultrashort pulse laser measurements. Prior to joining the Optoelectronics Division, NIST, she was an Associate Fellow of the JILA, the Quantum Physics Division, NIST, and was an Assistant Professor Adjoint with the Department of Physics, University of Colorado at Boulder. From 1993 to 1995, she was a Director’s Post-Doctoral Fellow with Los Alamos National Laboratory, Los Alamos, NM.

1218

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Highly Miniaturized RF Bandpass Filter Based on Thin-Film Bulk Acoustic-Wave Resonator for 5-GHz-Band Application Yong-Dae Kim, Student Member, IEEE, Kuk-Hyun Sunwoo, Sang-Chul Sul, Ju-Ho Lee, Duck-Hwan Kim, In-Sang Song, Sung-Hoon Choa, and Jong-Gwan Yook, Member, IEEE

Abstract—Highly miniaturized RF bandpass filter using a thin-film bulk acoustic wave resonator (TFBAR) is designed and fabricated for 5-GHz-band application. The topology of the fabricated filter is based on a ladder-type configuration that has a common trimming inductor connected concurrently with two shunt TFBARs and the trimming inductor is directly connected to the ground. The role of unit the TFBAR’s physical characteristics such as the size and thickness of the TFBAR determine the performance of the TFBAR filter, including the effect of the electrical impedance-matching characteristics of the TFBAR filter. The shape of the fabricated TFBARs are tetragons with unparallel sides and the sizes of resonator are smaller than 70 70 m2 to ensure the ranges of impedance-matched filter performance. The insertion loss and bandwidth of fabricated TFBAR filters are less than 2.8 dB and 160 MHz at 3 dB. The out-of-band rejection is over 30 dB. The actual size of filter is smaller than 700 600 m2 , including signal and ground pad sizes. Index Terms—Effective electromechanical coupling factor, finite-element method (FEM), ladder-type configuration, microelectromechanical system (MEMS), thin-film bulk acoustic resonator (TFBAR), wireless local area network (WLAN).

I. INTRODUCTION

T

HE ADVENT of the thin-film bulk acoustic wave resonator (TFBAR) based filter has been the most dominant one among the many changes in the whole area of RF filters. Moreover, the advancement of fabrication process in microelectromechanical system (MEMS) technology has made it possible to fabricate the TFBAR reliably and repeatedly, which requires elaborate thin-film deposition technology Currently, many researchers have investigated and developed RF passive devices using bulk acoustic wave (BAW) resonators. In the 1990s, Vale et al. [1] and Horwitz and Milton [2] proved the potential for the TFBAR to operate in the gigahertz range with external passive elements such as inductors and capacitors. In the late 1990s, the complicated MEMS process enabled the reliable fabrication of the TFBAR, and extensive research has been reported with regard to the selection of appropriate

Manuscript received September 10, 2005; revised October 31, 2005. This work was supported by the Center for Advanced Transceiver Systems under Ministry of Commerce, Industry, and Energy 427723. Y.-D. Kim and J.-G. Yook are with the Advanced Computational Electro-Magnetic Laboratory, Department of Electrical and Electronic Engineering, Yonsei University, Seoul 120749, Korea. K.-H. Sunwoo, S.-C. Sul, J.-H. Lee, D.-H. Kim, I.-S. Song, and S.-H. Choa are with the Samsung Advanced Institute of Technology, Gyeonggi-do 449712, Korea. Digital Object Identifier 10.1109/TMTT.2005.864100

piezoelectric materials and thickness control of the TFBAR, as well as filter topology. Lakin and Larson reported the analysis and tuning methods for the solidly mounted resonator (SMR) type of filters for cellular and personal communications system (PCS) applications, while Ruby reported on the TFBAR-based PCS duplexer [3]–[5]. Among them, the applications of the TFBAR filter most widely occupy the area of BAW devices [6], [7]. Many mobile communication services are especially being gradually increased and, as a result, devices in communication systems have become smarter and smaller. Various approaches and breakthroughs in integration processes have enabled the miniaturization of RF systems [8], [9]. Most RF front-end modules of transceiver systems require a number of RF and IF bandpass filters for channel selection or image rejection. At present, an RF front-end module operating in the gigahertz-range frequency utilizes ceramic or surface acoustic wave (SAW) filters for frequency-selective devices. However, ceramic or SAW filters’ inherent difficulties were revealed in the implementation of miniaturized on-chip systems. Furthermore, the SAW filter technology that is quite popular in the current mobile communication systems is likely to become inadequate for high-frequency applications above 5 GHz due to degraded filter performance, i.e., low insertion-loss characteristics and fabrication difficulties for the thin and fine interdigital transducer (IDT) electrode patterns [10]. In addition, they have poor electrical power-handling capabilities. In this paper, the TFBAR-based RF filter is developed for 5-GHz wireless local area network (WLAN) (5.25 5.35 GHz) application. Thus far, there are a few in constructing 5-GHz TFBAR filters for WLAN application [11]–[14]. However, most of the 5-GHz-band TFBAR filters share common problems: they do not satisfy the bandwidth requirement of 200 MHz. The bandwidth characteristics of the TFBAR filter is determined by the bandwidth of the unit TFBAR’s constituting filter. In order to obtain the wide bandwidth characteristics of the TFBAR filter, high of piezoelectric material employed in the TFBAR is required, which is dependent on full-width at half-maximum (FWHM) of piezoelectric material. As a result, highly -axis-oriented AlN film is required for wide-band TFBAR filters. Among various piezoelectric materials for the resonators, aluminum nitride (AlN) is used and materials selected for electrodes are Molybdenum (Mo). The membrane employed to the support resonator consisted of two materials: AlN and SiO . For analyzing and optimizing the TFBAR as well as the filter, the three-dimensional (3-D) finite-element

0018-9480/$20.00 © 2006 IEEE

KIM et al.: HIGHLY MINIATURIZED RF BANDPASS FILTER BASED ON TBAR FOR 5-GHz-BAND APPLICATION

1219

Fig. 1. Various filter topologies consisting of TFBAR filter. (a) Ladder type. (b) Lattice type. (c) Full ladder type.

method (FEM) is utilized for modeling of mechanical characteristics, while the Mason model is employed for the modeling of RF characteristics of the unit resonator and filter [15], [16]. Topologies for the bandpass filter based on the TFBAR can be classified into three types, which are: 1) ladder type; 2) lattice type; and 3) full ladder type, as illustrated in Fig. 1. The ladder type is most widely used in the design of TFBAR filters due to its good power-handling capability and advantage in using a small number of resonators to achieve a given filter performance. The lattice type has better power-handling capability than the ladder type. However, the lattice type requires twice the number of resonators than the ladder type, and also has difficulties in implementation of cross section in a parallel arm. The full ladder type consists of two series arm and one parallel arm, and it has balanced input and output ports that could be conveniently connected to a distributed amplifier or balanced-type mixers. Although there are advantages and disadvantages in each of the three types, filter topologies depend on their applications. The filter topology in this study is selected as the ladder type because of its excellent performance in spite of the small number of resonators. In addition, the effect of placing on-chip inductors to the filter performance is thoroughly investigated.

Fig. 2. (a) Piezoelectric material of TFBAR. (b) Mason’s equivalent-circuit model of piezoelectric material.

II. THEORY AND MODELING The analytical theory of the TFBAR has been extensively presented and technically introduced in [17]. The principles of the TFBAR corresponds to the application of thickness (or longitudinal) mode excitation. In what follows, only longitudinal mode excitation is considered with the wave propagating in the -direction. All the field quantities have components only in the -direction. As indicated in Figs. 2 and 3, it is customary to use the force acting on a surface of the TFBAR or interfaces between different materials rather than the stress. The traction forces are acting from the surfaces of each material. In nonpiezoelectric material, the equations of traction force generated in each surface are given by (1) (2) In piezoelectric material, the equations of traction force generated in each surface are given by (3) (4)

Fig. 3. (a) Finite thickness medium: nonpiezoelectric material of TFBAR. At all points in the medium, there are incident plane waves and reflected plane waves. (b) Mason’s equivalent-circuit model of nonpiezoelectric material.

where (5) (6) (7)

1220

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE I MATERIAL PROPERTIES OF AlN

Fig. 4. 3-D FEM modeling of TFBAR. (a) Geometry of TFBAR is meshed with brick eight-node element. Total elementary volume, S consists of nonpiezoelectric part S and piezoelectric part S . (b) Boundary conditions used in TFBAR modeling.

Note that , , , and denote the area of a resonator, piezoelectric constant, acoustic velocity, and stiffness constant, respectively. Based on the induced quantities, the commercial circuit simulator can be utilized for electrical modeling of the resonator and filter. The advantage of the Mason model, compared with other modeling methods [18], [19], is that it is very practical and rigorous in terms of simulation and design of the TFBAR filter. In the FEM, the governing constitutive equations of the TFBAR are converted from the following equations:

Fig. 5.

TFBAR layout.

onal crystal system is a symmetrically diagonal matrix of 6 as follows:

(12)

(8) (9) into the equation forms of extended matrix version (10) (11) where is the stress column, is the strain column, is the electric potential applied on the surface of resonator’s electrode, is the electric displacement column , is the piezoelectric matrix , and is the elastic stiffness matrix , is the permittivity matrix of the piezoelectric material . The elastic stiffness matrices of the piezoelectric material have various forms depending on the anisotropic crystals. The elastic stiffness matrix of AlN belonging to the hexag-

6

The piezoelectric matrix and permittivity matrix by

are given

(13)

(14) Fig. 4 shows that the geometry of the TFBAR is elementally meshed and the boundary conditions for application to the structure of modeling have been indicated. All the edges of a resonator are fixed so that displacements in all directions

KIM et al.: HIGHLY MINIATURIZED RF BANDPASS FILTER BASED ON TBAR FOR 5-GHz-BAND APPLICATION

1221

Fig. 6. Configurations of 3-D TFBAR for each layer. (a) With membrane having two layers of AlN/SiO . (b) With top electrode having two layers (Al/Mo) and membrane (AlN/SiO ).

Fig. 7. Fabrication process flow of unit TFBAR.

are zero for the approximation to real physical conditions. Material properties of AlN are summarized in Table I. III. FABRICATION OF TFBAR TFBAR With Additional Layer Underneath Membrane: Here, the additional SiO layer placed under the AlN membrane has been fabricated. This additional layer strengthens the membrane geometry, while slightly increasing the mass of the whole structure. As shown in Fig. 5, a layout is presented for a unit resonator to fabricate. The Al layer is deposited on the top electrode of Mo based on the structure of Fig. 6(a), as illustrated in Fig. 6(b). The fabrication process flow of the unit TFBAR is presented in Fig. 7. For supporting resonators as a role of membrane, the silicon–dioxide layer is deposited on the silicon substrate, and then the AlN layer is deposited and patterned. The AlN for the piezoelectric material is sputtered and deposited on top of the patterned Mo bottom electrode. After sputtering and depositing the AlN layer, the deposition and patterning of the Mo top electrode are processed. Finally, the AlN layer of the piezoelectric material is etched out and back-etching from the backside of the wafer is processed. As illustrated in Fig. 8, the shapes of the fabricated TFBAR are

Fig. 8. Fabricated TFBARs of tetragon shape with unparallel sides. (a) 50 50 m . (b) 60 60 m . (c) 70 70 m .

2

2

2

tetragons with unparallel sides, and coplanar waveguide (CPW) transmission lines are utilized for probing the characteristics of the resonator. For the design and physical analysis of the TFBAR, a one-dimensional Mason model and 3-D FEM have been used. A finite-element-based full-wave modeling technique has been an-

1222

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 10. Comparison of electrical impedance characteristics of 3-D FEM simulation with measurement and one-dimensional Mason modeling.

Fig. 9. Simulated magnitudes of displacement components in the z -direction of unit TFBAR at: (a) 5.1786, (b) 5.1714, (c) 5.1571, (d) 5.150, (e) 5.1357, and (f) 5.1214 GHz.

alytically introduced on the simulation of BAW resonators [15]. Upon considering its potential ability to accommodate complicated geometries, as well as piezoelectric properties, the FEMbased full-wave simulation is extensively used to study physical phenomenon of the 5-GHz-band TFBAR [20]. When applying the RF signal to the resonator, the resonator experiences mechanical vibrations due to the piezoelectric material properties. Such a phenomenon can be measured by the laser interferometer [21]. Instead of the measurement, the shapes of the vibration mode of a resonator can be visualized based on the full-wave simulation. In the simulation, only the part of the tetragon shape of resonator including the electrodes, piezoelectric layer, and membrane was truncated to reduce computational time, and fixed boundary conditions were applied to approximate a real situation, as shown in Fig. 4(b). As illustrated in Fig. 9, various displacement-mode shape profiles around resonance frequency points are characterized through mechanical vibration phenomenon. All of the mode shapes clearly exhibit energy trapping in the region of the piezoelectric layer between top and bottom electrodes. The charac-

teristics of the mode shape indicate that the degree of mechanical vibration is very strong in the fundamental resonant mode, as displayed in Fig. 9(a) and, otherwise, those are becoming weaker as the frequency moved further away from the fundamental resonant frequency. After the full-wave simulation of the unit TFBAR, current density and electric voltage on the top electrode are extracted. The electrical behaviors of the resonator have been characterized in the frequency domain by observing the electrical impedance. Compared with the measurements and two modeling techniques, the predicted electrical impedance response of the 3-D FEM modeling reveals good agreement with the measurement and one-dimensional Mason modeling, in terms of frequency characteristics, with less than a discrepancy of approximately 2%, as shown in Fig. 10. The FEM-based simulation, however, cannot perfectly model these physical material imperfection, as well as acoustic losses. Through the optimization from the measurements, the values of lumped elements are extracted based on the modified Butterworth–Van Dyke (MBVD) equivalent-circuit model. As shown in Fig. 11, the TFBAR can be represented by a constant clamped capacitance in parallel with a motional arm, which can be inductive or capacitive. Based on the extracted lumped-element parameters, the MBVD modeling is curve fitted with the measured data. In this way, the curve-fitted equivalent-circuit modeling as a function of the size of the TFBAR, ranging from 30 30 m to 100 100 m , has been used for expecting the characteristics of motional capacitances and inductances, which are responsible for acoustic characteristics of the resonator. As can be seen from Fig. 12, it has been observed that, by changing the size of a resonator, the motional capacitances of a resonator are in proportion to the size of a resonator, while the motional inductances are inversely proportional to the size of the resonator. In other words, in spite of an increase in the size of resonators, the resonant frequencies are fixed due to the interaction of motional inductance and capacitance in opposite sense. The distribution of the electromechanical coupling coefficient on the size

KIM et al.: HIGHLY MINIATURIZED RF BANDPASS FILTER BASED ON TBAR FOR 5-GHz-BAND APPLICATION

1223

Fig. 12. Movements of motional capacitance and inductance in second fabricated TFBARs for variation of size.

Fig. 13. Electromechanical coupling factor (k measured data as a function of TFBAR size.

Fig. 11. Curve-fitted MBVD equivalent circuit with measurement. (a) Extracted R , L , C , C , R , R lumped-element parameters from measured data. (b) Return losses in Smith chart. (c) S -parameter of extracted MBVD modeling and measurement.

of the resonator has been indicated as shown in Fig. 13. It has been experimentally revealed that the values of in the range of 60 60 m to 100 100 m exhibit larger values than the small resonators such as 30 30 m to 50 50 m , as indicated in Fig. 13. Fig. 14 shows the bandwidth characteristics of the resonator depending on the different top electrode material. As indicated in Fig. 14, the bandwidths of the resonator with a top electrode

) extracted from the

consisting of Al/Mo appear to be narrower than that of the resonator with a top electrode of Mo. Experimentally fabricated TFBAR filters that used resonators with the top electrode of Al/Mo had especially narrow bandwidths of less than 100 MHz, thereby not satisfying the WLAN specification. These effects are taken into account later for designing and fabricating the TFBAR filter because the bandwidths of the TFBAR are related significantly to the bandwidth of a filter. IV. 5-GHz-BAND TFBAR FILTER Three approaches to the TFBAR filter topology have been addressed, which are: 1) ladder; 2) lattice; and 3) full ladder types. In this study, the ladder-type configuration of the filter is chosen and fabricated for 5-GHz WLAN applications. A. Design of TFBAR Filter To design the TFBAR filter, one-dimensional Mason modeling is employed to incorporate the physical characteristics of resonators used in the filter. The ladder-type filter consists of

1224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 14. Comparison of bandwidths in cases of TFBARs with top electrode of Mo and with top electrode consisting of Al/Mo.

Fig. 15. Proposed filter topologies used in the design of TFBAR filter. (a) 4/3-stage ladder-type filter with inductor connected to the ground and common inductor attached to two-shunt TFBAR in series. (b) 6/3-stage ladder-type filter with added two series TFBAR based on (a).

shunt as well as series resonators, as explained in Fig. 1(a). A few important design parameters considered in this study are: 1) the sizes of the resonator constituting the filter and 2) the frequency spacing between the parallel resonant frequency of the shunt resonator and the series resonant frequency of the series resonator. The sizes of the resonator have a profound impact on the impedance-matching characteristics of the filter performance, and the frequency spacing between the series and shunt resonators significantly affect the characteristics of the insertion and return losses of the filter. The resonant frequency of the resonator is determined dominantly by the thickness of materials constituting the resonator, and the thickness control of the material is very sensitive in the high-frequency region such as above 5 GHz. As illustrated in Fig. 15(a), the two proposed filter topologies have a common inductor connected concurrently to the shunt resonator in series to improve the attenuation characteristics of the filter. In Fig. 15(b), two series resonator are added to both the left- and right-most side. In this paper, the name of

Fig. 16. Simulated performances of 4/3-stage ladder-type filter. (a) Insertion-loss characteristic. (b) Simulated return loss on a Smith chart. (c) VSWR.

the ladder-type filter is designated as the ratio of the number of series resonators to the number of shunt resonators.

KIM et al.: HIGHLY MINIATURIZED RF BANDPASS FILTER BASED ON TBAR FOR 5-GHz-BAND APPLICATION

1225

Fig. 18. Simulated performances of TFBAR filter as a function of inductance value in the common inductor.

Fig. 17. Simulated performances of 6/3-stage ladder-type filter. (a) Insertion-loss characteristic. (b) Simulated return loss on a Smith chart. (c) VSWR.

Thus, the filters in Fig. 15(a) and (b) are called the 4/3- and 6/3-stage ladder filter, respectively. Figs. 16 and 17 show the simulation performances of the 4/3- and 6/3-stage ladder filters. In the 4/3-stage filter, the inductance values of the ground

and common inductors are 0.1 and 0.2 nH, respectively. In the 6/3-stage filter, two series resonators are added in both ends to the 4/3-stage topology and then followed by two additional inductors. The inductance values of the ground and common inductors are 0.13 and 0.15 nH, respectively. In addition, the inductance values of and are 0.4 nH. This configuration provides improvements in the attenuation and impedancematching performance, resulting in more than 10-dB improvement in the stopband and deeper cutoff at the zeros of series resonators by adding two series resonators. The size of the unit resonator used in the filter design ranges from 50 50 m to 75 75 m . The impedance-matching characteristics of two TFBAR ladder filters are shown in Figs. 16(b) and (c) and 17(b) and (c) in terms of the Smith chart and voltage standing wave ratio (VSWR) and it was observed that the maximum of VSWR is 2.8 for the 4/3-stage filter, while the maximum of the VSWR is 1.9 for the 6/3-stage filter in the WLAN band of 5.15 GHz 5.35 GHz. Another important observation is the effect of inductors , , and on the filter performance, especially in the out-of-band rejection characteristics. As indicated figuratively in Fig. 18, the common inductor significantly affects the rejection band performance than the other inductors. The variation of inductance of makes the filter change sensitively in the characteristics of out-of-band rejection, i.e., it is clear that there is an optimum range of the value for satisfying a given out-of-band rejection requirement. As the value of increases despite the small increment, the pole and zero of the filter move upward and the out-of-band rejection characteristics become degraded, consequently causing the notch phenomena on the left skirts of filter performance, as shown in Fig. 18. For no notch phenomena on the skirts of the filter, the inductances of should be less than 0.2 nH to coincidentally obtain the performance of out-of-band rejection of over 30 dB in the results of the simulation. In addition, it was confirmed that the combination of a smaller area for the series resonators and a larger area for the shunt resonators helps to increase the out-of-band rejection performance.

1226

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 19. Images of fabricated 5-GHz WLAN TFBAR filter due to the increment of inductance values of tuning inductors from (a) to (d).

filter is identical to the fabrication flow of a single resonator, except for an added tuning layer under the bottom electrode of the shunt resonator. Different resonant frequencies for the shunt and series resonators are absolutely required and are achieved by adding a tuning layer to the shunt resonators to form the different thickness in the bottom electrode. Fig. 19 represents the images of fabricated 4/3-stage TFBAR ladder-type filters with different common inductor shape for different inductance values. The size of the fabricated TFBAR filters is very small in that it is less than 700 600 m depending on the structures of common and ground trimming inductors. The quality factor of fabricated common inductors is 8.3. Fig. 20(a)–(c) shows the measured insertion and return losses of the fabricated 4/3-stage TFBAR ladder filters compared with the simulated results. It was observed that the frequency characteristics of the experimental results are slightly shifted to the higher frequency region by an amount of approximately 60 MHz, deviating from the simulated results. It is not exactly predicted using the design parameter based on the referenced material properties. The thickness of the tuning layer, which provides the difference between the thickness of the bottom electrode of the series and shunt resonators, is close to 170 for forming the filter performance. However, the resonant frequency is very sensitive to the infinitesimal changes of a tuning layer, and the very small under- and over-deposition of the tuning layer in the fabrication process causes a comparatively large shift in the resonant frequency. In the overall performance of the experimental results, as shown in Fig. 20(d), the notch phenomena at the skirts of the passband are observed as expected, and this is originated from the slight mismatch between the resonance frequencies of the shunt and series resonators due to the variation in the fabrication process. The precursor of the notch phenomenon is also observed in the simulation, as shown in Fig. 18, and it is amplified via a real situation depending on the fabrication reliability. The effect of increasing the inductance values of the common and ground inductor on the filter performance is confirmed as given in Fig. 20(d). The notch phenomenon has bad influences on the bandwidth characteristics of filters and makes the bandwidth of the filters narrow by forming the depressed pit near the edge of the passband. As expected in the simulated results, as the inductance values increase, the zeros and poles of the filter move upward, thereby causing undesirable out-of-band rejection characteristics of the TFBAR filter. The measured bandwidth of the filter is 160 MHz at 3 dB and the insertion loss in the passband is less than 2.8 dB. The experimental results showed reasonable agreement with the designed performance, except a slight degraded performance due to fabrication tolerance. Although the experimental results show much closer responses to the simulated results, there are impedance-matching problems in the 4/3-stage ladder filter topology. V. CONCLUSION

B. Fabrication of TFBAR Filters and Measurement Results 5-GHz WLAN TFBAR ladder filters are fabricated based on the filter topology of Fig. 15(a). The fabrication process of the

In this study, highly miniaturized RF bandpass filters based on a TFBAR have been designed, fabricated, and tested for 5-GHz WLAN application. Two types of filter topologies have been

KIM et al.: HIGHLY MINIATURIZED RF BANDPASS FILTER BASED ON TBAR FOR 5-GHz-BAND APPLICATION

1227

Fig. 20. Measured S -parameters of fabricated 5-GHz WLAN 4/3-stage ladder TFBAR filters. (a) Comparison of simulated and measured insertion losses. (b) Comparison of simulated and measured return losses. (c) Measured and simulated return losses in the Smith chart. (d) Measured performance of TFBAR filters for different tuning inductance values.

proposed for the filter: the first is the 4/3-stage ladder-type filter with an inductor connected with the ground and a common inductor concurrently attached to the two shunt resonators. The second is the 6/3-stage ladder-type filter connected with the two additional series resonators at the input and output sides, followed by the two inductors at each end. The second design of filter topology outperforms the first one in terms of impedance matching, as well as out-of-band rejection improvement by adding two series resonators and two inductors. The size of the fabricated series and shunt resonators used in the filter are 60 60 m and 70 70 m . The overall size of the fabricated TFBAR ladder filter is less than 700 600 m . However, the 6/3-stage filter topology remains a relatively promising filter topology. ACKNOWLEDGMENT The authors would like to gratefully acknowledge the Microelectromechanical Systems (MEMS) Laboratory, Samsung Advanced Institute of Technology, for the fabrication, advice, and technical support.

REFERENCES

[1] C. Vale, J. Rosenbaum, S. Horwitz, S. Krishnaswamy, and R. Moore, “FBAR filters at GHz frequencies,” in Proc. 44th Freq. Contr. Symp., 1990, pp. 332–336. [2] S. Horwitz and C. Milton, “Application of film bulk acoustic resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., 1992, pp. 165–168. [3] D. Penunuri and K. M. Lakin, “RF filter design using LTCC and thin film BAW technology,” in IEEE Ultrason. Symp., 2001, pp. 273–278. [4] M. Ylilammi, J. Ella, M. Partanen, and J. Kaitila, “Thin film bulk acoustic wave filters,” IEEE Trans. Ultrason., Ferroelect., Freq. Contr., vol. 49, no. 4, pp. 535–539, Apr. 2002. [5] P. Bradley, R. Ruby, J. D. Larson III, Y. Oshmyanky, and D. Figuredo, “A thin film bulk acoustic resonator (FBAR) duplexer for USPCS handset applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 367–370. [6] R. Ruby, “Micromachined cellular filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 1996, pp. 1149–1152. [7] Q. Su, P. Kridy, E. Komuro, M. Imura, Q. Zhang, and R. Whatmore, “Thin film bulk acoustic resonators and filters using ZnO and lead–zirconium–titanate thin films,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 4, pp. 769–778, Apr. 2001. [8] K. M. Lakin, J. Belsick, J. F. Mcdonald, and K. T. McCarron, “Improved bulk wave resonator coupling coefficient for wide bandwidth filters,” in IEEE Ultrason. Symp., 2001, pp. 827–831.

1228

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

[9] R. Weigel, D. P. Morgan, J. M. Owens, A. Ballato, K. M. Lakin, K. Hashimoto, and C. Ruppel, “Microwave acoustic material, devices and applications,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 738–749, Mar. 2002. [10] T. Makkonen, V. P. Plessky, W. Steichen, S. Chamaly, C. Poirel, M. Solal, and M. M. Salomaa, “Fundamental mode 5 GHz surface-acoustic-wave filters using optical lithography,” Amer. Inst. Phys., vol. 83, no. 17, Oct. 27, 2003. [11] T. Nishihara, T. Yokoyama, T. Miyashita, and Y. Satoh, “High performance and miniature thin film bulk acoustic wave filters for 5 GHz,” in IEEE Ultrason. Symp., vol. 1, Oct. 2002, pp. 969–972. [12] G. G. Fattinger, J. Kaitila, R. Aigner, and W. Nessler, “Thin film bulk acoustic wave devices for application at 5.2 GHz,” in IEEE Ultrason. Symp., vol. 1, Oct. 2003, pp. 174–177. [13] R. Kubo, H. Fujii, H. Kawamura, M. Takeuchi, and K. Inoue, “Fabrication of 5 GHz band film bulk acoustic wave resonators using ZnO thin film,” presented at the IEEE Ultrason. Symp., 2003. [14] C. M. Yang, K. Uehara, S. K. Kim, S. Kameda, H. Nakase, and K. Tsubouchi, “Highly C axis oriented AlN film using MOCVD for 5 GHz-band FBAR filter,” in IEEE Ultrason. Symp., vol. 1, Oct. 2003, pp. 170–173. [15] T. Makkonen, A. Holappa, J. Ella, and M. M. Salomaa, “Finite element simulations of thin-film composite BAW resonators,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 48, no. 5, pp. 1241–1258, Sep. 2001. [16] S. A. Morris and C. G. Hutchens, “Implementation of Mason’s model on circuit analysis programs,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 33, no. 3, pp. 295–298, May 1986. [17] J. F. Rosenbaum, Bulk Acoustic Wave Theory and Devices. Norwood, MA: Artech House, 1988. [18] J. D. Larson III, P. Bradley, S. Watenberg, and R. Ruby, “Modified Butterworth–Van Dyke circuit for FBAR resonators and automated measurement system,” in IEEE Ultrason. Symp., 2000, pp. 863–868. [19] W. M. Leach, Jr., “Controlled-source analogous circuits and SPICE models for piezoelectric transducers,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 41, no. 1, pp. 60–66, Jan. 1994. [20] Y.-D. Kim, K.-H. Sunwoo, S.-H. Choa, D.-H. Kim, I.-S. Song, and J.-G. Yook, “Characterization of various shaped 5 GHz TFBAR’s based on 3-D full-wave modeling,” presented at the Eur. Microw. Conf., Oct. 2005. [21] T. Pensala, T. Makkonen, J. Vartiainen, J. Knuuttila, J. Kaitila, O. Holmgren, and M. M. Salomaa, “Laser interferometric measurement of lamb wave dispersion and extraction of material parameter in FBARs,” in IEEE Ultrason. Symp., vol. 1, 2002, pp. 977–980.

Yong-Dae Kim (S’03) was born in Cheong-ju, Korea. He received the B.S. and M.S. degrees in electrical and electronics engineering from Yonsei University, Seoul, Korea, in 2003 and 2005, respectively. His main interests include RF MEMS devices, acoustic devices, and nanotechnology, as well as monolithic microwave integrated circuits (MMICs).

Kuk-Hyun Sunwoo received the B.S. degree in metallurgical engineering and M.S. degree from Yonsei University, Seoul, Korea, in 1987 and 1989, respectively. In 1990, he joined the Samsung Advanced Institute of Technology. From 1996 to 2005, he was with the MR Head Division and FBAR Group, Samsung Electromechanics. He was a General Manager of the FBAR Group and RF MEMS Group, Samsung Electromechanics Semiconductor Device Division. He is currently a Principal Researcher with the Communication and Network Laboratory, Samsung Advanced Institute of Technology, Gyeonggi-do, Korea. He is involved with several projects such as VTR head, digital compact cassette (DCC) head, MR head, GMR head, and FBAR product. He is responsible for MEMS design, testing, and reliability. He has authored or coauthored over ten journal papers. He holds 21 patents.

Sang-Chul Sul, photograph and biography not available at time of publication.

Ju-Ho Lee, photograph and biography not available at time of publication.

Duck-Hwan Kim received the B.S, M.S., and Ph.D. degrees in electronics engineering from Sogang University, Seoul, Korea, in 1996, 1998, and 2002, respectively. From 1999 to 2002, he was a Researcher with the Research Center for Telecommunication Devices, Korea Electronics Technology Institute, Kyouggi-do, Korea. He has been involved in the research of multilayer RF devices with low temperature co-fired ceramic. He is currently a Researcher with the Microelectromechanical Systems (MEMS) Laboratory, Samsung Advanced Institute of Technology, Gyeonggi-do, Korea. His main interests extend to the field of RF integration for wireless communication applications and silicon-based fabrication.

In-Sang Song received the Ph.D. degree in physics from Sogang University, Seoul, Korea, in 1997. He is currently a Project Manager of RF MEMS with the MEMS Laboratory, Samsung Advanced Institute of Technology, Gyeonggi-do, Korea. His main research interest is in the development of compact RF solutions of cellular phones. His interests include RF MEMS devices, modules, and one-chip solutions.

Sung-Hoon Choa received the B.S. in mechanical engineering from Hanyang University, Seoul, Korea, in 1983, the M.S. degree from Seoul National University, Seoul, Korea, in 1985, and the Ph.D. degree in mechanical engineering from The University of Michigan at Ann Arbor, in 1993. In 1994, he joined the Samsung Electronics Cooperation. From 1995 to 1999, he was with the Hard Disk Drive (HDD) Division, Samsung Research Center, San Jose, CA. He was a Project Manager involved with the development of 1-in HDD and pocket-sized optical disk drive. He is currently the Master of the Samsung Advanced Institute of Technology, as well as a Senior Researcher with the MEMS Laboratory, Samsung Advanced Institute of Technology, Gyeonggi-do, Korea. He is involved in several MEMS projects such as inkjet heads, gyroscopes, RF filters, and laser scanners. As a technical leader, he is responsible for MEMS design, reliability, and packaging. He has authored or coauthored over 20 international journal papers and 21 international conference papers. He holds 13 patents.

Jong-Gwan Yook (S’89–M’89) was born in Seoul, Korea. He received the B.S. and M.S. degrees in electronics engineering from Yonsei University, Seoul, Korea, in 1987 and 1989, respectively, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 1996. He is currently an Associate Professor with the Department of Electrical and Electronic Engineering, Yonsei University. His main research interests are in the area of theoretical/numerical electromagnetic modeling and characterization of microwave/millimeter-wave circuits and components, very large scale integration (VLSI) and monolithic-microwave integrated-circuit (MMIC) interconnects, and RF MEMS devices using frequency- and time-domain full-wave methods, and development of numerical techniques for analysis and design of high-speed high-frequency circuits with emphasis on parallel/super computing and wireless communication applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1229

Organic “Wafer-Scale” Packaged Miniature 4-bit RF MEMS Phase Shifter Nickolas Kingsley, Student Member, IEEE, and John Papapolymerou, Senior Member, IEEE

Abstract—This paper presents for the first time a 4-bit microelectromechanical systems (MEMS) phase shifter fabricated on, integrated, and packaged into an organic flexible low-permittivity material. A microstrip switched-line phase shifter has been optimized at 14 GHz for small size and excellent performance. In addition, the MEMS phase shifter was packaged in an all-organic flexible lowpermittivity liquid-crystal polymer (LCP) package. The improved geometry of the reduced size phase shifter is 2.8 times smaller than a traditional switched-line phase shifter and is much less lossy. For the 4-bit phase shifter, the worst case return loss is greater than 19.7 dB and the average insertion loss is less than 0.96 dB (0.24 dB/bit or 280 /dB). The average phase error is only 3.96 . It has been demonstrated that the addition of the LCP package has a negligible effect on the phase-shifter performance, but will enable the device to remain flexible and protected against various environmental conditions. Index Terms—Liquid crystal polymer (LCP), miniature, multibit, organic, packaged, phase shifter, reduced size, RF microelectromechanical systems (MEMS), system-on-package (SOP), tree junction, wafer scale.

I. INTRODUCTION

T

O DATE, 4-bit phase shifters have been documented in various system-on-chip (SOC) devices. They have been published on various materials, including silicon [1] and GaAs [2]. Various switching elements have been used including field-effect transistors (FETs) [2], p-i-n diodes [3], and in recent years, microelectromechanical systems (MEMS) [1]. Currently published 4-bit phase shifter papers have several shortcomings. First, they are all fabricated on nonorganic substrates. Some of these substrates are costly, such as GaAs. Second, many of them use solid-state switching elements. p-i-n diodes, FETs, and other solid-state switches are typically lossier, consume more power, and have more distortion at high frequencies than MEMS switches. Third, none of the published 4-bit phase shifters are packaged. This paper presents a solution to all of these issues. There is an increased interest in moving toward system-onpackage (SOP) RF front-end technologies. SOP offers design simplicity, lower cost, higher system function integration, better electrical performance, and various three-dimensional (3-D) packaging capabilities [4]. This paper presents for the first time an SOP RF front-end 4-bit MEMS phase shifter on an organic

Manuscript received September 27, 2005; revised November 22, 2005. This work was supported in part by the National Aeronautics and Space Administration under Contract NCC3-1057 and by the Georgia Electronic Design Center. The authors are with the School of Electrical and Computer Engineering Georgia Institute of Technology Atlanta, GA 30308 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864099

substrate. This paper furthers the all-organic flexible packaging technology by housing more than one MEMS devices in a single package and presents, for the first time, a packaged phase shifter on an organic flexible low-permittivity substrate. The organic substrate serves as both the RF substrate and the packaging material (superstrate). RF MEMS have previously been integrated monolithically on printed circuit board (PCB) substrates. In [5], the authors integrated RF MEMS switches with a diversity antenna on an FR4 substrate. FR4 is an organic low-permittivity substrate like liquid-crystal polymer (LCP), but it does not have the same flexibility and low loss at high-frequency characteristics like LCP. Wafer-scale packaging is discussed in [5], but it has been realized in this paper. Phase shifters are an integral part of RF systems. Microwave devices on nonsemiconductor substrates (i.e., LCP) have been explored due to their low-cost, low-loss, flexibility, and near-hermetic nature [6]. The first MEMS phase shifter on a flexible organic substrate was presented at the 35th European Microwave Conference, Paris, France [7]. 1- and 2-bit phase shifters were presented. However, those phase shifters were neither miniaturized, nor packaged. This paper continues the work presented in [7] by greatly shrinking the size, lowering the loss, expanding to 4 bits, and integrating into an all-organic package.

II. GENERAL PHASE-SHIFTER DESIGN AND PACKAGING TECHNOLOGY As will be discussed in this paper, integrating RF devices in an all-LCP package does not require any additional design considerations on the devices themselves; i.e., the design of the MEMS phase shifter can be done completely independent of the packaging layout. Therefore, each of these topics are explained separately. A. General Phase-Shifter Design The LCP material used has a thickness of 25 or 100 m, a permittivity of 3.1, and a of 0.004 [6]. To demonstrate that a MEMS phase shifter can be enclosed in an all-organic flexible package, a 4-bit switched-line microstrip phase shifter was designed at 14 GHz for phase shifts between 0 –337.5 in 22.5 increments (16 cases). Traditional microstrip theory was used to design the phase shifter. A layout of the final 4-bit phase shifter is shown in Fig. 1. The phase shift is related to the change in length between the reference and the phased path. This is described mathematically

0018-9480/$20.00 © 2006 IEEE

1230

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 3. Side view of packaged MEMS switches at tree junction.

Fig. 1.

Top view of 4-bit series-shunt RF MEMS phase shifter.

Fig. 2. Fabricated MEMS phase-shifter substrate. The superstrate has been removed and cutouts represent the location of the cavities and probing windows.

by (1) as follows, where is the phase difference (in degrees), is the wavelength, and is the line length [8]: (1) In order to apply the necessary bias voltage to actuate the MEMS switches, bias pads were designed and placed on each of the signal paths (not shown in Fig. 1). When a dc voltage is applied to the bias pad, electrostatic force pulls the switches (which are dc grounded) toward the signal line. A layer of silicon nitride deposited over the signal line prevents switch metal to signal line metal contact. Therefore, no dc current can flow, but the capacitance between the switch and signal line is large enough for RF energy to pass through. The down-state capacitance of the MEMS switch is approximately 2.5–4 pF and the up-state capacitance is approximately 90 fF. A fabricated 4-bit phase shifter with bias pads is shown in Fig. 2. B. General Packaging Technology The phase-shifter signal lines and MEMS switches were fabricated on the LCP substrate. In addition, a piece of 25- m-thick

LCP bond ply layer was placed on top of the fabricated substrate. This layer is electrically the same as the thicker 100- m material, but it melts at a slightly lower temperature. To prevent the MEMS switches from being damaged by the second LCP layer, three cavities were laser micromachined to expose each of four tree junctions, which contain four MEMS switches each. The middle two tree junctions share a cavity due to their close proximity. All of the windows and cavities were micromachined using a CO laser. These holes align with the switches on the substrate layer to create a cavity large enough and deep enough to prevent contact between the switches and cavity walls. On top of these two layers of LCP, a third layer of 100- m-thick LCP is stacked to complete the package. In order to access the metal signal lines from outside the package with dc or RF probes, windows over the bias pads were laser etched in the middle and top layers to allow direct contact. The dc-bias pads were connected to a voltage source through a high-impedance dc probe. Since the dc probe is of a much higher impedance than the signal lines, very little RF power is leaked into the dc probe. Alternatively, highimpedance films could be deposited on the LCP substrate and used with a standard Tungsten dc-bias tip to achieve the same effect. This would be the biasing approach taken for a fielded device where all the bias lines are connected. The placement of the windows and cavities is demonstrated in Fig. 2. A side view of the laser drilled cavities and packaged MEMS switches is shown in Fig. 3. By using an all-LCP package, the protected device(s) will benefit from the low-loss and near-hermetic nature of the packaging material. Additionally, this packaging technique is ideal for applications that require flexible circuits. The superstrate can be permanently bonded to the substrate using thermocompression, ultrasonic, or laser bonding [9]. A top view of an LCP sample that has been packaged using thermocompressive bonding is shown in Fig. 4. It has been demonstrated that single RF MEMS switches can be packaged using this technique [9]. Since the permittivity of the LCP is approximately 3.1, which is close to the permittivity of air , the presence of the superstrate has a minimal effect on the overall device performance. That is, the dielectric discontinuity between the LCP and air is much smaller than the discontinuity between a high-permittivity material (e.g., silicon) and air. It has been shown in [9] that the LCP–air discontinuity

KINGSLEY AND PAPAPOLYMEROU: ORGANIC “WAFER-SCALE” PACKAGED MINIATURE 4-bit RF MEMS PHASE SHIFTER

Fig. 4. Packaged sample with 2- and 4-bit phase shifters and six individual MEMS switches on LCP. The bias pads cannot be seen due to their small size, but the location of the pads is shown in Fig. 2.

Fig. 5. Size comparison of 4-bit series-shunt design with traditional series switched-line phase shifter on LCP at 14 GHz.

1231

with another four shunt phased paths (hence, the series-shunt distinction). This was demonstrated in Fig. 1. In order to generate all 16 possible cases, a 0 reference path must occur in every series portion of the phase shifter. In addition, the 0 , 90 , 180 , and 270 phased paths must be in one section and the 0 , 22.5 , 45 , and 67.5 phased paths must be in another section to create all 16 cases. In order to make this feasible for really short phased paths (like the 22.5 case) and really long phased paths (like the 270 case), the shortest phased paths were elongated by a wavelength. This is why the smallest phase shifts have longer line lengths than the largest phase shifts. This series-shunt technique was previously published by The University of Michigan at Ann Arbor and Rockwell Scientific, Thousand Oaks, CA, using single-pole four-throw (SP4T) MEMS switches [1], [10]. The switches used in this paper are SP4T as well, but they are implemented differently than in [1]. For example, we chose not to use via-holes, which add an unnecessary level of complexity to the design and fabrication. The switches presented in this paper offer the same loss performance without the use of vias. Previous studies that claim “small,” “reduced,” or “miniature” size multibit phase shifters always use high dielectric materials such as silicon or GaAs that have permittivities between 11–13 [11]. This is because the wavelength of a microstrip line is inversely related to the square root of the permittivity. Microstrip phase shifters on high dielectric materials will always be much smaller than those on low dielectric materials. The cost of prototyping devices on LCP is similar or less than that of silicon or GaAs. However, since the material cost is much lower, there are cost benefits to using LCP on a large scale [9]. B. High-Impedance Modification

is small enough that an LCP superstrate layer can be added with a minimal effect on the device performance. III. REDUCED-SIZE METHODOLOGY Switched-line phase shifters are widely used because they are straightforward to design, fabricate, and integrate with other microwave devices. Unfortunately, the overall size of the switchedline geometry is comparable to the wavelength for each bit. Since multibit phase shifters are usually desired; this can result in a phase shifter that is much larger than the other microwave components in an RF system. For this reason, a number of changes were made to the traditional layout presented in [7] to decrease the size. These design changes are detailed below. By incorporating these layout changes, the overall area was reduced by a factor of 2.8. The length was reduced by a factor of 4. In addition to the size reduction, the line length and number of MEMS switches traversed compared to a traditional implementation were each reduced by a factor of 2. This results in half the line loss and half the switch loss by using this implementation. A size comparison of the modified layout compared to a traditional layout is shown in Fig. 5. A. Series-Shunt Modification Instead of cascading four 1-bit phase shifters in series (as demonstrated in Fig. 5), four shunt phased paths were cascaded

Instead of using the standard 50- input impedance, 100 was used. By making this change, the linewidth decreased from 240 to 65 m. This allowed for more signal lines to be placed in less area. In practice, high-impedance patch antenna arrays that would utilize this type of phase shifter are not uncommon [12]. However, a 50-100- transition could be added for integration with other standard microwave components. C. Curled Signal Line Modification Instead of using the traditional rectangular phased paths, the lines were curled inward to minimize the overall area (as shown in Figs. 1 and 5). Since multibit phase shifters are often used to steer antenna arrays it is necessary to keep the overall size as small as possible. Careful attention was given to minimize coupling between the signal lines. A full-wave HP-ADS Momentum (method of moments) simulation was performed to determine the amount of coupling that would result between two 65- m-wide 2.5-mm-long signal lines at 14 GHz. These results are shown in Fig. 6. Most of the distancing between signal lines used in the layout is 300–400 m, which corresponds to 5.8-3.6% transmitted power. However, in some areas, distances as small as 150 m were necessary. The lines in these areas were placed at oblique angles to each other to minimize the coupling.

1232

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 8.

Optimal impedance values for a section of the phase shifter.

Fig. 6. Percentage of power transmitted between coupled signal lines for a given spacing at 14 GHz.

Fig. 7. Demonstration of iterative approach for impedance matching.

D. Impedance Matching Since curved microstrip lines are being used to reduce the size, impedance matching must be done to compensate for the additional parasitic impedance. Instead of using additional matching devices, such as stubs [1], all impedance matching was handled through the signal lines themselves. Lines that require a higher impedance match were made thinner and lines that require a lower impedance match were made wider. This was performed in a full-wave simulator using an iterative method, as demonstrated in Fig. 7. Since the arcs are the shortest part of the signal line, they were used to do the impedance matching. The center case in Fig. 7 uses a curved line with the device characteristic impedance (100 ). The left-most case has a slightly higher impedance and the right-most case has a slightly lower impedance. The impedance was varied until the lowest insertion loss was achieved. The overall size of the circuit does not change by using this method of impedance matching. The optimized impedance values for a section of the phase shifter are shown in Fig. 8. IV. TREE-JUNCTION DESIGN To simplify the design and fabrication process, all of the MEMS switches are SP4T. Since one signal must be split among four different phased paths, a four-way Y-junction (or

Fig. 9. Design geometry for the tree-junction.

tree-junction) was designed. The geometry of the tree-junction used is shown in Fig. 9. Each of the four output stubs are the same width as those of the other signal lines and are long at the design frequency (or 220 m). This is sufficiently small to prevent RF energy from entering the stubs that are associated with nonactivated MEMS switches (i.e., in the up state). Using longer lines increases the amount of leakage power into these stubs. Using shorter stubs forces the layout to be too dense. The length is optimal for this particular layout. However, a good rule of thumb is to use line lengths less than to avoid excessive leakage power. Fine tuning can be done using a full-wave simulator. Each stub is placed at 30 or 60 off the main axis. These values can vary, but symmetry across the main axis is necessary for symmetric distribution of power. Very wide angles can be used with very short stubs to prevent layout crowding (as in this case). Alternatively, very narrow angles can be used with long stubs to keep the layout small. To demonstrate that the angle can vary without greatly effecting the performance, a full-wave simulation was run with one stub that varies the bend angle from 0 to 90 . The results are shown in Fig. 10. For all angles between 0 –90 , the effect of the bend is negligible. The additional phase increase from the bend discontinuity is 0.39 and 0.66 for the 30 and 60 bends, respectively. The additional insertion loss is too small to measure. An example of a fabricated tree junction with MEMS switches on LCP is shown in the cutout of Fig. 2.

KINGSLEY AND PAPAPOLYMEROU: ORGANIC “WAFER-SCALE” PACKAGED MINIATURE 4-bit RF MEMS PHASE SHIFTER

1233

Fig. 10. S 21 loss and phase data for one stub of the tree-junction versus the bend angle from the main axis. Fig. 12.

MEMS switch fabrication process.

VI. MEMS FABRICATION ON LCP

more pronounced throughout processing due to the fluctuation of temperature from the various baking, deposition, and etching steps. The coefficient of thermal expansion (CTE) of LCP is 17 ppm C in the horizontal ( ) directions and 24 ppm C in the vertical ( ) direction.1 However, the CTE of LCP can be engineered to any value between 3–30 ppm C [6]. Since optical lithography with a 3–5- m resolution cannot be performed on a curled substrate, it is necessary to mount the sample to a flat cleanroom grade material before processing. Temporary mounting can be done using a spin- or roll-on adhesive. Permanent mounting can be done using a thermal bonding technique. Since the substrate is also an organic polymer, surface roughness is an issue. The surface roughness is usually on the order of 2–5 m. Given that the MEMS switch is generally suspended 2–3 m above the substrate, the surface roughness can be large enough to prevent the switch from deflecting. To solve this problem, each sample is mechanically polished using an alumina slurry. After polishing, the sample will have a surface roughness between 10–50 nm, which is smooth enough for MEMS switch operation [7]. After polishing and mounting to a flat material, the following procedure, demonstrated in Fig. 12, was used in fabricating the MEMS phase shifters. Gold transmission lines were electron beam evaporated and patterned using hard contact optical lithography. A silicon nitride Si N layer was deposited using low-temperature plasma enhanced chemical vapor deposition (PECVD). The silicon nitride was then patterned and etched using a reactive ion etch (RIE) process everywhere, except for the MEMS switch contact areas. Photoresist was patterned to provide a sacrificial layer for the switches. Gold for the switch membrane was evaporated, patterned, electroplated to 2 m, and etched. The sacrificial layer was stripped away leaving the MEMS switches suspended above the signal lines. The sample was dried using carbon dioxide CO at the supercritical point

Fabricating on a flexible organic substrate is not as straightforward as using a smooth flat substrate like silicon. Being a flexible material, it is prone to curling. This effect becomes

1Rogers Corporations, Rogers, CT. RO3000 Series High Frequency Circuit Materials. [Online]. Available: http://www.rogerscorporation.com/mwu/pdf/ 3000data.pdf

Fig. 11.

Full-wave simulation results for four of the 16 possible phased paths.

V. SIMULATION RESULTS A full-wave simulation was performed using HP-ADS Momentum for the 4-bit phase shifter. The design was optimized for low loss and a phase error less than 3 . and simulation results for four of the 16 possible phase shifts is shown in Fig. 11. As expected, the phased paths with the longest lengths have more loss than the shorter phased paths. The 67.5 configuration has the longest phased path and the 90 configuration has the shortest phased path in the system. The MEMS switch and metal losses were not modeled in these simulations. Therefore, it is expected that the insertion losses shown in Fig. 11 will be much less than the measured results.

1234

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 13. Measured loss of unpackaged phase shifter. The order of the lines is listed from most lossy to least lossy at 14 GHz.

Fig. 14. Measured loss of packaged phase shifter. The order of the lines is listed from most lossy to least lossy at 14 GHz.

to prevent switch collapse due to water surface tension. A fabricated MEMS phase shifter is shown in Fig. 2. VII. THERMOCOMPRESSION BONDING OF LCP LCP is ideal for thermocompression bonding because it can be manufactured to melt at either 315 C (high-melt LCP) or 290 C (low-melt LCP) [6]. For this packaging method, the low-melt LCP is used as the 25- m-thick bond ply and the high-melt LCP is used as the substrate and superstrate layers. When the substrate, bond ply, and superstrate layers are sandwiched together and placed inside a thermocompression bonding machine at a temperature between 290 C–315 C, the low-melt LCP bond ply will melt and adhere uniformly to the outer core layers. This creates the all-organic near-hermetic package [9]. Other materials require high voltages or metal rings to adhere the packaging layer. A thermocompression bonding machine is ideal for uniform bonding and maximum control of the recipe, but this process could be performed using two hot plates or an oven. VIII. CALIBRATION AND MEASUREMENT Measurement results were taken using high-impedance dc probes to apply the switch bias voltage. Thru-reflect-line (TRL) calibration was performed on-wafer to remove the connector and cable losses. Calibration was done without the superstrate layer so the effect of the packaging can be measured. The difference in the input impedance with and without the superstrate is only 4 , which should not have a substantial effect on the response [9]. At 14 GHz, the line loss is approximately 0.37 dB/cm for both unpackaged and packaged configurations. The average variation in the line loss between the unpackaged and packaged measurements is 0.00625 dB between 8–20 GHz. The maximum variation is 0.0239 dB. Clearly, the 4- input impedance difference has a negligible effect on the response. IX. RESULTS The loss measurement results for the 4-bit MEMS phase shifter without the top superstrate layer (unpackaged) are shown in Fig. 13. The worst case is 20.8 dB and the average

Fig. 15. Measured phase error of unpackaged phase shifter. The order of the lines is listed from most positive to most negative at 14 GHz.

is 0.95 dB. This is a per-bit loss of only 0.238 dB. The loss measurement results with the top superstrate layer (packaged) are shown in Fig. 14. The worst case is 19.7 dB and the average is 0.96 dB. This is a per-bit loss of only 0.240 dB. Both cases have approximately 280 /dB loss. The phase error measurement results without the top superstrate layer (unpackaged) are shown in Fig. 15. The average phase error is 3.96 . The phase error measurement results with the top superstrate layer (packaged) are shown in Fig. 16. The average phase error is 6.57 . In order for this to be a suitable packaging technique, there should be minimal variation in the loss and phase response with and without the superstrate layer. Fortunately, this variation is minor, as shown in Figs. 13–16. The average loss variation is only 0.013 dB, which is practically negligible. The variation in the phase is shown in Fig. 17. The average variation is only 3.16 . To demonstrate the mechanical strength of the package, a 15-lb per square inch (psi) force was applied to the top of the package. This test is necessary to show that the package can withstand the pressure necessary for thermocompression bonding and once bonded can withstand being compressed. A loss and phase comparison of the phase shifter without the package, with the package, and with the package after being

KINGSLEY AND PAPAPOLYMEROU: ORGANIC “WAFER-SCALE” PACKAGED MINIATURE 4-bit RF MEMS PHASE SHIFTER

1235

Fig. 16. Measured phase error of packaged phase shifter. The order of the lines is listed from most positive to most negative at 14 GHz.

Fig. 17. Difference between unpackaged and packaged S 21 phase. The order of the lines is listed from most positive to most negative at 14 GHz.

subjected to the weight is shown in Fig. 18. For brevity, only the shortest (0 ) and longest (337.5 ) phased paths are shown. The addition of the weight creates compressive stresses in the LCP around the cavity discontinuities. These stresses extend to the signal line metal, which causes small deflections in the MEMS switches. Any changes in the MEMS switch geometry will change the switch capacitance, which accounts for the very small variation on the loss and phase. Increasing the size or rounding the shape of the cavities would decrease the compressive stresses in the LCP. This would decrease the effect of the weight or would allow for more weight to be applied. As expected, adding the superstrate layer to package the phase shifter had a minimal effect on the performance. The best case, worst case, and average results are summarized in Table I. In addition to having loss and phase error measurement data comparable to the other published 4-bit phase shifter papers, our phase shifters are demonstrated with and without packaging. These measurement results agree very well with the simulated results. This is expected since a small meshing was used in simulation and fabrication tolerances are usually within 3 m. Simulations calculated that the response would vary from 20 to 40 dB at 14 GHz for all 16 cases. As shown from the data in Table I, there is excellent agreement with the measured

Fig. 18. Comparison of the phase shifter without a package, with a package, and with a package after applying 15 psi of force for the 0 and 337.5 cases. (a) Loss measurement of 0 case. (b) Loss measurement of 337.5 case. (c) Phase error measurement of 0 and 337.5 cases.

values. Furthermore, the simulations correctly predicted the ordering of the insertion loss from least lossy to most lossy. Since

1236

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE I MEASUREMENTS RESULTS FOR UNPACKAGED AND PACKAGED CONFIGURATIONS

[8] D. Pozar, Microwave Engineering, Second Edition. New York: Wiley, 2001. [9] D. Thompson, N. Kingsley, G. Wang, J. Papapolymerou, and M. M. Tentzeris, “RF characteristics of thin-film liquid crystal polymer (LCP) packages for RF MEMS and MMIC integration,” presented at the IEEE MTT-S Int. Microw. Symp., Jun. 2005. [10] G. M. Rebeiz, G.-L. Tan, and J. S. Hayden, “RF MEMS phase shifters: Design and applications,” IEEE Micro, vol. 3, no. 2, pp. 72–81, Jun. 2002. [11] G. L. Tan, R. E. Mihailovich, J. B. Hacker, J. F. DeNatale, and G. M. Rebeiz, “A 4-bit miniature X -band MEMS phase shifter using switchedLC networks,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3, Jun. 2003, pp. 1477–1480. [12] R. Bairavasubramanian, D. Thompson, D. DeJean, G. E. Ponchak, M. Tentzeris, and J. Papapolymerou, “Development of mm-wave dual-frequency multilayer antenna arrays on liquid crystal polymer (LCP) substrate,” presented at the IEEE Int. AP-S Symp., Washington, DC, Jul. 2005.

the simulations did not include metal and MEMS switch losses, it was expected that the simulated insertion loss would be less than the measured insertion loss. X. CONCLUSION For the first time, RF MEMS phase shifters have been packaged on a flexible organic substrate; specifically, LCP. In addition, this is the first time that a small size 4-bit phase shifter on an organic material has been published. Several modifications were made to the traditional microstrip switched-line phase-shifter layout to reduce the size and improve the performance. Measurement results exemplify the low-loss nature of this polymer at high frequencies. With a worst case return loss greater than 19.7 dB and an average insertion loss lower than 0.96 dB (0.24 dB/bit or 280 /dB), this is the first flexible organic packaged miniature phase shifter with minimal loss. ACKNOWLEDGMENT The authors would like to thank R. Bairavasubramanian, D. Thompson, and G. Wang, all with the Georgia Institute of Technology, Atlanta, for their advice throughout this project. REFERENCES [1] G.-L. Tan, R. E. Mihailovich, J. B. Hacker, J. F. DeNatale, and G. M. Rebeiz, “Low-loss 2- and 4-bit TTD MEMS phase shifters based on SP4T switches,” IEEE Trans. Microw. Theory Tech., pt. 2, vol. 51, no. 1, pp. 297–304, Jan. 2003. [2] A. A. Lane, “GaAs MMIC phase shifters for phased arrays,” in IEE Solid-State Compon. Radar Colloq., Feb. 1988, pp. 3/1–3/5. [3] J. Komisarezuk, “Four bit phase shifter for the L band,” in 12th Int. Microw. Radar Conf., vol. 2, May 1998, pp. 590–594. [4] R. R. Tummala, “SOP: What is it and why? A new microsystem-integration technology paradigm-Moore’s law for system integration of miniaturized convergent systems of the next decade,” IEEE Trans. Adv. Packag., vol. 27, no. 2, pp. 241–249, May 2004. [5] B. A. Cetiner, J. Y. Qian, H. P. Chang, M. Bachman, G. P. Li, and F. De Flaviis, “Monolithic integration of RF MEMS switches with a diversity antenna on PCB substrate,” IEEE Trans. Microw. Theory Tech., pt. 2, vol. 51, no. 1, pp. 332–335, Jan. 2003. [6] D. C. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou, “Characterization of liquid crystal polymer (LCP) material and transmission lines on LCP substrates from 30 to 110 GHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1343–1352, Apr. 2004. [7] N. Kingsley, G. Wang, and J. Papapolymerou, “14 GHz microstrip MEMS phase shifters on flexible, organic substrate,” presented at the 35th Eur. Microwave Conf., Paris, France, Oct. 5, 2005.

Nickolas Kingsley (S’02) received the B.S. and M.S. degrees in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2002 and 2004, respectively, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology. He currently performs research on the integration and packaging of RF MEMS switches into various microwave devices on LCP and silicon substrates. Mr. Kingsley is a student member of IEEE Antennas and Propagation Society (IEEE AP-S), the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), and Order of the Engineer. He was the recipient of the 2002 President’s Undergraduate Research Award presented by the Georgia Institute of Technology and the 2001 Armada Award presented by the Compaq Computer Corporation.

John Papapolymerou (S’90–M’99–SM’04) received the B.S.E.E. degree from the National Technical University of Athens, Athens, Greece, in 1993, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1994 and 1999, respectively. From 1999 to 2001, he was a faculty member with the Department of Electrical and Computer Engineering, University of Arizona, Tucson. During the summers of 2000 and 2003, he was a Visiting Professor with The University of Limoges, Limoges, France. From 2001 to 2005, he was an Assistant Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, where he is currently an Associate Professor. He has authored or coauthored over 120 publications in peer-reviewed journals and conferences. His research interests include the implementation of micromachining techniques and MEMS devices in microwave, millimeter-wave, and terahertz circuits and the development of both passive and active planar circuits on semiconductor (Si/SiGe, GaAs) and organic substrates [LCP, low-temperature co-fired ceramic (LTCC)] for system-on-a-chip (SOC)/ SOP RF front ends. Dr. Papapolymerou currently serves as the vice-chair for Commission D of the U.S. National Committee of URSI and as an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. During 2004, he was the chair of the IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Atlanta Chapter. He was the recipient of the 2004 Army Research Office (ARO) Young Investigator Award, the 2002 National Science Foundation (NSF) CAREER award, the Best Paper Award presented at the 3rd IEEE International Conference on Microwave and Millimeter-Wave Technology (ICMMT2002), Beijing, China, and the 1997 Outstanding Graduate Student Instructional Assistant Award presented by the American Society for Engineering Education (ASEE), The University of Michigan Chapter. His student was also the recipient of the Best Student Paper Award presented at the 2004 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, Atlanta, GA.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1237

A Planar Bandpass Filter Design With Wide Stopband Using Double Split-End Stepped-Impedance Resonators Kongpop U-yen, Student Member, IEEE, Edward J. Wollack, Senior Member, IEEE, Terence A. Doiron, Member, IEEE, John Papapolymerou, Senior Member, IEEE, and Joy Laskar, Fellow, IEEE

Abstract—In this paper, we propose a compact planar bandpass filter design with wide stopband. The double split-end quarter-wave-length ( 4) resonator is introduced to reduce the resonator size while providing additional transmission zeros. Optimal split-end length is determined to provide transmission zeros that attenuate the two lowest spurious resonance frequen) of the optimal-length cies. The singly loaded quality factor ( 4 stepped-impedance resonator (SIR) is also analytically th-order derived. Using the proposed technique to design an + 1 controllable transmission zeros are generated and filter, used to suppress spurious frequency responses. The experimental design shows that the sixth-order filter can provide a very broad stopband of 8.5 times the fundamental frequency with at least 37.8 dB of attenuation using the SIRs with a stepped-impedance ratio ( ) of 0.528. Index Terms—Microstrip, microwave filter, spurious response, stepped-impedance resonator (SIR), transmission zero.

I. INTRODUCTION

I

N MODERN communication systems, the RF front-end requires low-loss and compact bandpass filters to enhance the overall system performance. In passive radio astronomy applications, the filter with low in-band loss and wide stopband is a very crucial component since it can significantly improve in-band signal quality by maximally suppressing the integrated power of the out-of-band interference that leaks into receivers. In addition, the filter has to be compact and inexpensive to fabricate. Several planar filter designs satisfy most of the requirements above; however, their out-of-band performance is often limited. Since the filter is fundamentally made of sections of transmission line to imitate the ideal lumped-element filter response, the in-band response of the filter is roughly reproduced out-of-band due to the transmission line’s periodic property [1]. Several approaches have been used to minimize the spurious response of the filter. Suspended substrate [2] and wiggly coupled line [3] can be used in a parallel-coupled resonator filter design. However, the filters using these techniques have a limited out-of-band attenuation level to approximately 30 dB.

Manuscript received September 29, 2005; revised November 22, 2005. This work was supported by the National Aeronautics and Space Administration (NASA) Goddard Space Flight Center and by the Ansoft Corporation. K. U-yen, E. J. Wollack, and T. A. Doiron are with the NASA Goddard Space Flight Center, Greenbelt, MD 20771 USA (e-mail: [email protected]). J. Papapolymerou and J. Laskar are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 22305 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864098

A large step transition in transmission-line impedance is introduced in the bandpass filter design to reduce spurious resonance frequency responses in [4]–[10]. The large impedance step can behave as a low-pass filter that can be used to attenuate out-of-band spurious responses [4]. It can also be visualized as a connection between a capacitor (a very wide section of transmission line) and an inductor (a very narrow section of transmission line) in lumped-element planar filters [5]. Moreover, the large impedance step is used in stepped-impedance resonators (SIRs) in coupled line filter design. These resonators cause the filters’ spurious responses to shift away from the fundamental frequency [6]–[10]. Although using the large step in impedance transition produces a desirable out-of-band response, it increases in-band insertion loss, especially in narrow-band coupled-SIR bandpass filters [7]–[9]. This is mainly due to the high conductor loss in the narrow line section of the resonator, which reduces the resonator’s quality factor. To suppress spurious responses, transmission zeros can be integrated into the filter. In planar coupled SIR filters, they are incorporated in the end sections of the filter in the form of a tapped resonator [7] or spur line structure [10]. Moreover, they can be inserted in the middle section of the resonators [8]. In this paper, we propose a bandpass filter design technique that provides a very broad out-of-band rejection bandwidth with high out-of-band attenuation level. The design also demonstrates low in-band loss by using resonators with small-step impedance transition. The proposed double split-end SIR resonator is integrated with transmission zeros through the antiparallel coupling technique. It not only makes the filter shorter and more compact,butalsoprovidesexcellentspuriousrejectioncapability. The approximate coupling length is determined by the maximum spurious-response rejection bandwidth. An analytical solution of of the SIR resonator filter is derived for the first time when both the high impedance (Hi- ) and low impedance (Lo- ) section of the resonator have equal electrical length. II. DOUBLE SPLIT-END QUARTER-WAVE SIR SIR resonator shown in Fig. 1(a) has many desirThe able properties for use in coupled resonator design. Its size is smaller than that of the half-wave resonator and produces fewer resonance frequencies. However, due to its small size, it is difficult to provide sufficient coupling to produce a filter response that requires wide bandwidth.

0018-9480/$20.00 © 2006 IEEE

1238

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 1. Equivalent circuits of the quarter-wave-length SIRs. (a) Conventional structure. (b) Proposed structure. (c) Simplified equivalent circuit of the proposed structure.

Fig. 2. Tapped quarter-wave-length SIR of: (a) conventional structure and the proposed structure where (b) the tapped location is in the Lo-Z impedance and (c) the tapped location is in the Hi-Z impedance.

Here, a new SIR resonator structure is proposed. To overcome the coupling surface limitation, the grounded and opened ends of the transmission-line section of the resonator can be split and folded perpendicular to the structure, as shown in Fig. 1(b). The unloaded quality factor of this resonator is slightly degraded since it has a larger discontinuity and has narrow lines. The moment-method simulation results show that is reduced from 314 to 294 when compared with the conventional SIR on 0.762-mm-thick Roger’s Duroid 6002 substrate when both have and . The simplified equivalent circuit is shown in Fig. 1(c). The electrical lengths and are chosen for the lines with the characteristic impedances and , respectively, such that transmission zeros are generated when coupled to other resonators (as demonstrated in Section IV). and are chosen such that and . and are the electrical lengths in radian of the transmission lines with the characteristic impedances and , respectively. By performing a circuit analysis, it is simple to see that the proposed circuit is identical to the conventional SIR resonator. By ignoring the discontinuity effect, its resonance frequencies can be derived as follows [9]:

The tapping technique is commonly used in the filter design [7], [10], [12], [13]. Not only does it eliminate coupling at the end sections of the filter, it also produces extra transmission zeros and can be used to reject spurious responses or increase the out-of-band attenuation levels [7]. This technique was implemented in the SIR filter in [12]; however, no analytical solution has been reported. To determine the tapping position at the resonator for a given filter coefficient, is required. Here, the of an optimal-length SIR is determined for the first time. The tapped SIR shown in Fig. 2(a) is derived based on the condition where , as it greatly simplifies the equation. The calculation is based on the lossless transmission-line model. From the definition in [7],

(1)

(3)

In this paper, the condition is used to maximize the separation between the fundamental frequency and its lowest spurious resonance frequency [9]. It also gives the shortest resonator length [6]. The fundamental resonance condition becomes

where is the total susceptance of the resonator seen by the feed line at the tap point derived at the bandpass filter’s center angular velocity . , a function of the angular velocity , is the parallel combination of the impedance looking toward the open- and short-end of the resonator from the tapped point. The solution of is dependent on the tapping location (electrical length ), as shown in Fig. 2(a). These relationships can be expressed as follows.

(2) Using the double split-end SIRs in filter designs has several advantages over using the conventional structures. First, it is easier to produce a strong coupling coefficient when two resonators are in-line coupled since more coupling area is available between two resonators. Second, the filter is more compact. Finally, this coupling topology introduces an additional transmission zero

per coupling section to increase the out-of-band attenuation. As a result, for a high-order filter where many transmission zeros are present, the filter may no longer require SIRs with a low value to achieve wide out-of-band attenuation, as demonstrated in the filter designs in Section V. III. TAPPED QUARTER-WAVE-LENGTH RESONATOR

A. Tapping Location Where Using the transmission-line technique, the susceptance at the tap point of the SIR can be derived as (4), shown at the bottom of this page, where is the input admittance of the resonator seen at the tapped position in Fig. 2(a).

(4)

U-YEN et al.: PLANAR BANDPASS FILTER DESIGN WITH WIDE STOPBAND USING DOUBLE SPLIT-END SIRs

1239

Fig. 3. Q of a  =4 SIR versus variable tapping position = for a given R = 0:2; 0:5; 1; 2; and 5, and Z = R .

By assuming the linear relationship between and the group velocity of wave traveling in the transmission medium, in (3) can be rewritten in terms of as follows:

Fig. 4. Third-order Bandpass filter using tapped SIR technique at the filter’s end sections and two coupling topologies. (a) Grounded-end antiparallel coupling. (b) Open-end antiparallel coupling.

(5)

which is identical to the equation for the UIR. Note that the of the SIR is continuous; however, its slope is not. Its derivative has a discontinuity at the transition where between and and when is not equal to . The mathematical derivation for the tapped SIR in [7] does not show continuous response at the step discontinuity, which would suggest an unphysical change in the power in the system at this value.

Since is also a function of frequency, it is treated as a function of in (5). By using (2), (4), and (5), can be computed and simplified as follows: (6)

C. Transmission Zero Frequencies Generated by the Tapped SIR

B. Tapping Location Where In this case, the tapped location lies on the Lo- section. The calculation can be simplified by defining a new variable (7) The susceptance at the tap point can be derived as follows:

(11a) (8)

By using (2), (5), and (8), to

A transmission zero is created at the frequency where an equivalent short appears at the tapping point. The first transmission zero frequency from case and case can be expressed as a function of center frequency and as follows:

(11b)

can be computed and simplified

(9) is plotted versus in Fig. 3. The practical upper bound value of (when ) is limited by the resonator’s . It is simple to verify (6) and (9) by comparing them to of the uniform resonator in [13]. The SIR becomes a uniformimpedance resonator (UIR) with , i.e., . With this condition, , (6) and (9) are simplified to (10)

respectively. The minimum value of and are limited to and using a tapping location in case A and , respectively. For a given or and , can be determined. The filter’s port impedance is then transformed to at the tapping point using a impedance transformation network in Fig. 4. The above derivations can be applied to the proposed filter. Two possible tapping configurations are shown in Fig. 2(b) and (c). The tapping technique can be combined with the parallel-coupled SIR filter design technique [9], as shown in Fig. 5. By replacing the coupling section at the ends of the filter with the tapped section, two transmission zeros are generated. Each

1240

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

terminals of the antiparallel coupling section are transformed into Hi- or Lo- at the center of the structure around the split location. This blocks the signal traveling between two ports and creates a transmission zero. These transmission-zero frequencies can be expressed as follows: (12a) (12b)

Fig. 5. Simulation results of the microstrip filter with third-order Chebyshev response, R = 0:528, and with 10% bandwidth on 0.762-mm-thick Roger’s Duroid 6002 substrate. One uses the paralleled coupled =4 SIR [9] (dashed line). The other is the parallel coupled =4 SIR with tapped SIR technique that has transmission zeroes, each of which overlaps at a peak frequency of the two lowest spurious frequencies (solid line).

transmission zero is used to suppress one spurious resonance frequency. The transmission zero generated by tapping from the Lo- section is placed at , while the other zero generated by the Hi- section is placed at , as shown in Fig. 5. The simulation result verifies that transmission zeros generated by the tapped SIR technique produce sharp attenuation at transmission zero frequencies and improve overall out-of-band attenuation around those frequencies. IV. RESONATOR COUPLING TOPOLOGY AND TRANSMISSION-ZERO GENERATION To introduce transmission zeros to the filter without using additional transmission-line components, resonators are coupled inline, as shown in Fig. 4. This creates an antiparallel coupling pair between a pair of resonators. There are two types of coupling in this filter design. One is the antiparallel coupling with grounded ends [shown in Fig. 4(a)] and the other with opened ends [shown in Fig. 4(b)]. The filter design using open-end antiparallel coupling was demonstrated in [11] to improve out-of-band attenuation close to in-band frequency. However, its effect in out-of-band attenuation at higher frequencies was not considered. To study this effect, the sections, shown in Fig. 4(a) and (b), are separated from the resonators, and each section is terminated at both ends with , as shown in Fig. 6(a) and (b), respectively. The effect of transmission-line bends is neglected to simplify the explanation, as it has negligible effect on transmission-zero frequencies shift. From Fig. 6(a), the transmission line with and long are equivalent to an electrical degree and at , respectively, and from Fig. 6(b), the transmission line with and long are equivalent to electrical degrees and at , respectively. Consider the grounded-end (open-end) antiparallel coupling section [in Fig. 6(a) and (b)], the signal traveling from ports 1 to 2 is suppressed at the frequency where becomes a multiple number of a quarter-wavelength long. The grounded

for the grounded- and open-end antiparallel coupling section, respectively, where is a natural number. In practical implementation, is limited to 2 in (12a) and 1 in (12b). This is due to the parasitic at the coupler ends that causes nonideal ground/open, thus they no longer reflect the signal effectively at frequencies much higher than . Moreover, the level of attenuation at (or ) become less as the coupling gap (or ) become larger, and vice versa. Therefore, this technique is very effective if used in the filter with relative bandwidth greater than 3% where the couplings between resonators are not weak. V. FILTER CONSTRUCTION To simplify the filter model, we assumed that. Using the assumption that there is no interaction between the open- and the grounded-end coupling sections, each filter section can be constructed individually and combined to generate the desired filter response. The filter coefficients are generated from three types of sections. First, at the tapped sections can be calculated based the filter’s coefficients [7] as follows: (13) where is the filter’s coefficient ranging from 0 to [1]. Second, the open- and grounded-end antiparallel coupling sections are modeled as impedance inverter and admittance inverter , respectively. Based on [9], and can be derived as follows: (14) where is an integer ranging from 1 to . Grounded- and opened-end antiparallel coupling sections are combined in series one after another to produce SIR structures. Even-mode and odd-mode impedance of the grounded- and opened-end coupler can be determined. Based on [11], and of the grounded-end antiparallel coupling section can be derived as follows:

(15a)

(15b)

U-YEN et al.: PLANAR BANDPASS FILTER DESIGN WITH WIDE STOPBAND USING DOUBLE SPLIT-END SIRs

1241

Fig. 6. Wide-band frequency responses of magnitude (in decibels) and phase (in degrees) of S of the antiparallel coupling section on 0.762-mm-thick Rogers’ Duriod 6002 substrate when compared with the theoretical responses. The theoretical results (solid lines) use ideal open and grounded termination. The simulation results (dashed lines) have taken open-end and ground via effect into account. Each section is designed to produce a transmission zero that overlaps with the SIR’s spurious resonance frequency at 4 and 6 where f = 1:412 GHz. (a) Hi-Z grounded-end antiparallel coupling (b) Lo-Z opened-end antiparallel coupling.

Similarly, and of the opened-end antiparallel coupling section can be determined as follows: (16a) (16b) Since there are transmission zeros available to suppress spurious responses, there is design flexibility in choosing the appropriate electrical length and of each coupling section to achieve the out-of-band suppression design goal. In this paper, we allocate all zeros to suppress the first two spurious resonance frequency modes of the SIR since they have the strongest influence on the in-band signal quality for typical communication systems. In addition, using the minimum-size SIR (i.e., ), the third lowest spurious resonance frequency has the maximum extension [9]. Using this approach, the electrical length and can be approximately derived at the fundamental frequency as follows: (17a) (17b) The exact value and to provide the maximum spurious response suppression are dependent on the filter bandwidth, and a number of available transmission zeros used to suppress a spurious resonance frequency mode. VI. DESIGN EXAMPLES, EXPERIMENTAL RESULTS, AND DISCUSSION Two microstrip filters were constructed based on the design procedures discussed above. The design prototypes are based on third- and sixth-order Chebyshev filter response with 0.1 dB of in-band ripple. Their photographs are shown in Fig. 7(a) and (b), respectively. They are prototypes designed for the front-end of the passive -band radiometer. The filters are made from 17- m-thick copper on a 0.762-mm-thick Rogers’ Duroid 6002 substrate. The substrate has a dielectric constant of 2.94 and has a loss tangent of 0.0012 at 10 GHz. The center frequencies of both filters are set to 1.41 GHz. Both filters use SIRs with

Fig. 7. Fabricated circuits. (a) Type-I (third order) filter. (b) Type-II (sixth order) filter. 1–4 in (a) and 1–7 in (b) represent section numbers in Table I.

, where is set to 50 and is set to 26.4 . This corresponds to mm and mm in all coupling sections. From (2), is equal to 36 and the SIR has the lowest three normalized spurious frequencies of 4, 6, and 9 [9]. Using (17a) and (17b) for , we obtain and . Using these values and the filters’ and values in Table I, the coupler’s odd- and even-mode characteristic impedances in each section are determined as shown in (15a), (15b), (16a), and (16b). The couplers’ physical dimensions are shown in Table I. By comparing the performance of the proposed filter to the filter with no transmission zero in the band of interest [9], as shown in Fig. 8, the proposed filter design reduces the maximum spurious level of the filter by at least 8 dB and improves the overall out-of-band response up to more than without affecting the in-band frequency response. The measurement results shown in Figs. 9 and 10 agree well with the moment-method simulation using Ansoft Designer. The connectors are deembedded from the measurement. From Table I, the tapping locations in the SIRs at both ends of the filters are placed differently to optimally minimize the peak of the two lowest spurious frequencies. For the third-order filter, the lowest spurious mode was suppressed to below 41.7 dB, while the second spurious mode was suppressed to below 27.7 dB. In the Type-I filter, it has a minimum in-band insertion loss of

1242

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE I SPECIFICATIONS AND DIMENSION OF THE TWO EXPERIMENTAL FILTERS

Fig. 8. Comparison between the frequency response of dBjS j of the third-order filter design using parallel coupled technique [9] that has no transmission zero (dashed line) and that of the proposed filter design (solid line) that has four transmission zeros. Both filters are third-order filters with w = 0:1.

0.6 dB. Although the two lowest spurious resonance frequency modes of the Type-I filter are suppressed by the same number of transmission zeros, they have different a level of suppression due to several factors. First, the lowest spurious resonance frequency is additionally suppressed by the transmission zero generated by the grounded-end antiparallel coupling section as it is located close to the first spur, as shown in Fig. 6(a). Second, at high frequency, the transmission zeros generated by coupling sections are not as effective as those at low frequency due to the high parasitic at the grounded/opened end, as discussed in Section IV. Moreover, the Lo- coupling section provides strong coupling between two input ports at frequencies above the lowest spur mode than it does in-band, as shown in Fig. 6(b). This causes difficulty in suppressing the second lowest spurious mode. For the sixth-order filter, ten transmission zeros are generated below the third lowest spurious resonance frequency. Two zeros are caused by two tapped SIR sections. Six zeroes are cause by

Fig. 9. Measured (solid lines) and simulated (dashed lines) frequency response of dBjS j and dBjS j of the Type-I filter with two transmission zeros placed around the lowest spurious resonance frequency (at 5.65 GHz) and two transmission zeros placed around the second lowest spurious resonance frequency (at 8.47 GHz).

Fig. 10. Measured (solid lines) and simulated (dashed lines) frequency response of dBjS j and dBjS j of Type-II filter with three transmission zeros placed around the lowest spurious resonance frequency (at 5.65 GHz) and four transmission zeros place around the second lowest frequency (at 8.47 GHz).

three grounded-end antiparallel coupling sections. The final two zeros are caused by the open-end antiparallel coupling sections.

U-YEN et al.: PLANAR BANDPASS FILTER DESIGN WITH WIDE STOPBAND USING DOUBLE SPLIT-END SIRs

Three transmission zeros are used to suppress the lowest spur, while four zeros are used to suppress the second lowest spur. The last three noncontrolled zeros from the grounded-end antiparallel coupling are at a frequency lower than the lowest spurious resonance frequency. As a result, the overall out-of-band suppression is at least 37.8 dB up to 8.5 times the fundamental frequency. Moreover, the filter has a low in-band insertion loss of 1.9 dB. VII. CONCLUSION SIRs The bandpass filter design using double split-end has been proposed. The of the minimum-size SIR has been analytically derived for the first time. The proposed techniques allow at least transmission zeros to exist in an th-order filter design below the third lowest spurious resonance frequency. Using these techniques, the filter can simultaneously produce low in-band loss and wide stopband bandwidth. ACKNOWLEDGMENT The authors would like to thank C. A. Long and L. E. Means, both of the National Aeronautics and Space Administration (NASA) Goddard Space Flight Center, Greenbelt, MD, and T. Donisi, Ansoft Corporation, Manchester, NH, for fabrication and software technical support, respectively. REFERENCES [1] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [2] J. Kuo, M. Jiang, and H. Chang, “Design of parallel-coupled microstrip filters with suppression of spurious resonances using substrate suspension,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 83–89, Jan. 2004. [3] S. Chang, Y. Jeng, and J. Chen, “Tapped wiggly coupled technique applied to microstrip bandpass filters for multi-octave spurious suppression,” Electron. Lett., vol. 40, no. 1, pp. 46–47, Jan. 2004. [4] C. Quendo, E. Rius, C. Person, and M. Ney, “Integration of optimized low-pass filters in a bandpass filter for out-of-band improvement,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2376–2383, Dec. 2001. [5] D. Swanson, “Thin-film lumped-element microwave filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1989, pp. 671–674. [6] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled strip-line stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [7] J. Kuo and E. Shih, “Microstrip stepped impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [8] J. Kuo, W. Hsieh, and M. Jiang, “Design of two-stage UIR and SIR bandpass filters with an elliptic function-like response,” in IEEE MTT-S Int. Microw. Symp. Dig., Forth worth, TX, Jun. 2004, pp. 1609–1612. [9] K. U-yen, E. Wollack, T. Doiron, J. Papapolymerou, and J. Laskar, “The design of a compact, wide spurious-free bandwidth filter using stepped impedance resonators,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 925–928. [10] H. Pang, K. Ho, K. Tam, and R. Martins, “A compact microstrip =4-SIR interdigital bandpass filter with extended stopband,” in IEEE MTT-S Int. Microw. Symp. Dig., Forth worth, TX, Jun. 2004, pp. 1621–1624. [11] M. Matsuo, H. Yabuki, and M. Makimoto, “The design of a half-wavelength resonator BPF with attenuation poles at desired frequencies,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, pp. 1181–1184.

1243

[12] B. H. Lee, S. S. Park, D. S. Park, and J. H. Yoon, “Laminated bandpass filter using tapped resonators,” Electron. Lett., vol. 38, no. 23, pp. 1452–1453, Nov. 2002. [13] J. S. Wong, “Microstrip tapped-line filter design,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 1, pp. 44–50, Jan. 1979.

Kongpop U-yen (S’02) received the B.S. degree in electrical engineering from Chulalongkorn University, Bangkok, Thailand, in 1999, the M.S. degree in engineering from the Georgia Institute of Technology, Atlanta, and is currently working toward the Ph.D. degree in electrical engineering at the Georgia Institute of Technology. In 1999, he joined CT Research, Bangkok Thailand. In 2000, he joined L3 Communications, Ocean System, Sylmar, CA, where he was involved with several switching power supply designs. In 2001, he joined Texas Instruments Incorporated, as a Graduate Co-op, where he was involved with BiCMOS integrated circuit RF transmitter design. In 2004, he joined the National Aeronautics and Space Administration (NASA) Goddard Space Flight Center, Greenbelt, MD, where he is currently with the Microwave Instrument Technology Branch. His current research interests include the design of RF integrated circuits and millimeter-wave passive components.

Edward J. Wollack (S’85–M’87–SM’98) received the B.Sc. degree in physics (with a math minor) from the Institute of Technology, University of Minnesota at Minneapolis–St. Paul, in 1987, and the M.Sc. and D.Sc. degrees in physics from Princeton University, Princeton, NJ, in 1991 and 1994, respectively. In 1994, he began a post-doctoral fellowship with the Central Development Laboratory, National Radio Astronomy Observatory, Charlottesville, VA, with a concentration on low-noise millimeter-wavelength detectors and receiver systems for precision continuum radiometry. In 1998, he joined the Laboratory for Astronomy and Solar Physics, National Aeronautics and Space Administration (NASA) Goddard Space Flight Center, Greenbelt, MD, where he is currently an Astrophysicist and Integration Lead for the Wilkinson Microwave Anisotropy Probe (WMAP) satellite. His research interests include astrophysical and remote sensing, radiometric measurement and calibration techniques, and device noise theory. His research publication topics include characterization of cosmic microwave background (CMB) radiation, low-noise sensor development, and instrumentation for radio astronomy. Dr. Wollack is a member of the American Institute of Physics, the American Astronomical Society, and the American Association for the Advancement of Science. He was the recipient of the 1988 National Science Foundation (NSF) Antarctic Service Award and 2002 NASA Exceptional Achievement Award.

Terence A. Doiron (M’99) received the M.S. degree in electrical engineering from The Johns Hopkins University, Baltimore, MD, in 1995, and the B.S. degree in electrical engineering from the University of Maine, Orono, in 1990. Upon receiving the B.S. degree, he joined the Naval Surface Warfare Center, where he was involved with radar systems and electrooptical remote sensing. In 1995, he joined the National Aeronautics and Space Administration (NASA) Goddard Space Flight Center, Greenbelt, MD, where he has primarily been involved in passive microwave remote sensing. He is currently the Associate Branch Head of the Microwave Instrument Technology Branch, NASA Goddard Space Flight Center.

1244

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

John Papapolymerou (S’90–M’99–SM’04) received the B.S.E.E. degree from the National Technical University of Athens, Athens, Greece, in 1993, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1994 and 1999, respectively. From 1999 to 2001, he was a faculty member with the Department of Electrical and Computer Engineering, University of Arizona, Tucson. During the summers of 2000 and 2003, he was a Visiting Professor with The University of Limoges, Limoges, France. From 2001 to 2005, he was an Assistant Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, where he is currently an Associate Professor. He has authored or coauthored over 120 publications in peer-reviewed journals and conferences. His research interests include the implementation of micromachining techniques and MEMS devices in microwave, millimeter-wave, and terahertz circuits and the development of both passive and active planar circuits on semiconductor (Si/SiGe, GaAs) and organic substrates [liquid-crystal polymer (LCP), low-temperature co-fired ceramic (LTCC)] for system-on-a-chip (SOC)/system-on-package (SOP) RF front ends. Dr. Papapolymerou currently serves as the vice-chair for Commission D of the U.S. National Committee of URSI and as an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. During 2004, he was the chair of the IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Atlanta Chapter. He was the recipient of the 2004 Army Research Office (ARO) Young Investigator Award, the 2002 National Science Foundation (NSF) CAREER award, the Best Paper Award presented at the 3rd IEEE International Conference on Microwave and Millimeter-Wave Technology (ICMMT2002), Beijing, China, and the 1997 Outstanding Graduate Student Instructional Assistant Award presented by the American Society for Engineering Education (ASEE), The University of Michigan Chapter. His student was also the recipient of the Best Student Paper Award presented at the 2004 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, Atlanta, GA.

Joy Laskar (S’84–M’85–SM’02–F’05) received the B.S. degree (highest honors) in computer engineering with math/physics minors from Clemson University, Clemson, SC, in 1985, and the M.S. and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana-Champaign, in 1989 and 1991, respectively. Prior to joining the Georgia Institute of Technology, Atlanta, in 1995, he held faculty positions with the University of Illinois at Urbana-Champaign and the University of Hawaii. At the Georgia Institute of Technology, he holds the Joseph M. Pettit Professorship of Electronics and is currently the Chair for the Electronic Design and Applications Technical Interest Group, the Director of Georgia’s Electronic Design Center, and the System Research Leader for the National Science Foundation (NSF) Packaging Research Center. With the Georgia Institute of Technology, he heads a research group with a focus on integration of high-frequency electronics with opto-electronics and integration of mixed technologies for next-generation wireless and opto-electronic systems. In July 2001, he became the Joseph M. Pettit Professor of Electronics with the School of Electrical and Computer Engineering, Georgia Institute of Technology. He has authored or coauthored over 210 papers. He has ten patents pending. His research has focused on high-frequency integrated-circuit (IC) design and their integration. His research has produced numerous patents and transfer of technology to industry. Most recently, his research has resulted in the formation of two companies. In 1998, he cofounded the advanced wireless local area network (WLAN) IC company RF Solutions, which is now part of Anadigics. In 2001, he cofounded the next-generation interconnect company Quellan Inc., Atlanta, GA, which develops collaborative signal-processing solutions for enterprise applications. Dr. Laskar has presented numerous invited talks. For the 2004–2006 term, he has been appointed an IEEE Distinguished Microwave Lecturer for his Recent Advances in High Performance Communication Modules and Circuits seminar. He was a recipient of the 1995 Army Research Office’s Young Investigator Award, 1996 recipient of the National Science Foundation (NSF) CAREER Award, 1997 NSF Packaging Research Center Faculty of the Year, 1998 NSF Packaging Research Center Educator of the Year, 1999 corecipient of the IEEE Rappaport Award (Best IEEE Electron Devices Society journal paper), the faculty advisor for the 2000 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Best Student Paper Award, 2001 Georgia Institute of Technology Faculty Graduate Student Mentor of the Year, a 2002 IBM Faculty Award, 2003 Clemson University College of Engineering Outstanding Young Alumni Award, and 2003 Outstanding Young Engineer of the IEEE MTT-S.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1245

60-GHz Direct-Conversion Gigabit Modulator/Demodulator on Liquid-Crystal Polymer Saikat Sarkar, Student Member, IEEE, David A. Yeh, Student Member, IEEE, Stéphane Pinel, Member, IEEE, and Joy Laskar, Fellow, IEEE

Abstract—In this paper, we demonstrate the first implementation of the integrated system-on-package (SOP) 60-GHz gigabit modulator and demodulator on liquid-crystal polymer (LCP). LCP provides an organic low-cost low dielectric-constant platform suitable for millimeter-wave passive design and packaging. Firstly, we demonstrate a 60-GHz planar bandpass filter and RF/baseband duplexer as the building blocks of the integrated module. Measurement results show 3-dB insertion loss in the bandpass filter, as well as the RF path of the duplexer, and a higher than 30-dB isolation between the baseband and RF outputs. We utilize those building blocks in the design and implemented a hybrid 60-GHz antiparallel diode-pair-based 4 sub-harmonic mixer suitable for direct up or down conversion. Measurement results indicate a better than 17-dB insertion loss with 1.25-GHz baseband bandwidth for the 4 mixer. Input 1-dB compression point of 2 dBm has been measured. Two subharmonic mixers are integrated back-to-back to perform the simultaneous binary phase-shift keying modulation and demodulation of the pseudorandom binary sequences. Eye diagrams show a better than 13-dB SNR for a data rate up to 1.5 Gb/s. 40-GHz versions of the 4 subharmonic mixer and the back-to-back chain have also been implemented. Hence, we present the first integrated millimeter-wave gigabit SOP modulator and demodulator on LCP. Index Terms—Liquid crystal polymer (LCP), millimeter wave, modulator, subharmonic mixer, system-on-package (SOP).

I. INTRODUCTION

I

NCREASING focus on the worldwide license free 59–64-GHz frequency band as the wireless platform for short range multigigabit point-to-point data transfer and multimedia applications drives the need for the low-cost highperformance integrated system-on-package (SOP) modules. Liquid crystal polymer (LCP) has already been identified as an excellent organic passive development platform for RF, as well as millimeter-wave frequencies [1]–[3]. High-volume large-area processing methods reduce the cost and embedding of multiple functionalities in the package itself eliminates the requirement of standalone passive components. There have been demonstrations of multilayer high-density integration capabilities of LCP [4]–[7]. It is much less costly than the usual ceramic materials used for high-performance RF or mi-

Manuscript received October 11, 2005; revised December 6, 2005. This work was supported by the Georgia Electronic Design Center. The authors are with the Georgia Electronic Design Center, School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.869716

crowave package. It drives our choice of LCP as the substrate, as well as the packaging material for the implementation of the millimeter-wave gigabit modulator and demodulator. Low-cost implementation of the system requires low-cost silicon-based integrated-circuit (IC) technologies to be integrated with the passive platforms. Millimeter-wave signal generation with decent phase-noise performance has been a challenge to silicon-based IC processes. This indicates the necessity for subharmonic mixing. Hybrid implementation of the subharmonic approach is attractive for its simplicity, ease of integration, and inherent low cost. There have been several reports of the millimeter wave 4 subharmonic mixers using antiparallel diode pairs (APDPs) [8]–[10]. However, this is the first implementation on LCP, as well as the demonstration of LCP as the most suitable millimeter-wave hybrid packaging platform. Section II explains the potential of LCP in terms of material and integration advantages. Section III demonstrates the development of 60-GHz passives as the building block toward the system realization. The planar coupled-line bandpass filters and RF/baseband duplexers have been measured to have 3-dB insertion loss in the bandpass path with 10% bandwidth. Section IV describes the implementation of 60-GHz direct-conversion 4 hybrid subharmonic mixer utilizing those passive building blocks. Measurement results indicate a less than 17-dB insertion loss with 1.25-GHz single-sided baseband bandwidth for the 4 mixer. A 40-GHz version shows 16-dB insertion loss with similar baseband bandwidth. Finally, Section V demonstrates simultaneous binary phase-shift keying (BPSK) modulation and demodulation of the pseudorandom binary sequence (PRBS) through the back-to-back integration of two subharmonic mixers. Eye diagrams show a better than 13-dB SNR for data rate up to 1.5 Gb/s. This is the first implementation of a hybrid gigabit modulator and demodulator integrated on LCP. II. LCP PROPERTIES AND HYBRID INTEGRATION CONCEPT LCP is a fairly new low-cost thermoplastic material and its unique performance as an organic material is comparable to that of the ceramic-based substrates that are widely used in RF and microwave packages. It is also suited to high-volume large-area processing techniques. Its dielectric constant is 3 at 20 GHz and increases slightly with frequencies up to 110 GHz [5]. Loss tangent is also small ( 0.002 20 GHz). The loss characterization of the LCP transmission lines up to -band provides

0018-9480/$20.00 © 2006 IEEE

1246

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 2. Simulated and measured performance of 60-GHz bandpass filter.

Fig. 1.

60-GHz hybrid LCP transceiver architecture.

an excellent insight of its potential for millimeter-wave applications. Conductor-backed coplanar waveguide (CB-CPW) transmission lines fabricated on 2-mil-thick LCP substrates show a measured insertion loss of 2.24 dB/cm at 110 GHz [11]. An adjustable and low coefficient of thermal expansion (8–17 10 /K) provides better matching to silicon chips. It also has good barrier properties and permeability ( 0.02% moisture absorption) comparable to that of the glass and close to that of the ceramic. Hence, the electrical and mechanical properties of the LCP make it a suitable passive platform for high-density integration. Fig. 1 shows the potential integration concept of a 60-GHz BPSK transceiver with LCP and active monolithic chips. Fourth harmonic subharmonic mixing relieves the necessity of high-frequency low-distortion signal generation. Hence, other active components can be fabricated in low-cost silicon-based processes. There have already been demonstrations of 60-GHz active circuits in medium-cost SiGe processes [12]. Hybrid APDP-based subharmonic mixing on LCP minimizes the number of active components and reduces cost. External IC components have been specifically indicated in Fig. 1 as a monolithic microwave integrated circuit (MMIC). This study implements the encircled portion of the figure that signifies the BPSK modulator and demodulator sections. Direct-conversion double-sideband mixing architecture has been implemented in this scheme. III. 60-GHz PASSIVE DEVELOPMENT ON LCP A. Bandpass Filter Design and Implementation Planar bandpass filter architecture with microstrip configuration (bottom ground plane spacing m) of the transmission lines has been chosen for the ease of integration and to minimize the integration cost. The bandwidth of the bandpass filter would determine the maximum data rate in a directconversion architecture. Hence, in order to utilize the entire license free bandwidth, 10% fractional bandwidth specifications

Fig. 3. Simulated and measured performance of 40-GHz bandpass filter.

are targeted. However, the design rule specifications (minimum linewidth and spacing 75 m) restrict the design of the coupled sections. A higher ground-plane distance would reduce the restriction, but that would increase the radiation loss in a microstrip configuration. A third-order coupled line filter designed in low-impedance environment (25 ) satisfies the fabrication design rules while the fractional bandwidth is increased to 14% [13]. Quarter-wavelength transformers transform the impedance to 50 at the input and output ports of the filter. The design has been tuned using electromagnetic (EM) simulators to account for the metal thickness, as well as the other obvious variations at millimeter-wave frequencies. A 40-GHz version has also been implemented to verify the design methodology. The 60-GHz bandpass filter occupies an area of 4 mm 1.22 mm on an LCP substrate. It has been measured using an Agilent 8510XF vector network analyzer (VNA) (2–110 GHz) and Cascade Microtech 250- m-pitch air coplanar probes. The measurement results show 3-dB insertion loss with 8-GHz 3-dB bandwidth. The 40-GHz filter has been measured using an Agilent 8510C VNA. The measurement results show 3-dB insertion loss with 6-GHz 3-dB bandwidth. A slight variation 2–3% of the center frequency can be attributed to the dielectric thickness variation and overestimation of dielectric constant of LCP during simulation. The corrected simulation results and the measurement results have been shown in Figs. 2 and 3.

SARKAR et al.: 60-GHz DIRECT-CONVERSION GIGABIT MODULATOR/DEMODULATOR ON LCP

1247

Fig. 4. 60-GHz RF/baseband duplexer architecture.

B. RF/Baseband Duplexer The coupled line bandpass filter described in Section III-A has been utilized in the development of a novel RF/baseband duplexer. This has been designed as a building block of the 4 subharmonic mixer. It combines the RF and baseband signals in the direct-conversion scenario and carries them to the APDP for the mixing operation. The specifications of the duplexer include the necessary rejection at the first, second, and third harmonic of the 15-GHz local-oscillator (LO) frequency, i.e., at 15, 30, and 45 GHz to suppress the even-order mixing terms, as well as the odd-order LO harmonic generated by APDP [14]. The open stubs provide the necessary rejection. Fig. 4 shows the function, as well as the structure of the duplexer. A stepped-impedance architecture has been utilized for the low-pass section. A 15-GHz rejection stub provides the necessary rejection at 45 GHz as well. An additional 60-GHz rejection stub has been placed at the baseband port of the low-pass filter in order to enhance the RF rejection of the baseband path. The duplexer occupies only 4 mm 2.5 mm. A 40-GHz version of the duplexer has also been fabricated for characterization. The insertion loss of the RF path of the 60-GHz duplexer has been measured using an Agilent 8510XF 110-GHz VNA with the baseband port terminated with a broad-band 50- load. However, the low-pass response and the isolation between the RF and baseband at bandpass frequency could not be measured due to limitations of the VNA probe station. The 40-GHz duplexer could be completely characterized using an Agilent 8510C VNA. The 60-GHz duplexer shows 3.5-dB measured insertion loss in the RF path with 6.5 GHz 3-dB RF bandwidth. The low-pass section is measured to have 12-GHz 3-dB bandwidth with around 25.5-dB rejection at 15 GHz. The measurement results of the 40-GHz duplexer indicate 3-dB insertion loss in the RF path with 30-dB isolation between the RF and baseband ports. Spurious response from the low-pass section is measured to be less than 35 dB in the entire passband. The measurement results and simulation results are compared in Figs. 5 and 6. Fig. 7 shows the fabricated bandpass filters and duplexers on LCP. IV. LCP HYBRID 4

SUBHARMONIC MIXER

The EM simulated -parameter data of the passive elements are combined with the APDP model on an Agilent ADS plat-

Fig. 5. Simulated and measured performance of 60-GHz RF/baseband duplexer. (a) Bandpass response. (b) Low-pass response.

Fig. 6. Simulated and measured RF performance of 40-GHz RF/baseband duplexer.

form in order to perform the complete simulation of the 4 subharmonic mixers. The mixer can be used for both down and up conversion. As mentioned in Section III, only even harmonic mixing components are present in APDP subharmonic mixer [14]. An Agilent HSCH9251 GaAs beam lead Schottky barrier APDP has been integrated with the various passive elements on LCP. Table I shows the different diode parameters. Fig. 8 shows the schematic of the subharmonic mixer in details.

1248

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 7. Fabricated bandpass filter and duplexer on LCP. (a) 60 GHz. (b) 40 GHz. TABLE I AGILENT HSCH9251 DIODE PARAMETERS

Fig. 8. Schematic of the 4

2 subharmonic mixer.

The 30-GHz open stub in the duplexer suppresses the ( – ), as well as the ( – ) mixing component. The 15- and 45-GHz open stubs suppress and components. Hence, only the ( – ) component constitutes the baseband. The 60-GHz short stub provides a short circuit for both RF and baseband signals. Hence, the entire RF signal is available across the diode pair causing lower conversion loss, and no baseband signal can leak through the diode and load the LO source. This stub also provides high impedance to the LO frequency and negligible LO power leaks through the stub to ground, and the other side of the diode pair is short at the LO (due to the 15-GHz open stub in the duplexer). Hence, the entire LO power is available across the diode pair. Using a 60-GHz short stub instead of a short stub would present a perfect open for the LO, but the improvement is negligible. Also, the smaller stub consumes less area on the LCP substrate. An LO matching network is required in order to minimize the LO power requirements, as well as the unwanted reflection into the LO source. Usually the diode pair (other end shorted) provides a capacitive impedance to the LO signal. The 60-GHz short stub transforms the look-in impedance of the diode pair at 15 GHz to approximately 50 at an 8-dBm power level, as shown in Fig. 9. Hence, there is no need of additional matching network at the LO port. Fig. 9 shows the simulated impedance of the diode pair (the other end shorted) at the LO frequency (15 GHz) at different power levels in the Smith chart

Fig. 9. Simulated input impedance of APDP at 15 GHz (other end shorted) at different LO power levels: (a) without any stub, (b) with 60-GHz  short stub, and (c) with 60-GHz  =2 short stub.

Fig. 10. Simulated mixer conversion loss with LO power level before and after optimization (500-MHz baseband frequency and 20-dBm baseband power).

0

for three different cases, which are: a) without any short stub; b) with a short stub; and c) with a short stub. For the 40-GHz subharmonic mixer, a separate LO matching network with an open stub is implemented. Another small interstage matching network has been placed between the APDP and duplexer. These can fine tune the conversion loss and bandwidth performance of the subharmonic mixer by changing the look-in impedance of the diode pair, as seen by the duplexer. Care has been taken so that the matching network does not prevent the entire LO power to be available across the diode pair. Fig. 10 describes the simulated conversion of loss of the 60-GHz mixer: a) without an LO or interstage matching and using a 60-GHz short stub in LO side of APDP and b) with an LO and interstage matching and using a 60-GHz short stub in LO side of an APDP. A baseband single-tone 500-MHz–20-dBm signal has been used in simulation. Hence, we see approximately 3-dB improvement in the simulated conversion loss using the optimization techniques in the inter-stage matching network. In addition, the minimum loss occurs at a lower LO power level (8 dBm instead of 9 dBm). The optimized mixer occupies an area of 5.2 mm 2.7 mm on LCP. The mixer characterization has been performed with a singletone baseband signal. The frequency and power of the baseband signal have been varied to obtain the complete conversion loss and bandwidth performance. 17-dB conversion loss with a single-sided 3-dB bandwidth 1.25 GHz has been measured at

SARKAR et al.: 60-GHz DIRECT-CONVERSION GIGABIT MODULATOR/DEMODULATOR ON LCP

Fig. 11. Simulated and measured mixer conversion loss variation with baseband power level.

Fig. 14.

Measured mixer conversion loss variation with LO frequency.

Fig. 15. Fabricated hybrid 4 (b) 40 GHz.

Fig. 12. Simulated and measured mixer conversion loss variation with baseband frequency.

Fig. 13.

Measured mixer conversion loss variation with LO power level.

7.5-dBm LO power and LO frequency of 16 GHz. The measurement result also shows 28-dB LO rejection at the RF output. Figs. 11 and 12 show the variation of the conversion loss with baseband power level (500-MHz frequency single-tone baseband) and baseband frequency ( 20-dBm baseband power), respectively. Input 1-dB compression point of 2 dBm has also been obtained from the measurements. They have also been compared with the corresponding simulation results. Fig. 13 shows the variation of the conversion loss with respect to the LO power using a 500-MHz single-tone 20-dBm baseband signal. Fig. 14 shows the variation of the conversion loss with a varying LO frequency for 500-MHz–20-dBm baseband single-

1249

2 subharmonic mixers on LCP. (a) 60 GHz.

TABLE II APDP-BASED SUBHARMONIC MIXER PERFORMANCE COMPARISON

tone signal at an 8-dBm LO power level. The 40-GHz version is measured to have a conversion loss of 16 dB with 1.2-GHz baseband 3-dB bandwidth at 8.5-dBm LO power. Fig. 15 shows the fabricated 4 subharmonic mixers on LCP. The 3-dB difference in the conversion loss between simulation and measurement can be attributed to additional loss of bandpass filters (1.5–2 dB) and interconnect parasitic of the diode pair. The reduction of the bandwidth (around 1 GHz) is also attributed to the effect of the mounting of the diodes as the metallization is affected. Still the measured results indicate excellent performance of the LCP organic platform for millimeter-wave modules. Table II compares this study with other recently reported 40/60-GHz integrated subharmonic mixers using a single APDP.

1250

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 16. Gigabit modulator/demodulator measurement setup.

Fig. 18. Received spectrum of 1.5-Gb/s PRBS (without external LPF) after 6 dBm). back-to-back chain (input power =

0

Fig. 19. Received eye diagram of 1.5-Gb/s PRBS (with external LPF) after back-to-back chain.

Fig. 17. Received spectrum of 500-MHz single-tone baseband signal (input power = 10 dBm) after back-to-back chain.

0

V. GIGABIT MODEM IMPLEMENTATION A back-to-back up-conversion and down-conversion chain has also been implemented by connecting the RF ports of two subharmonic mixers. Typically it works as a simultaneous BPSK modulator/demodulator when the LO phases of the two mixers are synchronized. The measurement setup is shown in Fig. 16. The LO inputs of the two mixers has been connected to Agilent 83650A and 83640L single-tone signal sources, respectively. The reference output of 83650A is connected with the reference input of 83640L to synchronize them. In the down-converter LO path, a phase shifter is placed for proper BPSK demodulation. Measurements have been performed for both single-tone baseband and digital PRBS data. The spectrum for both cases and the eye diagram for the digital data sequence have been noted. Fig. 17 shows the received spectrum of the simultaneous up- and down-converted 500-MHz–10-dBm single-tone signal. Fig. 18 shows the received spectrum of 1.5-Gb/s PRBS (500-mV peak-to-peak input followed by a 10-dB attenuator). Fig. 19 shows the received eye diagram for the same input (additional low-pass filter has been used to attenuate LO leakage).

The eye diagram shows an SNR of 13.15 dB in the received signal and a time jitter of 300.3 ps. Hence, we demonstrate an excellent performance of the BPSK modem using hybrid 4 subharmonic mixers on LCP. VI. CONCLUSION We have demonstrated the first implementation of the integrated SOP 60-GHz gigabit modulator and demodulator on LCP. A 60-GHz planar bandpass filter and RF/baseband duplexer have been implemented as the building blocks of the integrated system. Measurement results show 3-dB insertion loss in the bandpass filter, as well as the RF path of the duplexer and a better than 30-dB isolation between the baseband and RF outputs. Hybrid APDP 4 subharmonic mixers have been designed with those building blocks. Measurement results indicate a less than 17-dB insertion loss with 1.25-GHz baseband bandwidth for the 4 mixer. Two subharmonic mixers are integrated back-to-back to perform the simultaneous BPSK modulation and demodulation of the PRBS. Eye diagram of the received PRBS data shows a better than 13-dB SNR for 1.5-Gb/s data rate. In addition, 40-GHz counterparts for the passive components and the complete system have been demonstrated. This is the first reported millimeter-wave SOP module for gigabit applications integrated on LCP.

SARKAR et al.: 60-GHz DIRECT-CONVERSION GIGABIT MODULATOR/DEMODULATOR ON LCP

ACKNOWLEDGMENT The authors would like to acknowledge the Georgia Institute of Technology Research Institute (GTRI), Atlanta, for their help in mounting the antiparallel diode chips on LCP substrates.

REFERENCES [1] M. M. Tentzeris, J. Laskar, J. Papapolymerou, S. Pinel, V. Palazzari, R. Li, G. DeJean, N. Papageorgiou, D. Thompson, R. Bairavasubramanian, S. Sarkar, and J. H. Lee, “3-D integrated RF and millimeter-wave functions and modules using liquid crystal polymer (LCP) system-on-package technology,” IEEE Trans. Adv. Packag., vol. 27, no. 2, pp. 332–340, May 2004. [2] S. Pinel, S. Sarkar, R. Bairavasubramanian, J. H. Lee, M. Tentzeris, J. Papapolymerou, and J. Laskar, “Highly integrated LTCC and LCP millimeter wave functions for 3D-SOP high data rate wireless systems,” in Asia–Pacific Microw. Conf., New Delhi, Delhi, India, Dec. 2004. [CD ROM]. [3] S. Sarkar, D. Yeh, S. Pinel, and J. Laskar, “Wideband direct conversion hybrid LCP millimeter-wave 4 subharmonic mixer for gigabit wireless module,” presented at the Eur. Microw. Conf., Paris, France, Oct. 2005. [4] S. Pinel, M. F. Davis, V. Sundaram, K. Lim, J. Laskar, G. White, and R. R. Tummala, “Cost-effective RF front-end module using high passive components on liquid crystal polymer substrates and micro-BGA,” IEICE Trans. Electron., vol. E86-C, no. 8, pp. 1584–1592, Aug. 2003. [5] S. Sarkar, V. Palazarri, G. Wang, N. Papageorgiou, D. Thompson, J. H. Lee, S. Pinel, M. Tentzeris, J. Papapolymerou, and J. Laskar, “RF and millimeter-wave SOP module platform using LCP and RF MEMS technologies,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 2, Fort Worth, TX, Jun. 2004, pp. 567–570. [6] V. Palazarri, D. Thompson, N. Papageorgiou, S. Pinel, J. H. Lee, S. Sarkar, R. Pratap, G. DeJean, R. Bairavasubramanian, R. Li, M. Tentzeris, J. Laskar, J. Papapolymerou, and L. Roselli, “Multiband RF and millimeter-wave design solutions for integrated RF functions in liquid crystal polymer system-on-package technology,” in IEEE Proc. 54th Electron. Compon. Technol. Conf., vol. 2, Las Vegas, NV, Jun. 2004, pp. 1658–1663. [7] X. Duo, L. R. Zheng, H. Tenhunen, L. Chen, G. Zou, and J. Liu, “Design and implementation of a 5 GHz RF receiver front-end in LCP based system-on-package module with embedded chip technology,” in IEEE Elect. Performance Elect. Packag. Conf., Princeton, NJ, Oct. 2003, pp. 51–54. [8] M. W. Chapman and S. Raman, “A 60 GHz uniplanar MMIC 4 subharmonic mixer,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2580–2588, Nov. 2002. [9] J. Y. Park, S. S. Jeon, Y. Wang, and T. Itoh, “Millimeter wave direct quadrature converter integrated with antenna for broad-band wireless communications,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, pp. 1277–1280. [10] Y. L. Kok, P. P. Huang, H. Wang, B. R. Allen, R. Lai, M. Sholley, T. Gaier, and I. Mehdi, “120 GHz and 60 GHz monolithic InP-based HEMT diode sub-harmonic mixer,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3, Baltimore, MD, Jun. 1998, pp. 1723–1726. [11] D. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou, “Characterization of liquid crystal polymer (LCP) material and transmission lines on LCP substrates from 30–110 GHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1343–1352, Apr. 2004. [12] B. A. Floyd, S. K. Reynolds, U. R. Pfeiffer, T. Zwick, T. Beukema, and B. Gaucher, “SiGe bipolar transceiver circuits operating at 60 GHz,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 156–167, Jan. 2005. [13] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. North Bergen, NJ: Bookmart Press, 1985. [14] M. Cohn, J. E. Degenford, and B. A. Newman, “Harmonic mixing with an antiparallel diode pair,” IEEE Trans. Microw. Theory Tech., vol. 23, no. 8, pp. 667–673, Aug. 1975.

2

Q

1251

Saikat Sarkar (S’04) was born in Asansol, India. He received the B.Tech. degree in electronics and electrical communication engineering from the Indian Institute of Technology, Kharagpur, India, in 2003, the M.S. degree from the Georgia Institute of Technology, Atlanta, in 2005, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology. In 2004, he was a Summer Intern with the Intel Corporation, Hillsboro, NJ. He is currently a member of the Microwave Applications Group, Georgia Electronic Design Center (GEDC), Georgia Institute of Technology. He has authored or coauthored over 15 journal and conference papers. His research interests include the analysis and development of millimeter-wave front-end silicon-based ICs for high data-rate wireless applications, and passive components development for millimeter-wave front-end SOP modules.

David A. Yeh (S’99) was born in Taipei, Taiwan, R.O.C. He received the B.Eng. degree in electrical and electronic engineering from the University of Auckland, Auckland, New Zealand, in 2001, the M.S. degree in electrical and computing engineering from the Georgia Institute of Technology, Atlanta, in 2004, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology. From 2001 to 2002, he was an Engineering Consultant with Broadcast Communications Limited, Auckland, New Zealand, where he was involved with the national deployment of a broad-band wireless access (BWA) networks. While pursuing the M.S. degree, he held a part-time position with the Broadcom Corporation, Duluth, GA, where he was involved with noise modeling and distortion analysis for cable modem termination systems. During Summer 2005, he held an internship with Motorola Laboratories, Tempe, AZ, where he was involved with millimeter-wave imaging. He is currently a member of the Microwave Application Group, Georgia Electronic Design Center (GEDC), Georgia Institute of Technology. His current area of research is transceiver architecture and system and circuit design for millimeter-wave gigabit wireless systems.

2

Stéphane Pinel (M’05) received the B.S. degree from Paul Sabatier University, Toulouse, France, in 1997, and the Ph.D. degree in microelectronics and microsystems (with highest honors) from the Laboratoire d’Analyze et d’Architecture des Systemes, Centre National de la Recherche Scientifique, Toulouse, France, in 2000. For three years, he has been involved with a UltraThin Chip Stacking (UTCS) European Project. He is currently a Research Engineer with the Microwaves Applications Group, Georgia Institute of Technology, Atlanta. He has authored or coauthored over 100 journal and proceeding papers, two book chapters, and numerous invited talks. He holds four patents/invention disclosures. His research interests include advanced three-dimensional (3-D) integration and packaging technologies, RF and millimeter-waves embedded passives design using organic and ceramic material, RF microelectromechanical systems (MEMS) and micromachining techniques, SOP for RF front-end modules, and system-on-insulator (SOI) RF circuit design. Dr. Pinel has participated and organized numerous workshops. He was the recipient of the First Prize Award presented at the 1998 Society of Electronic and Electro-technique (SEE), the Second Prize Award presented at 1999 International Microelectronics and Packaging Society (IMAPS), and the Best Paper Award presented at the 2002 International Conference on Microwave and Millimeter-Wave Technology, Beijing, China.

1252

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Joy Laskar (S’84–M’85–SM’02–F’05) received the B.S. degree (highest honors) in computer engineering with math/physics minors from Clemson University, Clemson, SC, in 1985, and the M.S. and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana-Champaign, in 1989 and 1991, respectively. Prior to joining the Georgia Institute of Technology, Atlanta, in 1995, he held faculty positions with the University of Illinois at Urbana-Champaign and the University of Hawaii. At the Georgia Institute of Technology, he holds the Joseph M. Pettit Professorship of Electronics and is currently the Chair for the Electronic Design and Applications Technical Interest Group, the Director of Georgia’s Electronic Design Center, and the System Research Leader for the National Science Foundation (NSF) Packaging Research Center. With the Georgia Institute of Technology, he heads a research group of 25 members with a focus on integration of high-frequency electronics with opto-electronics and integration of mixed technologies for next-generation wireless and opto-electronic systems. He has authored or coauthored over 200 papers and several book chapters (including three textbooks in development). He has more than 20 patents pending. His research has focused on high-frequency IC design and their integration. His research has produced numerous patents and transfer of technology to industry. Most recently, his research has resulted in the formation of two companies. In 1998, he cofounded the advanced wireless local area network (WLAN) IC company RF Solutions, which is now part of Anadigics. In 2001, he cofounded the next-generation interconnect company Quellan Inc., Atlanta, GA, which develops collaborative signal-processing solutions for enterprise applications, storage, and wireless markets. Dr. Laskar has presented numerous invited talks. For the 2004–2006 term, he has been appointed an IEEE Distinguished Microwave Lecturer for his Recent Advances in High Performance Communication Modules and Circuits seminar. He was a recipient of the 1995 Army Research Office’s Young Investigator Award, 1996 recipient of the National Science Foundation (NSF) CAREER Award, 1997 NSF Packaging Research Center Faculty of the Year, 1998 NSF Packaging Research Center Educator of the Year, 1999 corecipient of the IEEE Rappaport Award (Best IEEE Electron Devices Society journal paper), the faculty advisor for the 2000 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Best Student Paper Award, 2001 Georgia Institute of Technology Faculty Graduate Student Mentor of the Year, a 2002 IBM Faculty Award, 2003 Clemson University College of Engineering Outstanding Young Alumni Award, and 2003 Outstanding Young Engineer of the IEEE MTT-S.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1253

Design Optimization and Implementation of Bandpass Filters With Normally Fed Microstrip Resonators Loaded by High-Permittivity Dielectric Alexander Hennings, Elena Semouchkina, Member, IEEE, Amanda Baker, and George Semouchkin

Abstract—Different approaches for designing bandpass filters with transmission zeroes are investigated by using the transmission-line theory and the finite-difference time-domain method. The filters are composed of capacitively coupled uniform impedance microstrip resonators, stepped-impedance microstrip resonators, and tapped feed lines. Different design modifications are discussed and, as a result, a design with double-coupled resonators is proposed. Based on this structure, a miniaturized filter capacitively loaded by high-permittivity dielectric inclusions and fabricated by using low-temperature co-fired ceramic (LTCC) technology is presented. The measured and simulated -parameter spectra of the LTCC-filter are in good agreement. Index Terms—Finite-difference time-domain method (FDTD), low-temperature co-fired ceramic (LTCC), microstrip filters, resonance, transmission zeroes.

I. INTRODUCTION

M

ICROSTRIP bandpass filters are always in demand for low-profile and lightweight systems. To satisfy stringent requirements in modern telecommunications, there is a need for transfer functions, which differ from conventional Chebyshev characteristics. In particular, characteristics with enhanced flatness of group delay, steep passband slopes, and transmission zeroes closely located to the band edges are often preferred. One way to realize such filter characteristics is introducing cross-couplings between nonadjacent resonators. Cross-coupling schemes have been intensively investigated over the last decades [1]–[5]. Recently, bandpass filters comprised of microstrip resonators with tapped feed lines placed normally to the resonator axes have attracted attention. Even if no cross-coupling is used, these filters can provide for transmission zeroes at finite frequencies. The transmission-line model of the microstrip resonator used in these novel filters is shown in Fig. 1. The model displays two stubs of transmission line , which are aligned normally to the feed line. This kind of feeding is used to provide excitation of the two quarter-wavelength resonances in the two stubs , in addition to the half-wavelength resonance, which expands along the entire resonator. Due to the quarter-wavelength

Manuscript received October 4, 2005; revised December 3, 2005. This work was supported by the National Science Foundation under Award DMI-0339535. A. Hennings is with the Institut fuer Werkstoffe der Elektrotechnik, Technical University Rheinisch-Westfaelische Technische Hochschule Aachen, 52074 Aachen, Germany. E. Semouchkina, A. Baker, and G. Semouchkin are with the Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.869709

Fig. 1. Half-wavelength resonator comprised of two stubs of transmission line normally aligned to the tapped feed line.

resonances, this type of resonator could be referred to as a dual-behavior resonator [6]. At the half-wavelength resonance, the two stubs form conjugate complex shunts. It is known that at the quarter-wavelength resonance, the open-circuited stubs can block the signal access to the microstrip lines connected to the tap point. For example, Quendo et al. [6], as well as Kuo and Shih [7], designed well-performing bandpass filters with transmission zeroes by utilizing this effect. If two normally fed resonators are placed in parallel, then the occurrence of transmission zeroes in the filter characteristics may be analyzed by applying the theory of parallel coupled transmission lines [8]. Amari et al. [9] related transmission zeroes of the filters with parallel coupled stepped-impedance microstrip resonators (SIMRs) to internal antiresonances. Belyaev et al. [10] demonstrated that the appearance of transmission zeroes depends on the relative strength of electric and magnetic coupling between parallel SIMRs since both types of coupling can mutually compensate each other. Further important issues are miniaturization of the filter and suppression of harmonically related spurious bands. One method to achieve these goals is the employment of stepped-impedance resonators [7], [11]. A more efficient way for miniaturization could be realized by placing local capacitive loads in areas of electric-field antinodes [12]. The low-temperature co-fired ceramic (LTCC) technology enables the implementation of such miniaturized filters by integrating high-permittivity inclusions in low-permittivity substrates [13], [14]. In our previous study, a microstrip filter was fabricated by placing two capacitively coupled SIMRs in series [15], [16]. However, despite some nice features, the proposed design with skew-symmetric locations of the tapped input and output feed lines did not provide for low return loss so that impedance matching was not ensured. In this study, this problem is analyzed in detail, and different possibilities of designing narrow-band filters comprised of

0018-9480/$20.00 © 2006 IEEE

1254

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 3. (a) S and (b) S of the microstrip bandpass filter shown in Fig. 2. Solid curves: analytical calculation based on TLT. Dashed curves: FDTD simulation.

at center frequency. Since the required phase shifts are negative, they have been realized through shortening the adjoining transmission-line pieces by according to (5) as follows; thus, the phase shifters are absorbed by the two resonators:

Fig. 2. (a) Transmission-line model of the bandpass filter comprised of two UIMRs with tapped feed lines coupled through a J -inverter. (b) Equivalent circuit of the J -inverter comprised of a series capacitor C and two phase shifters. (c) Microstrip layout of the filter for a substrate thickness of 0.66 mm, a permittivity of " = 9, and a center frequency of 5 GHz. The microstrip dimensions refer to the following parameters of the transmission line filter: l = 0:288 ; l = 0:212 , ( = effective wavelength at center frequency), J = 0:0013 ; Z = 50 , and feed impedance Z = 50 .

(1) (2) (3) (4) (5)

capacitively coupled uniform impedance microstrip resonators (UIMRs) and SIMRs are discussed. The structures described here are different from those reported in the literature. As a result, a design with double-coupled resonators, which is most compact in size in comparison with other designs, is developed. This design was chosen to fabricate a highly miniaturized filter by using LTCC technology. The measured performance of the fabricated miniaturized design is in good agreement with the finite-difference time-domain (FDTD) simulation results.

II. FILTERS WITH SYMMETRICALLY AND SKEW-SYMMETRICALLY FED SINGLE-COUPLED RESONATORS Fig. 2(a) shows the transmission-line model of a bandpass filter, which consists of two capacitively coupled half-wavelength resonators. The employed resonators are of the same type as described above, and the inter-resonator coupling is modeled by introducing a -inverter. For convenience, only uniform impedance resonators are considered here, though the main results are applicable to stepped-impedance resonators as well. The -inverter in Fig. 2(a) has been modeled by using the equivalent circuit proposed in [17] and shown in Fig. 2(b). It is composed of a series capacitor and two adjoining phase shifters . The element values are calculated by using (1)–(4) [17], where is the characteristic admittance of the -inverter, is the characteristic admittance of the adjoining transmission line pieces, is an intermediate calculation parameter to find the series capacitance is the angular center frequency, and is the effective wavelength

Fig. 2(c) displays the microstrip realization of the transmission-line filter [see Fig. 2(a)] used in the FDTD model. The microstrip dimensions for the FDTD model have been derived by using closed-form expressions [18] for the effective permittivity, characteristic impedance, microstrip gap, and microstrip open ends in order to match the transmission-line theory (TLT)-based calculations. However, the microstrip dispersion has been neglected and the microstrip junctions have also been assumed to be ideal. The frequencies of transmission zeroes, which correspond to quarter-wavelength resonance conditions of the stubs, were found to be shifted by approximately 0.1 GHz for the two models. This discrepancy could be related to some fringing fields near the junctions not accounted for in the TLT model. Therefore, the stubs have been additionally extended by 0.1 mm. This extension corresponds to 1.47% of the length of the longer stub or 0.8% of the entire resonator length, respectively. Such effects were also noticed in [15] and [16]. It is also known that the reference planes of microstrip interconnections are shifted with respect to the symmetry planes of microstrip junctions [19]. The weak excitation of other modes, which are not taken into account by the quasi-TEM approximation, could also cause little deviations. The analytically calculated -parameters were obtained by multiplying the – matrices of the cascaded filter sections and then converting the final – parameters into the -parameters. Fig. 3(a) and (b) displays the analytically calculated and simulated by the FDTD method -parameter spectra of the filter depicted in Fig. 2(a) and (c) for the design parameters given in the

HENNINGS et al.: DESIGN OPTIMIZATION AND IMPLEMENTATION OF BANDPASS FILTERS WITH NORMALLY FED MICROSTRIP RESONATORS

1255

frequency. The input susceptance of the UIMR with tapped feed lines (Fig. 1) can be obtained by using (8), where is the characteristic admittance and and are the lengths of the employed transmission-line pieces. Inserting (8) into (6) and (7) results in the external factor given by (9), which can be validated by the data published in [20] as follows: (6) (7) (8) (9)

Fig. 4. (a) S -parameter spectra at feed locations illustrated in (c) and (b) S -parameter spectra at feed locations illustrated in (d).

caption of Fig. 2. As seen in Fig. 3(a), the transmission zeroes occur in both the upper and lower stopbands of . The zero frequencies correspond to the quarter-wavelength resonances in the open-circuited stubs with the lengths and , respectively. Two minima in indicate that two passband resonances (odd and even) occur in the vicinity of the center frequency. However, these minima are dispersed and the levels of return loss are not very high. Better impedance matching has been obtained for filters with the parameters selected to get narrower bandwidth. This required to decrease the difference between the lengths and that also shifted the frequencies of the transmission zeros closer toward the passband center frequency. In order to avoid strong passband splitting, the coupling between the resonators should also be decreased when narrower bandwidth is required. Symmetrically fed filters were found to demonstrate significantly better return loss. Fig. 4(a) and (b) displays the analytically calculated and simulated by the FDTD method -parameter spectra of such filters. The dimensions of the filters are the same as those in Fig. 2 with the only difference that the feed locations are flipped as illustrated in Fig. 4(c) and (d). As seen in Fig. 4, the passband is shaped by two minima in , which are much deeper than the ones provided by the skew-symmetrically fed design. However, the symmetrically fed bandpass filters exhibit the transmission zeroes only in the upper or lower stopband because the two open-circuited stubs have equal lengths. It is obvious that the symmetrically fed filters are preferable designs if high rejection is needed only in one of the stopbands. For the sake of completeness, the ways of designing the filters are described below. Generally, the quality of characteristics for narrow-band microstrip filters depends on the resonance quality ( ) factors and coupling coefficients. One way to determine the external factor is by means of the slope-parameter method based on using (6) and (7), [17], where is the input susceptance of the single uncoupled resonator, is the susceptance slope parameter, is the feed admittance, and is the angular resonant

If two resonators are coupled, then two resonant frequencies are observed, which are related to the coupling coefficient according to (10) [18]. A flat bandpass characteristic requires a coupling coefficient with defined by (11), where is the internal (unloaded) factor [17]. If , then a broader bandwidth could be obtained at the expense of some passband ripple. If (undercoupled condition), then the two resonant frequencies merge together and the insertion loss increases as follows: (10) (11) factor can approach exAs seen from (9), the external tremely high values if the difference between the lengths and becomes very small. This makes it possible to design filters with very narrow bandwidth, which demands high- factors. However, the losses and their related internal factors define the limits for designing narrow-band filters. The resonator feeding through tapped feed lines could be favorable for designing narrow-band filters since other ways of feeding through gaps may exhibit additional losses. It should be mentioned that the coupling coefficient could not be simply obtained by inserting the values of the frequencies at which has minima (see Figs. 3 and 4) into (10) since these frequencies are dependent on the feed impedance and, therefore, on the external factor. To get the frequencies corresponding to (10), we have used very high-impedance values for the input and output loads in the analytical calculations. For example, an external factor of 26.2 and a coupling coefficient of have been obtained for the design parameters given in Fig. 2 by using the above described method. The 3-dB bandwidths of the displayed filter characteristics are approximately 6.2%. It should be noted that the above described design approach is applicable only to symmetrical structures [17], while the skewsymmetrically fed filter is asymmetric. In the uncoupled state, both resonators have the same factors for the feed positions used above, and they exhibit the same performance. However, in the coupled state, the performances of both skew-symmetrically fed resonators should be different because the uncoupled open-circuited stubs exhibit different frequency dependencies, while the behavior of the coupled stubs is not comparable to

1256

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 5. (a) Equivalent circuit of K -inverter and (b) its realization with open-circuited stub. (c) and (d) Equivalent schemes of bandpass filter comprised of two quarter-wavelength resonators, two K -inverters, and one J -inverter.

the one of open-circuited stubs such as in the uncoupled state. It could explain the problems of impedance matching that was observed for the skew-symmetrically fed design. This problem is addressed below. III. BANDPASS FILTER WITH QUARTER-WAVELENGTH RESONATORS AND STUB INVERTERS The problem of impedance matching that limits the usefulness of the filters with skew-symmetric feeding could be solved by several ways. One of the ways is utilizing the concept of -inverters. The skew-symmetrical filter could be considered as a combination of two quarter-wavelength resonators, which are coupled to each other through a -inverter and coupled with the feed lines through -inverters. Instead of conventional shunts used in equivalent contours of -inverters [see Fig. 5(a)], we employ the open-circuited stubs of microstrip resonators [see Fig. 5(b)]. Similar to that described above for the -inverter, we can replace the phase shifters in -inverters by additional or extracted pieces of transmission lines. If the characteristic impedances of the phase shifters are equal to the feed impedance, then either one of them can be absorbed by the input and output feed line. Fig. 5(c) and (d) shows equivalent circuits used to represent the filter. General design equations for filters with -resonators and alternating - and -inverters are given in [17]. Equations (12)–(14) are used to describe the filter, in which and are the characteristic impedances of the -inverters, is the characteristic admittance of the -inverter, is the characteristic impedance (admittance) of the feed lines and of the -resonators [see Fig. 5(c) and (d)], and is the fractional bandwidth (FBW). The variables – are the low-pass prototype values [18], which define the general features of filter transfer functions as follows: (12) (13) (14) The element values of the two -inverters and are determined based on the following considerations. First, the

and are selected in order to lengths of the open stubs obtain the transmission zeroes at the desired frequencies. The shunt reactances of the -inverters and are then calculated by using (15), and the required phase shifts are obtained by using (16) [17]. Finally, the characteristic impedances and of the stubs are obtained by equalizing the input impedances of the stubs to the values and according to (17) and (18). It should be mentioned that the shunt-reactance of the -inverter in Fig. 5(a) is positive, if the open stub in Fig. 5(b) is inductive, i.e., if its lengths is bigger than a quarter-wavelength. Otherwise, is negative. Just opposite, the corresponding phase shift is negative if is positive, and vice versa. As in case of a -inverter, the negative and positive length differences are calculated by using (5). Hence, the approach based on the employment of -inverters provides for determination of all parameters, which define filter performance at the center frequency, even for asymmetrical designs, such as skew-symmetrically fed filters as follows: (15) (16) (17) (18) The specific of -inverters is their proper performance at only a single frequency. If this frequency is the center frequency, then the insertion loss and return loss at the center frequency should be defined by the low-pass prototype values. It means that impedance matching is possible at the center frequency. However, the described design procedure has a disadvantage that it leads to a filter bandwidth, which is smaller than the FBW used in the design equations. This is because -inverters exhibit strong frequency dependencies. It is convenient to introduce (12)–(18) in a small computer-based design procedure, which allows calculating all required dimensions and impedances, as well as filtering characteristics. First, the center frequency, the desired values of insertion loss and return loss at center frequency, as well as the value of the parameter FBW are defined. In order to find appropriate low-pass prototype values, a cutoff frequency and a rejection level at this cutoff frequency are also determined [18]. The cutoff frequency corresponds to a normalized cutoff frequency [18]. These values are required to either look up appropriate low-pass prototype values in tables or calculate them by using a synthesis procedure such as the Chebyshev synthesis procedure [18]. The chosen rejection level at the cutoff frequency and the value of the FBW could not be realized by using the above employed -inverters. These values only describe the trends. They have to match the specifications for a filter of second order [18]. Plotting the filter characteristics allows to check whether the obtained bandwidth is satisfactory or not. If the bandwidth is too small, then the chosen value of the parameter FBW used in the design equations should be increased until the calculated -parameter spectra match the desired specifications. Fig. 6(a) and (b) shows the analytically calculated and simulated -parameter spectra of the modified design for the microstrip layout depicted in Fig. 6(c). The parameters are

HENNINGS et al.: DESIGN OPTIMIZATION AND IMPLEMENTATION OF BANDPASS FILTERS WITH NORMALLY FED MICROSTRIP RESONATORS

Fig. 6. (a) Analytically calculated (solid curves) and simulated (dashed curves) S and (b) S for the slightly modified filter. (c) Microstrip layout.

selected for the same 3-dB bandwidth as for the above filter characteristics. As seen from this figure, much better return loss is obtained at the modified dimensions. The low-pass prototype values are selected in order to obtain the passband at the center frequency with the level of 0.05 dB below the zero level. This provides for the return-loss minimum at the level of 19.413 dB at the center frequency of 5 GHz. The frequencies of the transmission zeroes are chosen at 4.33 and 5.89 GHz, which are close to the zero frequencies in Fig. 3(a). The characteristic impedances of the stubs are and . The other lines, as well as the feed lines, have characteristic impedances of 53.75 . The open-circuited stubs here are slightly extended by 0.15 mm in order to enhance the agreement between the calculated and simulated data. However, even without this little correction, the calculated results are well matched. Another design example providing for two transmission zeroes in the upper stopband is given in Fig. 7. The corresponding characteristics are shown in Fig. 7(a) and (b), and the layout is shown in Fig. 7(c). The analytically calculated frequencies of the transmission zeroes are 5.6 and 7 GHz. The center frequency is 5 GHz. The stub impedances are 84.28 and 29.736 , respectively. As seen from this figure, the spectrum is slightly asymmetrically shaped in the vicinity of the center frequency. In principle, the frequencies of the transmission zeroes can be arbitrarily chosen. It is intriguing that the harmonic passband around 10 GHz becomes suppressed if the transmission zeroes are located closer to the fundamental passband. In this case, it resembles the performance of a filter with -resonators rather than one with -resonators. If the transmission zeroes are located closer to the band edges, then higher values of characteristic impedances are required for the open-circuited stubs. Likewise, a broad bandwidth and a flat passband characteristic require high-impedance stubs. If the frequencies of the transmission zeroes greatly differ from the center frequency, then stubs with very low characteristic impedances are required. This defines the design limits, though it

1257

Fig. 7. (a) Analytically calculated (solid curves) and simulated (dashed curves) S and (b) S for modified filter providing for two transmission zeroes in the upper stopband. (c) Microstrip layout.

could be possible to replace the stubs with other shunts of similar performance. Due to the sensitivity of the design parameters to slight variations, it seemed to be rather complicated to integrate capacitive loads in order to miniaturize this design. Therefore, a different design possibility was investigated, which is the matter discussed in Section IV. IV. BANDPASS FILTER WITH DOUBLE-COUPLED RESONATORS The problem of impedance matching for the skew-symmetrically fed design could be solved by providing additional coupling through a second signal path. The microstrip layout of such a double-coupled structure is displayed in Fig. 8(a), and its equivalent scheme is shown in Fig. 8(b), where two possible feed locations for the output port are indicated. Fig. 8(c) and (d) compares the characteristics of the filter for different feed positions. While no transmission zeroes are observed for the design with feed lines in vìs-a-vìs positions, two deep transmission zeroes appear at skew-symmetric position of the feed lines. This is intriguing since no open-circuited quarter-wavelength stubs are employed. At skew-symmetric feed positions, the spectrum and the zero frequencies for the double-coupled structure [see Fig. 8(c)] are almost identical to ones for the single-coupled structure [see Fig. 3(a)]. The only significant difference is that the return loss is essentially improved. Hence, the impedance-matching problem at skewsymmetric feeding is solved. Fig. 9(a) and (b) compares the analytically calculated and simulated by using the FDTD method -parameter spectra of the filter, which are in good agreement. Since the double-coupled structure is symmetric, the common design approaches are applicable in this case. The factors and coupling coefficient are obtained by using the methods described in Section II. The analytically calculated -parameter spectra correspond to the same feed position as the one depicted in Fig. 2

1258

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 10. (a) Filter with double-coupled SIMRs and standing-wave pattern of the normal electric-field component in the vicinity of (b) the frequency of the lower transmission zero and (c) the frequency of the upper transmission zero.

Fig. 8. (a) Microstrip layout of the filter with double-coupled resonators and (b) its equivalent scheme. (c) Analytically calculated S at skew-symmetric feeding (solid curve) and symmetric feeding (dashed curve). (d) Analytically calculated S at skew-symmetric feeding (solid curve) and symmetric feeding (dashed curve).

to one with UIMRs, however, the introduction of stepped impedance allows to decrease the resonance frequency and, hence, the filter dimensions. Fig. 10(b) and (c) visualizes the standing-wave pattern of the normal electric-field component in the substrate near the frequencies of the transmission zeroes. As seen from this figure, two quarter-wavelength resonances take place in either one of the shoulders of the input resonator, while the amplitudes drop down to almost zero in the other shoulder. Similar field distributions are observed for filters with single-coupled resonators [15]. In contrast to the single-coupled filter, the double-coupled filter is easier to design, though the frequencies of the transmission zeroes may not be chosen independently from each other. Implicitly, the required phase shifters of the -inverters are realized without the need to carefully adjust the proportions of the lengths. Only the desired resonance frequency and coupling strength need to be explicitly adjusted by tuning the dimensions. Therefore, the double-coupled structure is most appropriate for realizing capacitive loading. This design was chosen for fabrication of a miniaturized LTCC filter, which is described in Section V. V. MINIATURIZED LTCC FILTER

Fig. 9. Comparison of analytically calculated and simulated characteristics of the filter from Fig. 8. (a) S -parameter spectra in the vicinity of the center frequency and (b) broad-band S -response.

for the single-coupled structure, therefore, the factors for two structures are equal. However, the parameter has been decreased by the factor of 2 and the coupling coefficient of has been found by using the methods described above. Comparison of the calculated spectra reveals 3-dB bandwidths of 6.3% at skew-symmetric feeding and 6.5% at symmetric feeding, which are comparable to the bandwidths of the single-coupled structures (Figs. 2 and 3). The simulated response (Fig. 9) suggests slightly weaker coupling, resulting in a little narrower bandwidth. Fig. 10(a) presents the filter with two SIMRs of a special shape. Due to the shape, these resonators were named “horseshoe resonators” [15], [16]. The depicted filter works similarly

The filter design with double-coupled resonators [see Fig. 10(a)] is used for miniaturization through capacitive loading by using high-permittivity dielectric material. The initial design without loading has a uniform dielectric substrate with the permittivity of 9 and the thickness of 0.66 mm. These parameters correspond to the ones of available commercial LTCC tapes. The dimensions of metal patches and microstrip lines [see Fig. 10(a)] have been calculated to provide the passband at 2.5 GHz. It yields the patch size of 2.08 3.64 mm and median radius of microstrip arcs of 2.6 mm. The coupling gap between the patches of 0.65 mm allows for avoiding the splitting of the passband. In order to obtain transmission zeros and provide impedance matching, the feed lines are oppositely shifted with respect to axial position by 0.87 mm. As a result, the dimensions of the filter shown in Fig. 10(a) are 7.68 12.61 mm. The and characteristics of the filter, simulated by using the FDTD method, show the passband of 0.12 GHz in width, the insertion loss less than 1.5 dB, and the return loss as

HENNINGS et al.: DESIGN OPTIMIZATION AND IMPLEMENTATION OF BANDPASS FILTERS WITH NORMALLY FED MICROSTRIP RESONATORS

Fig. 11.

1259

Layout of the filter loaded by high-permittivity plugs.

Fig. 12. (a) Capacitor bismuth–zinc–tantalate ( into slots with filled vias.

K

plugs =

are

punched

from

a

stack

of

74). (b) Punched plugs are pressed

Fig. 13. Cross-sectional view of the filter prototype showing high-permittivity dielectric plugs inserted into a commercial LTCC matrix. Vias connect the metallized plugs to the ground plane on the bottom of the structure.

low as 15.0 dB. Transmission zeros with the depth of 50 dB are located at 2.25 and 2.8 GHz, i.e., at 0.55 GHz apart. Far from the passband, the level of rejection in the upper and lower stopbands for this filter is 30 dB. To miniaturize the filter, a design having cylinders of a high-permittivity dielectric introduced beneath the resonator patches has been developed based on the approach described in [13]. Fig. 11 presents the layout of the filter design with cylindrical plugs located in the LTCC substrate underneath rectangular patches. The dimensions of patches in this design are 1.5 1.6 mm and the coupling gap between the patches is 0.2 mm. The median radius of the microstrip arcs is 1.45 mm and the feed lines are shifted with respect to the horizontal axis of filter symmetry by 0.4 mm. The thickness of plugs is equal to the thickness of one LTCC layer, while the substrate consists of three layers with a total thickness of 0.65 mm. The bottoms of the plugged cylinders are covered by circular electrodes connected to the ground plane by vias. The diameter of plugs

S

Fig. 14. Comparison of the measured -parameter spectra of the filter prototype with the simulated ones for a filter with dielectric permittivity of and experimental (solid curves) embedded plugs of: (a) 74 and (b) 61; and and simulated (dashed curves).

S

S

S

S

is 1.2 mm and the permittivity is taken equal to 74. After this design alteration, the size of the filter is 4.0 6.4 mm. The filter has been prototyped using commercial LTCC materials and in-house formulated high-permittivity dielectric tape. Two 0.25-mm layers of a commercially available LTCC material form the substrate of the structure. A third 0.25-mm layer, containing four holes, is laminated to the base using PEOX “glue” and reduced pressures [21]. This lamination technique has been employed so that hole integrity could be maintained. Within each hole, the via forms a connection through the substrate layers to the ground plane located on the bottom of

1260

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

the device. At the bottom of each hole, a round electrode (fired diameter of 1.2 mm) is printed using silver conductor paste. In selecting the high-permittivity materials for this device, we have chosen bismuth–zinc–tantalate, which has the dielectric constant of 74. This material, which has similar densification characteristics with commercially available LTCC systems, has been cast into tape form and layers have been laminated to a green sheet thickness of 0.25 mm. Plugs having fired diameter of 1.3 mm have been punched from this tape and inserted into the metallized holes (see Fig. 12). The structure has been isostatically laminated at 70 C and 3000 lbf/in for 10 min. The metal microstrip pattern has been printed on the top of the device using silver conductor paste. Filters have been singulated and fired to a peak temperature of 850 C, with a peak dwell time of 30 min. Fig. 13 presents the cross-sectional view of the prototype. Fig. 14(a) presents the simulated and measured -parameter spectra for the developed design. We found that the prototype response was shifted to higher frequency with respect to the modeled response. To find out the reason for this shifting, simple microstrip ring resonators have been printed on squares of a high-permittivity dielectric substrate and their transmission characteristics have been measured. The determination of the resonance frequency of the ring resonators has shown that the dielectric constant of the plugs was 61, not 74 as assumed, which means that the process of tape preparation has affected the dielectric constant of high-permittivity material. When the new data for the dielectric constant are reintroduced in the FDTD model, the measured characteristics of the prototype versus the simulated ones appear to be well matched, as shown in Fig. 14(b). As seen in this figure, the simulated insertion loss is now comparable to the measured insertion loss. It suggests that the measured insertion loss is mainly related to insufficient inter-resonator coupling for the plugs with permittivity of 64, thus, the filter should be redesigned for this value. VI. CONCLUSION The goal of this study has been to develop a well-performing miniaturized narrow-band LTCC filter. Therefore, different design possibilities of microstrip filters with tapped feed lines have been investigated. These filters exhibit some nice features. In particular, they provide for steep passband slopes and transmission zeroes at finite frequencies. The frequencies of the transmission zeroes are easy to control, as the zeroes appear at quarter-wavelength resonance frequencies. It was shown that the quasi-TEM approximation and TLT are appropriate for modeling the filter performances, though the microstrip discontinuities should be carefully compensated. The performed analysis revealed that the skew-symmetric feeding of the filter with single-coupled resonators did not provide for optimal impedance match. Modification of the filter dimensions allowed for improving its characteristics; however, the design was found to be too sensitive to slight parameter variations, in order to be used for developing a miniaturized LTCC-filter at high fabrication tolerances. Another solution with double-coupled resonators has been proposed, which was

easier for optimization and more compact in size. Through capacitive loading, the dimensions of the filter were decreased by a factor of 4. The measured and simulated characteristics of the LTCC filter were in good agreement. REFERENCES [1] R. Levy, “Filters with single transmission zeros at real and imaginary frequencies,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 4, pp. 172–181, Apr. 1976. [2] J.-S. Hong and M. J. Lancaster, “Couplings of microstrip square openloop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2099–2109, Dec. 1996. [3] Y. Nevzat, O. Sen, Y. Sen, M. Karaaslan, and D. Pelz, “A revision of cascade synthesis theory covering cross-coupled filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1536–1543, Jun. 2002. [4] S. Amari, “Direct synthesis of folded symmetric resonator filters with source–load coupling,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 264–266, Jun. 2001. [5] J. D. Rhodes and R. J. Cameron, “General extracted pole synthesis techmode filters,” IEEE Trans. nique with applications to low-loss TE Microw. Theory Tech., vol. MTT-28, no. 9, pp. 1018–1028, Sep. 1980. [6] C. Quendo, E. Rius, and C. Person, “Narrow bandpass filters using dualbehavior resonators based on stepped-impedance stubs and differentlength stubs,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1034–1044, Mar. 2004. [7] J.-S. Kuo and E. Shih, “Microstrip stepped impedance bandpass filter with optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [8] E. Shih and J.-T. Kuo, “A new compact microstrip stacked-SIR bandpass filter with transmission zeros,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003. Paper WE1D-2, pp. 1077–1080. [9] S. Amari, G. Tadeson, J. Cihlar, and U. Rosenberg, “New parallel =2-microstrip line filters with transmission zeroes at finite frequencies,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2003. Paper IFTU-27, pp. 543–546. [10] B. Belyaev, N. Laletin, and A. Leksikov, “Coupling coefficients of irregular microstrip resonators and selective properties of filters on their basis,” in IEEE Microw. Electron.: Meas., Identification, Applicat. Conf. Dig., Sep. 2001, pp. 86–91. [11] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [12] E. Semouchkina, G. Semouchkin, M. Lanagan, and R. Mittra, “Field simulation based strategy for designing microstrip filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 1897–1900. [13] E. Semouchkina, A. Baker, G. Semouchkin, M. Lanagan, and R. Mittra, “New approaches for designing microstrip filters utilizing mixed dielectrics,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 664–652, Feb. 2005. [14] C.-F. Chang and S.-J. Chung, “Bandpass filter of serial configuration with two finite transmission zeros using the LTCC technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2382–2388, Jul. 2005. [15] A. Hennings, E. Semouchkina, G. Semouchkin, and M. Lanagan, “Novel compact bandpass filters with horse-shoe microstrip resonators,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 637–640. [16] E. Semouchkina, A. Hennings, A. Baker, G. Semouchkin, and M. Lanagan, “Miniature filter with double-coupled horse-shoe microstrip resonators capacitively loaded by using high-permittivity material,” presented at the 35th Eur. Microw. Conf., Paris, France, Oct. 2005. [17] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. New York: McGraw-Hill, 1964, pp. 430–437, 465, 665–666. [18] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001, pp. 29–54, 77–92, 235–272. [19] B. Easter, “The equivalent circuits of some microstrip discontinuities,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 8, pp. 655–660, Aug. 1975. [20] J. S. Wong, “Microstrip tapped-line filter design,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 1, pp. 44–50, Jan. 1979. [21] D. L. Wilcox, Sr. and M. Oliver, “LTCC: An interconnect technology morphing into a strategic microsystem integration technology,” in Proc. IMAPS Adv. Technol. Workshop, Providence, RI, May 2–3, 2002, pp. 1–4.

HENNINGS et al.: DESIGN OPTIMIZATION AND IMPLEMENTATION OF BANDPASS FILTERS WITH NORMALLY FED MICROSTRIP RESONATORS

Alexander Hennings received the Dipl.-Ing. degree in electrical engineering from the Technical University University Rheinisch-Westfaelische Technische Hochschule (RWTH) Aachen, Aachen, Germany, in August 2005, and is currently working toward the Dr.-Ing. degree at the Institut fuer Werkstoffe der Elektrotechnik (IWE II) und Informationstechnologie (Institute of Materials in Electrical Engineering and Information Technology), Technical University RWTH Aachen. His major field of study in Germany was telecommunications. In 2002, he joined the Materials Research Institute, The Pennsylvania State University, as a Visiting Scholar, where he was involved with resonant microwave structures. His research activities include tunable microwave devices with microelectromechanical systems (MEMS) switches and ferroelectic thin films, as well as the characterization of dielectric materials at microwave frequencies. Mr. Hennings was the recipient of the Robert-Bosch Award for the best diploma thesis in the area of microelectronics/microsystems technology/semiconductor technology.

Elena Semouchkina (M’04) received the M.S. degree in electrical engineering and Candidate of Science degree in physics and mathematics from Tomsk State University, Tomsk, Russia, in 1978 and 1986, respectively, and the Ph.D. degree in materials from The Pennsylvania State University, University Park, in 2001. She was a Scientist with Russian academic centers such as the Siberian Physics–Technical Institute, St. Petersburg State Technical University, and Ioffe Physics–Technical Institute, where she was involved with the investigation of metal–oxide–semiconductor devices and the development of infrared photodetectors. Since 1997, she has been with the Materials Research Institute, The Pennsylvania State University, initially as a Graduate Research Assistant, then as a Post-Doctoral Scholar and, since 2004, as a Research Associate. She has authored or coauthored approximately 50 publications in scientific journals. Her current research interests are focused on computational analysis of electromagnetic (EM) processes in microwave materials, metamaterials, and devices. Dr. Semouchkina was a recipient of the Xerox 2001 Research Award at The Pennsylvania State University for the best Ph.D. thesis and the National Science Foundation 2004 Advance Fellows Award.

1261

Amanda Baker attended Tyler School of Art, Temple University, Philadelphia, PA, and The Pennsylvania State University, University Park. Prior to joining the Materials Research Institute, The Pennsylvania State University, in 1998, she was with Johnson Matthey, TRW, and Optimax. While with The Pennsylvania State University, she has conducted dielectric materials development, processing, and co-firing. She currently manages the Thick Film Laboratory within the Keck Smart Materials Integration Laboratory. Her primary functions include design, materials selection, and construction of prototype devices using LTCC materials.

George Semouchkin received the M.S. degree in electrical engineering, Ph.D. degree in materials, and Doctor of Science degree in physics and mathematics from the Leningrad Polytechnic Institute (now St. Petersburg State Technical University), St. Petersburg, Russia, in 1962, 1970, and 1990, respectively. Prior to joining The Pennsylvania State University, University Park, in 1999, he was with the St. Petersburg State Technical University, as a Professor, a Leading Scientist, a Head of the Laboratory, and earlier as a Senior Scientist, where he studied ionic crystals, ceramic materials, inorganic dielectrics, and developed microelectronic devices. He is currently a Visiting Professor of materials with the Materials Research Institute, The Pennsylvania State University. He has authored over 130 technical publications. His current research interests include designing LTCC-based microwave devices and all-dielectric metamaterials.

1262

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Analysis and Design of an Ultra-Wideband Low-Noise Amplifier Using Resistive Feedback in SiGe HBT Technology Jongsoo Lee, Member, IEEE, and John D. Cressler, Fellow, IEEE

Abstract—We present the analysis and design of an inductorless wide-band SiGe heterojunction bipolar transistor low-noise amplifier (LNA) using a resistive feedback scheme for application in ultra-wideband systems. Multiple feedback loops enable sufficient gain and low noise figure, and is competitive with conventional narrow-band cascode LNAs using an emitter degeneration inductor. Measurement results show 20-dB gain with 1-dB variation over 3–10 GHz, and a matched input and output with less than 10-dB reflection. The minimum noise figure is 3.05 dB at 3 GHz and increases to 4.5 dB at 10 GHz. For the analysis and design of such wide-band amplifiers, analytical expressions describing the basic performance tradeoffs are derived and verified with simulation and measurements. General design procedures are also given in this paper in order to better understand the roles of the various critical components in the amplifier. Index Terms—Low-noise amplifier (LNA), SiGe heterojunction bipolar transistor (HBT), ultra-wideband (UWB).

I. INTRODUCTION

W

IDE-BAND amplifiers are important functional blocks in wireless communication systems such as ultra-wideband (UWB), as well as in microwave/lightwave communication and instrumentation [1]. Since the mid-1980s, several papers have shown wide-band gain performance and low noise figure. III–V compound semiconductor technologies such as AlGaAs–GaAs heterojunction bipolar transistors (HBTs), InAlAs–InGaAs HBTs, and InGaP–GaAs HBTs were leading technologies for wide-band low-noise amplifier (LNA) design due to their inherent low noise characteristics at high frequencies [2]–[5]. There is currently tremendous effort being applied to also obtain adequate wide-band and low-noise performance using standard Si-based technologies, either SiGe HBT or CMOS [6]–[10]. Si-based solutions clearly would offer compelling cost and integration advantages over III–V implementations. There are two major design approaches for wide-band LNAs: wide-band matching at the input using a bandpass filter concept [9], [10] or multiple resistive feedback techniques [12]. Resistive feedback is generally considered inferior since it suffers from low gain and poor noise figure because the resistor in Manuscript received October 7, 2005. This work was supported by the Georgia Electronic Design Center, Georgia Institute of Technology and by IBM. J. Lee is with RF Micro Devices, Chandler, AZ 85226 USA (e-mail: [email protected]). J. D. Cressler is with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864097

Fig. 1. Schematic of UWB LNA using a resistive feedback scheme. Input current (I ) and output current (I ) are denoted in this figure, and used for the current gain calculation. Q2 transistor can be replaced with Darlington pair to improve the frequency response.

the shunt feedback path directly adds noise current to the input, increasing the overall noise figure of the amplifier. Proper selection of a shunt feedback resistor, however, can minimize the noise contribution from the feedback resistor without affecting the overall gain and matching at the input and output. One of the key advantages of resistive feedback LNAs lie in the tremendous area savings it offers since there are no on-chip spiral inductors needed in this case. Inductors in narrow-band LNAs are typically used in the input matching network, as a shunt peaking load in conventional cascode LNAs, or even in output matching. Omitting the area consuming components in wide-band amplifier design makes the size of wide-band amplifiers typically less than 0.6 mm [11], which is clearly an attractive benefit. A recent publication [12] discussed wide-band LNAs based on the InGaP–GaAs technology, but the approach has limitations caused by an invalid analytical approximation, as discussed below. In this paper, detailed analysis and new and more general design procedures for general wide-band LNAs are given. The wide-band LNA is implemented in fully Si-compatible SiGe HBT technology. Section II presents an analysis of resistive feedback amplifiers, obtaining expressions for gain, noise figure, as well as input and output matching, followed by the design procedure and optimization in Section III. Fabrication and measurement results are shown in Section IV, followed by a summary in Section V. II. ANALYSIS OF A WIDE-BAND RESISTIVE FEEDBACK AMPLIFIER Fig. 1 shows the general schematic of a wide-band LNA, and is known as the Kukielka amplifier. This LNA consists of two stages of common emitter amplifiers with an emitter degeneration configuration using and . There are also two dif-

0018-9480/$20.00 © 2006 IEEE

LEE AND CRESSLER: ANALYSIS AND DESIGN OF UWB LNA USING RESISTIVE FEEDBACK IN SiGe HBT TECHNOLOGY

Fig. 2. Equivalent open-loop circuit for finding current gain from Fig. 1 by breaking the feedback paths at R . A Norton representation of source voltage is used to derive the current gain.

ferent feedback paths: local shunt feedback and global shunt feedback . Sometimes the second stage is replaced with a Darlington pair to extend the bandwidth by doubling the cutoff frequency of . Global shunt feedback from the emitter of to the base of reduces the input impedance to 50 , but also contributes undesired noise current to the input. Therefore, should be carefully determined by the required input matching conditions and noise performance. Local shunt feedback , as well as determines the output resistance and overall gain of the amplifier. A. Frequency Response and Poles It can be clearly seen that this open loop amplifier has two poles ( and ) and the closed loop poles can be found from the well-known relation

1263

are used, while base resistors are neglected for simplicity. The above expressions for poles are the same as previously shown [12], except for the assumption of . To verify the validity of this assumption, simple calculations can be performed based on the actual parameters in [12]; with S, , , and , the value of is , which is not much larger than 1. Therefore, in this paper, is included in every expression instead of being dropped as in [12]. B. Voltage Gain and Current Gain Overall voltage gain should be determined carefully because this wide-band amplifier is a shunt–shunt feedback scheme. From basic feedback theory in [13], shunt–shunt feedback amplifiers can be treated as current gain amplifiers because the feedback network senses the current at the output and feeds the output current into the input as a current. Therefore, overall current gain should be determined first, and then converted into voltage gain from the original configuration. To break the feedback path, is reflected in series with the emitter resistance of into the input, whereas it is in parallel with at the emitter of , which results in since is much larger than . Thus, Fig. 2 represents the equivalent open-loop circuit for calculating the open-loop current gain. , and are defined as in Fig. 2 and current gain is given by (4)

(1) is the open loop gain at low-band or midband frewhere quency and is the feedback factor. As the loop gain increases from zero, the poles are brought together and, finally, the two poles overlap. Further increase in the loop gain makes those coincident poles separate into complex conjugates. These two poles are closely related to the transistor parameters such as cutoff frequency. To find out the poles of the open loop amplifier, Fig. 1 can be redrawn, as shown in Fig. 2, for calculating current gain and input resistance. Note the representation of input current and output current in Fig. 1. Basic circuit analysis gives the pole frequencies of and according to

(5) and the current feedback factor

can be written as (6)

Therefore, the loop gain of this feedback amplifier is (7) and the overall current gain including the feedback network (8)

(2a) (2b)

Hence, voltage gain with feedback can be derived from the above current gain because the source voltage and output voltage are related to the input and output currents [i.e., the current gain ] and, thus, (9)

(3a) (3b) where and of and (

represent the effective transconductances and ) and and are the cutoff frequencies of and , respectively, with . In these expressions, assumptions that and

At matched conditions of the input and output into 50 , the forward gain of ( -parameter ) is simply two times the overall voltage gain so that . C. Input and Output Resistance The amplifier input resistance can be easily found from Fig. 2 and the above expressions. Since the input resistance without

1264

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

arranged as Therefore, with this assumption,

. can be expressed as (14)

and (15) Fig. 3. Equivalent model to derive the output resistance of feedback amplifier. Due to the multiple and shunt feedback at the output node, direct derivation of the output resistance by applying for V and I is used rather than basic feedback theory.

feedback (open loop circuit) is given by resistance with feedback can be written as

, the input

D. Noise Performance Noise performance is critical in this resistive feedback amdirectly adds noise plifier because the global shunt resistor to the equivalent input-referred noise current of . Therefore, careful analysis is required to minimize the noise contribution of the shunt resistor to the final noise figure of the wide-band amplifier. The first-order approximation is that the noise of the second stage ( ) does not play any role in the overall noise figure because the gain of the first stage ( ) is high enough ( 10 dB) to ignore the noise contribution of following stage [2]. If we label the equivalent input referred noise voltage and as and , then the noise figure of noise current of can be written as

(10) (16) If we make the assumption that , then

and (17) (11)

This is the same expression as that shown in [12], provided , but (11) is more accurate and lends greater intuition for design, as will be shown below. The input resistance in (11) consists of the bias currents of and (i.e., the transconductance of and ), as well as the feedback resistors and . Thus, all parameters are related to the gain and matching of the wide-band amplifier. For the amplifier output resistance, it is difficult to apply general feedback theory because there are several feedback paths associated with the output node [12]. Therefore, direct derivation from the equivalent-circuit model, as shown in Fig. 3, is available to determine the correct output resistance. If we define as the equivalent resistance seen from the collector of to the input (Fig. 3), then is simply given by (12) with the assumption of at the collector of combination of

. Thus, the resistance should be and final output resistance is a parallel with as follows:

(18) is the base resistance of . where From noise theory of the shunt feedback configuration, the shunt feedback resistor adds only a noise current at the input (input referred noise current ) without affecting the input referred noise voltage [13]. Therefore, the total noise figure with shunt feedback is given by

(19)

If we neglect the current noise contribution of the last term in this expression, a simplified overall noise figure for the wideband amplifier with shunt feedback is (20)

(13) Consider this equation more closely to further simplify and to make it easier to use for design in output matching. From (12), we have , which is

This approximate representation of the noise figure shows that the overall noise figure is less dependent on the shunt feedback resistor if is larger than or , and shot noise of the base and collector is not as significant. With this assumption,

LEE AND CRESSLER: ANALYSIS AND DESIGN OF UWB LNA USING RESISTIVE FEEDBACK IN SiGe HBT TECHNOLOGY

the total noise figure is solely determined by the noise performance of first stage; i.e., the base resistance and bias current of .

1265

TABLE I PARAMETERS IN THE LNA1 DESIGN FOR ANALYSIS AT GIVEN BIAS CONDITION

III. DESIGN PROCEDURE Equipped with these analytical expressions for the poles, gain, noise, and input and output resistance, in terms of the bias conditions and feedback resistors, we can derive some additional design equations, which can be used for relating circuit specifications to available parameters. The starting point in the design procedure can be the poles’ position in the frequency response, from (2b) and (3b). Each pole can be assigned to have the same frequency or the second pole can be moved to a higher frequency for ensure adequate phase margin. Here, the design equations can be derived under the goal that each pole has the same frequency for a maximally flat response, as in [12], and bandwidth is approximately . Thus, from (2b) and (3b), we have (21) (22) The collector current density of an SiGe HBT is closely related to the cutoff frequency and minimum noise figure of the transistor. In addition, the overall noise figure of the feedback amplifier is predominantly determined by the noise figure of the first transistor, as shown in (20). This fact illuminates the design issue that the current level of should be the one at which the minimum noise figure of is achieved. This current level determines the cutoff frequency so the effective transconductance can now be determined. can be roughly selected from the requirement of voltage from collector to emitter of and the bias current of , which leads to the value of from (15). Also, we can write a relation between and the other parameters from (12) and (14) such that

Every resistance in (26) is easily determined, except , thus, estimation of input resistance must be first addressed to use this result. In general, it is impossible to match the input to 50 over the entire bandwidth; we can rather set the minimum input resistance to have low reflection coefficient ( ), say, 10 dB of . This requires the input resistance to be larger than 26 and, thus, we can now determine the effective transconductance of . After finding using this rough estimation of , we can see that the local shunt feedback resistor from (24) and (21) is (27)

(28) Proper choice of from the bias current at enables us to determine in conjunction with effective transconductance from (26). IV. IMPLEMENTATION AND MEASUREMENTS

(23) This can be manipulated to obtain

(24) with the assumption of . Combined with (11), the input resistance can thus be written as (25) and, therefore, effective transconductance of the second stage is given by (26)

A UWB LNA was fabricated using a Jazz Semiconductor SBC18 process, which has 0.2- m emitter width with a 150-GHz/170-GHz peak and 0.8 dB of at 0.74-mA m collector current density [14]. In the actual design, the second stage was replaced with a Darlington pair to extend the bandwidth by doubling the cutoff frequency of [12]. In addition, peaking capacitors at both emitters were adapted to compensate for the gain rolloff at higher frequency. Two different UWB LNAs are designed: LNA1 has a lower current to reduce the dc power consumption (9 mA at 2.5-V supply with 0.2 10.16 m ) and LNA2 is optimized to improve the linearity and noise performance by using a more bias current (17 mA at 2.5 V) with the same geometry. Table I lists the component values and bias points of each transistor, as well as the cutoff frequency at a given bias current in the first LNA design (LNA1). Small resistors are implemented using a thin-film resistor process with a larger width of a trace line to minimize the process variation. From the practical values and expressions in Section II, is approximately 1.77, which is not much larger than 1. To reduce the base resistance, which is a critical

1266

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

TABLE II COMPARISON OF CALCULATED GAIN, INPUT AND OUTPUT MATCHING, AND NOISE-FIGURE-BASED AND SIMULATION RESULTS. DERIVED ANALYTIC EXPRESSIONS ARE IN GOOD AGREEMENT WITH THE SIMULATIONS

Fig. 4. Microphotograph of the fabricated resistive feedback amplifier (LNA2) in Jazz Semiconductor SBC18HX technology. The black box at the bottom represents the equivalent area of a cascode LNA using inductive degeneration at the emitter [10] by scaling the real size of each chip. The area of current resistive feedback is reduced by a factor of 3.

parameter for the overall noise figure, each transistor has two base fingers in the layout. Table II compares the calculated input and output resistance, voltage gain, forward -parameter ( ), and noise figure based on (9), (11) and (12)–(14) with (exact) simulation results. As can be seen in Table I, the derived equations in Section II predict the performance at midband with reasonable accuracy for gain, matching, and noise figure. In Table I, the assumption of the base resistance is taken as 40 (from the design manual). Fig. 4 presents a photograph of a fabricated UWB LNA (LNA2) where the outside box in the bottom portion of the figure represents the relative size of a conventional cascode LNA using a bandpass filter at the input [10]. Total area, including all bonding pads and dummy patterns, is 0.77 mm 0.68 mm (0.53 mm , 0.4 0.45 mm for the core only), which is smaller than the LNA in [10] by a factor of 3, primarily because there is no on-chip spiral inductor in the current design. This is the main advantage of resistive feedback and is clearly useful for manufacturing and cost reasons. On-wafer measurements were performed to characterize the -parameters of this amplifier and Figs. 5 and 6 compare the measured results with simulations of gain and reflection at the input and output for the two different LNA designs. In Fig. 5, the gain and reflection of LNA1 are shown and the gain in the low band (16.4 dB at 3 GHz) is lower than

Fig. 5. Measurements and simulation results of first LNA design (LNA1). (a) Gain of amplifier. (b) Matching at input and output. Total current consumption in this circuit is only 9 mA from 2.5-V supply.

simulation by 1.3 dB, whereas both measured input and output reflections are well matched to 50 and compare well with simulation results. Fig. 6 shows the modified LNA (LNA2), which was designed to have improved the linearity with the same geometry of LNA1 while consuming more dc current. Overall gain ( ) is 19.8 at 3 GHz and 20.8 dB at 5.6 GHz with the 3-dB bandwidth much larger than 10 GHz to obtain a flat response over the 3–10-GHz UWB band. Variation in the peaking capacitors makes the total gain response slightly off from the simulations, but the gain flatness is within 1 dB across the full band. Return loss at the input and output ports show less than 8 dB across the entire bandwidth ( 8.1 dB of at 10 GHz). With the modified resistance, the calculated input and output return loss showed 8.7 and 12 dB, respectively. The noise figure was also measured after loss compensation of all components in the measurement setup. Fig. 6(d) shows a comparison of the measured noise figure and simulations of LNA2. The minimum noise figure occurs at 3 GHz at 3.05 dB and increases up to 4.5 dB at 10 GHz, 1 dB off from the simulation results after parasitic extraction,

LEE AND CRESSLER: ANALYSIS AND DESIGN OF UWB LNA USING RESISTIVE FEEDBACK IN SiGe HBT TECHNOLOGY

1267

Fig. 6. Comparison of measurements and simulations of optimized LNA design (LNA2). (a) Gain response. (b) Input reflection. (c) Output reflection. (d) Noise figure. Overall noise figure ranges from 3.05 dB at 3 GHz to 4.5 dB at 10 GHz, which is competitive with a conventional cascode LNA.

on the Si substrate up to 10 GHz. The measured noise figure of LNA1 is 3.4–4.7 dB for the frequency band. Compared to previously published work [9], [10], this noise performance is very competitive with the cascode LNA and, thus, the common belief that the LNAs using resistive feedback are inferior to cascode LNAs in terms of noise performance and gain response is clearly unfounded. Two-tone measurements at 3.5 and 3.52 GHz (see Fig. 7) show the output power at the fundamental frequency and the third-order intermodulation distortion (IMD) with the input intercept point (IIP3) approximately 11.7 dBm with 17-mA total dc current from the 2.5-V supply in LNA2. V. CONCLUSION

Fig. 7. Measured power characteristics according to input power. IIP3 is approximately 11.7 dBm from this measurement.

0

which might be caused by the calibration uncertainty due to noise source and modeling discrepancy of noise performance

Detailed analysis and intuitive design equations have been presented for UWB LNAs using resistive feedback topology in SiGe HBT technology. Midband gain, matching at the input and output, overall noise figure, and simplified design expressions have been given based on the basic parameters of the biased transistors and feedback resistors. Analytical expressions have been verified through the design and measurement of actual UWB LNAs. Performance of the measured LNA is competitive

1268

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

with the conventional cascode LNA design, which has on-chip spiral inductors. Due to the lack of an on-chip spiral inductor, the total area for this UWB LNA is less than 0.6 mm . A midband gain of 20 dB and a minimum noise figure of 3.05 dB over the 3–10-GHz bandwidth shows acceptable performance for commercial wide-band wireless systems. This design method provides an alternative path for wide-band LNAs minimizing real estate. ACKNOWLEDGMENT The authors are grateful to the SiGe team, Georgia Institute of Technology, Atlanta, R. Van Art, A. Karroy, J. McNaughton, J. Cordovez, J. Young and the SiGe team, all with Jazz Semiconductor, Newport Beach, CA, and the Georgia Electronic Design Center, Georgia Insitute of Technology, for their support. REFERENCES [1] R. G. Meyer and R. A. Blauschild, “A 4-terminal wide-band monolithic amplifier,” IEEE J. Solid-State Circuits, vol. SC-16, no. 12, pp. 634–638, Dec. 1981. [2] K. W. Kobayashi and A. K. Oki, “A DC–10 GHz high gain-low noise GaAs HBT direct-coupled amplifier,” IEEE Microw. Guided Wave Lett., vol. 5, no. 9, pp. 308–310, Sep. 1995. [3] K. W. Kobayashi, L. T. Tran, J. Cowls, T. R. Block, A. K. Oki, and D. C. Streit, “Low dc power high gain-bandwidth product InAlAs/InGaAs–InP HBT direct coupled amplifier,” in Proc. IEEE GaAs IC Symp., Nov. 1996, pp. 141–144. [4] K. W. Kobayashi, D. K. Umemoto, T. R. Block, A. K. Oki, and D. C. Streit, “A novel monolithic LNA integrating a common source HEMT with an HBT Darlington amplifier,” IEEE Microw. Guided Wave Lett., vol. 5, no. 12, pp. 442–444, Dec. 1995. [5] M. A. Luqueze, D. Consonni, and C. Y. Yamada, “MMIC wide-band low noise amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, pp. 264–266. [6] I. Kipnis, J. K. Kukielka, J. Wholey, and C. P. Snapp, “Silicon bipolar fixed and variable gain amplifier MMIC’s for microwave and lightwave applications up to 6 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., 1989, pp. 109–112. [7] C. P. Adseno, H. Magnusson, and H. Olsson, “A 1.8 V wide-band CMOS LNA for multiband multistandard front-end receiver,” in ESSCIRC Symp. Dig., 2003, pp. 141–144. [8] S. Vishwakarma, S. Jung, and Y. Joo, “Ultra wide-band CMOS low noise amplifier with active input matching,” in Int. Ultra Wide-Band Syst. Workshop, 2004, pp. 415–419. [9] A. Bevilacqua and A. M. Niknejad, “An ultra-wideband CMOS LNA for 3.1 to 10.6 GHz wireless receivers,” in IEEE ISSCC Tech. Papers Dig., Feb. 2004, pp. 383–384. [10] A. Ismail and A. Abidi, “A 3 to 10 GHz LNA using a wide-band LC-ladder matching network,” in IEEE ISSCC Tech. Papers Dig., Feb. 2004, pp. 385–386. [11] J. Lee and J. D. Cressler, “A 3–10 GHz SiGe resistive feedback low noise amplifier for UWB applications,” in IEEE RFIC Symp. Dig., 2005, pp. 545–548. [12] M. C. Chiang, S. S. Lu, C. C. Meng, S. A. Yu, S. C. Yang, and Y. J. Chan, “Analysis, design and optimization of InGaP-GaAs HBT matched impedance wide-band amplifiers with multiple feedback loops,” IEEE J. Solid-State Circuits, vol. 37, no. 6, pp. 694–701, Jun. 2002. [13] P. R. Gray, L. Hurst, S. H. Lewis, and R. G. Meyer, Analysis and Design of Analog Integrated Circuits, 4th ed. New York: Wiley, 2001. [14] “Jazz Semiconductor process details,” Jazz Semiconductor Inc., New Port Beach, CA. 2005. [Online]. Available: www.http://www.jazzsemi.com.

Jongsoo Lee (M’04) received the B.S., M.S., and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea in 1994, 1996 and 2001, respectively. Upon completion of the Ph.D. degree, he was with the start-up company Telephus, where he developed integrated RF front ends for cell-phone systems based on integrated passive devices on Si and RF integrated circuits (RFICs) until 2003. From 2003 to October 2004, he joined the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, as a Research Engineer. His designs at the Georgia Institute of Technology have included a balanced amplifier at 30 GHz, a 5.8-GHz direct conversion mixer, an LNA for UWB system, an on-chip single-pole double-throw (SPDT) switch, and a phase shifter for -band phased-array antennas and other RF/microwave/millimeter-wave components using advanced SiGe BiCMOS technology. Since October 2004, he has been a Senior Design Engineer with RF Micro Devices, Chandler, AZ, where he is involved with commercial power amplifiers and front-end module design in wireless systems. His current research interests include low-power and high-efficiency power-amplifier module design and RF/analog integrated-circuit design for full transceiver systems in wireless applications.

X

John D. Cressler (S’86–A’91–SM’91–F’01) received the B.S. degree in physics from the Georgia Institute of Technology, Atlanta, in 1984, and the M.S. and Ph.D. degrees in applied physics from Columbia University, New York, NY, in 1987 and 1990, respectively. From 1984 to 1992, he was a member of the research staff with the IBM Thomas J. Watson Research Center, Yorktown Heights, NY, where he was involved with high-speed Si and SiGe bipolar devices and technology. In 1992, he joined the faculty of Auburn University, Auburn, AL, where he remained until 2002. When he left Auburn, he was the Philpott–Westpoint Stevens Distinguished Professor of Electrical and Computer Engineering and Director of the Alabama Microelectronics Science and Technology Center. In 2002, he joined the faculty of the Georgia Institute of Technology, where he is currently Professor of electrical and computer engineering. His research interests include SiGe devices and technology, Si-based RF/microwave/millimeter-wave devices and circuits, radiation effects, noise and linearity, cryogenic electronics, SiC devices, reliability physics, device-level simulation, and compact circuit modeling. He has authored or coauthored over 350 technical papers related to his research. He coauthored Silicon-Germanium Heterojunction Bipolar Transistors (Artech House, 2003) and authored Reinventing Teenagers: The Gentle Art of Instilling Character in Our Young People (Xlibris, 2004). Dr. Cressler was associate editor for the IEEE JOURNAL OF SOLID-STATE CIRCUITS (1998–2001) and is guest editor of the IEEE TRANSACTIONS ON NUCLEAR SCIENCE (2002–2005). He served on the Technical Program Committees of the IEEE International Solid-State Circuits Conference (1992–1998, 1999–2001), the IEEE Bipolar/BiCMOS Circuits and Technology Meeting (1995–1999), the IEEE International Electron Devices Meeting (1996–1997), the IEEE Nuclear and Space Radiation Effects Conference (2000, 2002–2006), and the IEEE International Reliability Physics Symposium (2005). He was the Technical Program chair of the 1998 IEEE International Solid-State Circuits Conference (ISSCC), and the conference co-chair of the 2004 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems. He currently serves on the Executive Steering Committee for the IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems. He is as international advisor for the IEEE European Workshop on Low-Temperature Electronics, the Technical Program Committee for the IEEE International SiGe Technology and Device Meeting, and as sub-committee chair of 2004 ECS Symposium on SiGe: Materials, Processing, and Devices. Since 1994, he has served as an IEEE Electron Device Society Distinguished Lecturer. He was the recipient of the 1994 Office of Naval Research (ONR) Young Investigator Award for his SiGe research program, the 1996 C. Holmes MacDonald National Outstanding Teacher Award presented by Eta Kappa Nu, the 1996 Auburn University Alumni Engineering Council Research Award, the 1998 Auburn University Birdsong Merit Teaching Award, the 1999 Auburn University Alumni Undergraduate Teaching Excellence Award, and an IEEE Third Millennium Medal in 2000.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1269

Cell-Centered Finite-Volume-Based Perfectly Matched Layer for Time-Domain Maxwell System Krishnaswamy Sankaran, Student Member, IEEE, Christophe Fumeaux, Member, IEEE, and Rüdiger Vahldieck, Fellow, IEEE

Abstract—The perfectly matched layer (PML) technique is extended for a cell-centered finite-volume time-domain (FVTD) method. A step-by-step procedure for the performance characterization of the FVTD PML is presented for both structured and unstructured finite-volume meshes. The FVTD PML is compared with the standard first-order Silver–Müller absorbing boundary condition (SM ABC) for practical applications. It is found that the FVTD PML for an unstructured grid achieves a reflection coefficient lower than 40 dB for incident angles up to 45 and outperforms the SM ABC by 15–20 dB. Index Terms—Absorbing boundary condition (ABC), computational electromagnetics (CEM), finite volume time domain (FVTD), Maxwell’s equations, perfectly matched layer (PML).

I. INTRODUCTION

A

CELL-CENTERED finite-volume time-domain (FVTD)based perfectly matched layer (PML) is modeled and characterized in this paper. Ever since its introduction by Bérenger [1], the PML technique has matured and has been applied to a variety of simulation problems mainly in conjunction with the finite-difference time-domain (FDTD) technique [2]–[5]. More recently work is being reported where the PML is also used for other numerical techniques such as the finite-element time-domain (FETD) formulation [6], [7]. In [8], a vertex-centered FVTD model (variational approach) of the PML was reported for scattering problems, but the performance of the PML was not characterized based on its control parameters. This paper extends the PML concept to the cell-centered FVTD approach and systematically characterizes its performance using both structured and unstructured finite-volume meshes. Furthermore, based on reflection-coefficient computation, the suitability of the FVTD PML for practical problems is addressed. The performance of FVTD PML is compared with the standard first-order Silver–Müller absorbing boundary condition (SM ABC). Finally, as a practical example, the reflection coefficient is computed for the truncation of a parallel-plate waveguide using an FVTD PML and is compared with that of the SM ABC.

II. DOMAIN DISCRETIZATION A discrete solution to continuum physics requires sampling spatial and temporal quantities into finite space–time cells. Complex curved geometries and the availability of simple, but accurate boundary conditions (BCs) are basic motivations for the development of a co-located finite-volume space–time approach. Each finite-space cell (control volume) stores field quantities at the same point in space and time. Solutions at various time stamps are obtained by introducing the flux-conservation principle of the field flow, which forms the basis of the FVTD approach. Particular interest is vested on unstructured control volumes, which correspond, for example, to triangular and tetrahedral meshes in two-dimensional (2-D) and three-dimensional (3-D) models, respectively. For completeness, a brief description of the FVTD method is presented in the following. Readers are referred to [9]–[12] for a detailed explanation on the method. A. Finite Volume: Definitions The computational domain is considered as a union of a finite number of nonoverlapping conformal tessellations called cells and are represented as ; i.e., . We are basically interested in a co-located cell-centered formulation where spatial–temporal variations of field quantities ( and ) are stored at each cell center. Strictly speaking, these values are an approximation of the mean field values over the entire cell . The field values at different space–time stamps are the solutions to Maxwell’s two curl equations written as (1) (2) is set to In (1), the electric-current source term inside zero. Although the method is applicable to inhomogeneous and anisotropic computational domains, (without loss of generality), a homogeneous and isotropic medium is assumed in this paper. In other words, permeability and permittivity are constant inside . Equation (1) and (2) are cast in conservative form with the help of the divergence theorem and integrated over each cell with an appropriate spatial and temporal discretization as

Manuscript received October 31, 2005. This work was supported by the Swiss Federal Institute of Technology under ETH Research Grant TH-38/04-1. The authors are with the Laboratory for Electromagnetic Field Theory and Microwave Electronics, Swiss Federal Institute of Technology-ETH Zürich, Zürich CH-8092, Switzerland (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.869704 0018-9480/$20.00 © 2006 IEEE

(3)

(4)

1270

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

where each th cell has a volume and number of faces. Each th face has a surface area and an outward normal . For spatial discretization, monotonic upstream-centered scheme for conservation laws (MUSCL)-based second-order spatial discretization is used [11], [13]. The formulation used here is completely cell centered as the gradients are computed directly from the neighboring cell center values without any interpolation, as required in [8]. For simplicity, the temporal discretization in (3) and (4) are represented as a first-order Euler discretization. In practice, however, due to stability and accuracy concerns, second-order Lax–Wendroff (predictor–corrector) time stepping is used for temporal discretization. The maximum temporal discretization possible for a given mesh geometry is bounded by the grid speed—which is the ratio of spatial discretization ) to the temporal discretization . For a (linear dimension structured grid, the term is directly derived using the famous Courant–Friedrich–Lewy (CFL) condition, which satisfies the . However, for an unstructured mesh, the relation value of maximum is bounded by the geometry of the smallest (worst) cell in the entire computational domain. The field values of and at time steps “ ” are computed using only their values known at “ ,” resulting in an explicit FVTD formulation. III. FVTD-PML FORMULATION The cell-centered FVTD formulation of the PML is based on modifying the update equations (3) and (4) with lossy material property in order to absorb the incident electromagnetic (EM) wave as (5) (6) where and refer to electric and magnetic conductivities, respectively. If a plane wave traveling across a free-space–PML interface satisfies the condition inside the PML domain, then this ensures reflectionless transmission of the plane wave into the PML [1]. For the sake of simplicity and ease of numerical analysis, henceforth a 2-D model ( field only in the -direction and the wave propagation is assumed in the -plane) is used. The approach presented here can be extended to 3-D without loss of generality. The solutions of the partial differential equations (PDEs) defining the Maxwell system in form has three unknowns, namely, the transverse electric-field component in the -direction and two magnetic field components in the - and -directions, and , respectively. The field vector for this analysis is represented as , where the superscript represents the transpose. As proposed in [1], the total electric field is defined as a sum of two unphysical electric field components, namely, and components, i.e., . The lossy term is also correspondingly split into two components denoted as and . This leads to the split field equations (including losses) introduced as (7) (8) (9) (10)

A. Flux Formulation The field update equations of the finite-volume formulation are interconnected by the flux function, which facilitates communication between adjacent cells at the respective cell boundaries. The information is transmitted with a finite velocity, which is equal to the velocity of EM waves in the computational medium . The whole computational domain is considered as a union of the main domain and the truncated PML domain , i.e., . For simplicity, free space is assumed for and a lossy medium with matched impedance in . The FVTD method demands the preservation of the hyperbolic nature of Maxwell’s equation system in order to use the flux splitting technique [11]. To achieve this, the system (7)–(10) is modified using the relation [8] as (11) (12) (13) (14) Integrating the system (11)–(14) over each cell in the computational domain with area and perimeter , and employing the divergence theorem results in the following conservative form:

(15)

(16)

(17)

(18) The resulting system (15)–(18) still remains nonhyperbolic due to the unphysical nature of field splitting introduced in the PML. Nevertheless, imposing the initial constraint that when , the field inside ensures that the resulting system behaves like a hyperbolic system. The term in (15)–(18) is referred to as the flux function in the FVTD formulation. For simplicity, in the following is denoted as . Except for the lossy terms ( and ), (15)–(17) refers to the original formulation of Maxwell’s equations. Hence, the standard FVTD formulation is used to update (15)–(17) [11], [14]. However, there is no direct way to compute the flux term in (18). The unphysical field is forced to be zero inside and it is updated only inside . In the following, the flux function for (18), i.e., , is modeled using the Rankine–Hugoniot jump condition [15].

SANKARAN et al.: CELL-CENTERED FINITE-VOLUME-BASED PML FOR TIME-DOMAIN MAXWELL SYSTEM

1271

Fig. 1. Split-field flux calculation by 2-D to 1-D transformation (Riemann problem).

B. Split-Field

Fig. 2. Solution to 1-D Riemann problem using Rankine–Hugoniot jump relation.

Flux Update

with a suitable The main goal is to update the split-field approximation for its flux function . For this, consider any interface between two cells inside the PML domain with some discontinuity, as shown in the 2-D model in Fig. 1. The field values in the adjacent cells, i.e., in the left and right cells, are assumed to be piecewise constant (first-order approximation) and are given by and . Due to the discontinuity, the field value at the interface is not readily known. For computing traversing across the interface, the 2-D problem is transformed into an equivalent one-dimensional (1-D) Riemann problem in the direction normal to the interface, as shown in Fig. 1. The normalized normal vector to the interface is written as . The coordinate system of the original problem is transformed to a local coordinate system , where and represent the normal and tangential directions to the interface, respectively. The center of the interface is denoted as . It is assumed that the flux variation is only along the normal direction. By transformation to a local coordinate system, it is meant that the system is rotated in the -plane by an angle . The invariance of Maxwell’s system due to rotation helps in applying the same set of equations with an appropriate change of axes ( and ). Since there is no variation of field quantities along the tangential direction of the interface, all the gradients along the -direction are reduced to zero. This reduces the 2-D problem into an equivalent 1-D problem with the field variation only along the normal direction of the interface. Since the split-field depends on the field values in (15)–(17), it is sufficient to solve the Riemann problem with the three field values represented by the field vector . Hence, the resulting 1-D PDE is written as (19) where represents the flux function. The additional constraints required to solve (19) are given by the initial conditions at time as (20) Considering (11)–(13) and the rotational invariance of the Maxwell system from the global -axes to the local -axes, the flux function for (19) is obtained as . The solution to (19) is uniquely obtained by studying the system-characteristic curves in the space–time plane, as represented in Fig. 2. The characteristics correspond to the direction

and speed of propagation of the field solutions at different space–time stamps. In Fig. 2, and represents the left and right moving characteristics originating from the interface centre with (compare with Fig. 1). The field values on the left of the interface is given by and, likewise, on the right side it is equal to . The two characteristics ( and ) divide the space–time cell into four distinct regions denoted as regions 1–4 in Fig. 2 with respective field values, namely, – . In order to solve for the split-field flux , the field values at (see Fig. 2) are required. From (14), the split-field flux function at the center of the interface is derived as (21) where is the -component of the magnetic field along the center region with . To compute the field values at the center of the interface, the Rankine–Hugoniot jump condition is used. This condition states that the difference in flux across an interface with discontinuity is proportional to the difference in field values across the interface. In the mathematical sense, the above statement reads as (22) (23) (24) where is the Jacobian matrix of the system [11]. The constant of proportionality in (22)–(24) represents the propagation speed of discontinuity due to the th characteristic. At any time is given by (25) numerically corresponds to the It is noted that the term flux function . The values of , i.e., the speed at which characteristics propagate, is directly obtained from the eigenvalues of the hyperbolic system. The system considered here has three distinct real eigenvalues. The first eigenvalue is and it moves toward the left side of the interface. The second eigenvalue is , which moves toward the right side of the interface, and the third eigenvalue is , and is of no numerical importance. It is noted from the value of i.e., , is unaltered by the rotation to local coordinate and, hence, it is required only to find normal and tangential components of the magnetic field

1272

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

values in regions 2 and 3. Subsequently, using the above discussion and solving (22)–(24) gives unique values of the field in region 2 as (26)

(27) where and correspond to the velocity and permittivity components in the region , respectively. Similarly, in region 3, the unique field values are as follows: (28)

Fig. 3.

Model domain used for characterizing FVTD PML.

C. Model Problem—Parallel-Plate Waveguide (29) In order to obtain the field values along the center of the interface, the symmetry of Maxwell’s system is used. This approximates the fields at the center region of the interface as an average of the field values from regions 2 and 3 as follows: (30) (31) where subscript represents the center region. The field values in global coordinates are directly obtained from (30)–(31) by appropriate rotation of matrix as follows: (32) The rotation matrix for a rotation through an angle -plane is written as

in the

(33) where and with the previously defined and (normalized normal components of the interface). Using (30)–(31) in (32) gives an expression for . This is the magnetic field that is required to compute the split-field flux in (21). Hence, the final expression for is as follows: (34) (35) consists of flux contribution due The final expression of to upwind flux splitting, which corresponds to the first term on the right-hand side of (35). The second term on the right-hand side in (35) denotes the correction factor generally used in all upwind approximations. Using along with the other three standard flux terms, the complete system (15)–(18) is updated at different space–time steps with some additional BCs, which are discussed in the following.

The problem used in this paper is a simple parallel-plate waveguiding structure infinitely long in the -axis, as shown in Fig. 3. A plane wave is simulated by forcing a sinusoidal electric and magnetic field along the boundary plane referred as the source plane in Fig. 3. For guiding the plane wave, perfect magnetic conducting (PMC) BC are imposed on both infinite plates inside . The computational domain is truncated using a FVTD PML , which extends one wavelength -direction (i.e., , unless otherwise stated) in the and is perpendicular to the -axis. This thickness is chosen as an empirical tradeoff between computational cost and PML performance. For numerical simplicity and flexibility, the whole computational domain (i.e., both and ) is treated as a single entity for the analysis. The split-field , which plays no role inside , is forced to be zero inside , and its value becomes important only inside . It is worth noting that the BCs have to be modified in order to take care of the split-field inside , which results in a hybrid PMC BC denoted as the PML PMC. To characterize the FVTD PML, a hybrid perfect electric conducting (PEC) BC (referred as the PML PEC) is used for truncating the FVTD PML. This provides equal reflection of the plane wave for all angles of incidence and, hence, strictly measures the FVTD-PML performance. Furthermore, by using the PML PEC, any additional influence of the truncating BCs (like the SM ABC) on the FVTD PML is also avoided. The split-field flux for both the PML PMC and PML PEC is computed using only the upwind information without correction factor as (36) The tangential component of electric field is zero for the PML–PEC BC. This implies that the correction factor is also zero. For the PML–PMC BC and, hence, the correction factor becomes zero according to (35). The performance of the cell-centered FVTD PML is analyzed using the above-described model. Various numerical experiments have been carried out and are discussed in depth in Section IV. IV. NUMERICAL EXPERIMENTS Numerical experiments presented in this paper characterize the FVTD PML based on different factors like conductivity profile, PML thickness, convergence, etc. The central idea is to

SANKARAN et al.: CELL-CENTERED FINITE-VOLUME-BASED PML FOR TIME-DOMAIN MAXWELL SYSTEM

1273

Fig. 4. Structured- and unstructured-type triangular meshes.

compare the PML performance using its reflection coefficient under different test conditions, i.e., for different thickness, profile, and spatial discretization. To achieve this goal on an unstructured mesh, the whole analysis of the FVTD-PML performance becomes complicated. Hence, the problem is divided into the following two main streams. 1) In the first part of the numerical experiments, an ideally structured FVTD triangular mesh, as shown on left-hand side of Fig. 4, is used in the PML region to investigate the optimal conductivity profile, thickness, and spatial discretization. A structured grid approach is helpful to determine the best possible PML performance that can be achieved using the FVTD method, keeping the mesh-induced errors to the bare minimum. 2) In the second part, knowledge gained from the first set of numerical experiments is applied on a more general setup using a highly unstructured triangular FVTD mesh, as represented on the right-hand side of Fig. 4. Furthermore, to add more practical value to this investigation, the reflection coefficient of the FVTD PML is computed at different angles of plane-wave incidence. The numerical reflection coefficient is computed by subtracting the field values inside the test model from that of a reference. The reference model is built as an extended version of the test model and is truncated at a larger distance from the source. A. Influence of the FVTD-PML Profile In the discretized PML domain, the variation of the conductivity profile inside the PML as a function of distance substantially affects its performance. If a constant profile with very high conductivity is used, then the numerical reflection from the free space–PML interface substantially increases. On the other hand, a constant profile with very small renders the damping inside the PML ineffective and results in numerical reflections from the truncating boundary (PML PEC). Hence, to study the influence of and on the PML performance, different possibilities for conductivity variations are tested. The variation of for different profiles is given by (37) is the FVTD PML starting value for the -cowhere ordinate, is the thickness of the PML, and is the order of profile function. Different values of , i.e., or

Fig. 5. Numerical reflection coefficient (in decibels) as a function of maximum PML conductivity  for different profiles.

Fig. 6. Numerical reflection coefficient (in decibels) as a function of theoretical reflection coefficient (in decibels) for different profiles.

, corresponds to five different profile functions, namely, constant, linear, parabolic, cubic, or bi-quadratic profile variations along the -axis. The results of the profile test as a function of are shown in Fig. 5. In principle, a particular value of corresponds to a particular value of the maximum expected theoretical reflection coefficient (in decibels) for the FVTD PML. The relation between and the theoretical reflection coefficient is bounded by and the order of conductivity profile inside [1], [16], which is given by (38) where and represent permittivity of free space and velocity of the EM wave in free space, respectively. The variation of the numerical reflection coefficient as a function of the theoretical reflection coefficient is shown in Fig. 6. From the results shown in Figs. 5 and 6, it is clearly noticeable that an FVTD PML with a constant profile does not yield satisfactory performance. In spite of the matched impedance condition between the two domains ( and ), high numerical reflection arises from discretization errors in the presence of large conductivity steps. In other words, unlike the continuous case, the discretized field equations are very sensitive to sudden changes in the parameters of adjacent cells. On the

1274

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 7. Numerical reflection coefficient (in decibels) as a function of theoretical reflection coefficient (in decibels) for different FVTD-PML thickness (d ).

other hand, a smooth and gradual increase in conductivity allows the impinging EM waves to encounter larger values of only at some sufficient depth inside the FVTD-PML domain. This helps in attenuating the reflections due to larger values of conductivity deep inside the FVTD PML using the initial damping layers. Among the different options, the parabolic profile allows to reach the lowest reflection coefficient for a given FVTD-PML thickness. Furthermore, the tolerance range of a parabolic profile is larger compared to other options. In the current simulation, with a parabolic profile, it was possible to reach a numerical reflection coefficient in the order of 70 dB. Consequently, the parabolic profiling is used for the following further analysis. B. Influence of FVTD-PML Thickness Various models for optimal FVTD-PML thickness are simulated in the range . The spatial discretization used corresponds to a linear dimension close to . The results obtained are shown in Fig. 7. As expected, the performance of the FVTD PML improves as the PML thickness increases. This is mainly due to the increase in damping distance inside the PML. The impinging EM wave undergoes initial damping on the way toward the truncating PML–PEC BC and, after getting reflected from the PML PEC, it is once again damped on the return path toward the free-space–PML interface. The theoretically expected values are also plotted in comparison to the performance of the discrete FVTD-PML model. The results show that a PML thickness of around is a good choice for most practical problems. This thickness is used for the rest of the numerical experiments. C. Convergence Analysis of FVTD PML The discrete model of the PML introduces imperfections in the perfect continuous PML. This imperfection results in a deviation of the discrete solution from the analytical model. Hence, it is instructive to test the rate of convergence for the FVTD-PML model to understand the behavior of the discrete FVTD-PML models for a particular spatial discretization. The results of the convergence test are shown in Fig. 8. For each spatial discretization, the numerical reflection coefficient follows the theoretical counterpart up to a certain value and then starts to deviate. This deviation comes from the roundoff errors

Fig. 8. Numerical reflection coefficient (in decibels) as a function of theoretical reflection coefficient (in decibels) for different spatial discretization.

in the discrete solutions to continuous problems. The analysis also confirms the convergence of the FVTD-PML model to the analytical solution. A spatial discretization corresponding to a linear dimension of is used in the following investigations of stability and angular wave incidence. This discretization corresponds to common practice in modeling EM problems for practical applications. D. Broad-Band Characteristics It has to be pointed out that the performance of the FVTD PML is affected mainly by two frequency-dependent parameters. The first parameter is the thickness of FVTD-PML in terms of , which has been investigated in Section IV-B. The second parameter is the spatial discretization in terms of , and this has been addressed in Section IV-C. For a pulse excitation, the relevant wavelength corresponds to the lower frequency limit of the incident EM excitation, i.e., . In principle, for a given spatial discretization, lowering the frequency (e.g., by a factor of 2) increases the wavelength (by a factor of 2), which, in turn, increases the number of points per wavelength. In other words, this makes the spatial discretization finer. Hence, increasing the spatial discretization and decreasing the relative thickness of the FVTD PML have opposite effects. This implies that can be reduced for finer meshes. As a rule-of-thumb, choosing will meet the requirements of most engineering broad-band applications. E. Stability of FVTD-PML Model Most of the PML models suffer from stability problems due to an ill-posed discrete model. If the numerical experiment remains stable even for extremely long run-time simulations this then indicates sufficient stability for practical applications. As an experimental approach, the FVTD-PML model is tested for long-time simulation runs (around 2500 time periods). The result of the simulation is shown in Fig. 9. The energy inside the whole computational domain is bounded, and this demonstrates the overall stability of the FVTD-PML model even at very late time steps. F. Angular Dependence of FVTD-PML Reflection Coefficient All the aforementioned tests performed at normal incidence provide a guidance in the selection of optimal profile, thickness,

SANKARAN et al.: CELL-CENTERED FINITE-VOLUME-BASED PML FOR TIME-DOMAIN MAXWELL SYSTEM

1275

cients when used as a truncating BC. The spatial discretization used for meshing the waveguide approximately corresponds to a linear dimension of . For this setup, the guide wavelength , and the angle of ininside the waveguide is approximately cidence at the truncating boundary approximately corresponds to 45 . A numerical reflection coefficient of 16 dB is obtained using an SM ABC. However, when the FVTD PML is employed, the numerical reflection coefficient for the same setup is as low as 42 dB. This clearly proves the excellent performance of the FVTD PML over the SM ABC. Fig. 9. Energy inside FVTD-PML model as a function of time period.

V. CONCLUSION

Fig. 10. Comparison of numerical reflection coefficient (in decibels) for different angles of plane-wave incidence for FVTD PML and SM ABC.

and spatial discretization for the FVTD-PML model. However, for use in practical situations, the most relevant characteristic is the performance of the PML in terms of its reflection coefficient at different angles of wave incidence. In addition, a highly unstructured mesh is used to model the angular response in order to represent a more general and practical situation. Furthermore, for the performance comparison, the standard first-order SM ABC is used as a reference BC. The results for the angular wave incidence is shown in Fig. 10. In theory, the reference SM ABC is accurate for normal incidence. However, in a 2-D simulation, the computation of the gradient suffers from inaccuracies near the domain boundary, as compared to the 3-D case [17]. Hence, there is a slight degradation of performance at normal incidence (0 ). Correcting the gradient with an analytical value permits to rectify this numerical degradation, and is shown via dotted lines in Fig. 10. For all angles, the performance of the FVTD PML is 15–20 dB better than the standard SM ABC. It is worth noting that the results presented in Fig. 10 correspond to the worst case scenario. Interestingly, for a variation of incidence angle from 0 to 45 , the performance of the FVTD PML remains better than 40 dB. This result confirms a far better performance than the existing SM ABC and will satisfy the requirements for most practical applications. G. Practical Application To demonstrate the application of an FVTD PML for practical problems, a parallel-plate electric waveguide with its two plates separated by a distance of is simulated. A firstorder TE mode is forced at the source plane. Both FVTD PML and SM ABC are tested for their numerical reflection coeffi-

The PML technique has been extended to cell-centered FVTD formulation, and a step-by-step characterization procedure has been introduced to study the performance of an FVTD PML based on its control parameters. Various tests have been performed to study the FVTD-PML performance, and an optimal value for its profile and thickness have been numerically derived. Using a structured mesh approach, a numerical reflection coefficient as low as 80 dB has been achieved to demonstrate the maximum achievable limits of the FVTD PML. However, on a more general unstructured grid, the FVTD PML maintains a reflection coefficient lower than 40 dB for incidence angles up to 45 . Hence, for practical applications, the FVTD PML outperforms the existing first-order SM ABC by 15–20 dB. REFERENCES [1] J.-P. Berenger, “A perfectly matched layer for the absorption of electromagnetic waves,” J. Comput. Phys., vol. 114, no. 2, pp. 185–200, 1994. [2] W. C. Chew and W. H. Weedon, “A 3-D perfectly matched medium from modified Maxwell’s equations with stretched coordinates,” Microw. Opt., vol. 114, no. 2, pp. 185–200, 1994. [3] S. Gedney, “An anisotropic perfectly matched layer-absorbing medium for the truncation of FDTD lattices,” IEEE Trans. Antennas Propag., vol. 44, no. 12, pp. 1630–1639, Dec. 1996. [4] Z. Wu and J. Fang, “Numerical implementation and performance of perfectly matched layer boundary condition for waveguide structures,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2676–2683, Dec. 1995. [5] L. Zhao and A. Cangellaris, “GT-PML: Generalized theory of perfectly matched layers and its application to the reflectionless truncation of finite-difference time-domain grids,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2555–2563, Dec. 1996. [6] Z. Sacks, D. Kingsland, R. Lee, and J.-F. Lee, “A perfectly matched anisotropic absorber for use as an absorbing boundary condition,” IEEE Trans. Antennas Propag., vol. 43, no. 12, pp. 1460–1463, Dec. 1995. [7] T. Rylander and J.-M. Jin, “Perfectly matched layer in three dimensions for the time-domain finite element method applied to radiation problems,” IEEE Trans. Antennas Propag., vol. 53, no. 4, pp. 1489–1499, Apr. 2005. [8] F. Bonnet and F. Poupaud, “Berenger absorbing boundary condition with time finite-volume scheme for triangular meshes,” Appl. Numer. Math., vol. 25, no. 4, pp. 333–354, Dec. 1997. [9] N. K. Madsen and R. W. Ziolkowski, “A three-dimensional modified finite volume technique for Maxwell’s equations,” Electromagnetics, vol. 10, pp. 147–161, 1990. [10] V. Shankar, A. H. Mohammadian, and W. F. Hall, “A time-domain, finite-volume treatment for the Maxwell equations,” Electromagnetics, vol. 10, pp. 127–145, 1990. [11] P. Bonnet, X. Ferrieres, B. Michielsen, P. Klotz, and J. L. Roumiguiéres, Time Domain Electromagnetics, S. M. Rao, Ed. New York: Academic, 1997, ch. 9, pp. 307–367. [12] C. Fumeaux, D. Baumann, P. Leuchtmann, and R. Vahldieck, “A generalized local time-step scheme for efficient FVTD simulations in strongly inhomogeneous meshes,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1067–1076, Mar. 2004.

1276

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

[13] B. V. Leer, “Toward the ultimate conservative difference scheme (V): A second-order sequel to Godunov’s method,” J. Comput. Phys., vol. 32, no. 1, pp. 101–136, 1979. [14] J.-P. Cioni, L. Fezoui, and H. Steve, “A parallel time-domain Maxwell solver using upwind schemes and triangular meshes,” IMPACT Comput. Sci. Eng., vol. 5, no. 3, pp. 215–247, 1993. [15] E. Godlewski and P.-A. Raviart, Numerical Approximation of Hyperbolic Systems of Conservation Laws. Berlin, Germany: SpringerVerlag, 1996. [16] F. Collino and P. B. Monk, “Optimizing the perfectly matched layer,” Comput. Methods Appl. Mech. Eng., vol. 164, pp. 157–171, 1998. [17] D. Baumann, C. Fumeaux, and R. Vahldieck, “Treatment of arbitrary-shaped boundaries with the finite-volume time-domain (FVTD) method,” in 17th Int. Electromagn. Compat. Symp./Exhibition, Warsaw, Poland, Jun. 2004, pp. 445–450.

Krishnaswamy Sankaran (S’98) received the B.Eng. degree (with a first-class distinction) in electrical and electronics engineering from the University of Madras, Madras, India, in 2002, the M.Sc. degree in information and communication engineering from the University of Karlsruhe TH, Karlsruhe, Germany, in 2004, and is currently working toward the Ph.D. degree from the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland. From October 2003 to May 2004, he was a Research Trainee with the European Commission, Joint Research Centre, Ispra, Italy, where he was involved in the field of radar systems engineering and remote sensing. In June 2004, he joined the ETH Zürich, where he is currently with the Laboratory for Electromagnetic Field Theory and Microwave Electronics (IFH). His main research interests are numerical methods for solving EM field problems, computational physics, and applied mathematics. Mr. Sankaran is the current vice-chair of the IEEE Student Branch Zürich. He was the recipient of a full postgraduate scholarship.

Christophe Fumeaux (M’03) received the Diploma and Ph.D. degrees in physics from the Swiss Federal Institute of Technology (ETH) Zürich, Switzerland, in 1992 and 1997, respectively. His doctoral dissertation concerned antenna-coupled infrared detectors. From 1998 to 2000, he was a Post-Doctoral Researcher involved in infrared technology with the School of Optics, University of Central Florida, Orlando. In 2000, he joined the Swiss Federal Office of Metrology, Bern, Switzerland, as a Scientific Staff Member. Since 2001, he has been a Research Associate with the Laboratory for Electromagnetic Fields and Microwave Electronics (IFH), ETH Zürich, Switzerland. During Fall 2005, he was a Visiting Scientist with the Laboratory of Sciences and Materials for Electronics, and of automatic (LASMEA), University of Blaise Pascal, Clermont-Ferrand, France. His current main research interest concerns computational electromagnetics in the time domain for numerical analysis of microwave circuits and antennas. Dr. Fumeaux has been the chairman of the IEEE Swiss Joint Chapter on Microwave Theory and Techniques, Antennas and Propagation, and Electromagnetic Compatibility (EMC) since January 2006. He was the recipient of the ETH Silver Medal of Excellence for his doctoral dissertation. He was the corecipient of the 2004 Applied Computational Electromagnetics Society (ACES) Outstanding Paper Award.

Rüdiger Vahldieck (M’85–SM’86–F’99) received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the University of Bremen, Bremen, Germany, in 1980 and 1983, respectively. From 1984 to 1986, he was a Post-Doctoral Fellow with the University of Ottawa, Ottawa, ON, Canada. In 1986, he joined the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada, where he became a Full Professor in 1991. During the fall of 1992 and the spring of 1993, he was a Visiting Scientist with the Ferdinand-Braun-Institute für Hochfrequenztechnik, Berlin, Germany. In 1997, he accepted an appointment as a Professor of electromagnetic-field theory with the Swiss Federal Institute of Technology (ETH) Zürich, Zürich, Switzerland, and became Head of the Laboratory for Electromagnetic Fields and Microwave Electronics (IFH) in 2003. His research interests include computational electromagnetics in the general area of electromagnetic compatibility (EMC) and, in particular, for computer-aided design of microwave, millimeter-wave, and opto-electronic integrated circuits. Since 1981, he has authored or coauthored over 300 technical papers in books, journals, and conferences, mainly in the field of microwave computer-aided design. Prof. Vahldieck is the past president of the IEEE 2000 International Zürich Seminar on Broadband Communications (IZS’2000). Since 2003, he has been president and general chairman of the International Zürich Symposium on Electromagnetic Compatibility. He is a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. From 2000 to 2003, he was an associate editor for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, and from July 2003 until the end of 2005, he was the editor-in-chief. Since 1992, he has served on the Technical Program Committee (TPC) of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), the IEEE MTT-S Technical Committee on Microwave Field Theory, and in 1999, on the TPC of the European Microwave Conference. From 1998 to 2003, he was the chapter chairman of the IEEE Swiss Joint Chapter on Microwave Theory and Techniques, Antennas and Propagation, and EMC. Since 2005, he has been president of the Swiss Research Foundation on Mobile Communications. He was the recipient of the J. K. Mitra Award of the Institution of Electronics and Telecommunication Engineers (IETE) (in 1996) for the best research paper in 1995 and was corecipient of the Outstanding Publication Award of the Institution of Electronic and Radio Engineers in 1983. He was the corecipient of the 2004 Applied Computational Electromagnetic Society (ACES) Outstanding Paper Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1277

Wide-Band Matched LNA Design Using Transistor’s Intrinsic Gate–Drain Capacitor Robert Hu

Abstract—This paper presents the development of a wide-band amplifier with matched input impedance and low noise temperature over 10–20 GHz. Here, the novel wide-band feedback mechanism provided by the transistor’s intrinsic gate–drain capacitor will be analyzed in detail with both the derived input reflection coefficient and noise temperature of the resulting circuit confirmed by their simulated counterparts. It is thus clear why loading impedance and source by fine tuning its output inductance, a transistor’s input reflection coefficient and noise temperature can be greatly improved over broad bandwidth. To demonstrate the feasibility of this novel approach, a wide-band low-noise amplifier (LNA) is designed and characterized. A bandwidth broadening mechanism using double feedback is also proposed for the future design of matched ultra-wide-band LNA.

Fig. 1. Typical input reflection-coefficient contours on the Smith chart of both the wide- and narrow-band LNAs.

Index Terms—Input matching, low-noise amplifier (LNA), noise parameters, noise temperature, wide-band.

I. INTRODUCTION

W

IDE-BAND low-noise amplifiers (LNAs) have been a critical component in communication industry [1]–[3] and different scientific fields such as the very noise-sensitive radio-astronomy instrumentation where the typical LNA circuit has single-ended transistors in cascade [4]–[6]; LNAs with complicated input tuning circuits, which result in the inevitable incoming signal loss are, therefore, not suitable for astronomical receivers. With this type of cascade, LNAs keep reaching even higher frequency and wider bandwidth, but what is less explored is an accurate and satisfactory account, beyond the scope of simulation or speculation, of how the matched input impedance can be achieved over wide bandwidth [7]–[13]. On the Smith chart in the intended frequency range, a typical input reflection coefficient contour of the wide-band LNA is a loop surrounding the zero point where a small enclosed area is preferred; while that of the narrow-band LNA is a trajectory passing through the zero point at one specific frequency point (Fig. 1). As has been well studied, in the narrowband design where the impact of the intrinsic feedback, i.e., the Miller effect, can be suppressed using cascode circuit configuration, it is the combination of external source and gate inductors with the transistor itself that brings in the desired matched input impedance [14]–[16]. By replacing these inductors with Manuscript received November 11, 2005. This work was supported in part by the National Aeronautics and Space Administration under Grant NAG5-9493 and by the National Science Council, R.O.C., under Contract NSC 94-2215-E009-058. The author is with the Department of Electronics Engineering, National Chiao Tung University, Hsin-Chu, Taiwan, R.O.C. (e-mail: shuihushuihu@ yahoo.com). Digital Object Identifier 10.1109/TMTT.2006.869703

Fig. 2. Transistor circuits with matched input impedance over wide bandwidth where the dotted box contains the transistor model. (a) An output loading C is sufficient for rendering wide-band matched S when a simplified transistor model is employed. (b) Additional R and L need to be added when a more sophisticated transistor model is used.

some more complicated matching circuits, such as a highorder bandpass ladder filter, wider bandwidth can indeed be achieved [17]–[19]. However, the more complicated this passive impedance matching circuit is, the higher the resulting amplifier’s noise temperature will be, as the accumulated input signal loss can no longer be neglected, especially if the substrate is a lossy one like silicon. Contrary to the straightforwardness of the conventional narrow-band and its extended wide-band circuit configurations, some mathematical manoeuvring are needed in analyzing this novel wide-band input matching mechanism. A useful first step is with a simplified transistor model where only the transconductance , the gate–source capacitor , and the gate–drain capacitor are involved, as shown in Fig. 2(a). The output loading circuit is assumed to be a capacitor , which is legitimate since the transistor, especially the first-stage one, in the LNA does not need to have its output loading impedance equal to 50 . Since is much larger than ,

0018-9480/$20.00 © 2006 IEEE

1278

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 3. Simulated input reflection coefficients and noise temperatures of the transistor and its wide-band circuit. (a) Curve 1 corresponds to S of the single transistor with 50- output loading impedance; curve 2 is the S of the intended wide-band transistor circuit with external R ; C ; and L . (b) T of the single transistor and its wide-band counterpart, both with 50-

generator impedance.

this circuit’s input admittance as

can be calculated

(1) which is a capacitor in parallel with a resistor. An external source inductor can then be added to remove the imaginary part of , thus rendering a frequency-independent matched input impedance [20]. However, the above reasoning cannot reflect the real LNA design work since it neglects the role played by the intrinsic drain resistor , which has a finite value in the case of high electron-mobility transistor (HEMT) [21]–[23]. In fact, the transistor used in designing this paper’s 10–20-GHz LNA has 68drain resistance. With finite-value , simulation reveals that it is not simply a pure output capacitor, but an output loading circuit plus a source inductor , like that in Fig. 2(b), that brings in a matched input impedance for the transistor circuit over wide bandwidth. Here, subscript denotes feedback to stress these three components’ respective impact on the input reflection coefficient. In Fig. 3, the simulated and matched of both the transistor and its corresponding wide-band circuit are displayed. Curve 1 is from the transistor itself that has fF, fF, mS, and , and the drain temperature is set to 2300 K. Curve 2 is for the wide-band circuit that has an external source inductor pH connected to the transistor, and the transistor now has an output loading circuit with and fF. Simulation also reveals that , once added, suppresses the combined effect of and , and thus results in an almost constant noise temperature over wide bandwidth. Also, it is mainly and that bring upon the intended small input reflection coefficient at low frequency; as frequency increases, it is predominantly and that help in the lowering of . Since no external resistor appears at its input, this matched wide-band circuit tends to be low noise. Useful though the simulation is, no insight as to why it works that way can be extracted from this empirical approach.

Fig. 4. Proposed wide-band circuit can be decomposed into a resistive-loading circuit at high frequency and a capacitive-loading circuit at low frequency. as its output loading. (b) The (a) The resistive-loading circuit with R capacitive-loading circuit with C as its output loading. The external source inductor L is retained in both circuits.

Relevant equations will be developed below to explain the simulated results and how the wide-band mechanism works, followed by the implementation of a wide-band LNA. A bandwidth broadening mechanism suitable for ultra-wide-band LNA design is then proposed. Since any gain variation of the input transistor can always be compensated in the following stages of the LNA, and the impact of the transistor’s gain degradation on the overall noise temperature is only secondary when compared with the transistor’s directly contributed noise, gain performance will not be addressed in this paper. II. INPUT MATCHING ANALYSIS To facilitate the input-matching analysis, the wide-band circuit configuration needs to be decomposed into two sub-circuits like that of Fig. 4: one is with resistive output loading , which accounts for the high-frequency response of the original circuit; the other one is with capacitive output loading , which is used to explain the original circuit’s low-frequency behavior. The external source inductor exists in both cases. If both and can be neglected, input impedance of the resistive-loading circuit will be

(2) is much larger where it is assumed that the impedance of than that of , which is usually the case in designing an amplifier circuit. This expression can be best understood as that: the induced current flowing through inductor will generate a voltage that is in phase with the input current and, therefore, contributes a value of to the input resistance. Conceptually this interpretation is correct, but numerically it is inaccurate because of the omission of and . The impact of is that it will increase the effective input capacitance and, thus, reduces the amount of generated by ; while the finite-value will degrade the transconductance and, hence, lowers the induced current flowing through . A better approximation for the input impedance is

(3)

HU: WIDE-BAND MATCHED LNA DESIGN USING TRANSISTOR’S INTRINSIC GATE–DRAIN CAPACITOR

Fig. 5. Input reflection coefficient of the resistive-loading transistor circuit. (a) On the Smith chart, curves 1 and 2 correspond to the circuit with L = 200 and 38 pH, respectively. The solid curves are the simulated results; the dashed curves are the calculated ones using (3). (b) The same S expressed in decibels versus gigahertz.

Fig. 6. Variations of the capacitive-loading circuit used to facilitate the derivation of Z . (a) To find out the circuit’s input impedance, values of Y and Z , which are indicated by the arrows, need to be derived first. (b) The equivalent circuit from the input impedance’s point-of-view where R ;C ;L come from Y , while R ; C ; L are from Z .

Thus, the admittance looking into the with the degradation factor

1279

branch is

defined as

(7)

(4) In deriving (3), it is assumed

with

(5) is much smaller and the leakage current flowing through than the induced drain current. Of course, the matching frequency for , i.e., , is very high if not infinite. For the transistor under discussion, the required for matching only the real part of the input impedance will be a mere 38 pH using (2), and 200 pH if (3) is adopted. As expected, simulated results justify the choice of the latter one. Fig. 5 shows the input reflection coefficient from 0.1 to 20 GHz of the resistive-loading transistor circuit: the solid curves are the simulated results where curve 1 has and pH and curve 2 has and pH; the dashed curves are the calculated counterparts using (3). The high-frequency discrepancy between the solid and dashed curves is due to the assumption, i.e., (5), used in deriving the close-form expression for input impedance. If an external inductor is inserted to the input of the transistor circuit, a matched input impedance can be obtained at some finite-value frequency point; in this paper’s proposed wide-band circuit, however, this input inductor has been taken away. To facilitate the analysis of the capacitive-loading circuit, the induced current source needs to be converted into the induced voltage source , as in Fig. 6(a). Here, is the admittance looking into the branch and is the impedance looking into the branch. If the induced current is much larger than the current flowing through either or , the loop current can be approximated as

(6)

(8) Likewise, the impedance into the

branch is

(9) with

(10) and , input impedance of the capaciWith knowledge of tive-loading circuit can be easily found out as follows: (11) From the input point-of-view, the capacitive-loading circuit can be rearranged such as that of Fig. 6(b). The critical components are those on the branch, while the other two shunt branches offer some modifications, as can be verified through simulation. In Fig. 7, the solid curve is the simulated result with

1280

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 7. Calculated and simulated input reflection coefficient for the capacitive-loading circuit. (a) On the Smith chart, dashed curve 1 is the calculated result using (11), solid curve 1 is its simulated counterpart, and dashed curve 2 is the calculated one using R ; L ; C of (8) only. (b) The same results expressed in decibels versus gigahertz.

Fig. 8. Simulated input reflection coefficient of the transistor circuit with different values of R . (a) On the Smith chart, curves 1–5 correspond to = 0; 20; 40; 60, and 80 , respectively, while C and L are held R constant at 475 fF and 200 pH. (b) The same simulated results in decibels versus gigahertz.

fF and pH, dashed curve 1 is the calculated counterpart using (11), dashed curve 2 is the calculated result using only , and while all the other circuit components are neglected. The matching frequency can thus be approximated as [24]

Fig. 9. Simulated input reflection coefficient of the wide-band transistor circuit with different values of L . (a) On the Smith chart, curves 1–5 correspond to L = 0; 100; 200; 300, and 400 fF, respectively, while C and R are held constant at 475 fF and 40 . (b) The same simulated results in decibels versus gigahertz.

fF and pH. and all five curves are with Clearly, curve 3 presents the most desired wide-band characteristic. As for curve 2, its hook-shaped on the Smith chart resembles the circled input reflection coefficient contour of a typical wide-band LNA. Fig. 9 concerns the impact on of the source inductor : curves 1–5 are with pH, respectively, and all have fF and . Though it is curve 3 that is most coveted, other values of retain, to a certain degree, the wide-band characteristic, as tends to be running flat at high frequency. This suggests that what is most critical to a wide-band transistor circuit is the value of its output loading impedance. III. NOISE TEMPERATURE ANALYSIS and at temperature For a transistor with , its noise temperature can be expressed in terms of the generator impedance or the generator admittance as

(13) (12) With the discussed component values, the calculated frequency using (12) is 4.79 GHz and is very close to the simulated one. The 3-dB bandwidth can also be calculated accordingly. Since the resistive-loading circuit is matched at high frequency while the capacitive-loading circuit is matched at comparatively low frequency, the composite -loaded circuit is deemed to be matched over a wide bandwidth: it degenerates into the -loaded circuit at low frequency, thus matched, and becomes the -loaded circuit at high frequency and matched again. Now the wide-band input matching mechanism can be soundly explained. With a series used as the transistor’s output loading circuit while an inductor is connecting its source to ground, Fig. 8 shows the simulated input reflection coefficient of this transistor circuit. Here, curves 1–5 have and , respectively,

Thus, the increase with frequency of the noise temperature comes mainly from and, to a lesser extent, from . By using an input inductor to mitigate the capacitive effect, noise temperature of a narrow-band transistor circuit can be greatly reduced at one frequency point. To derive the noise-temperature expression for the wide-band circuit, its output loading needs to be replaced by a short circuit. Since the input noise temperature of a two-port circuit is a function of its generator impedance, but not its output loading impedance, this short-circuit arrangement is legitimate with the additional advantage of simplifying the derivation procedure. To avoid the mathematical entanglement while retain the underlying physics, capacitor will be omitted here. First, the relationship between the output current and noise current , which is generated by at temperature , has to be constructed, as indicated in Fig. 10(a). Since

HU: WIDE-BAND MATCHED LNA DESIGN USING TRANSISTOR’S INTRINSIC GATE–DRAIN CAPACITOR

Fig. 10. Schematics used for noise-temperature derivation. (a) Schematic from the R -accompanying noise used to derive the output short-circuit I current I . (b) Schematic used to obtain the equivalent generator noise voltage V from I .

the voltage voltage, is

on

, which is the gate voltage minus the source

(14)

1281

Fig. 11. Simulated and calculated noise temperatures of the wide-band transistor circuit with 50- generator impedance. (a) The solid curves are the simulated T with curves 1–5 corresponding to circuit with external source inductor L = 0; 50; 100; 150, and 200 fF, respectively; the overlapping dashed curves are the calculated counterparts using (20). (b) The solid curves are the same simulated T ; the dashed curves are the calculated results using (22), which is itself a rough approximation.

with

with (21) (15) Thus,

, a rough yet When the generator impedance is 50 , i.e., informative approximation is retaining the first three items of while setting to one; therefore,

(22) (16) has to be transformed to its equivalent input Now this noise voltage , which is generated by at temperature , as shown in Fig. 10(b). Since

(17) then

, It is now clear that the inclusion of the source inductor even of small value, brings in the inductive , thus leveling the noise-temperature curve. Fig. 11(a) shows the simulated and calculated noise temperatures with 50- generator impedance: solid curves 1–5 correspond to the simulated results with , and pH, respectively; the dashed curves are their calculated counterparts using (20). Validity of the rough approximation is confirmed in Fig. 11(b) where the solid curves are again the simulated results; the dashed curves are the calculated ones using (22). The reason why a large at high frequency tends to pull down to 0 K is because, in this extreme case, the lower end of the drain resistor becomes floating; thus, noise current can no longer reach the output loading circuit and be counted as effective noise.

(18) IV. WIDE-BAND LNA DESIGN AND CHARACTERIZATION Following the thermodynamic definition, the noise voltage and noise current per unit bandwidth will be [25] (19) where

is the Boltzmann’s constant. Thus, (20)

As a demonstration of the newly proposed input-matching method, a wide-band LNA using Raytheon’s metamorphic HEMT fabrication process is designed and measured. The constituting transistor is displayed in Fig. 12(a) and has its gate split into four fingers, each 0.1- m wide and 50- m long. Its -parameters, as measured on-wafer at room temperature, are shown in Fig. 12(b) where the solid curves have bias condition of V and mA and the dashed curves have V and mA. The gate currents in both cases

1282

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 14. Layout of the 10–20-GHz matched LNA. This three-stage circuit, with transistors numbered 1–3, has dimension of 2000 750 100 m and is fabricated by Raytheon.

2

2

Fig. 12. Raytheon transistor and its S -parameters measured on-wafer. (a) In this photograph, the wide air-bridges are connecting the source to the ground on top and bottom. (b) In the measured S -parameters, the solid curves correspond to V = 1:0 V and I = 12 mA; the dashed curves are with V = 0:6 V and I = 12 mA.

Fig. 13. Impact of the gate bias resistor on the circuit’s noise temperature. (a) A thin-film resistor (TFR) can be used for the transistor’s gate bias. (b) Noise temperature of the transistor circuit with different gate bias schemes employed.

Fig. 15. Schematic of the 10–20-GHz matched LNA. The resistance is in and S are the input ohms and the capacitance is in femtofarads. Here, S reflection coefficients into circuits indicated by their respective arrows. The air bridge indicated can be removed using a needle probe, thus allows the gate of the first-stage transistor to be separately biased.

are less than 1 A. Once extracted [26], parameters corresponding to the solid curves are used in this paper’s discussion of wide-band input matching. One concern in designing the wide-band LNA is about the implementation of the gate bias circuit, especially that of the first-stage transistor since it will directly affect the circuit’s noise performance. For a narrow-band LNA operating at the millimeter-wave frequency range, a grounded quarter-wave transmission line can be used since it behaves like an open circuit for the incoming signal [27]. However, the required quarter-wave line is too large to be implemented into the intended 10–20-GHz circuit chip; one viable option is using a large resistor, which is intrinsically wide-band and can be low loss. For a gate bias resistor , the increased noise temperature due to its thermal noise is , where is the ambient temperature. With 50- generator impedance, a 600- gate bias resistor contributes at least 24 K, to say nothing of the incoming signal loss. Since in the real circuit a lumped resistor has to be realized as a thin-film resistor, as in Fig. 13(a), its parasitic shunt capacitance will inevitably deteriorate the LNA’s noise performance. Fig. 13(b) compares the noise impact of different gate bias schemes: curve 1 is without any gate bias and is omitted; curve 2 now has included, but still has no gate bias circuit; curve 3 has , and an ideal lumped 600- resistor is used for gate bias; curve 4 adds an additional 40-fF parasitic capacitor in parallel with this 600- lumped resistor; curve 5 replaces

the lumped resistor and its parasitic capacitor with a 600thin-film resistor that is 5- m wide and 500- m long with 6- /square sheet resistivity; curve 6 takes a different approach by using an ideal 2000- m bond wire for gate bias. With the goal of minimizing the bias circuit’s noise impact, the off-chip scheme offers the best result. If out-of-band low-frequency is also desired to be small, this long bond wire’s other end can be connected to a 50- resistor without increasing much in-band noise. The 10–20-GHz LNA is a three-stage amplifier with common drain and gate biases, and is fabricated by Raytheon. It has dimensions of 2000 750 100 m , as in Fig. 14, where color shading have been inverted to highlight the constituting components. Fig. 15 shows the schematic of this LNA where the capacitance is in femtofarads and the resistance is in ohms. The indicated air bridge on the gate of the first stage can be removed using a needle probe, thus allows the first-stage transistor to be biased off-chip. and are the input reflection coefficients looking into the indicated direction. Compared with the 100- /square thin-film resistivity provided by TRW (now NGST), the 6- /square resistivity in this Raytheon fabrication process, though suitable for applications preferring a small temperature coefficient, tends to arouse more noise. The drain bias circuit of the first-stage transistor is composed of a rectangular spiral inductor and a 30- resistor; drain bias circuits of the second and third stages have their respective inductors realized using narrow transmission lines. This approach not

HU: WIDE-BAND MATCHED LNA DESIGN USING TRANSISTOR’S INTRINSIC GATE–DRAIN CAPACITOR

1283

Fig. 17. Simulated S of the LNA from 0.1 to 30 GHz. (a) There is no input bond wire in front of the circuit. (b) When input bond wire is included, the simulated S contour will rotate clockwise.

Fig. 16. Measured and simulated S and S of the LNA on-wafer at room temperature. The two darkened solid curves are the measured results; the other curves, as solid, dashed, and dotted, are the simulated ones. Another set of simulated S , where the input bond wire is taken into account, has also been included in this figure.

only tapers the gain curve, but also renders an output impedance less reactive. The inclusion of the 30- resistor on each drain branch, though slightly increasing the circuit’s power dissipation and noise temperature, ensures a negative (in decibels) at very low frequency and, therefore, unconditional stability. The 30- drain resistors on the second and third stages are further split into 10- and 20- ones, which, when combined with large bypass capacitors, form low-pass filters and shore up the signal isolation along the common drain bus. The first-stage transistor has it source connected to ground, i.e., the 100 100 m via pad, by way of a 110- m-long transmission line, which can be viewed as the aforementioned inductor. The source of the second (and also the third) transistor is directly connected to its two adjacent ground pads so the via’s inductive effect can be reduced and, thus, boosts the circuit’s gain response at high frequency. To have a matched output impedance, an 18- resistor is added. Since the LNA already has a large , this resistor-caused gain loss can be tolerated and its noise contribution is also small. The simulated and measured results of this circuit on-wafer at room temperature are presented in Fig. 16. Here, the darkened solid curves are the results measured on-wafer with V, mA, V, and A, and the testing power of the vector network analyzer is dBm; the other curves are the simulated results where three transistor models, extracted from different wafers and under different bias conditions, are employed. Since during packaging bond wire 200–300- m long has to be included at the input (and also output), its impact on has to be taken into account. Fig. 17(a) shows the simulated of the LNA from 0.1 to 30 GHz without the input bond wire. Once input bond wire is added, as in Fig. 17(b), this LNA becomes perfectly wide-band matched. As discussed in Section III, appropriate output loading impedance for the LNA’s first-stage transistor is crucial in achieving matched input impedance over wide bandwidth. Fig. 18(a) thus shows the simulated 10–20-GHz output loading

Fig. 18. Simulated output loading reflection coefficient for the first-stage transistor from 10 to 20 GHz. (a) Total output loading reflection coefficient. and S , which are defined (b) Two constituting reflection coefficients S in the LNA’s schematic.

reflection coefficient for the first-stage transistor and, again, three different transistor models are employed. Being on the lower half of the Smith chart, they resemble that of an circuit. A further decomposition is presented in Fig. 18(b), where is the input reflection coefficient looking specifically into the second-stage transistor and resembles of a one-port circuit; is the input reflection coefficient looking into the drain bias branch and is similar to of an circuit. After carrying out the -parameter measurement, this circuit is ready to be characterized in terms of noise. As known, noise temperature of a two-port circuit can be expressed as a function of its noise parameters: minimum noise temperature , noise ratio , and optimum reflection coefficient , which is a complex number, i.e., (23) is 290 K and is the generator rewhere flection coefficient. The reason why noise ratio rather than the conventional noise resistance has been adopted is because is invariant to lossless transformation [28]. The relationship between and is (24) is the optimum generator admittance. For a physical where two-port circuit, there is one more constraint, i.e., the value of must be larger than one, which implies the correlation coefficient between the two noise waves out of ports 1 and 2 cannot be larger than one [29], [30].

1284

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

Fig. 19. Schematic of the transistor circuit and its simulated noise temperature with 50- generator impedance. (a) In the schematic, R is assumed to be at ambient temperature, while R is at temperature T . (b) In the simulated and the T , solid curves 1–6 correspond to the transistor circuit with no L correlation coefficient between R -induced noise voltage and R -induced noise current is 0, 0.2, 0.4, 0.6, 0.8, and 1.0, respectively. The six dashed curves are for the transistor circuit with 200-pH L and the noise correlation coefficient is changing from 0 to 1 again.

Fig. 20. Measured and simulated noise characteristics of the LNA on-wafer at room temperature. (a) The solid curves are the measured 4T N ; T and T ; the accompanying dashed curves are their simulated counterparts with noise correlation coefficient changing from 0 to 1. (b) The measured and simulated 0 .

When a small gate resistor is included for better transistor modeling [31], [32], noise temperature of the transistor circuit, like that of Fig. 19(a), will now be a function of the correlation coefficient between the noise voltage from at temperature and the noise current from at temperature . The simulated results are presented in Fig. 19(b) where the solid curves are without any , while dashed curves have a 200-pH source inductor added to the transistor. Curves 1–6 correspond to correlation value of 0, 0.2, 0.4, 0.6, 0.8, and 1.0, respectively. Here, is set to 1 and is assumed to be 2300 K. Due to the difficulty in carrying out noise-parameter measurement accurately down to the transistor level [33], different (rather than one specific) values of the correlation coefficient are used in the LNA’s noise simulation. Fig. 20 shows both the measured and simulated noise characteristics of the LNA on-wafer at room temperature with set to 2300 K. The solid curves are the measured results with LNA biased at 36 mA and 1.1 V, and the dashed curves are the simulated counterparts with the noise correlation coefficient changing from 0 to 1, with step size equal to 0.2. It is the LNA’s small and large at 3 GHz that set the low-frequency limit in the noise measurement; the 18-GHz high-frequency measurement limit is imposed by the available frequency range of the

Fig. 21. Packaged LNA with the integrated circuit inside. The input and output 50- transmission lines are on the Duroid 3010 substrate. In addition to the two capacitors for drain and gate biases, a third capacitor is added at the input of the circuit for dc-blocking purpose.

Fig. 22. S -parameters and noise temperature of the packaged LNA at room temperature. (a) Measured S -parameters of this packaged LNA. S is below 20 dB and not displayed here. (b) Measured noise temperature with 50-

generator impedance.

0

noise source itself. The LNA’s noise parameters, as measured on-wafer using a wide-band frequency-variation method [34], has its in-band ratio equal to 1.7, which is a reasonable number. The high-frequency discrepancy between the simulated and measured curves can be accounted for in terms of the slightly smaller in the simulation at high frequency. Revised modeling of the constituting components should result in more agreeing curves, thus minimizes the noise temperature difference. Simulated , nevertheless, shows little dependency on the noise correlation coefficient and is close to the measured one. The packaged LNA is shown in Fig. 21. Both the input and output 50- microstrip lines are on a 10-mil Duroid 3010 substrate, which has a large dielectric constant and is easy to handle, but tends to be lossy at high frequency. The circuit is attached using silver epoxy to the gold-plated copper chassis, which is designed to have isolation better than 40 dB at 20 GHz when the amplifier circuit is not biased. Since the on-chip input capacitor has been short circuited accidentally, an external chip capacitor for dc blocking has to be added. Due to the unleveled top surfaces of this chip capacitor and the amplifier circuit, a longer 1-mil input bond wire has to be used, and that causes the LNA’s to be matched at the slightly lower 10 and 15 GHz. A more agreeable should be obtained by using a connector-type dc-blocking capacitor at the input. Fig. 22 shows the measured -parameters and noise temperature of this packaged LNA. The

HU: WIDE-BAND MATCHED LNA DESIGN USING TRANSISTOR’S INTRINSIC GATE–DRAIN CAPACITOR

Fig. 23. 100-m transistor and its corresponding double-feedback circuit configuration for ultra-wide-band application. (a) Photograph of this 100-m transistor, which has its gate split into two fingers. (b) In the double-feedback is added to increase the equivalent circuit configuration, an external C gate–drain capacitance, thus allowing a smaller transistor (such as this 100-m one) to be used.

1285

Fig. 24. Simulated input reflection coefficients and noise temperatures of three ultra-wide-band transistor circuits, as specified in Table I. (a) S in decibels versus gigahertz. (b) The corresponding T with 50- generator impedance. TABLE I THREE DIFFERENT ULTRA-WIDE-BAND TRANSISTOR CIRCUITS

flat 9-dB curve toward 20 GHz exhibits the typical wideband characteristic. This LNA can be cooled down in cryostat to have ultra-low noise temperature suitable for radio-astronomy and atmospheric applications [35]–[37]. V. BANDWIDTH BROADENING FOR POTENTIAL ULTRA-WIDE-BAND LNA DESIGN Thus far in this paper, we have analyzed and demonstrated the feasibility of designing a matched 10–20-GHz LNA using the novel wide-band approach. Compared with the 20-GHz high end where further design iterations ensure an even smaller input reflection coefficient, much of the design challenge lies in achieving a good at 10 GHz, which is indeed the limiting factor in determining the circuit’s relative bandwidth. One may wonder whether this 10-GHz frequency point can be halved to have an ultra-wide-band 5–20-GHz LNA. Granted, the most straightforward way is using a larger transistor, probably twice the original size. Based on the wide-band theory contrived, the second approach is keeping the transistor unchanged while adding an external capacitor in parallel with the intrinsic capacitor , thus boosting the intended feedback response at low frequency. Naturally, the third approach is having a small 100- m transistor in combination with a large . Fig. 23(a) shows the 100- m transistor, which has a layout that resembles the 200- m transistor, but has the number of fingers halved from 4 to 2. It is, therefore, reasonable to assume that its intrinsic parameters can be linearly scaled from that of the 200- m one. Fig. 23(b) shows the ultra-wide-band circuit configuration with double feedback formed by and . The simulated and of these ultra-wide-band circuits, with K, are presented in Fig. 24 where curve 1 is with a 100- m transistor and a large ; curve 2 is with a 200- m transistor and a small , and curve 3 is with a 400- m transistor and has no . Though roughly in par with curve 2, it is the double power dissipation that runs against curve 3. The reason why curve 1 has a much larger noise temperature at low frequency is because is inversely proportional to [see (13)] and, thus, is inversely proportional to the size of the transistor. Parameters used in the simulation are tabulated in Table I where row 1 is for the 100- m transistor circuit, row 2 is for the 200- m one, and row 3 is for the 400- m one.

Here the resistance is in ohms, capacitance is in femtofarads, and inductance is in picohenrys. Of course, if we want to design an LNA with even wider bandwidth, a slightly larger transistor with some moderate value of has to be contemplated given that the transistor’s parameters are difficult to manipulate separately through process techniques. VI. CONCLUSION In this paper, a novel feedback approach for wide-band LNA design has been suggested and analyzed in detail. Agreements between the mathematical expressions and their simulated counterparts have confirmed this approach’s validity. A 10–20-GHz wide-band LNA was then designed and characterized. This is the first time an accurate and thorough account of how wide-band LNA works has been carried out has been presented. The methodology proposed here will be useful for the future design of ultra-wide-band LNAs. ACKNOWLEDGMENT The author thanks S. Weinreb, J. Zmuidzinas, D. Miller, M. Edgar, J. Kooi, and N. Wadefalk, all with the California Institute of Technology, Pasadena, J. Ward and G. Chattopadhyay, both with the Jet Propulsion Laboratory (JPL), Pasadena, CA, S. I. Tsai, L. Yang and T. H. Sang, all with the National Chiao Tung University (NCTU), Hsin-Chu, Taiwan, R.O.C., F. K. Y. Lo, with the National Radio Astronomy Observatory (NRAO), Charlottesville, VA, and the anonymous reviewers of this TRANSACTIONS for suggestions and encouragement. REFERENCES [1] R. C. Mott, “A GaAs monolithic 6 GHz low-noise amplifier for satellite receivers,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 3, pp. 565–570, Mar. 1989. [2] F. Seguin, B. Godara, F. Alicalapa, and A. Fabre, “A gain-controllable wide-band low-noise amplifier in low-cost 0.8-m Si BiCMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 154–160, Jan. 2004.

1286

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

[3] D. Barras, F. Ellinger, H. Jackel, and W. Hirt, “A low supply voltage SiGe LNA for ultra-wideband front ends,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 10, pp. 469–471, Oct. 2004. [4] S. Weinreb, D. L. Fenstermacher, and R. W. Harris, “Ultra-low-noise 1.2–1.7-GHz cooled GaAsFET amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-82, no. 6, pp. 849–853, Jun. 1982. [5] N. R. Erickson, R. M. Grosslein, R. B. Erickson, and S. Weinreb, “A cryogenic focal plane array for 85–115 GHz using MMIC preamplifiers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2212–2219, Dec. 1999. [6] N. Wadefalk, A. Melberg, I. Angelov, M. E. Barsky, S. Bui, E. Choumas, R. W. Grundbacher, E. L. Kollberg, R. Lai, N. Rorsman, P. Starski, J. Stenarson, D. C. Streit, and H. Zirath, “Cryogenic wide-band ultra-lownoise IF amplifiers operating at ultra-low DC power,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1705–1711, Jun. 2003. [7] G. Gonzalez, Microwave Transistor Amplifiers Analysis and Design. Englewood Cliffs, NJ: Prentice-Hall, 1984. [8] R. Goyal, High-Frequency Analog Integrated Circuit Design. New York: Wiley, 1995. [9] G. D. Vendelin, A. M. Pavio, and U. L. Rohde, Microwave Circuit Design Using Linear and Nonlinear Techniques. New York: Wiley, 1990. [10] Y. J. Jeon, M. Y. Jeon, J. M. Kim, Y. H. Jeon, D. H. Jeon, and D. M. Kim, “Monolithic feedback low noise X -band amplifiers using 0.5-m GaAs MESFET’s: Comparative theoretical study and experimental characterization,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 275–279, Feb. 1998. [11] C. P. Chang and H. R. Chuang, “0.18 m 3–6 GHz CMOS broad-band LNA for UWB radio,” Electron. Lett., vol. 41, pp. 696–697, Jun. 2005. [12] C. H. Liao and H. R. Chuang, “A 5.7-GHz 0.18-m CMOS gain-controlled differential LNA with current reuse for WLNA receiver,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 526–528, Dec. 2003. [13] F. Bruccoleri, E. Klumperink, and B. Nauta, “Generating all two-MOStransistor amplifiers leads to new wide-band LNA,” IEEE J. Solid-State Circuits, vol. 36, no. 7, pp. 1032–1040, Jul. 2001. [14] J. S. Goo, H. T. Ahn, D. J. Ladwig, Z. Yu, T. H. Lee, and R. W. Dutton, “A noise optimization technique for integrated low-noise amplifiers,” IEEE J. Solid-State Circuits, vol. 37, no. 8, pp. 994–1002, Aug. 2002. [15] T. K. Nguyen, C. H. Kim, G. J. Ihm, M. S. Yang, and S. G. Lee, “COMS low-noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1079–1085, Aug. 1990. [16] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2004. [17] W. L. Jung and J. S. Wu, “Stable broad-band microwave amplifier design,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1079–1085, Aug. 1990. [18] H. J. Carlin and P. P. Civalleri, “An algorithm for wide-band matching using Weiner–Lee transforms,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 39, no. 7, pp. 497–505, Jul. 1992. [19] A. Ismail and A. A. Abidi, “A 3–10-GHz low-noise amplifier with wideband LC -ladder matching network,” IEEE J. Soild-State Circuits, vol. 39, no. 12, pp. 2269–2277, Dec. 2004. [20] R. Hu and M. S. Yang, “Investigation of different input-matching mechanisms used in wide-band LNA design,” Int. J. Infrared Millim. Waves, vol. 26, pp. 221–245, Feb. 2005. [21] K. H. Duh, M. W. Pospieszalski, W. F. Kopp, P. Ho, A. A. Jabra, P. C. Chao, P. M. Smith, L. F. Lester, J. M. Ballingall, and S. Weinreb, “Ultra-low-noise cryogenic high-electron-mobility transistors,” IEEE Trans. Electron Devices, vol. 35, no. 3, pp. 249–256, Mar. 1988. [22] P. C. Chao, S. C. Palmateer, P. M. Smith, U. K. Mishra, K. H. Duh, and J. C. M. Huang, “Millimeter-wave low-noise high electron mobility transistor,” IEEE Electron Device Lett., vol. EDL-6, no. 10, pp. 531–533, Oct. 1985.

[23] P. R. Jay et al., “Design of TEGFET device for optimum low-noise highfrequency operation,” IEEE Trans. Electron Devices, vol. ED-33, no. 5, pp. 590–594, May 1986. [24] R. Hu, “An 8–20 GHz wide-band LNA design and the analysis of its input matching mechanism,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 528–530, Nov. 2004. [25] P. R. Gray and R. G. Meyer, Analysis and Design of Analog Integrated Circuits. New York: Wiley, 1993. [26] A. Eskandarian and S. Weinreb, “A note on experimental determination of small-signal equivalent circuit of millimeter-wave FETs,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 1, pp. 159–162, Jan. 1993. [27] M. Morgan, “Millimeter-wave MMIC’s and application,” Ph.D. dissertation, Dept. Elect. Eng., California Inst. Technol., Pasadena, CA, 2003. [28] J. Lange, “Noise characterization of linear two ports in terms of invariant parameters,” IEEE J. Solid-State Circuits, vol. SC-2, no. 6, pp. 37–40, Jun. 1967. [29] M. W. Pospieszalski, “Modeling of noise parameters of MESFET’s and MODFET’s and their frequency and temperature dependence,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 9, pp. 1340–1350, Sep. 1989. [30] M. W. Pospieszalski and W. Wiatr, “Comments on ‘Design of microwave GaAs MESFET’s for broad-band low-noise amplifier’,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 1, pp. 194–194, Jan. 1986. [31] K. H. Duh, P. C. Chao, P. M. Smith, L. F. Lester, B. R. Lee, J. M. Ballingall, and M. Y. Kao, “High-performance Ka-band and V -band HEMT low-noise amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 12, pp. 1598–1603, Dec. 1988. [32] J. Chen and B. Shi, “Impact of intrinsic channel resistance on noise performance of CMOS LNA,” IEEE Electron Device Lett., vol. 23, pp. 34–36, Jan. 2002. [33] R. Hu and T. Z. Sang, “On-wafer noise parameter measurements using wide-band frequency-variation method,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2398–2402, Jul. 2005. [34] R. Hu and S. Weinreb, “A novel wide-band noise-parameter measurement method and its cryogenic application,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1498–1507, May 2004. [35] R. Hu, “Analysis of the input noise contribution in the noise temperature measurements,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 3, pp. 141–143, Mar. 2005. [36] F. Rice, M. Sumner, J. Zmuidzinas, R. Hu, H. G. Leduc, A. I. Harris, and D. Miller, “SIS mixer design for a broad-band millimeter spectrometer suitable for rapid line surveys and redshift determinations,” Proc. SPIE–Int. Soc. Opt. Eng., vol. 4855, pp. 301–311, Feb. 2003. [37] I. L. Fernandiz, J. D. Gallego, C. Diez, A. Barcia, and J. M. Pintado, “Wide-band ultra low noise cryogenic InP IF amplifiers for the Hershel mission radiometers,” Proc. SPIE–Int. Soc. Opt. Eng., vol. 4855, pp. 489–500, Feb. 2003.

Robert (Shu-I) Hu received the B.S.E.E. degree from the National Taiwan University, Taipei, Taiwan, R.O.C., in 1990, and Ph.D. degree from The University of Michigan at Ann Arbor, in 2003. From 1996 to 1999, he was with Academia Sinica, Taipei, Taiwan, R.O.C., where he was involved with millimeter-wave receivers. During 1999 and 2003, he was with the California Institute of Technology, Pasadena, where he was involved with millimeter-wave wide-band receiver. He is currently with the Department of Electronics Engineering, National Chiao Tung University, Hsin-Chu, Taiwan, R.O.C. His research interests include microwave and millimeter-wave electronics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1287

Capture High-Frequency Partial Inductance More Accurately by Gauss Quadrature Integration With Skin-Effect Model Yu Du and Wayne Dai

Abstract—Simulating the current distribution is the key to extracting equivalent frequency-dependent partial inductance and resistance for a very large scale integration circuit by integralequation-based numerical methods. However, it is very difficult to calculate the current distribution and the electromagnetic (EM) field induced by the current due to skin effect and proximity effect at high frequency. In this paper, we propose a skin-effect model and view the current distribution as two parts, i.e., the skin-effect part and the smooth part. We use the current density at certain Gaussian points to approximate the total current and the EM field induced by it. This approach can achieve the highest algebra accuracy for the smooth part of the current distribution function with the same number of current density points, and experiments show that our method is more efficient and accurate than traditional piecewise-constant or piecewise-linear methods to extract frequency-dependent partial inductance and resistance. Index Terms—Gauss quadrature, parameter extraction, skin effect.

inductance,

parasite

I. INTRODUCTION

M

ODERN very large scale integration (VLSI) chips are stepping into the era with ultra-deep submicrometer technology or even nanotechnology, gigahertz clock speed, and a billion transistor layout. Many difficulties are faced in VLSI design such as large interconnect delay, big leakage current, and strong interconnect coupling, which leads to the predictable scaling of CMOS technology, which will not be promising in the future [7]. However, Moore’s Law continues taking effect since lower supply voltage, hierarchical wire width, and copper wiring are employed in layout designs. Bigger interconnect delay and coupling increases the importance of interconnect parasite parameter extraction and linear network simulation, while the huge element number and high clock speed make them difficult. Some efficient methods has been proposed to calculate interconnect capacitance accurately, such as the quasi-multiple medium (QMM) technique [21], [22]. Besides the parasitic capacitance, interconnect parasitic resistance is reduced by using hierarchical wire width design, as well as the introduction of much less resistive copper wires. At the same time, the on-chip inductance effect becomes more and more critical.

Manuscript received October 31, 2005. Y. Du is with Synopsys Inc., Mountain View, CA 94043 USA (e-mail: [email protected]). W. Dai is with the VeriSilicon Holdings Company Ltd., Santa Clara, CA 95054 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.864096

Due to the unknown return-path paradox, capturing the on-chip inductance effect is very difficult and Rosa developed the concept of partial inductance [16] to avoid the loop problem by assuming that each segment has a virtual loop closed at infinity. Ruehli introduced partial inductance to the circuit design field [17] and proposed the partial equivalent element circuits (PEECs) model for a general three-dimensional (3-D) structure of on-chip interconnects. However, on-chip interconnect partial inductance is very difficult to extract due to two reasons: one is the global effect of partial inductance and the other is the well-known skin effect. A large amount of conductor segments need to be considered in partial inductance extraction because the partial inductance effect is global and each conductor segment needs to be meshed into many filaments to capture the nonuniform current distribution from the skin effect. Much research had been performed to make the partial inductance effect local to reduce the number of conductor segments during extraction, such as the “shift-truncate” method [11], “return-limited loop inductance” concept [18], and partial-reluctance-based approach [3], [5]. Also, a large amount of research makes simulating the nonuniform current distribution on the interconnect and the lossy substrate more efficient by particular treatment of calculating the Green’s function [14], [15], using adaptive nonorthogonal ground-plane meshing [12], or introducing modes basis functions [2]. However, an adequate number of conductor filaments or basis functions is needed if the nonuniform current distribution at high frequency needs to be captured accurately. It is easy to see, at very high frequency, that it is very hard to capture the current near the edges or corners by a uniform mesh because the current varies very much at those places. Clearly, a nonuniform mesh needs to be adopted, but what is the best way to do the nonuniform mesh? With the same total number of filaments, we need to find a nonuniform mesh approach to achieve the highest or near highest accuracy of current distribution simulation. Before introducing our approach, in Section II we first study the current distribution in a conductor segment with a rectangular cross section at high frequency. After a brief introduction for the discretized mixed potential integration in Section III, we propose the Gaussian integration with a skin-effect model in Section IV, including the proof of existence and algorithm for calculating Gaussian points and Gaussian weights. Some experimental results are presented to show the advantage of Gaussian integration with the skin-effect model over the uniform mesh and nonuniform mesh of other approaches. Finally, a summary is presented in Section VI.

0018-9480/$20.00 © 2006 IEEE

1288

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

The skin depth [6] is introduced to model the skin effect, which is denoted by as follows: (1) For a half-space good conductor, which means the current flows in the -direction, region is the good conductor and region is a perfect dielectric, the current density can be expressed as [6] (2)

Fig. 1. Current distribution at 400 MHz.

For the conductors with rectangular cross section, which we are interested in, the current density at can be estimated by the distance of the point to the four surfaces of the conductor. The current density estimation based on skin depth on a conductor with cross section is called the skin-effect base function in this paper, which is shown as follows: (3) Equation (3) is only an estimation, but not exactly the current distribution on a conductor. The real current density can be expressed as follows: (4)

Fig. 2. Current distribution at 4 GHz.

II. SKIN-EFFECT MODEL It is well known that current flows near the outer surface of a conductors at high frequency, which is called the skin effect. The current distribution on a cylinder shaped conductor can be analytically modeled, but unfortunately, no analytical forms are available for conductors with rectangular cross section. Numerical methods have to be adopted to calculate the current density on conductors of VLSI circuits whose cross sections are approximated as rectangular. Figs. 1 and 2 show the numerical simulation results of the current distribution on the cross section of a 20- m-wide, 10- m -high, and 200- m-long copper conductor segment. The frequency of the current are 400 MHz and 4 GHz, respectively. From Fig. 2, it can be noticed that the current density varies greatly near the corners and edges of the conductor cross section at high frequency. In such cases, the conductor cross section has to be meshed into numerous small patches to achieve enough current distribution accuracy by numerical methods, which is time consuming or even impractical. A way to model the skin effect needs to be found with only a smooth distribution function to be numerically simulated in the skin-effect model.

where is the smooth part of the current distribution. Figs. 3 and 4 show the magnitude of the smooth part of the current distribution on a 20 m 10 m cross-sectional conductor at 400 MHz and 4 GHz. It can be noticed that the smooth part of the current distribution does not oscillate significantly, which means that it is easy to be integrated or interpolated by numerical methods. We can transfer the current distribution problem on the cross section of a conductor, which is a two-dimensional (2-D) problem, into two one-dimensional (1-D) ones by decomposing the skin-effect base function in (5) as follows (Later discussion in this paper can focus on the 1-D current distribution problem only.): (5)

III. SOLVE THE DISCRETIZED MIXED-POTENTIAL INTEGRAL EQUATION (MPIE) We have Maxwell’s equations in free space

(6)

DU AND DAI: CAPTURE HIGH-FREQUENCY PARTIAL INDUCTANCE MORE ACCURATELY

1289

The Gauss quadrature integration with the skin-effect model approach in this paper can be expanded from quasi-static to full-wave form by considering the displacement current in the Green’s function and solving electrical and magnetic equations together. It can also be easily expanded from unidirectional problems to nonunidirectional problems by separating the current density vector into three orthogonal ones, i.e., , , and . The numerical techniques for parameter extraction based on the MPIE actually select several evaluation points inside or on the surface of the conductors and use the quadrature rules [8] to approximate the scalar potential, vector potential, and total current on a conductor by the current density at the evaluation points. The problem of solving integral equations then becomes one of solving a set of linear equations as follows: (10)

Fig. 3. Smooth part of the current distribution at 400 MHz.

is the Green’s function, which equals , in 3-D free space. It is clear that the quality of the approximation of (9) by (10) is critical to the accuracy of the extraction results based on integral equations. Different selection of the evaluation points and weights can achieve different accuracies in the integration. Since polynomials are usually used to approximate a function to be integrated and it is easy to calculate the integration of a polynomial accurately, here we use algebra accuracy defined as follows to represent the accuracy level of the integration. Definition 1: Suppose numerical integration method has the error term where

(11) Fig. 4.

Smooth part of the current distribution at 4 GHz.

and these can be transformed into integral equations with scalar potential and vector potential using the Coulomb’s gauge or Lorentz’s gauge [9] (7)

(8) In this paper, we are interested in the VLSI circuit, where the wire length is much less than the wave length, and the displacement current can be ignored. The current perpendicular to the wire segment length direction can also be ignored when people are calculating the partial inductance between the two ends of the wire segment. With the above two approximations, the magnetic part of (8) can be written in quasi-static form with unidirectional current as follows: (9)

For any polynomial of degree less than or equal to , if , the algebra accuracy of the numerical integration method is . For a smooth function, it is easy to see that the higher the algebra accuracy of the numerical integration approach, the higher the actual accuracy it can achieve. Numerical integration based on piecewise-constant, piecewise-linear, and piecewise-parabola interpolation are shown separately in Figs. 5–7, whose algebra accuracy are 0, 1, and 2, respectively. The numerical integration problem like (8) can be formulated as follows: (12) Here, the integrand has two parts: a weighting function and . The analytical form of the weighting function is known prior to the calculation, but it may not be smooth. On the other hand, is usually smooth, but it may not have an analytical form. In our problem, which is based on the MPIE, the integrand in (12) is proportional to the current density distribution . From the skin-effect model in Section II, can be viewed as , where is the skin-effect base function

1290

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

given in (3) and will be very smooth based on the conclusion in Section II. The skin-effect base function is known when the working frequency, conductivity of the conductors, and dimension of the conductors are given, thus, it can act as the weighting function in (12). We develop a Gauss quadrature integration with the skineffect base function as the weighting function, and the smooth function can achieve the highest possible algebra accuracy in this way. IV. GAUSS QUADRATURE INTEGRATION WITH SKIN-EFFECT PART

Fig. 5.

Curve approximated by a piecewise-constant function.

Fig. 6. Curve approximated by a piecewise-linear function.

With the model and preliminary knowledge in Sections II and III, we can work with a smoother function when solving the current distribution problem, and discretize the MPIE equations in a more efficient way. If we carefully choose the evaluation points and the weights, we can achieve surprisingly high integration accuracy. Gauss quadrature rules select points and weights to calculate the integration numerically on a certain zone; this can achieve algebra accuracy of , which is the maximum possible algebra accuracy a numerical integration method with evaluation points can achieve. The Gauss quadrature rule selects Gaussian points and Gaussian weights, which are defined as follows, to achieve highest possible algebra accuracy for . Definition 2: If (12) can achieve algebra accuracy for , the evaluation points and weights are called Gaussian points and Gaussian weights for weighting function , respectively. If we have the Gaussian points and Gaussian weights for a certain weighting function and they are used in (12) to calculate the integration, the integration accuracy will be significantly improved and the calculation time well be significantly reduced. Before introducing the way to obtain Gaussian points and Gaussian weights, we study the orthogonal polynomial, which is closely related to Gaussian points. Definition 3: For a function and zone , we say that function is orthogonal with function if and only if (13)

Fig. 7.

Curve approximated by a piecewise-parabola function.

and integraDefinition 4: For weighting function tion zone , we call a polynomial of degree equal to and order- a orthogonal polynomial if and only if is orthogonal with any polynomial of degree lower than . The relationship of Gaussian points and the order- orthogonal polynomial is given in Lemma 1 and is proven in [4] and [13]. The problem of calculating the Gaussian points and Gaussian weights can then be transformed into finding the order- orthogonal polynomial for a weighting function in the integration zone . Lemma 1: evaluation points are Gaussian points if and only if they are roots of an order-

DU AND DAI: CAPTURE HIGH-FREQUENCY PARTIAL INDUCTANCE MORE ACCURATELY

1291

Equation (16) is actually a set of linear equations about un. It can be clearly written as knowns

TABLE I KNOWN ORTHOGONAL POLYNOMIALS

.. . orthogonal polynomial for weighting function and integration zone . Mathematicians have already found some order- orthogonal polynomials for certain weighting functions and integration zone . These are shown in Table I. However, in our problem, where is the skin-effect base function, the orderorthogonal polynomial and Gaussian points have not been found in earlier studies. In the following, we will present a method for calculating the Gaussian points and Gaussian weights for weighting function , i.e., the skin-effect base function. The availability of Gaussian points for our case is guaranteed by the following theorem. Theorem 1: For the weighting function , where and , and the integration zone , the order- orthogonal polynomial exists and it has real roots in zone . Our strategy to prove Theorem 1 is to do it in two steps: prove the existence of the order- orthogonal polynomial first and then prove it has real roots in zone . Lemma 2: For the weighting function , where and , and the integration zone , the order- orthogonal polynomial exists. For a polynomial with a degree lower than , it can be written as

(14)

is orthogonal with 1, , and , it must If be orthogonal with . Thus, we just need to prove there exists , a polynomial of degree equal to orthogonal with 1, , and . A polynomial of degree equal to with its highest coefficient be 1 can be written as

(15)

(17) can be obtained from the The unknowns linear equations in (17). The order- orthogonal polynomial then exists. Lemma 3: If it exists, the order- orthogonal polynomial has real roots and all the roots are in zone . Lemma 3 can be proven by induction. With Lemma 2, we know exists. Let in (13), we then have (18)

Since and are bounded and continuous on zone and , by the first mean-value theorem for integrals [19], there exists , which satisfies

(19)

and because , we know , which means has at least one real root on zone . The initial condition of induction is then proven. Suppose it is proven that order- orthogonal polynomial has at least real roots on zone , and we name these real roots: . Let . can be written as (20) is a polynomial of degree equal to where is a polynomial of degree equal to and orthogonal with for on integration zone

,

. Since is , i.e.,

(21)

is orthogonal with 1, , the following If equations need to be satisfied according to (13): Combine (20) and (21),

(22) .. . (16)

Since zone , and

and

are bounded and continuous on , except at a limited number of

1292

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

points, by the first mean-value theorem for integrals [19] there also exists , which satisfies (23)

and because , we know , which means has one more real root on zone . By induction, it can be concluded that order- orthogonal polynomial has real roots on zone . With the proof of Lemmas 2 and 3, Theorem 1 is proven. Together with Lemma 1, we know that Gaussian points for the exist. weighting function as If the Gaussian points for a certain weighting function on a certain zone exists, the Gaussian weights must also exist [1], [20]. The results in [1] and [20] also tell us that the Gaussian weights are all positive if the weighting function stays positive on the integration zone and the Gaussian integration is stable. We now developed an algorithm to calculate orderGaussian points and weights for the weighting function as the skin-effect function . Four major parts are included in the following algorithm. 1) Calculate the constants. 2) Obtain the orthogonal polynomial. 3) Find the Gaussian points. 4) Calculate the Gaussian weights. For a given frequency, the skin depth can be calculated through (1). We also need to normalize the width (or thickness) of the conductor to one for convenience, and the skin depth factor will be divided by the width (or thickness) of the conductor. Calculate the integrations for , ,

Fig. 8. Gaussian points on the cross section of a 20 m at 300 MHz.

2 10 m conductor

Fig. 9. Gaussian points on the cross section of a 20 m at 3 GHz.

2 10 m conductor

In this way, we have the Gaussian points . Placing the known Gaussian points into the following linear equations:

(24) and we have

.. .

linear equations

.. . (25) Solving (25) for , we have the order- orthogonal polynomial for the weighting function as the skin-effect function (26) Using Newton’s method [8], we can find one root of —let us call it . From Lemma 3, we know . Dividing by , we have orderpolynomial . Doing the same thing on as is done on , we can get and . Repeat this step until we have , which are the roots of the order- orthogonal polynomial .

(27) we obtain the Gaussian weights by solving (27). Since the skin-effect function is only correlated to the frequency and the width (or thickness) of the conductor, we can calculate the Gaussian points and weights offline and store the result for real time extraction use. V. EXPERIMENTAL RESULTS We implemented our algorithm to calculate the Gaussian points and Gaussian weights for the skin-effect model in C programming language. We calculate and store the Gaussian point and weights for a conductor with rectangular cross section at a certain frequency with certain conductivity, width, and thickness. Figs. 8 and 9 show the Gaussian points obtained by our algorithm when the frequencies are 300 MHz and 3 GHz. The cross section of the conductor is 20 m 10 m.

DU AND DAI: CAPTURE HIGH-FREQUENCY PARTIAL INDUCTANCE MORE ACCURATELY

1293

VI. SUMMARY

Fig. 10.

Resistance obtained by different mesh methods and filament numbers.

In this paper, we have proposed a skin-effect model for conductors with rectangular cross section at high frequency and developed a Gaussian quadrature integration algorithm based on the MPIEs and our skin-effect model. The Gaussian quadrature integration algorithm proposed in this paper is backed by the Gaussian quadrature theory and its correctness has been proven in Section IV. The skin-effect model divides the current distribution into the skin-effect part and smooth part, where the former is known prior to the extraction and the latter is smooth and very easy to approximate by a low-order polynomial. In this way, the current distribution calculation can be concentrated on the smooth part, which is much easier to integrate and simulate numerically. With the same number of filaments, or the roughly the same calculation time, Gaussian quadrature integration can achieve the maximum algebra accuracy in theory. The experimental results also shows the higher accuracy of Gaussian integration in high-frequency partial inductance and resistance extraction, compared with the Fasthenry’s algorithm and traditional uniform mesh. REFERENCES

Fig. 11. Self partial inductance obtained by different mesh methods and filament numbers.

The calculated Gaussian points and weights are applied in our inductance extraction program. To show the accuracy enhancement obtained by the Gaussian quadrature integration, we compare the inductance and resistance extraction results by our Gaussian quadrature integration algorithm, the Fasthenry’s mesh/extraction algorithm [10], and the traditional extraction by uniform mesh. Figs. 10 and 11 show the accuracy comparison on extracting the resistance and inductance of a 100 m 20 m 0.2 m microstrip conductor at 10 GHz. The microstrip is meshed into 2 3 3 3 9 3 filaments by three different mesh algorithms in extraction, i.e., the simple uniform mesh, Fasthenry’s mesh algorithm, and our Gaussian integration with the skin-effect model. We mesh the microstrip into 81 3 filaments to extract resistance and inductance and view them as the “accurate” result. We compare the extraction results by different number of filaments for the three algorithm to this “accurate” result. From Figs. 10 and 11, we see that with the same number of filaments, the extraction using Gaussian integration with the skin-effect model yields the most accurate results and the Fasthenry’s algorithm follows. For this microstrip conductor case, 4 3 filaments mesh by Gaussian integration is enough to capture the resistance and inductance at 10 GHz, while we need 6 3 filaments to have result accurate enough by Fasthenry’s algorithm and more than 9 3 filaments for uniform mesh.

[1] E. K. Blum, Numerical Analysis and Computation, Theory and Practice. Reading, MA: Addison-Wesley, 1972. [2] L. Daniel, A. Sangiovanni-Vincentelli, and J. White, “Using conduction modes basis functions for efficient electromagnetic analysis of on-chip and off-chip interconnect,” in Proc. Des. Automation Conf., Jun. 2001, pp. 563–566. [3] A. Devgan, H. Ji, and W. Dai, “How to efficiently capture on-chip inductance effect: introducing a new circuit element ,” in Proc. IEEE Int. Comput.-Aided Des. Conf., Nov. 2000, pp. 150–155. [4] L. Ding, Numerical Computing Methods. Beijing, China: Beijing Polytech. Univ. Press, 1997. [5] Y. Du, D. Liu, and W. Dai, “Capture partial reluctance more efficiently for complex interconnect structures,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1389–1392. [6] W. H. Hayt, Engineering Electromagnetics. San Francisco, CA: McGraw-Hill, 1989. [7] M. Horowitz, “CMOS, scaling, and the future,” presented at the IEEE/ACM Int. Comput.-Aided Des. Conf., Nov. 2003. [8] M. B. Allen III and E. L. Isaacson, Numerical Analysis for Applied Science. New York: Wiley, 1997. [9] J. D. Jackson, Classical Electrodynamics. New York: Wiley, 1975. [10] M. Kamon, M. J. Tsuk, and J. K. White, “FASTHENRY: A multipoleaccelerated 3-D inductance extraction program,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 9, pp. 216–220, Sep. 1994. [11] B. Krauter and L. Pileggi, “Generating sparse partial inductance matrixes with guaranteed stability,” in Proc. IEEE Int. Comput.-Aided Des. Conf., Nov. 1995, pp. 45–52. [12] G. Lippens and D. De Zutter, “Inductance extraction for packages with adaptive nonorthogonal ground plane meshing,” IEEE Trans. Adv. Packag., vol. 25, no. 2, pp. 223–229, May 2002. [13] J. E. Marsden, L. Sirovich, M. Golubitsky, and S. S. Antman, Numerical Analysis in Modern Scientific Computing: An Introduction. New York: Springer, 2003. [14] F. Olyslager, N. Fache, and D. De Zutter, “New fast and accurate line parameter calculation of general multiconductor transmission lines in multilayered media,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 6, pp. 901–909, Jun. 1991. [15] A. S. Rong, Y. Y. Wang, Z. L. Sun, and P. H. Wu, “Lossy model for EM scattering from superconducting film deposited on discretised LaAIO substrate,” Electron. Lett., vol. 29, no. 7, pp. 595–596, Apr. 1993. [16] E. B. Rosa, “The self and mutual inductance of linear conductors,” Bull. Nat. Bureau Stand., pp. 301–344, 1908. [17] A. E. Ruehli, “Inductance calculations in a complex integrated circuit environment,” IBM J. Res. Develop., pp. 470–481, Sep. 1972. [18] K. L. Shepard and Z. Tian, “Return-limited inductances: A practical approach to on-chip inductance extraction,” in Proc. IEEE Custom Integr. Circuits Conf., 1999, pp. 453–456.

K

1294

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

[19] I. S. Sokolnikoff, Advanced Calculus. New York: McGraw-Hill, 1939. [20] Z. Sun, W. Yuan, and Z. Wen, Numerical Analysis. Nanjing, China: Southeast Univ. Press, 2002. [21] W. Yu and Z. Wang, “Enhanced QMM–BEM solver for three-dimensional multiple-dielectric capacitance extraction within the finite domain,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 560–566, Feb. 2004. [22] W. Yu, Z. Wang, and J. Gu, “Fast capacitance extraction of actual 3-D VLSI interconnects using quasi-multiple medium accelerated BEM,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 109–120, Jan. 2003.

Yu Du received the B.S. degree in computer science from Tsinghua University, Beijing, China, in 2000, and the M.S. and Ph.D. degrees in computer engineering from the University of California at Santa Cruz, in 2002 and 2004 respectively. He is now a Research and Develop Engineer with Synopsys Inc., Mountain View, CA. His current research interests include interconnect parasitic parameter extraction, model-order reduction, and fast electrical–magnetic field equation solvers.

Wayne Dai received the B.A. degree in computer science and Ph.D. degree in electrical engineering from the University of California at Berkeley, in 1983 and 1988, respectively. He was a Professor with the Department of Computer Engineering, University of California at Santa Cruz. He is the founder and Chairman, President, and CEO of the VeriSilicon Holdings Company Ltd., Santa Clara, CA. Dr. Dai was the founding chairman of the IEEE Multi-Chip Module Conference and the founding chairman of the IEEE Symposium on Integrated Circuit (IC)/Package Design Integration. He was an associate editor for the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: FUNDAMENTAL THEORY AND APPLICATIONS and an associate editor for the IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS. He was the recipient of the 1990 Presidential Young Investigator Award from the President of the United States.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

1295

Letters__________________________________________________________________________________________ Comments on “A Shield-Based Three-Port De-Embedding Method for Microwave On-Wafer Characterization of Deep-Submicrometer Silicon MOSFETs” T. Kaija and P. Heino Index Terms—De-embedding, microwave measurements, MOSFET, scattering parameters.

The above paper [1] proposes an interesting three-port de-embedding method. However, there are three issues in the paper that we would like to refer to and comment on. I. PROPOSED METHOD The chain-scattering matrix of the transition of a single test fixture port is extracted by employing measured thru and one-port open in-fixture data. This is illustrated in Fig. 1(a). It is emphasized that the port transition has symmetrical ground bars on both sides of the signal trace. Moreover, the thru and open devices are identical with those proposed in [1]. However, the three-port test fixture layout in [1, Fig. 2(a)] does not correspond to the proposed dummy structures. This is shown in Fig. 1(b). The test fixture on the left-hand side of Fig. 1(b) is constructed by employing the extracted port transitions. The arrows indicate that where a match is required with the extracted port transition, the test fixture should have full-length ground bars in every port. However, this is not possible if ground-shielded test fixtures with ground bars are employed since the ground bars overlie each other, as seen on the left-hand side of Fig. 1(b). Moreover, the proposed three-port test fixture in [1] is shown on the right-hand side of Fig. 1(b). The disagreement between the test fixture and the extracted port transition is now clearly seen. Consequently, we propose a new test and dummy fixture set for use with the cascade-based three-port de-embedding method. This set is shown in Fig. 2. The test fixture in Fig. 2 is based on the three-port fixture proposed in [2]. The three-port test fixture does not have ground bars and all signal traces have the same length. Therefore, we need only one thru dummy fixture instead of the three thru dummy fixtures required in the proposed test and dummy structure set in [1]. In addition, a ground-shielded one-port open in-fixture is needed, as shown in Fig. 2. By designing the three-port test fixture such that all signal traces have the same length, a significant reduction in die area utilization can be achieved, compared to the case with the test and dummy fixture set proposed in [1]. Furthermore, the disagreement between the test fixture and extracted port transition is removed. II. MEASUREMENTS The authors have assumed that the coupling between signal ports is negligible since ground-shielded test fixtures are employed. To support

Manuscript received October 10, 2005. The authors are with the Institute of Electronics, Tampere University of Technology, FIN-33720 Tampere, Finland (e-mail: tero.kaija@tut.fi; pekka.heino@tut.fi). Digital Object Identifier 10.1109/TMTT.2006.869701

Fig. 1. (a) Extraction of the probe tip to DUT gap boundary transition in the proposed de-embedding method [1]. (b) Disagreement between the proposed test and dummy fixture set.

Fig. 2. Proposed test fixture and dummy in-fixture set. Port transition is surrounded by a dashed rectangle.

this assumption, the forward coupling between signal ports has been measured. The measured open in-fixtures (conventional nonshielded and substrate-shielded three-port open devices) are shown in Fig. 3 [1]. The experimental data shown in Fig. 4 [1] indicates that shielded open in-fixture has approximately 10-dB lower insertion loss compared to nonshielded open in-fixture. Furthermore, the ground-shielded open device insertion loss is approximately 050 dB at maximum over the measured frequency range, which means that the coupling can be assumed to be negligible. An important detail that has been neglected in the open device comparison measurement is that the signal traces are missing from those measured open devices illustrated in Fig. 3 [1]. Signal traces are the interconnections between signal pads and the device-under-test (DUT). Thus, the insertion loss has been measured employing open devices containing plain signal pads. We have sketched a detailed view of the DUT gap area of the threeport test fixture, including signal traces. This is shown in Fig. 3. The detailed view of the three-port test fixture DUT gap is drawn based on the given transistor and signal trace dimensional data at the beginning of [1, Sec. III]. The width and length of the transistor under study were given in the text [1] as 110 and 0.18 m, respectively. The transistor was divided into 22 fingers and, thus, we have assumed that the transistor would fit into a 6 m 2 16 m DUT gap. A single finger width is 5 m, but taking into account design rules, we have assumed 6 m to be a reasonable DUT gapwidth.

0018-9480/$20.00 © 2006 IEEE

1296

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

III. METHOD COMPARISON

Fig. 3. Detailed view of test fixture DUT gap area in the proposed test fixture [1]. The above illustration is drawn based on the physical dimensions given in [1].

It would have been interesting to make a comparison between the conventional two-port cascade-based de-embedding method and the proposed three-port cascade-based de-embedding method employing shield-based test fixtures in a “conventional way.” This would have required an additional ground-shielded two-port test fixture with an embedded DUT transistor for the conventional de-embedding method. The authors of [1] have shown that we cannot ignore the third port parasitic components (the dangling leg connected to transistor source) of the three-port test fixture. This is obvious since the third port is similar to the other two in the proposed three-port test fixture. That is why it has the same parasitic components as well, which have to be taken into account. However, when we employ the conventional two-port cascade-based de-embedding method with ground-shielded two-port test fixtures, the source of the transistor is connected directly to the test fixture ground plane. Shield-based test fixtures reduce dangling leg impedance significantly [2]. Thus, the dangling leg problem described in the first paragraph of [1, Sec. II] could perhaps have been solved by just changing the test fixture type from unshielded to ground shielded. At least this kind of comparison would have given a new perspective and information about the dangling leg parasitic components of the ground-shielded test fixture. Perhaps the three-port de-embedding method would have given a still better result. The source of the transistor under study in [1] was biased to 0 V, which would have made it possible to carry out this suggested comparison. REFERENCES

Fig. 4. Detailed view of the vertical via walls. The third port is not included in the illustration.

There are three capacitive coupling paths drawn in Fig. 3. When each port is treated individually, as is the case in the proposed de-embedding method [1], all of these three coupling paths caused by the test fixture itself are neglected. Furthermore, the authors have not described the connections between signal trace ends and the transistor. As mentioned in [1], the signal traces are 7.8 m above the silicon substrate. Therefore, several via layers are required (from metal 6 to metal 1) in order to connect the tips of the signal traces to the transistor terminals (gate, drain, and source). We have sketched part of these via connections in a detailed view shown in Fig. 4. The via matrix causes vertical walls at the end of the signal traces, as shown in Fig. 4. Typically the width of the vertical via matrix wall is equal to the signal trace width. In this case, it is assumed that 10-m-wide and 7.8-m-high via matrix walls are implemented in each port. The reason why a signal-trace-wide via matrix should be employed is to minimize the series resistance caused by the vias. However, these vertical walls again increase the coupling between ports. Thus, it is emphasized that the measurement reference plane after de-embedding should be at the transistor terminals and not 7.8 m above, at the tips of the signal traces, as indicated in Fig. 4. Consequently, we cannot say how great an error is possibly caused by neglecting the coupling between signal trace tips and the additional coupling due to the vertical via matrix walls. We do not have experimental data concerning the increased (if any) forward coupling due to coupling between tips of the signal traces. Thus, we suggest making additional measurements employing an open device with signal traces in order to check the validity of the assumption regarding the negligible port coupling. The additional coupling could be, for example, extracted by applying the proposed de-embedding method to a ground-shielded test fixture without a transistor.

[1] M.-H. Cho, G.-W. Huang, L.-K. Wu, C.-S. Chiu, Y.-H. Wang, K.-M. Chen, H.-C. Tseng, and T.-L. Hsu, “A shield-based three-port de-embedding method for microwave on-wafer characterization of deep-submicrometer silicon MOSFETs,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2926–2934, Sep. 2005. [2] T. E. Kolding, “Shield-based microwave on-wafer device measurements,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1039–1044, Jun. 2001.

Author’s Reply Ming-Hsiang Cho

I would like to thank Kaija and Heino for their comments on the above paper [1]. Below are some replies to their comments. A. Proposed Method Kaija and Heino propose a new test and dummy fixture set for use with a cascade-based three-port de-embedding method [1]. The test fixture is based on the three-port fixture presented in [2]. Both test fixture and dummies do not have ground bars and all signal traces have the same length. In my opinion, these proposed test fixture and dummies without ground bars can indeed simplify the layout process Manuscript received December 23, 2005. The author is with National Nano Device Laboratories, Hsinchu 300, Taiwan, R.O.C. and also with the Department of Communication Engineering, National Chiao Tung University, Hsinchu 300, Taiwan, R.O.C. (e-mail: mhcho@mail. ndl.org.tw). Digital Object Identifier 10.1109/TMTT.2006.869699

0018-9480/$20.00 © 2006 IEEE

1296

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

III. METHOD COMPARISON

Fig. 3. Detailed view of test fixture DUT gap area in the proposed test fixture [1]. The above illustration is drawn based on the physical dimensions given in [1].

It would have been interesting to make a comparison between the conventional two-port cascade-based de-embedding method and the proposed three-port cascade-based de-embedding method employing shield-based test fixtures in a “conventional way.” This would have required an additional ground-shielded two-port test fixture with an embedded DUT transistor for the conventional de-embedding method. The authors of [1] have shown that we cannot ignore the third port parasitic components (the dangling leg connected to transistor source) of the three-port test fixture. This is obvious since the third port is similar to the other two in the proposed three-port test fixture. That is why it has the same parasitic components as well, which have to be taken into account. However, when we employ the conventional two-port cascade-based de-embedding method with ground-shielded two-port test fixtures, the source of the transistor is connected directly to the test fixture ground plane. Shield-based test fixtures reduce dangling leg impedance significantly [2]. Thus, the dangling leg problem described in the first paragraph of [1, Sec. II] could perhaps have been solved by just changing the test fixture type from unshielded to ground shielded. At least this kind of comparison would have given a new perspective and information about the dangling leg parasitic components of the ground-shielded test fixture. Perhaps the three-port de-embedding method would have given a still better result. The source of the transistor under study in [1] was biased to 0 V, which would have made it possible to carry out this suggested comparison. REFERENCES

Fig. 4. Detailed view of the vertical via walls. The third port is not included in the illustration.

There are three capacitive coupling paths drawn in Fig. 3. When each port is treated individually, as is the case in the proposed de-embedding method [1], all of these three coupling paths caused by the test fixture itself are neglected. Furthermore, the authors have not described the connections between signal trace ends and the transistor. As mentioned in [1], the signal traces are 7.8 m above the silicon substrate. Therefore, several via layers are required (from metal 6 to metal 1) in order to connect the tips of the signal traces to the transistor terminals (gate, drain, and source). We have sketched part of these via connections in a detailed view shown in Fig. 4. The via matrix causes vertical walls at the end of the signal traces, as shown in Fig. 4. Typically the width of the vertical via matrix wall is equal to the signal trace width. In this case, it is assumed that 10-m-wide and 7.8-m-high via matrix walls are implemented in each port. The reason why a signal-trace-wide via matrix should be employed is to minimize the series resistance caused by the vias. However, these vertical walls again increase the coupling between ports. Thus, it is emphasized that the measurement reference plane after de-embedding should be at the transistor terminals and not 7.8 m above, at the tips of the signal traces, as indicated in Fig. 4. Consequently, we cannot say how great an error is possibly caused by neglecting the coupling between signal trace tips and the additional coupling due to the vertical via matrix walls. We do not have experimental data concerning the increased (if any) forward coupling due to coupling between tips of the signal traces. Thus, we suggest making additional measurements employing an open device with signal traces in order to check the validity of the assumption regarding the negligible port coupling. The additional coupling could be, for example, extracted by applying the proposed de-embedding method to a ground-shielded test fixture without a transistor.

[1] M.-H. Cho, G.-W. Huang, L.-K. Wu, C.-S. Chiu, Y.-H. Wang, K.-M. Chen, H.-C. Tseng, and T.-L. Hsu, “A shield-based three-port de-embedding method for microwave on-wafer characterization of deep-submicrometer silicon MOSFETs,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2926–2934, Sep. 2005. [2] T. E. Kolding, “Shield-based microwave on-wafer device measurements,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1039–1044, Jun. 2001.

Author’s Reply Ming-Hsiang Cho

I would like to thank Kaija and Heino for their comments on the above paper [1]. Below are some replies to their comments. A. Proposed Method Kaija and Heino propose a new test and dummy fixture set for use with a cascade-based three-port de-embedding method [1]. The test fixture is based on the three-port fixture presented in [2]. Both test fixture and dummies do not have ground bars and all signal traces have the same length. In my opinion, these proposed test fixture and dummies without ground bars can indeed simplify the layout process Manuscript received December 23, 2005. The author is with National Nano Device Laboratories, Hsinchu 300, Taiwan, R.O.C. and also with the Department of Communication Engineering, National Chiao Tung University, Hsinchu 300, Taiwan, R.O.C. (e-mail: mhcho@mail. ndl.org.tw). Digital Object Identifier 10.1109/TMTT.2006.869699

0018-9480/$20.00 © 2006 IEEE

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

of the on-wafer test structures, even if they may have the same results with those previously reported. In addition, they also suggest that the three-port test fixture can be designed with the same interconnect length. Therefore, only one open and thru dummy fixtures are needed and the die area is significantly reduced. This concept basically agrees with our new idea presented in [3]. This new de-embedding method is scalable, i.e., can be used to subtract the redundant parasitics of the test fixture with arbitrary interconnect length and, thus, also results in a large chip-area saving. B. Method Comparison Although the shield-based technique can significantly reduce dangling impedance [2], the parasitic effects of shielded dangling leg, especially the capacitive parasitics, would become considerable at microwave frequencies and should be also taken into account in the de-embedding procedure. Hence, the shield-based three-port de-embedding method [1] has been developed to eliminate the dangling parasitics. If the multiport S -parameters measurement system is unavailable, one can use another de-embedding method [4] to subtract the dangling parasitics through the two-port to three-port transformation for S -parameters. REFERENCES [1] M.-H. Cho, G.-W. Huang, L.-K. Wu, C.-S. Chiu, Y.-H. Wang, K.-M. Chen, H.-C. Tseng, and T.-L. Hsu, “A shield-based three-port de-embedding method for microwave on-wafer characterization of deep-submicrometer silicon MOSFET’s,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2926–2934, Sep. 2005. [2] T. E. Kolding, “Shield-based microwave on-wafer device measurements,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1039–1044, Jun. 2001. [3] M.-H. Cho, G.-W. Huang, C.-S. Chiu, and K.-M. Chen, “Unified parasitic de-embedding methodology of on-wafer multi-port device characterization,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 1307–1310. [4] M.-H. Cho, C.-S. Chiu, G.-W. Huang, Y.-M. Teng, L.-H. Chang, K.-M. Chen, and W.-L. Chen, “A fully-scalable de-embedding method for on-wafer S -parameter characterization of CMOS RF/microwave devices,” in IEEE RFIC Symp. Dig., Jun. 2005, pp. 303–306.

1297

Comments on “A Comprehensive Study of Discontinuities in Chirowaveguides” Miguel A. Solano, Angel Vegas, and Álvaro Gómez

The above paper [1] presents a study of two- and three- dimensional discontinuities in chirowaveguides. Their study is an extension of the formulation developed by Chaloupka [2] for rectangular waveguides containing vertical ferrite slabs. The formulation in [2] is a multimode coupled mode method (CMM) extended from the analysis of straight waveguides to the analysis of discontinuities in the propagation direction. The CMM is, basically, a method of moments (MoM), which uses the modes of an empty waveguide (in this case, a rectangular waveguide with perfect electric conductor (PEC) walls) as base functions. The goal of the CMM is to obtain the electromagnetic field of the modes in a waveguide with a PEC contour containing any kind of nonconducting medium, irrespective of whether it is isotropic, anisotropic, or bi-anisotropic. We use the term proper modes to designate such modes. This leads to an inevitable fact: the boundary conditions on the PEC walls for the electromagnetic field of the base modes are the same as those for the proper modes of the waveguide containing the dielectric media. As is known, in the CMM, only two of the electromagnetic fields of the proper modes have to be expanded in terms of the electromagnetic field of the base modes. In [1], as in [2], the fields to be expanded ~ and magnetic field H~ . For both fields, when an are the electric field E isotropic medium is in contact with a PEC wall, the boundary conditions are the same for both base and proper modes. In particular, in this ~ on the PEC is zero for all base and case, the normal component of H ~ on proper modes. The same is true for the tangential components of E a PEC wall. However, if the material in contact with a PEC wall is, for instance, a chiral medium, the normal component of the magnetic ~ of a base mode is zero on the PEC, but it is different from zero field H for any proper mode. Therefore, strictly speaking, the magnetic field H~ of any proper mode obtained with the approach in [1] is erroneous because its normal component is zero on the PEC, whereas it should be different from zero. Besides considering the accuracy of the results for the propagation constants and the scattering parameters provided by the type of formulation used in [1], we think that this very important fact of this formulation should have been pointed out in [1]. The situation described above can be overcome in several different ways. Perhaps the most intuitive would be to expand those fields that fulfill the same boundary conditions on a PEC wall for both base and proper modes, irrespective of the kind of medium in contact with the ~ and B~ . Such a formulation (which we call an PEC. These fields are E EB formulation in contrast to the classical one, which is called an EH formulation) for a parallel-plate waveguide partially filled by slabs of chiral media can be seen in [3]. Reference [3] also shows a comparison between results for the propagation constant as a function of the number of base modes for EB and EH formulations. In [4], there is a good discussion of EH and EB formulations (which these authors call

Manuscript received November 14, 2005. This work was supported by the Dirección General de Investigación of the Spanish Ministerio de Educación y Ciencia under Project TIC2003-09677-C03-01. M. A. Solano and A. Vegas are with the Departamento de Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingenieros Industriales y de Telecomunicación, Universidad de Cantabria, 39005 Santander, Cantabria, Spain (e-mail: [email protected]). Á. Gómez is with the Departamento de Electricidad y Electrónica, Facultad de Ciencias, Universidad de Valladolid, 47011 Valladolid, Spain. Digital Object Identifier 10.1109/TMTT.2006.869696 0018-9480/$20.00 © 2006 IEEE

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

of the on-wafer test structures, even if they may have the same results with those previously reported. In addition, they also suggest that the three-port test fixture can be designed with the same interconnect length. Therefore, only one open and thru dummy fixtures are needed and the die area is significantly reduced. This concept basically agrees with our new idea presented in [3]. This new de-embedding method is scalable, i.e., can be used to subtract the redundant parasitics of the test fixture with arbitrary interconnect length and, thus, also results in a large chip-area saving. B. Method Comparison Although the shield-based technique can significantly reduce dangling impedance [2], the parasitic effects of shielded dangling leg, especially the capacitive parasitics, would become considerable at microwave frequencies and should be also taken into account in the de-embedding procedure. Hence, the shield-based three-port de-embedding method [1] has been developed to eliminate the dangling parasitics. If the multiport S -parameters measurement system is unavailable, one can use another de-embedding method [4] to subtract the dangling parasitics through the two-port to three-port transformation for S -parameters. REFERENCES [1] M.-H. Cho, G.-W. Huang, L.-K. Wu, C.-S. Chiu, Y.-H. Wang, K.-M. Chen, H.-C. Tseng, and T.-L. Hsu, “A shield-based three-port de-embedding method for microwave on-wafer characterization of deep-submicrometer silicon MOSFET’s,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2926–2934, Sep. 2005. [2] T. E. Kolding, “Shield-based microwave on-wafer device measurements,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1039–1044, Jun. 2001. [3] M.-H. Cho, G.-W. Huang, C.-S. Chiu, and K.-M. Chen, “Unified parasitic de-embedding methodology of on-wafer multi-port device characterization,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 1307–1310. [4] M.-H. Cho, C.-S. Chiu, G.-W. Huang, Y.-M. Teng, L.-H. Chang, K.-M. Chen, and W.-L. Chen, “A fully-scalable de-embedding method for on-wafer S -parameter characterization of CMOS RF/microwave devices,” in IEEE RFIC Symp. Dig., Jun. 2005, pp. 303–306.

1297

Comments on “A Comprehensive Study of Discontinuities in Chirowaveguides” Miguel A. Solano, Angel Vegas, and Álvaro Gómez

The above paper [1] presents a study of two- and three- dimensional discontinuities in chirowaveguides. Their study is an extension of the formulation developed by Chaloupka [2] for rectangular waveguides containing vertical ferrite slabs. The formulation in [2] is a multimode coupled mode method (CMM) extended from the analysis of straight waveguides to the analysis of discontinuities in the propagation direction. The CMM is, basically, a method of moments (MoM), which uses the modes of an empty waveguide (in this case, a rectangular waveguide with perfect electric conductor (PEC) walls) as base functions. The goal of the CMM is to obtain the electromagnetic field of the modes in a waveguide with a PEC contour containing any kind of nonconducting medium, irrespective of whether it is isotropic, anisotropic, or bi-anisotropic. We use the term proper modes to designate such modes. This leads to an inevitable fact: the boundary conditions on the PEC walls for the electromagnetic field of the base modes are the same as those for the proper modes of the waveguide containing the dielectric media. As is known, in the CMM, only two of the electromagnetic fields of the proper modes have to be expanded in terms of the electromagnetic field of the base modes. In [1], as in [2], the fields to be expanded ~ and magnetic field H~ . For both fields, when an are the electric field E isotropic medium is in contact with a PEC wall, the boundary conditions are the same for both base and proper modes. In particular, in this ~ on the PEC is zero for all base and case, the normal component of H ~ on proper modes. The same is true for the tangential components of E a PEC wall. However, if the material in contact with a PEC wall is, for instance, a chiral medium, the normal component of the magnetic ~ of a base mode is zero on the PEC, but it is different from zero field H for any proper mode. Therefore, strictly speaking, the magnetic field H~ of any proper mode obtained with the approach in [1] is erroneous because its normal component is zero on the PEC, whereas it should be different from zero. Besides considering the accuracy of the results for the propagation constants and the scattering parameters provided by the type of formulation used in [1], we think that this very important fact of this formulation should have been pointed out in [1]. The situation described above can be overcome in several different ways. Perhaps the most intuitive would be to expand those fields that fulfill the same boundary conditions on a PEC wall for both base and proper modes, irrespective of the kind of medium in contact with the ~ and B~ . Such a formulation (which we call an PEC. These fields are E EB formulation in contrast to the classical one, which is called an EH formulation) for a parallel-plate waveguide partially filled by slabs of chiral media can be seen in [3]. Reference [3] also shows a comparison between results for the propagation constant as a function of the number of base modes for EB and EH formulations. In [4], there is a good discussion of EH and EB formulations (which these authors call

Manuscript received November 14, 2005. This work was supported by the Dirección General de Investigación of the Spanish Ministerio de Educación y Ciencia under Project TIC2003-09677-C03-01. M. A. Solano and A. Vegas are with the Departamento de Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingenieros Industriales y de Telecomunicación, Universidad de Cantabria, 39005 Santander, Cantabria, Spain (e-mail: [email protected]). Á. Gómez is with the Departamento de Electricidad y Electrónica, Facultad de Ciencias, Universidad de Valladolid, 47011 Valladolid, Spain. Digital Object Identifier 10.1109/TMTT.2006.869696 0018-9480/$20.00 © 2006 IEEE

1298

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

more efficient than the direct EH formulation. Thus, for high values of the constitutive parameters (permittivity, permeability, and chirality parameter), the direct EH formulation does not converge adequately [6], [7]. It is easy to show that the formulation used in [1] (as well as in [8]) is a direct-type formulation [6]. In summary: 1) the results presented in [1] are obtained by using a formulation that reproduces erroneous values of the magnetic field on a PEC wall in contact with the chiral material (this fact, which is not pointed out in the paper, should be made explicit) and 2) the formulation used is a direct-type formulation of the CMM, which we have shown to be less efficient than the indirect-type formulation. REFERENCES

Fig. 1. Moduli of the H -component normalized to the maximum value of the magnetic field, as a function of the x- and y -coordinates for the straight chirowaveguide of [1, Fig. 4]. The results on the left correspond to a direct EH formulation of the CMM totally analogous to the formulation used in [1] and the results on the right correspond to an EB formulation of the CMM. The number of base modes is 150. The constitutive parameters correspond to [1, eq. (1)]. Data: " = 2:5" ;  = 3 mS; a b = 22:86 10:16 mm, w h = 11 5 mm, and f = 9 GHz.

2

2

2

2

electric and magnetic field (EMF) and electric and magnetic induction (EMI) formulations, respectively) and some conclusions related to the usefulness and accuracy of both formulations are enumerated. In particular, their first conclusion is that “both the EMF and EMI approaches can be used satisfactorily in the simulation of chiral and bianisotropic waveguides, . . ..” This is, of course, true, as we have shown in several studies [3], [5]. However, the unquestionable fact is that the EH formulation never gives the correct value of the magnetic field on a PEC in contact with a chiral medium. We have computed the magnetic field in a rectangular chirowaveguide using a direct-type EH formulation similar to the formulation used in [5] for parallel-plate chirowaveguides. As can be seen in [6], the results of this formulation are practically identical to those of the formulation for straight chirowaveguides used in [1]. We have also computed the magnetic field using an EB formulation. Fig. 1 shows the Hy -component (i.e., the normal component to the PEC y = 0) for a straight chirowaveguide identical to the central part of the structure shown in [1, Fig. 4]. It can be seen that the Hy -component provided by the EH formulation, as well as by the formulation used in [1], is zero on y = 0; this result is not correct because on y = 0 from x = 5:93 mm to x = 15:93 mm, which is the part in contact with the chiral medium, the value, obviously, must be nonzero. However, the Hy -component provided by the EB formulation is not zero in this zone, which is the correct result. Obviously, By at y = 0 is zero. As a consequence of this erroneous representation of the magnetic field, the EH formulation converges to a stable value more slowly than the EB formulation. There are actually two different ways of approaching the EH formulation: the direct EH formulation and the indirect EH formulation [7]. We have shown that, in most cases, the indirect EH formulation is

[1] T. X. Wu and D. L. Jaggard, “ A comprehensive study of discontinuities in chirowaveguides,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2320–2330, Oct. 2002. [2] H. Chaloupka, “A coupled-line model for the scattering by dielectric and ferromagnetic obstacles in waveguides,” Arch. Elektr. Ubertragung, vol. 34, pp. 145–151, Apr. 1980. [3] A. Gómez, A. Vegas, and M. A. Solano, “Characterization of chirowaveguides by a new development of the coupled mode method,” Atti della Fondazione Giorgio Ronchi ANNO LX, vol. 1–2, pp. 191–196, Apr. 2004. [4] Y. Xu and R. G. Bosisio, “A study of the solutions of chirowaveguides and bianisotropic waveguides with the use of coupled-mode analysis,” Microw. Opt. Technol. Lett., vol. 14, pp. 308–311, Apr. 1997. [5] A. Gómez, A. Vegas, and M. A. Solano, “A brief discussion on the different formulations of the coupled mode method in chiral media: Application to the parallel-plate chirowaveguide,” Microw. Opt. Technol. Lett., vol. 42, pp. 181–185, Aug. 2004. [6] A. Gómez, “Nuevas formulaciones del método de modos acoplados aplicadas al estudio de la propagación electromagnética en medios bi-isótropos contenidos en guía de onda rectangular,” Ph.D. dissertation (in Spanish), Dep. Ing. Comun., Univ. Cantabria, Santander, Spain, 2005. [7] A. Vegas, A. Prieto, and M. A. Solano, “Optimization of the coupledmode method for the analysis of waveguides partially filled with dielectrics of high permittivity: Application to the study of discontinuities,” Proc. Inst. Elect. Eng., pt. H, vol. 140, pp. 401–406, Oct. 1993. [8] X. Wu and D. L. Jaggard, “Three-dimensional discontinuities in chirowaveguides,” Microw. Opt. Technol. Lett., vol. 16, pp. 315–319, Dec. 1997.

Authors’ Reply Thomas X. Wu and Dwight L. Jaggard

We appreciate the interest in [1] shown by the comments of Solano et al. Some equations in the original paper should be corrected by the EB formulation, as pointed out in their comments. However, the main features of the physical results remain valid. REFERENCES [1] T. X. Wu and D. L. Jaggard, “ A comprehensive study of discontinuities in chirowaveguides,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2320–2330, Oct. 2002. Manuscript received November 17, 2005. T. X. Wu is with the School of Electrical Engineering and Computer Science, University of Central Florida, Orlando, FL 32816 USA. D. L. Jaggard is with the Department of Electrical and Systems Engineering, University of Pennsylvania, Philadelphia, PA 19104-6314 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.869694

0018-9480/$20.00 © 2006 IEEE

1298

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 3, MARCH 2006

more efficient than the direct EH formulation. Thus, for high values of the constitutive parameters (permittivity, permeability, and chirality parameter), the direct EH formulation does not converge adequately [6], [7]. It is easy to show that the formulation used in [1] (as well as in [8]) is a direct-type formulation [6]. In summary: 1) the results presented in [1] are obtained by using a formulation that reproduces erroneous values of the magnetic field on a PEC wall in contact with the chiral material (this fact, which is not pointed out in the paper, should be made explicit) and 2) the formulation used is a direct-type formulation of the CMM, which we have shown to be less efficient than the indirect-type formulation. REFERENCES

Fig. 1. Moduli of the H -component normalized to the maximum value of the magnetic field, as a function of the x- and y -coordinates for the straight chirowaveguide of [1, Fig. 4]. The results on the left correspond to a direct EH formulation of the CMM totally analogous to the formulation used in [1] and the results on the right correspond to an EB formulation of the CMM. The number of base modes is 150. The constitutive parameters correspond to [1, eq. (1)]. Data: " = 2:5" ;  = 3 mS; a b = 22:86 10:16 mm, w h = 11 5 mm, and f = 9 GHz.

2

2

2

2

electric and magnetic field (EMF) and electric and magnetic induction (EMI) formulations, respectively) and some conclusions related to the usefulness and accuracy of both formulations are enumerated. In particular, their first conclusion is that “both the EMF and EMI approaches can be used satisfactorily in the simulation of chiral and bianisotropic waveguides, . . ..” This is, of course, true, as we have shown in several studies [3], [5]. However, the unquestionable fact is that the EH formulation never gives the correct value of the magnetic field on a PEC in contact with a chiral medium. We have computed the magnetic field in a rectangular chirowaveguide using a direct-type EH formulation similar to the formulation used in [5] for parallel-plate chirowaveguides. As can be seen in [6], the results of this formulation are practically identical to those of the formulation for straight chirowaveguides used in [1]. We have also computed the magnetic field using an EB formulation. Fig. 1 shows the Hy -component (i.e., the normal component to the PEC y = 0) for a straight chirowaveguide identical to the central part of the structure shown in [1, Fig. 4]. It can be seen that the Hy -component provided by the EH formulation, as well as by the formulation used in [1], is zero on y = 0; this result is not correct because on y = 0 from x = 5:93 mm to x = 15:93 mm, which is the part in contact with the chiral medium, the value, obviously, must be nonzero. However, the Hy -component provided by the EB formulation is not zero in this zone, which is the correct result. Obviously, By at y = 0 is zero. As a consequence of this erroneous representation of the magnetic field, the EH formulation converges to a stable value more slowly than the EB formulation. There are actually two different ways of approaching the EH formulation: the direct EH formulation and the indirect EH formulation [7]. We have shown that, in most cases, the indirect EH formulation is

[1] T. X. Wu and D. L. Jaggard, “ A comprehensive study of discontinuities in chirowaveguides,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2320–2330, Oct. 2002. [2] H. Chaloupka, “A coupled-line model for the scattering by dielectric and ferromagnetic obstacles in waveguides,” Arch. Elektr. Ubertragung, vol. 34, pp. 145–151, Apr. 1980. [3] A. Gómez, A. Vegas, and M. A. Solano, “Characterization of chirowaveguides by a new development of the coupled mode method,” Atti della Fondazione Giorgio Ronchi ANNO LX, vol. 1–2, pp. 191–196, Apr. 2004. [4] Y. Xu and R. G. Bosisio, “A study of the solutions of chirowaveguides and bianisotropic waveguides with the use of coupled-mode analysis,” Microw. Opt. Technol. Lett., vol. 14, pp. 308–311, Apr. 1997. [5] A. Gómez, A. Vegas, and M. A. Solano, “A brief discussion on the different formulations of the coupled mode method in chiral media: Application to the parallel-plate chirowaveguide,” Microw. Opt. Technol. Lett., vol. 42, pp. 181–185, Aug. 2004. [6] A. Gómez, “Nuevas formulaciones del método de modos acoplados aplicadas al estudio de la propagación electromagnética en medios bi-isótropos contenidos en guía de onda rectangular,” Ph.D. dissertation (in Spanish), Dep. Ing. Comun., Univ. Cantabria, Santander, Spain, 2005. [7] A. Vegas, A. Prieto, and M. A. Solano, “Optimization of the coupledmode method for the analysis of waveguides partially filled with dielectrics of high permittivity: Application to the study of discontinuities,” Proc. Inst. Elect. Eng., pt. H, vol. 140, pp. 401–406, Oct. 1993. [8] X. Wu and D. L. Jaggard, “Three-dimensional discontinuities in chirowaveguides,” Microw. Opt. Technol. Lett., vol. 16, pp. 315–319, Dec. 1997.

Authors’ Reply Thomas X. Wu and Dwight L. Jaggard

We appreciate the interest in [1] shown by the comments of Solano et al. Some equations in the original paper should be corrected by the EB formulation, as pointed out in their comments. However, the main features of the physical results remain valid. REFERENCES [1] T. X. Wu and D. L. Jaggard, “ A comprehensive study of discontinuities in chirowaveguides,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2320–2330, Oct. 2002. Manuscript received November 17, 2005. T. X. Wu is with the School of Electrical Engineering and Computer Science, University of Central Florida, Orlando, FL 32816 USA. D. L. Jaggard is with the Department of Electrical and Systems Engineering, University of Pennsylvania, Philadelphia, PA 19104-6314 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.869694

0018-9480/$20.00 © 2006 IEEE

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Manuscripts for review should be submitted in pdf format as an email attachment to the Editor at [email protected]. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free preprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS.

Digital Object Identifier 10.1109/TMTT.2006.869606

Digital Object Identifier 10.1109/TMTT.2006.872511

EDITORIAL BOARD Editor: M. STEER Associate Editors:A. CANGELLARIS, A. CIDRONALI, M. DO, K. ITOH, D. LINTON, S. MARSH, A. MORTAZAWI, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, V. RIZZOLI, D. WILLIAMS, R. WU, T. WYSOCKI, A. YAKOVLEV REVIEWERS A. Abbaspour-Tamijani D. Abbott M. Abdulla M. Abe A. Abedin M. Abouzahra A. Abramowicz R. Achar E. Ackerman D. Adam E. Adle M. Adlerstein M. Afsar K. Agarwal K. Agawa K. Ahmed D. Ahn H.-R Ahn M. Aikawa M. Akaike Y. Akaiwa E. Akmansoy S. Aksoy A. Akyurtlu F. Alessandri C. Algani F. Ali M. Ali W. Ali-Ahmad F. Alimenti C. Alippi B. Alpert A. Alphones S. Al-Sarawi A. Altintas A. Alvarez-Melcom S. Amari C. Anastasiou U. Andersson Y. Ando P. Andreani K.-S. Ang I. Angelov S. Anlage O. Anwar I. Aoki R. Aparicio V. Aparin F. Arndt U. Arz M. Asai P. Asbeck H. Ashok H. Ashoka A. Atalar A. Atia N. Audeh S. Auster P. Auxemery I. Awai A. Aydiner K. Aygun R. Azadegan A. Babakhani I. Bahl D. Baillargeat S. Bajpai W. Bakalski J. Baker-Jarvis B. Bakkaloglu K. Balmain Q, Balzano S. Banba J. Bandler R. Bansal F. Bardati I. Bardi S. Barker D. Barlage J. Barr J. Bartolic B. Bates G. Baudoin Q. Balzano B. Beker G. Belenky D. Belot C. Bell P. Bell J. Benedikt J. Bernhard G. Bertin H. Bertoni E. Bertran W. Beyene A. Beyer M. Bialkowski E. Biebl P. Bienstman S. Bila M. Bilakowski A.-L. Billabert F. Bilotti H. Bilzer O. Biro R. Bisiso B. Bisla D. Blackham M. Blank P. Blondy D. Boccoli F. Bohn B. Boeck L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria O. Boric-Lubecke A. Borji J. Bornemann W. Bosch R. Bosisio S. Boumaiza M. Bozzi E. Bracken R. Bradley V. Bratman T. Brazil G. Brehm K. Breuer B. Bridges J. Brinkoff S. Broschat S. Brozovich D. Budimir D. Buechler M. Buff C. Buntschuh J. Bunton J. Burghartz P. Burghignoli Y. Bykov A, Caballero B. Cabon J. Calame

M. Calcatera C. Caloz C. Camacho-Penalosa E. Camargo R. Cameron S. Cammer C. Campbell M. Campovecchio F. Canavero J. Cao J. Capmany F. Capolino G. Carchon R. Carter N. Carvalho F. Casas J. Catala R. Caverly J. Cavers Z. Cendes B. Cetiner R. Chair H. Chaloupka A. Chambarel B. Chambers C.-H. Chan Y.-J. Chan C.-Y. Chang F. Chang G. Chang H.-C. Chang H.-R. Chang K. Chang E. Channabasappa H. Chapell W. Chappell M. Chatras S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen H.-H. Chen J. Chen R. Chen W.-K. Chen Y.-J. Chen K.-K. Cheng Y.-C. Cheng W.-C. Chew C.-Y. Chi Y.-C. Chiang C.-F. Chiasserini I.-T. Chiang J. C. Chiao I. Chiba D. Chigrin A. Chin C.-C. Chiu Y. Cho C. Choi J. Choi M.-J. Choi C.-K. Chou Y.-H. Chou D. Choudhury K. Choumei Y. Chow C. Christodoulou C. Christopoulos H.-R. Chuang Y. Chung B. Chye R. Cicchetti C. Cismaru D. Citrin P. Civalleri A. Ciubotaru T. Clark R. Clarke J. Cloete E. Cohen F. Colomb B. Colpitts M. Condon D. Consonni J. Corral A. Constanzo I. Corbella E. Costamagna A. Coustou J. Craninckx J. Crescenzi S. Cripps D. Cros T. Crowe M. Cryan J. Culver C. Curry W. Curtice M. da Cunha W.-L. Dai T. Dahm G. Dambrine B. Danly F. Danneville N. Das M. Davidovich A. Davis C. Davis L. Davis H. Dayal F. De Flaviis H. De Los Santos A. De Lustrac P. De Maagt J. de Mingo R. De Roo L. de Vreede D. De Zutter B. Deal A. Dearn P. Debicki J. Deen A. Deleniv M. DeLisio S. Demir A. Deutsch V. Devabhaktuni Y. Deval A. Diet L. Ding A. Djermoun T. Djordjevic J. Dobrowolski D. Dolfi W. Dou M. Douglas P. Draxler A. Dreher F. Drewniak J. Drewniak D. Dubuc S. Dudorov L. Dunleavy V. Dunn A. Duzdar

S. Dvorak L. Dworsky M. Dydyk M. Edwards R. Ehlers H. Eisele G. Eisenstein G. Eleftheriades M. Elliott T. Ellis A. Elsherbeni R. Emrick N. Engheta A. Enokihara Y. Eo H. Eom C. Ernst M. Esashi L. Escotte I. Eshrah V. Esposti M. Essaaidi K. Esselle H. Estaban J. Esteban C. Fager J. Fan D.-G. Fang M. Farina W. Fathelbab A. Fathy J. Favennec A. Fazal E. Fear M. Feldman A. Fernandez A. Ferrero T. Fickenscher J. Fiedziuszko D. Filipovic A. Fliflet B. Floyd P. Focardi N. Fong K. Foster P. Foster B. Frank C. Free J. Freire M. Freire R. Freund F. Frezza I. Frigyes C. Froehly J. Fu R. Fujimoto T. Fujioka O. Fujiwara H. Fukuyama V. Fusco D. Gabbay N. Gagnon J. Gallego B. Galwas O. Gandhi B.-Q. Gao J. Gao J. Garcia R. Garver A. Gasiewski B. Geelen B. Geller V. Gelnovatch W. Geppert F. Gerecht J. Gering M. Gerken S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini J. Gilb A. Glisson M. Goano E. Godshalk M. Goldfarb P. Goldsmith M. Golio N. Gomez X. Gong R. Gonzalo S. Gopalsami A. Gopinath R. Gordon A. Gorur K. Goverdhanam W. Grabherr L. Gragnani J. Grahn G. Grau A. Grebennikov T. Gregorzyk I. Gresham A. Griol D. R. Grischowsky C. Grossman E. Grossman T. Grzegorczyk A. Gupta K. Gupta M. Gupta R. Gutmann W. Gwarek J. Hacker M. Hafizi S. Hadjiloucas S. Hagness D. Haigh P. Hale D. Ham K. Hamaguchi S. Hamedi-Hagh J. Hand K. Hashimoto Q. Han T. Hancock A. Hanke V. Hanna Z. Hao S. Hara L. Harle A. Harish P. Harrison H. Hartnagel J. Haslett G. Hau R. Haupt S. Hay H. Hayashi J. Hayashi L. Hayden J.Heaton

P. Hedekvist W. Heinrich G. Heiter M. Helier R. Henderson F. Henkel J. Herren P. Herczfeld F. Herzel J. Hessler A. Hiatala C. Hicks M. Hieda A. Higgins M. Hikita W. Hioe Y. Hirachi T. Hiraota A. Hirata T. Hiratsuka Y.-C. Ho W. Hoefer K. Hoffmann R. Hoffmann J. Hong J.-S. Hong K. Horiguchi Y. Horii J. Horng J. Horton K. Hosoya R. Howald H. Howe H.-M. Hsu H.-T. Hsu J.-P. Hsu C.-W. Hsue C.-C. Huang C. Huang F. Huang H. Huang H.-C. Huang J. Huang T.-W. Huang P. Huggard H.-T. Hui D. Humphreys A. Hung C.-M. Hung H. Hung J.-J. Hung I. Hunter H.-Y. Hwang T. Idehara S. Iezekiel J.-Y. Ihm Y. Iida H. Iizuka P. Ikalainen Y. Ikeda P. Ikonen K. Ikossi M. Ilic J. Inatani K. Iniewski H. Inokawa A. Inoue M. Ishida A. Ishimaru T. Ishizaki S. Islam Y. Ismail Y. Isota M. Ito T. Itoh Y. Itoh T. Ivanov C. Iversen D. Iverson M. Iwamoto Y. Iyama H. Izumi D. Jachowski C. Jackson D. Jackson R. Jackson M. Jacob S. Jacobsen D. Jaeger B. Jagannathan N. Jain R. Jakoby G. James V. Jandhyala M. Janezic H. Jantunen B. Jarry P. Jarry A. Jastrzbeski E. Jeckein W. Jemison Y. Jeon J. Jeong Y.-H. Jeong G. Jerinic A. Jerng T. Jerse D. Jiao J.-M. Jin J. Joe L. Johansson T. Johnson A. Joseph K. Joshin J. Joubert P. Juodawlkis P. Kabos S.-T. Kahng T. Kaho D. Kajfez T. Kamel Y. Kamimura H. Kamitsuna K. Kamogawa S. Kanamaluru H. Kanaya M. Kanda P. Kangaslahtii V. Kaper M. Kärkkäinen A. Karpov U. Karthaus A. Karwowski T. Kashiwa R. Kaul K. Kawakami A. Kawalec T. Kawanishi S. Kawasaki H. Kayano M. Kazimierczuk R. Keam L. Kempel P. Kenington K. Kenneth S. Kenny

Digital Object Identifier 10.1109/TMTT.2006.872510

A. Kerr A. Khalil A. Khanifar J. Kiang Y.-W. Kiang P.-S. Kildal O. Kilic B. Kim H. Kim I. Kim J.-P. Kim M. Kim W. Kim B. Kimm K. Kimura S. Kimura A. Kirilenko V. Kisel S. Kishimoto A. Kishk T. Kitamura K. Kitayama T. Kitazawa W. Klaus E. Klumprink R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kogami B. Kolner S. Komaki M. Komaru J. Komiak A. Komijani G. Kompa A. Konczykowska Y. Konishi A. Koonen B. Kopp K. Kornegay M. Koshiba T. Kosmanis J. Kot Y. Kotsuka S. Koul V. Kourkoulos A. B. Kozyrev A. Krenitskiy N. Kriplani K. Krishnamurthy V. Krishnamurthy A. Kroenig C. Kromer C. Krowne V. Krozer W. Kruppa R. Kshetrimayum H. Ku H. Kubo E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar M. Kunert J. Kuno M. Kunst C.-N. Kuo J.-T. Kuo H. Kurebayashi T. Kuri F. Kuroki S. Kusunoki D. Kuylenstierna M. Kuzuhara I. Kwon Y.-W. Kwon R. Lai Y.-L. Lai P. Lampariello M. Lanagan M. Lancaster P. Lane U. Langmann Z. Lao G. Lapin L. Larson J. Laskar A. Lauer G. Lazzi Y. Le Coz Y. Le Guennec S. Le Maguer B. Lee C. Lee J.-F. Lee J.-W. Lee K. Lee R. Lee S.-G. Lee T. Lee Y.-C. Leong R. Leoni K.-W. Leung P. Leuchtmann G. Leuzzi A. Leven A. Levi R. Levy A. Lewandowski M. Lewis K. Li L.-W. Li X. Li Y. Li Y.-M. Li M. Liberti L. Ligthart S. Lim E. Limiti C. Lin J. Lin Y.-D. Lin Y.-S. Lin L. Lind S. Lindenmeier F. Ling A. Lipparini D. Lippens V. Litvinov C.-P. Liu Q.-H. Liu S.-I. Liu W. Liu O. Llopis D. Lo A. Loayssa R. Loison J. Long K. Lorincz U. Lott J.-H. Loui H.-C. Lu L.-H. Lu S. Lu

W.-T. Lu V. Lubecke G. Lucca S. Lucyszyn R. Luebbers L. Lunardi J. Luy S. Lyshevski J.-G. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella P. Macchiarella J. Machac S. Maci J. Maciel M. Madihian B. Madhavan V. Madrangeas M. Magana S. Mahmoud S. Mahon I. Maio A. Majedi M. Majewski M. Makimoto J. Malherbe D. Malocha T. Manabe G. Manganaro T. Maniwa C. Mann H. Manohara R. Mansour D. Manstretta J. Mao S.-G. Mao S. Marchetti R. Marques J. Martens J. Marti F. Martin E. Martinez K. Maruhashi D. Masotti A. Massa S. Masuda A. Materka B. Matinpour M. Matsuo A. Matsushima A. Matsuzawa S. Matsuzawa G. Matthaei D. Matthews J.-P. Mattia J. Maurer J. Mayock J. Mazierska S. Mazumder G. Mazzarella K. McCarthy T. McKay J. McKinney R. McMillan D. McQuiddy P. Meany F. Medina S. Melle F. Mena C. Meng H.-K. Meng W. Menzel F. Mesa A. Metzger P. Meyer C. Mias K. Michalski G. Michel E. Michielssen A. Mickelson R. Miles D. Miller R. Minasian B. Minnis D. Mirshekar J. Mitchell O. Mitomi R. Mittra M. Miyakawa R. Miyamoto M. Miyazaki K. Mizuno S. Mizushina M. Mohamed S. Mohammadi A. Mohammadian M. Mongiardo J. Morente M. Morgan K. Mori A. Morini N. Morita E. Moros A. Morris J. Morsey H. Mosallaei M. Mrozowski J.-E. Mueller M. Muraguchi K. Murata H. Muthali T. Nagatsuma P. Nagel K. Naishadham T. Nakagawa M. Nakajima N. Nakajima J. Nakayama M. Nakayama M. Nakhla J. Nallatamby S. Nam S. Narahashi A. Natarajan J. Nath B. Nauwelaers J. Navarro I. Nefedovlgor H.-C. Neitzert B. Nelson S. Nelson A. Neri H. Newman D. Ngo E. Ngoya C. Nguyen K. Niclas E. Niehenke P. Nikitin A. Niknejad N. Nikolova T. Nirmalathas K. Nishikawa T. Nishikawa

K. Nishimura T. Nishino K. Nishizawa G. Niu W. Ng S. Nogi K. Noguchi T. Nojima A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido T. Nurgaliev D. Oates J. Obregon J. O’Callahan M. O’Droma M. Odyneic I. Ogawa M. Ogusu K. Oh M. Ohawa T. Ohira I. Ohta M. Ohtsuka S. Oikawa K. Okada Y. Okano H. Okazaki V. Okhmatovski A. Oki M. Okoniewski A. Oliner J. Olsson F. Olyslager A. Omar M. Omiya K. Onodera B.-L. Ooi I. Oppermann R. Orta S. Ortiz J. Ou T. Owada M. Ozkar J. Page de la Pega W. Palmer G.-W. Pan A. Paolella C. Papanicolopoulos J. Papapolymerou B.-K. Park C.-S. Park W. Park A. Parker D. Parker T. Parker J. Pearce B. Pejcinovic S.-T. Peng R. Pengelly R. Penty J. Pereda B. Perlman L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson A. Petosa A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierce B. Piernas J. Pierro P. Pieters M. Piket-May L. Pileggi Z.-Y. Ping M. Pirola A. Platzker C. Plett C. Pobanz R. Pogorzelski R. Pokharel R. Pollard G. Ponchak M. Popovic J. Portilla M. Pospieszalski V. Postoyalko A. Pothier S. Prasad D. Prather D. Prescott A. Priou D. Purdy Y. Qian T. Quach C. Quendo R. Quere F. Raab V. Radisic K. Radhakrishnan T. Rahkonen C. Railton A. Raisanen K. Rajab O. Ramahi J. Randa R. Ranson T. Rappaport J. Rathmell C. Rauscher J. Rautio B. Rawat J. Rayas-Sanchez R. Reano G. Rebeiz J. Rebollar B. Redman-White M. Reddy R. Reid H.-M. Rein J. Reinert R. Remis K. Remley C. Rey L. Reynolds A. Rezazadeh E. Rezek A. Riddle B. Riddle J.-S. Rieh E. Rius I. Robertson R. Robertson A. Rodriguez R. Rogers H. Rogier U. Rohde N. Rolland R. Romanofsky

A. Rong Y. Rong D. Root L. Roselli A. Rosen U. Rosenberg L. Roy M. Royer J. Roychowdury T. Rozzi B. Rubin M. Rudolph P. Russer D. Rutledge T. Ruttan A. Rydberg T. Rylander D. Rytting C. Saavedra A. Safavi-Naeini A. Safwat M. Sagawa B. Sahu A. Saitou I. Sakagami K. Sakaguchi K. Sakakibara K. Sakamoto K. Sakoda M. Salazar-Palma C. Samori L. Samoska A. Sanada Y. Sanada M. Sanagi P. Sandhiva U. Sangawa A. Sangster K. Sano K. Sarabandi T. Sarkar C. Sarris H. Sato M. Sato S. Sato H. Sawada H. Sawaya A. Sawicki A. Sayed I. Scherbatko J. Schellenberg G. Schettini F. Schettino B. Schiek M. Schindler E. Schlecht E. Schmidhammer D. Schmitt J. Schneider J. Schoukens A. Schuchinsky R. Schuhmann J. Schultz J. Schutt-Aine A. Seeds Y. Segawa T. Seki S. Selberherr G. Semouchkin E. Semouchkina Y.-K. Seng R. Settaluri J. Sevic O. Sevimli Y. Segawa Z. Shao M. Shapiro A. Sharma S. Sharma T. Shen Z.-X. Shen Y. Shestopalov H. Shigesawa Y.-C. Shih H. Shimasaki S. Shinjo N. Shino N. Shinohara T. Shimozuma W. Shiroma K. Shogen N. Shuley M. Shur D. Sievenpiper A. Sihvola C. Silva M. Silveira M. Silveirinha M. Silveirinhao K. Silvonen G. Simin R. Simons B. Sinha F. Sinnesbichler J. Sinsky J. Sitch H.-J. Siweris R. Sloan A. Smith D. Smith G. Smith P. Smith R. Snyder H. Sobol A. Sochava M. Solano K. Solbach M. Solomon M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers M. Soyuer R. Sparks P. Staecker D. Staiculescu S. Stapleton J. Staudinger P. Stauffer P. Steenson K. Stephan M. Steyaert S. Stitzer A. Stoehr B. Strassner M. Stubbs M. Stuchly A. Suarez G. Subramanyam R. Sudbury N. Suematsu M. Sugiyama D. Sullivan L. Sundstrom

Y. Suzuki J. Svacina D. Swanson D. Sweeney R. Syms B. Szendrenyi W. Tabbara M. Tabib-Azar A. Taflove M. Taghivand N. Taguchi Y. Tahara G. Tait Y. Tajima T. Takagi K. Takahashi S. Takayama Y. Takayama S. Takeda I. Takenaka M. Taki K. Takizawa S. Talisa N. Talwalkar B.-T. Tan C.-Y. Tan J. Tan C.-W. Tang W.-C. Tang S. Tanaka T. Tanaka Y. Tanaka M. Tani E. Taniguchi H. Tanimoto R. Tascone J. Taub J. Tauritz R. Tayrani D. Teeter F. Teixeira R. Temkin M. Tentzeris K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson D. Thompson M. Tiebout L. Tiemeijer H. Toda M.-R. Tofighi M. Togashi T. Tokumitsu R. Tomasiunas A. Tombak K. Tomiyasu I. Toyoda S. Tretyakov R. Trew A. Trifiletti C. Trueman A. Truitt C.-M. Tsai E. Tsai L. Tsang H.-Q. Tserng T. Tsiboukis J. Tsui M. Tsuji T. Tsujiguchi T. Tsukahara K. Tsukamoto K. Tsunoda H. Tsurumi S. Tu R. Tucker M. Tur C.-K. Tzuang H. Uchida S. Uebayashi T. Ueda S. Ueno J. Uher F. Uhlmann T. Ulrich T. Umeda Y. Umeda F. Urbani T. Uwano P. Vainikainen P. Valanju F. Van de Water P. van den Berg D. Van der Weide G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie J. Vankka F. Van Straten K. Varian G. Vasilecu A. Vegas-Garcia L. Vegni A. Verma R. Vernon J. Verspecht B. Vidal L. Vietzorreck A. Viitanen A. Vilches C. Vittoria S. Vitusevich D. Viveiros V. Volman K. Wada K. Wakino D. Walker R. Walker M. Wallis C. Walsh C. Wan S. Wane B.-Z. Wang C. Wang D. Wang E. Wang H. Wang J. Wang K.-C. Wang S. Wang T.-H. Wang W. Wang X. Wang K. Warnick P. Warr S. Wartenberg O. Watanabe S. Watanabe R. Waugh D. Webb K. Webb R. Webster S. Wedge C.-J. Wei

J. Weirt R. Weigel G. Weihs R. Weikle C. Weil D. Weile A. Weily S. Weinreb J. Weiss C. Weitzel T. Weller C.-P. Wen M.-H. Weng R.-M. Weng S. Wentworth J. Whelehan L. Whicker J. Whitaker N. Whitbread D. White I. White S. Whiteley A. Whittneben B. Widrow G. Wilkins J. Williams T. Williams A. Williamson B. Willen B. Wilson J. Wiltse T. Winslow J. Winters A. Wittneben M. Wnuk M.-F. Wong S. Wong W. Woo J. Wood R. C. Wood G. Woods D. Woolard B.-L. Wu C. Wu H. Wu K. Wu K.-L. Wu Q. Wu Y.-S. Wu J. Wuerfl M. Wurzer J. Wustenberg G. Xiao C. Xie H. Xin Y.-Z. Xiong J. Xu Y. Xu Q. Xue T. Yakabe K. Yamamo S. Yamamoto S. Yamashita K. Yamauchi F. Yang H.-Y. Yang K. Yang Y. Yang Y.-J. Yang Z. Yang S. Yanagawa F. Yanovsky H. Yao J. Yao J. Yap B. Yarman K. Yashiro H. Yasser K. Yasumoto S. Ye J. Yeo S.-P. Yeo A. Yilmaz W.-Y. Yin S. Yngvesson N. Yoneda T. Yoneyama C.-K. Yong J.-G. Yook J.-B. Yoon R. York I. Yoshida S. Yoshikado L. Young M. Yousefi J.-W. Yu M. Yu P.-K. Yu W. Yu S-W Yun P. Yue A. Zaghoul A. Zaghloul A. Zajic K. Zaki P. Zampardi J. Zapata L. Zappelli J. Zehentner L. Zhang Q.-J. Zhang R. Zhang S. Zhang W. Zhang Y. Zhang A. Zhao L. Zhao Y. Zhao F. Zhenghe W. Zhou A. Zhu L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin D. Zimmermann R. Ziolkowski H. Zirath J. Zmuidzinas A. Zozaya