IEEE MTT-V054-I04B (2006-04B) [54, 4b ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 01618588......Page 1
020 - 01618589......Page 3
030 - [email protected] 4
040 - [email protected] 9
050 - [email protected] 19
060 - [email protected] 28
070 - [email protected] 39
080 - [email protected] 47
090 - [email protected] 53
100 - [email protected] 59
110 - [email protected] 70
120 - [email protected] 79
130 - [email protected] 85
140 - [email protected] 96
150 - [email protected] 103
160 - [email protected] 117
170 - [email protected] 126
180 - [email protected] 134
190 - [email protected] 141
200 - [email protected] 154
210 - [email protected] 165
220 - [email protected] 174
230 - [email protected] 184
240 - [email protected] 192
250 - [email protected] 199
260 - [email protected] 208
270 - [email protected] 218
280 - [email protected] 230
290 - [email protected] 237
300 - [email protected] 248
310 - [email protected] 259
320 - [email protected] 268
330 - [email protected] 284
340 - [email protected] 293
350 - 01618622......Page 300
360 - 01618623......Page 301

Citation preview

APRIL 2006

VOLUME 54

NUMBER 4

IETMAB

(ISSN 0018-9480)

PART II OF TWO PARTS

SPECIAL ISSUE ON ULTRA-WIDEBAND Guest Editorial .... ......... ........ ......... ......... ........ ......... ........ R. H. Knöchel, I. Oppermann, and A. Wittneben

1633

PAPERS

Components and Circuits A Low-Complexity Noncoherent IR-UWB Transceiver Architecture With TOA Estimation (Invited Paper) ... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .... L. Stoica, A. Rabbachin, and I. Oppermann Gaussian Pulse Generators for Subbanded Ultra-Wideband Transmitters ....... D. D. Wentzloff and A. P. Chandrakasan Codesign of an Impulse Generator and Miniaturized Antennas for IR-UWB .... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ...... S. Bagga, A. V. Vorobyov, S. A. P. Haddad, A. G. Yarovoy, W. A. Serdijn, and J. R. Long System-On-Package Ultra-Wideband Transmitter Using CMOS Impulse Generator .... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ..... J. Lee, Y.-J. Park, M. Kim, C. Yoon, J. Kim, and K.-H. Kim The Discone Antenna in a BPSK Direct-Sequence Indoor UWB Communication System .... .. Y. Zhang and A. K. Brown Compensation of the Effects of Antenna Dispersion on UWB Waveforms via Optical Pulse-Shaping Techniques ...... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ....... J. D. McKinney and A. M. Weiner The Analysis of UWB SiGe HBT LNA for Its Noise, Linearity, and Minimum Group Delay Variation ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ........ Y. Park, C.-H. Lee, J. D. Cressler, and J. Laskar A 6.5-kV ESD-Protected 3–5-GHz Ultra-Wideband BiCMOS Low-Noise Amplifier Using Interstage Gain Roll-Off Compensation .. ......... ........ ......... ......... ........ .. M. Liu, J. Craninckx, N. M. Iyer, M. Kuijk, and A. R. F. Barel Multi(Six)-Port Impulse Radio for Ultra-Wideband ..... ......... ......... Y. Zhao, J.-F. Frigon, K. Wu, and R. G. Bosisio Transceiver Concepts, Modulation, and Demodulation A Robust Front-End Architecture for Low-Power UWB Radio Transceivers .... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .. D. Barras, F. Ellinger, H. Jäckel, and W. Hirt Robust Detection of Ultra-Wideband Signals in Non-Gaussian Noise ... ........ ........ N. Güney, H. Deliç, and M. Koca

1637 1647 1656 1667 1675 1681 1687 1698 1707

1713 1724

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Space–Time Selective RAKE Receiver With Finger Selection Strategies for UWB Overlay Communications . ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ T.-H. Chang, C.-Y. Chi, and Y.-J. Chang Frequency-Domain Implementation of the Transmitted-Reference Ultra-Wideband Receiver ... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ...... S. Hoyos and B. M. Sadler Transmitted-Reference UWB Systems Using Weighted Autocorrelation Receivers ..... ....... J. Romme and K. Witrisal A Computable Formula for the Average Bit Error Probability as a Function of Window Size for the IEEE 802.15.3a UWB Channel Model ......... ........ ......... ......... ........ ......... ......... ........ ......... ........ J. A. Gubner and K. Hao A Robust Signal-Detection Method for Ultra-Wideband Networks With Uncontrolled Interference .... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ........ A. El Fawal and J.-Y. Le Boudec Interference Mitigation Study for UWB Radio Using Template Waveform Processing . ......... K. Ohno and T. Ikegami Statistical Multiplexing-Based Hybrid FH-OFDMA System for OFDM-Based UWB Indoor Radio Access Networks . .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ....... J. W. Chong, B. C. Jung, and D. K. Sung Channel Models A Parametric UWB Propagation Channel Estimation and Its Performance Validation in an Anechoic Chamber ........ .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ....... K. Haneda, J. Takada, and T. Kobayashi UWB SIMO Channel Measurements and Simulations ... .... J. Keignart, C. Abou-Rjeily, C. Delaveaud, and N. Daniele An Ultra-Wideband Body Area Propagation Channel Model—From Statistics to Implementation ..... ......... ......... .. .. ........ ......... ......... ........ ......... ......... .... A. Fort, C. Desset, P. De Doncker, P. Wambacq, and L. Van Biesen UWB On-Body Radio Channel Modeling Using Ray Theory and Subband FDTD Method ..... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ... Y. Zhao, Y. Hao, A. Alomainy, and C. Parini UWB Signal Propagation at the Human Head .... ........ ......... ... T. Zasowski, G. Meyer, F. Althaus, and A. Wittneben Small Planar UWB Antennas in Proximity of the Human Head . ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ .... Z. N. Chen, A. Cai, T. S. P. See, X. Qing, and M. Y. W. Chia Localization and Applications Examination of Antenna Combinations for UWB Ranging System ...... ........ . Y. Takeuchi, Y. Shimizu, and Y. Sanada UWB Ranging Accuracy in High- and Low-Data-Rate Applications .... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... .... R. Cardinali, L. De Nardis, M.-G. Di Benedetto, and P. Lombardo TOA Estimation for IR-UWB Systems With Different Transceiver Types ....... I. Guvenc, Z. Sahinoglu, and P. V. Orlik Large Error Performance of UWB Ranging in Multipath and Multiuser Environments . ......... ..... J.-Y. Lee and S. Yoo Joint Distributed Synchronization and Positioning in UWB Ad Hoc Networks Using TOA ..... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ..... B. Denis, J.-B. Pierrot, and C. Abou-Rjeily A Novel Wireless Interconnect Technology Using Impulse Radio for Interchip Communications ...... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... Y. Zheng, Y. Zhang, and Y. Tong FDTD-Based Time Reversal for Microwave Breast Cancer Detection—Localization in Three Dimensions .... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... P. Kosmas and C. M. Rappaport Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

1731 1745 1754 1762 1769 1782 1793

1802 1812 1820 1827 1836 1846 1858 1865 1876 1887 1896 1912 1921 1928

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $16.00 per year for electronic media only or $32.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE K. VARIAN, President M. P. DELISIO D. HARVEY S. M. EL-GHAZALY J. HAUSNER M. HARRIS K. ITOH

J. S. KENNEY, L. KATEHI S. KAWASAKI J. S. KENNEY

President Elect N. KOLIAS T. LEE J. LIN

W. H. CANTRELL, Secretary D. LOVELACE V. J. NAIR J. MODELSKI B. PERLMAN A. MORTAZAWI D. RUTLEDGE

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

W. SHIROMA R. SNYDER R. SORRENTINO

N. KOLIAS, Treasurer K. VARIAN K. WU R. WEIGEL R. YORK S. WETENKAMP

Distinguished Lecturers

K. TOMIYASU L. YOUNG

L. E. DAVIS W. GWAREK W. HEINRICH

W. HOEFER T. ITOH B. KIM

J. LASKAR J. C. RAUTIO D. RYTTING

Past Presidents M. SHUR P. SIEGEL R. J. TREW

K. C. GUPTA (2005) R. J. TREW (2004) F. SCHINDLER (2003)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: D. KREMER Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: L. HAYS Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: F. SULLIVAN Central & South Italy: R. TIBERIO Central No. Carolina: T. IVANOV Chicago: R. KOLLMAN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. D. BALUSEK Dayton: A. TERZOULI, JR. Denver: K. BOIS Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: S. O’CONNOR

Foothill: C. ANTONIAK France: O. PICON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: K.. MICHALSKI Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: E. HU New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: Y. THODESEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: T. WU Ottawa: J. E. ROY Philadelphia: J. B. MCCORMACK Phoenix: C. WEITZEL Poloand: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: F. J. V. HASSELMANN Rochester: S. M. CICCARELLLI/ J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorad: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: S.. KUMAR Seattle: K. POULSON Seoul Coucil: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH

Editor-In-Chief MICHAEL STEER North Carolina State Univ. Raleigh, NC 27695-7911 USA Phone: +1 919 515 5191 Fax: +1 919 513 1979 email: [email protected]

Singapore: O. B. LEONG South Africa: P. W. VAN DER WALT South Australia: H. HANSON South Brazil: L. C. KRETLEY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: E. C. FEAR Spain: L. DE HARO Springfield: S. C. REISING Sweden: A.. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI Ukraine West: M. I. ANDRIYCHUK UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: E. I. VELIEV Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: S. NOGHANIAN Yugoslavia: B. MILOVANOVIC

Associate Editors

ANDREAS CANGELLARIS RUEY-BEEI WU ZOYA POPOVIC Univ. of Illinois, Urbana Champaign Univ. of Colorado, Boulder National Taiwan Univ. USA USA Taiwan, R.O.C. email:[email protected] email: [email protected] email: [email protected] AMIR MORTAZAWI DYLAN F. WILLIAMS ALESSANDRO CIDRONALI Univ. of Michigan, Ann Arbor NIST Univ. of Florence USA USA Italy email: [email protected] email: [email protected] email: [email protected] YOSHIO NIKAWA KENJI ITOH STEVEN MARSH Mitsubishi Electronics Midas Consulting Kokushikan Univ. Japan U.K. Japan email: [email protected] email: [email protected] email: [email protected] DAVID LINTON TADEUSZ WYSOCKI JOSÉ PEDRO Queen’s Univ. Belfast Univ. of Wollongong Univ. of Aveiro Northern Ireland Australia Portugal email: [email protected] email: [email protected] email: jcp.mtted.av.it.pt M. GOLIO, Editor, IEEE Microwave Magazine G. PONCHAK, Editor, IEEE Microwave and Wireless Component Letters

MANH ANH DO Nanyang Technological Univ. Singapore email: [email protected] VITTORIO RIZZOLI Univ. of Bologna Italy email: [email protected] SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers MICHAEL R. LIGHTNER, President and CEO SAIFUR RAHMAN, Vice President, Publication Services and Products LEAH H. JAMIESON, President-Elect PEDRO RAY, Vice President, Regional Activities J. ROBERTO DE MARCA, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association JOSEPH V. LILLIE, Treasurer CELIA L. DESMOND, Vice President, Technical Activities W. CLEON ANDERSON, Past President RALPH W. WYNDRUM, JR., President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $77.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2006 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188.

Digital Object Identifier 10.1109/TMTT.2006.874639

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1633

Guest Editorial LTRA-WIDEBAND (UWB) technology, as it is used for sensors, radar, and communications, poses completely new requirements on hardware design, but also, for example, on the conception of sensor networks, location systems, detection systems, and communication-systems, on signal processing, or on parameter estimation. Its interdisciplinary nature is expressed through considerable attention, which UWB receives in the areas of antennas and propagation, microwave theory and techniques, electronic devices and circuits, vehicular technology and communications, etc. Having its origins many decades ago in the area of time-domain electromagnetics with applications for spark-gap transmitters, in microwave network exploration, and for materials characterization, UWB has generated huge interest in recent years as a short-range wireless technology. In that area, it promises excellent perspectives due to its wide bandwidth, which allows high data rates and simultaneous coexistence with existing services. Major short-range wireless communication systems include wireless local area networks (WLANs), wireless personal area networks (WPANs), wireless sensor networks (WSNs), RF identification (RFID), and automotive communication. Applications range from human and computer communication to logistics and security. Equally important is the application of short-range wireless technology for position location, ranging, and disaster recovery. Short-range wireless systems comprise nodes with heterogeneous quality of service requirements. UWB technology offers unique advantages for short-range wireless systems, as it is extremely scalable and supports precision geo-location and ranging. Due to the recent Federal Communications Commission (FCC) ruling in the U.S., which has taken over worldwide a precursor role, and ongoing related efforts in Europe and Asia, a variety of exciting commercial applications of UWB are expected to emerge. The regulatory efforts in Europe have evolved favorably toward UWB permission. FCC-like power spectral density (PSD) limits are expected to be allowed for the 4.2–4.8-GHz frequency range for a limited period of several years. Without restricted duration, the same PSD limits will be allowed for 3.1–4.8 GHz if interference mitigation techniques are applied. Appropriate techniques, which are still subject to further investigation, aim to protect primary spectrum users against harmful UWB interference. The 6–9-GHz range will be allowed without the need for additional mitigation techniques. Although regulation in Asia is not as harmonized as in Europe, a positive development can be observed. For example, in Japan, a very similar regulation as in Europe with a slightly different frequency plan is envisaged. When the regulatory plans come into force in a few months, we can expect an explosive growth in deployment of UWB devices around the world.

U

Digital Object Identifier 10.1109/TMTT.2006.872064

This TRANSACTIONS’ Special Issue comprises 31 papers, which cover all aspects of UWB signals, the related technology, their interaction and interface with peripheral circuitry and interconnecting transmission media, as well as innovative applications and coexistence. These papers are divided into four main topics, namely, “Components and Circuits,” “Transceiver Concepts, Modulation, and Demodulation,” “Channel Models,” and “Localization and Applications.” A total of 17 updated and extended papers from the 2005 IEEE International Conference on Ultra-Wideband (ICU 2005) are comprised in this TRANSACTIONS’ Special Issue. ICU 2005 was held at the Eidgenössische Technische Hochschule Zürich (ETH Zürich), Zürich, Switzerland, and is the latest on a very successful series of UWB conferences of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). The hardware design of UWB circuits, subsystems, and systems is largely based on scientific fundamentals of microwave theory and techniques. In that discipline, engineers are familiar with design principles, which take the distributed nature of circuits into account, and where the propagation times within devices, circuits, subsystems, and systems are in the order of the duration of electrical processes. This is the case for signals applied in UWB technology. However, UWB signals go even beyond insofar as rapid and slow electrical processes can appear simultaneously, letting the signals cover extremely wide bandwidths. Although the acquaintance with such wide bandwidths is not uncommon in microwave technology, as they occurred earlier, for example, in surveillance receivers or in measurement circuits, many concepts in microwave circuit design have to be reviewed, modified, adapted, extended, and even newly created in order to admit the development of UWB and cost-effective circuits for the consumer and other mass-production markets. Components and Circuits: This section comprises an invited paper and eight contributions. The invited paper entitled “A Low-Complexity Noncoherent IR UWB Transceiver Architecture With TOA Estimation” examines the performance of TOA position estimation techniques for a noncoherent energy collection receiver. The testbed has been realized in 0.35-mm SiGe BiCMOS technology and a TOA accuracy can be achieved of 5 ns. A first major building block in UWB systems is the generator that produces the transmit signal. Three papers are concerned with the design of pulse generators and related design aspects. The second paper in this Special Issue describes pulse generators for sub-banded UWB transmitters, which generate pulses of accurate Gaussian shape. The next two papers deal with a system-on-package UWB transmitter using a CMOS impulse generator, which also contains a bandpass filter for spectrum shaping and an UWB antenna in a co-design. Co-design of the generator and the antenna is important because impulse distortions result from their interaction. The paper entitled “The Discone Antenna in a BPSK Direct-Sequence Indoor UWB Communication System” studies

0018-9480/$20.00 © 2006 IEEE

1634

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

the system aspects of the distortions due to the antenna in a communication system for the example of a discone antenna. A further paper addresses the compensation of the antenna distortions on UWB waveforms due to dispersion in a very innovative manner via optical pulse shaping. The three next papers deal with the receiver side of an UWB system. A critical component is always the low-noise amplifier. The paper “The Analysis of UWB SiGe HBT LNA for Its Noise, Linearity and Minimum Group Delay Variation” addresses in a very clear and tutorial manner the wideband design of a low-noise constant group-delay amplifier with high linearity and low power consumption. The following paper reports an alternative design of the low-noise amplifier, which, for the first time, also includes a 6.5-kV electrostatic discharge protection circuit. The last paper in this section discusses a multi(six)-port as a candidate for an impulse radio UWB receiver. Transceiver Concepts, Modulation, and Demodulation: UWB systems potentially support a wide range of receiver architectures and modulation schemes. Much of the earlier research on spread spectrum systems may be adapted for UWB, the most significant differences, however, being the very large number of multipath components present in the received UWB signal and the very low power levels per received signal path. Interference also plays a significant role in UWB systems. The paper “A Robust Front-End Architecture for Low-Power UWB Radio Transceivers” presents a modulation scheme and transceiver based on using a combination of a binary shift keying and a carrier-less amplitude modulation (2-FSK/AM-C). The paper “Robust Detection of Ultra-Wideband Signals in non-Gaussian Noise” presents an alternate architecture which enables removal of large amplitude interference. The paper “Space–Time Selective RAKE Receiver With Finger Selection Strategies for UWB Overlay Communications” proposes a space–time selective RAKE (SRAKE) receiver with maximum signal to interference-plus noise ratio for direct-sequence UWB communications in the presence of narrowband interference and multiple access interference. The paper “Frequency-Domain Implementation of the Transmitted-Reference UWB Receiver” presents a mixed-signal frequency-domain autocorrelation receiver used for the detection of UWB signals that are modulated with transmitted-reference signalling. The relaxation of the conversion speed achieved by the inherent parallel architecture of the frequency-domain ADC and the flexibility and simplicity of an all-digital receiver architecture are outlined. In the paper “Transmitted-Reference UWB Systems Using Weighted Autocorrelation Receivers,” the usage of a fractionally sampled autocorrelation receiver is proposed for the demodulation of TR signals. Binary signaling over the IEEE 802.15.3a UWB channel model is considered in the paper “A Computable Formula for the Average Bit-Error Probability as a Function of Window Size for the IEEE 802.15.3a UWB Channel Model.” When the received waveform is observed only during a finite time window, a computable formula for the average bit error probability (BEP) is derived. In “A Robust Signal Detection Method for Ultra-Wideband (UWB) Networks With Uncontrolled Interference,” a novel detection method for noncoherent synchronization (signal ac-

quisition) in multiaccess TR-UWB networks is proposed. This method is designed to solve the inter-user interference that occurs in some ad hoc networks where concurrent transmissions are allowed with heterogeneous power levels. In the “Interference Mitigation Study for UWB Radio by Using Template Waveform Processing,” the effects of interference between pulse-based UWB and other systems as IEEE802.11a wireless local area network (LAN) and multiband orthogonal frequency division multiplexing (OFDM) techniques are studied. A “Statistical Multiplexing-Based Hybrid FH-OFDMA System for OFDM-Based UWB Indoor Radio Access Networks” is then proposed in the final paper of this section. Channel Models: Six papers are devoted to channel modeling and, among them, four are devoted to aspects of the body area propagation channel. This emphasizes the interest in one of the most promising areas of UWB technology. In “A Parametric UWB Propagation Channel Estimation and Its Performance Validation in an Anechoic Chamber,” an extension of conventional wideband channel sounding techniques is described, which enables parametric UWB channel sounding in the frequency domain. Single-input–multiple-output (SIMO) channel measurements and simulations are presented in “UWB SIMO Channel Measurements and Simulations.” The next four papers deal with the modeling of the body area network. It is shown that the first signals arriving at the receiver are due to creeping waves and clearly distinguishable from reflections. It is also demonstrated that only very few energy is absorbed in the head and body since nearly all energy is reflected. Localization and Applications: This final section comprises five papers considering one of the most promising features of the UWB technology, the high performance for localization and ranging purposes due to the inherent high-resolution capabilities. Different antenna combinations for UWB ranging systems are examined in the first paper. The received pulse waveform with different antenna combinations and the performance of the pulse synchronization scheme applied for UWB ranging is investigated. Ranging accuracies in high and low data-rate applications are investigated in the next paper. DS-UWB is the best signal format for ranging accuracy thanks to its potentially larger bandwidth and higher frequency of operation; however, with specific synchronization sequences, the MBOFDM signal format may lead to a better ranging accuracy. In the paper “TOA Estimation for IR-UWB Systems With Different Transceiver Types,” the performances of stored-reference, transmitted-reference, and energy-detection-based TOA estimation are analyzed for IR-UWB systems with sub-Nyquist sampling rates. Both analytical and experimental approaches are considered to evaluate the large error variance in a correlation-based serial search scenario in the paper “Large Error Performance of UWB Ranging in Multipath and Multiuser Environments.” In the paper “Joint Distributed Synchronization and Positioning in UWB ad hoc Networks Using TOA,” a global distributed solution is discussed that enables to perform jointly time synchronization and positioning The last two papers are devoted to possible applications of UWB technology. In “A Novel Wireless Interconnect

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Technology Using Impulse Radio for Inter-Chip Communications,” impulse radio (IR) UWB is proposed as a candidate for inter-chip communication with high data rate and low bit error rate to eliminate wired interconnections. The last paper describes UWB technology in combination with a three-dimensional (3-D) finite-difference time-domain (FDTD) time-reversal algorithm in the application for medical-imaging purposes. This Guest Editorial would not be complete without expressing a special acknowledgment to Gabriel Meyer, ETH Zürich. His invaluable contributions to the editorial work were indispensable for the success of this TRANSACTIONS’ Special Issue. He was the contact person for the authors, the diligent and unselfish coordinator of the review process, and the motor who made us work. We also would like to acknowledge the invaluable effort of all the reviewers for helping to make this TRANSACTIONS’ Special Issue a long lasting contribution to the development of UWB technology.

1635

Finally, we would like to thank this TRANSACTIONS’ Editor-in-Chief, Michael B. Steer, for enabling this Special Issue and for his great support. REINHARD H. KNÖCHEL, Guest Editor Lehrstuhl für Hochfrequenztechnik Christian Albrechts Universität zu Kiel Kiel, 24143 Germany IAN OPPERMANN, Guest Editor Centre for Wireless Communicatons University of Oulu Oulu, FI-90014 Finland ARMIN WITTNEBEN, Guest Editor ETH Zürich Communication Technology Laboratory Zürich, CH-8092 Switzerland

Reinhard H. Knöchel (A’89–SM’90) received the Dipl.-Ing. and Dr.-Ing. degrees from the Technical University of Braunschweig, Braunschweig, Germany, in 1975 and 1980, respectively. Since 1993, he holds a Full Professorship for Microwave Techniques with the Christian Albrechts University of Kiel, Kiel, Germany. From 1986 to 1993, he was a Professor with the Technical University of Hamburg–Harburg, Hamburg, Germany. From 1980 to 1986, he was with the Philips Research Laboratory (PFH), Hamburg, Germany. Prof. Knöchel is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the IEEE Antennas and Propagation Society (IEEE AP-S), and the IEEE Instrumentation and Measurement Society (IEEE IM-S). He is a member of VDE (ITG), Germany. He is also a member of the Technical Coordination Committee (TCC) of the IEEE MTT-S, concerned with the “European liaison,” a member of the Technical Committee TC MTT-16, Microwave Systems, chairman of the Sub-Committee on Ultra-Wideband Systems, a member of the Executive Committee of the ICUWB Conference (formerly ICU), and a member of the Program Committee of the IEEE MTT-S International Microwave Symposium (IMS), where he is chair of Sub-Committee SC-30, Broadband Microwave Communication and Radar Systems. He is a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, the European Microwave Conference, and several other conferences and journals.

Ian Oppermann (M’92–SM’02) received the Electrical Engineering and Ph.D. degrees from the University of Sydney, Sydney, Australia, in 1992 and 1997, respectively. He holds a Docent position with the University of Oulu, Oulu, Finland. He is also currently Director of Performance Products within Service Management Applications with Nokia Networks. From 2003 to 2005, he was the Director of the Centre for Wireless Communications (CWC), University of Oulu, Finland. Dr. Oppermann was the general chairman of the 2004 ISSSTA, Sydney, Australia, 2003 IWUWBS, Oulu, Finland, and 2004 IWWAN, Oulu, Finland. He is a member of the IEEE Communications Society (ComSoc), Information Technology (IT) Society, and Vehicular Technology (VT) Society. He is also the chairman of the Executive Committee of the ICUWB Conference (formerly ICU) and a member of the Program Committee PIMRC 2006.

1636

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Armin Wittneben (M’86) received the Dipl.-Ing. and Doctorate degrees in electrical engineering and Venia Legendi degree in communication technology from the Technical University Darmstadt (TUD), Darmstadt, Germany, in 1983, 1989, and 1997, respectively. He is a Full Professor of wireless communication with Eidgenössische Technische Hochschule (ETH) Zürich, Zürich, Switzerland, and Director of the Institute of Communication Technology. From 1989 to 1998, he was with Ascom Tech, Maegenwil, Switzerland, where he was in charge of wireless communications research activities. During this time, his department demonstrated, among others, the first Hiperlan/I modem and the fastest modem worldwide for digital powerline communication. In 1998, he became a Full Professor of communications with Saarland University, Saarbrücken, Germany. In 2002, he joined ETH Zürich. His research focuses on cooperative wireless communication, communication theory, digital signal processing, multiple-input–multiple output (MIMO) wireless and UWB wireless.

Copyright of IEEE Transactions on Microwave Theory & Techniques is the property of IEEE and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1637

A Low-Complexity Noncoherent IR-UWB Transceiver Architecture With TOA Estimation Lucian Stoica, Student Member, IEEE, Alberto Rabbachin, Student Member, IEEE, and Ian Oppermann, Senior Member, IEEE Invited Paper

Abstract—Impulse-radio (IR)-based ultra-wideband (UWB) technology is a strong candidate for short-range data communication and positioning systems. This paper examines the performance of time-of-arrival (TOA) position estimation techniques as well as the simulated and measured performances of an IR-UWB noncoherent energy-collection receiver. The noncoherent IR-UWB transceiver has been designed for operation over the frequency range 3.1–4.1 GHz and implemented in 0.35- m SiGe BiCMOS technology. The performance of two different algorithms, namely, the threshold-crossing and the maximum selection (MAX) algorithms, are compared in terms of TOA estimation error in Saleh Valenzuela channel model 3 and channel model 4. The implemented structure of the TOA MAX algorithm suitable for IR-UWB-based noncoherent receivers is presented. A UWB testbed has been constructed in order to test and measure the transmitted waveform as well the receiver performances. The simulated receiver noise figure is 7.3 dB while the receiver gain is 34 dB. The TOA MAX algorithm can achieve 5-ns positioning accuracy for 95% of cases. Constant transconductance tuning circuits for improved TOA estimation reliability are also presented. Index Terms—Impulse-radio ultra-wideband (IR-UWB), noncoherent low-complexity transceiver architecture, RF front-end, SiGe BiCMOS, tag networks, time-of-arrival (TOA) estimation.

I. INTRODUCTION LTRA-WIDEBAND (UWB) has grown in popularity in the years since the Federal Communications Commision (FCC) regulations in the United States [1] have driven the needs of consumer and military applications and developments in solid-state technology and communications. Impulse-radio (IR)-based UWB (IT-UWB) technology utilizes signals with very low spectral densities, is resistant to channel multipath, has very good time-domain resolution allowing for location and tracking applications, and is relatively low-comlexity and low-cost. Lately, IR-UWB technology has been used in low-cost RF sensor or “tag” networks [2], [3]. With its inherently high time-of-arrival (TOA) estimation accuracy, UWB enables tags to be tracked and located with high precision within a specified area. IR-UWB tags are able to transmit and receive signals at extremely low power levels and are targeted at indoor networks with data rates of up to 100 Kb/s. The functionality of the

U

Manuscript received August 1, 2005; revised January 17, 2006. This work was supported in part under the PULSERS Project, by The National Technology Agency of Finland (Tekes), by the Nokia Foundation, by the HPY:n Foundation, and by Infotech Oulu. The authors are with the Centre for Wireless Communications, University of Oulu, Oulu FI-90014, Finland (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872056

noncoherent energy-collection receiver is based on recovering the signal energy spread in the UWB channel. This leads to a fundamental tradeoff in designing IR-UWB energy-collection tags: there is no need for a channel estimation block with the drawback of interference and noise enhancement [3], [4]. When applying conventional coherent structures for UWB receivers, the optimal exploitation of the pulse timing accuracy is only possible with extremely high-frequency clocks on the order of tens of gigahertz, which are capable of sampling subnanosecond time windows. A noncoherent energy-collection design approach to IR-UWB tags has been presented in [3]–[5]. In the system considered, the mobile UWB tags operate under the control of fixed centralized nodes at data rates of a few kilobits per second. The communication between the fixed nodes and mobile tags takes place in a time-division duplex mode with an access point in bursts of 100 s once every 100 ms, which gives a duty cycle of the order of 0.1% [2], [3]. What makes IR-UWB a leader candidate technology for indoor positioning systems is the fine time resolution associated with the short-time-duration pulses. In general, positioning techniques exploit one or more characteristics of the radio signals to estimate the position of their sources. One of the traditional positioning techniques is TOA [6], [7]. IR-UWB noncoherent receivers can implement TOA positioning techniques using a bank of overlapping or nonoverlapping integrators. By integrating the received signal in small time windows over a symbol period and then selecting the integrator which gives the maximum value [8], a coarse TOA estimate can be produced. The integration windows must be sufficiently small to satisfy the desired uncertainty of the TOA estimate. Smaller integration windows also support greater consistency of the integrated values across process, voltage, and temperature (PVT) variations. The control of the integration values within 1% accuracy can be achieved by using a tuning circuitry [9]. In this paper, we propose an IR-UWB noncoherent receiver with TOA capabilities which makes use of current-controlled constant transconductance tuning circuitry. A number of authors have studied TOA estimation for IR-UWB signals. In [10], correlation techniques in conjunction with aserial search have been proposed for TOA estimation. In [11], special code design has been considered as a means to facilitate the estimation of TOA with the correlation approach. Frequency-domain approaches and chip-level postdetection integration (CLPDI) have been considered in [12]–[14]. Recently, a number of authors have studied integrated noncoherent IR-UWB transceiver architectures together with TOA positioning.

0018-9480/$20.00 © 2006 IEEE

1638

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

An FCC-certified “UWB Precision Asset Location” transceiver which is able to achieve position accuracies of better than 30 cm, and operates in the -band region of the spectrum, has been described in [15]. In [15], a reference tag is used to fully calibrate the system, and the waveform used is a 400-MHzbandwidth UWB pulse. A discrete hardware transceiver architecture operating in VHF/UHF bands which utilizes a singlepulse UWB detection capability as well as discrete transceivers operating in the -band were reported in [16]. Compared with discrete hardware transceiver architectures published in [16], we present the integrated version of a low-cost and low-complexity noncoherent energy-based IR-UWB transceiver architecture appropriate for a low-cost tag concept. Also, the performances of two TOA estimation algorithms suitable for noncoherent energy-based IR-UWB receivers are presented. In [17], a 1.2-V 6.7-mW IR-UWB baseband transceiver architecture was been presented. A 0.13- m CMOS low-noise amplifier (LNA) including a third-order Chebyshev bandpass-matching network based on the PCSNIM [18] concept was presented in [19]. The LNA presented in [19] has a 3-dB bandwidth of 7.7 GHz with an input return loss better than 10 dB and an output return loss better than 15 dB over the entire bandwidth. Another systematic approach of a 3–5-GHz UWB CMOS LNA together with two output impedance-matching methods was presented in [20]. In this paper, both the - and -parameters are below 10 dB, the overall gain is 13.5 dB, and the noise figure (NF) is below 2 dB. The system bandwidth, gain, and NF of our RF front-end are 3.1–4.1 GHz, 34 dB, and 7.3 dB, respectively. The integration technology of the IR-UWB transceiver is 0.35- m SiGe BiCMOS. The remainder of the paper is organized as follows. In Section II, the network architecture is presented. In Section III, we present two TOA algorithms: the threshold-crossing (TC) and maximum selection (MAX) algorithms, as well the implementation structure of the MAX algorithm into IR-UWB noncoherent receivers. The IR-UWB noncoherent transceiver architecture is presented in Section IV. In Section V, we present a performance comparison between the TC and MAX algorithms, the measured waveform of the transmitted UWB pulse, the receiver output spectrum, as well as the IR-UWB testbed. Concluding remarks are given in Section VI. II. SYSTEM CONCEPT Low-data-rate tag networks with location and tracking capabilities are an increasingly popular application for UWB technology [2], [3]. The central system utilizes the information coming from the fixed nodes (FNs), which are closely time synchronized by sharing the same local clock through cable connections. The FNs are positioned at known coordinates in the area being monitored, and we assumed that they are perfectly synchronized. The multiple-access interference (MAI) is minimal, since each tag transmits data in different preassigned time slots. Synchronization between the FNs and the mobile tags is performed once every second due to the drift in the clock of the mobile tags as well as the FNs. This is achieved by broadcasting a beacon from one of the FNs. The TOA of the beacon is used as the reference clock for the mobile tags to transmit data according to the preassigned time slots. For

3-D positioning, four FNs are needed to obtain exact solutions using TOA measurements. The positions of the tags are to be estimated. For an overdetermined system, several different approaches have been proposed, such as spherical interpolation [6], [7], [21], [22], the two-stage maximum-likelihood method [23], and the linear-correction least-square approach [24]. We propose a two-stage approach for fast timing acquisition in order to obtain the TOA of the desired signal. The received signal is amplified by the LNA and variable-gain amplifier (VGA) and then squared. The first stage is a coarse synchronization which is implemented to obtain the estimated position or area of the energy clusters of the received signal without knowing the position of the peak of the particular cluster. The next step is a fine synchronization where the objective is to locate the peak energy and collect the energy for that integration window. The fine synchronization stage can be used for ranging. The fine synchronization is done with the same set of integrators that are used on the coarse synchronization process. This will therefore reduce the hardware complexity of the tag. The main differences between ranging and fine synchronization are as following: the ranging requires knowledge of the first energy cluster which is assumed to contain the first path required for delay estimation, while synchronization requires knowledge of as many clusters as possible since maximum energy collection is used. The fine synchronization stage is implemented by placing integration windows within the coarsely synchronization windows. In this way, the searching process of the starting point of the cluster will be more refined. If the firststage search is successful, the coarse TOA estimate will satisfy

(1) where is the estimated delay, is the optimal estimated delay, and is the integration time interval. III. ENERGY-COLLECTION-BASED TOA ESTIMATION Positioning techniques exploit one or more characteristics of radio signals to estimate the position of their sources. Some of the parameters that have been traditionally used for positioning are the received signal strength intensity (RSSI), the angle of arrival (AOA), and TOA. The estimation of AOA, on the other hand, requires multiple antennas (or at least an antenna capable of beam-forming) at the receiver. This requirement implies size and complexity requirements that are often not compatible with the low-cost, small-size constraints associated with applications such as wireless tag networks for which UWB technology is particularly suited. Therefore, TOA stands out as the most suitable signal parameter to be used for positioning with UWB devices. However, due to the ultrashort (usually subnanosecond) pulses, it poses challenges for synchronization in UWB systems. Some techniques have been proposed to estimate the TOA of UWB signals, for instance, correlation in conjunction with serial search [10], special code design [11], and frequency-domain processing [12]. However, all of the above solutions seem to be in conflict with the strict requirements of low cost and low complexity imposed on some UWB applications and may not provide satisfactory TOA estimates. Another TOA-estimation

STOICA et al.: LOW-COMPLEXITY NONCOHERENT IR-UWB TRANSCEIVER ARCHITECTURE WITH TOA ESTIMATION

1639

Fig. 1. TOA estimation.

scheme for UWB signals is the generalized-likelihood ratio test [25]. However, this technique is relatively high in complexity. In order to further reduce the complexity of UWB systems, noncoherent receivers using energy-collection [26] and transmitted-reference approaches [27]–[29] have recently been proposed. We will first provide a detailed description of the energy-collection-based approach. A two-stage TOA estimation scheme will then be presented. The block diagram of the energy detection receiver is presented in [3] and references therein. The drawback of the noncoherent approach is noise enhancement due to the squaring and the degradation in time resolution that is proportional to the length of the integration. As a consequence of the energy-collection approach of the receiver, a low NF of the receiver is highly desirable. TOA estimation can also be performed using energy-detection structures such as the noncoherent IR-UWB receiver architecture presented in [3]. In the first stage, a bank of integrators is employed. Each integrator integrates the squared symbol for a fraction of one symbol period , as shown in Fig. 1. A search is performed over one symbol duration. The first integrator starts integration at a chosen time point. Each of the other integrators begins integration after a delay of compared to its preceding integrator. The start time point of the integrator whose output is the maximum among all of the integrators provides a coarse TOA estimate. The difference between the TOA estimate and the chosen starting point of the first integrator is denoted as the time error . With a probability that is dependent on the SNR, the coarse TOA estimate will indicate the region containing the first received pulses. After initial synchronization is completed, the TOA estimation is performed by dividing the uncertainty region around the synchronization point into integration windows, where represents the number of integrators available in the receiver. Intuitively, the estimation accuracy is dependent on the uncertainty region size and on the number of integrators. As opposed to symbol synchronization, which provides the time reference that ensures the maximum signal energy detection, the TOA estimation can be seen as fine synchronization, searching for the arrival time of

Fig. 2. MAX algorithm implementation structure in IR-UWB noncoherent receivers.

the received signal. Based on the energy measurements, a decision is made according to a chosen criterion. For example, a TC criterion can be used. With TC, the search is performed serially and is stopped once a measurement value crosses the threshold. The corresponding window is then chosen, and its starting point provides the TOA information. If necessary, a verification process may be pursued. For example, new measurements are taken from the chosen window and are tested against the threshold. If the threshold is crossed out of tests, the chosen window is finally accepted. Otherwise, the search resumes. In the event that no measurement crosses the threshold, new measurements are taken and the search resumes. The TC algorithm requires the setting of a threshold. The other approach is the MAX criterion. With this criterion, measurements at all windows are first compared. Then, the maximal measurement is produced, and the relevant window is selected. In the event that no appropriate thresholds can be readily obtained, the MAX criterion could be desirable. Another criterion is the hybrid of MAX and TC. In this hybrid criterion, the maximal measurement is first obtained. Then, the maximum is examined against the threshold. If the threshold is crossed, the related integration window is selected. If the maximum does not cross the threshold, the search resumes. The TOA MAX algorithm implementation structure of the noncoherent receiver is presented in Fig. 2. In the following, we will describe the basic functionality of the TOA implementation structure. First, the capacitor C is reset (R is active), and then the output of first integrator (INTEGRATOR1) is fed into the comparator. If the INTEGRATOR1 output is bigger than the

1640

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

where is the th chip of the pseudorandom (PR) code, is the transmitted pulse with pulsewidth ps, is the symbol interval, with is the chip interval, and ns is the delay used to distinguish different transmit symbols . The received signal after the receiver antenna is given by

Fig. 3. Current-controlled constant transconductance tuning for the MAX algorithm implementation structure in an IR-UWB noncoherent receivers.

(3) voltage across C, then the capacitor C will be loaded (LOAD is active) with the output voltage of INTEGRATOR1, otherwise it does not change value (LOAD inactive). The same decision process as described above is applied to the rest of the integra. The integrator position tors INTEGRATORSi, which gives the maximum value among all of the integrators will be sent to the baseband as the TOA estimate. The timing in Fig. 2 denote the integration windows signals , , and of all integrators. The minimum integration window is 5 ns, which imposes the requirements over the gain, power consumption, sensitivity, and dynamic range of the integrators. Specifically, the transconductor gain must be sufficiently high to allow the signal at the output to be within the resolution and range of the following comparator. The sensitivity has to be such that the minimum signal of their inputs is still integrated to a useful value. The signal is converted to baseband after the squaring. We use one amplifier stage with digitally controllable variable gain after the squaring, such that the signal is at the level required by the integrators. Since we are using a integrator filter, we can expect a 30% tolerance on the absolute value for the ratio [9]. Before synchronization and TOA integration, the integrators needs to be tuned off-chip using a schematic presented in Fig. 3 such that the tolerance of is reduced to 1% [9]. The goal is to set the transconductance value to the inverse of an external resistance . The circuit presented in Fig. 3 works as follows: when is smaller (bigger) than the reference value , then the voltage at the top of is less (higher) than and the differential pair will increase (decrease) the value of . At equilibrium state, the differential voltage at the input of the differential pair will be zero, and will equal . We mention that, even if the transconductor structure we are using in the integrator is differential, we can still make use of the single-ended output of the element, as shown in Fig. 3. In Fig. 3, denotes all of the parallel elements as shown in [3, Fig. 6], such that the tuning is performed over all integrators. IV. TRANSCEIVER ARCHITECTURE The architecture for the IR-UWB tag transceiver as well as details of modulation type are presented in [3]. The transmitted signal for one user of interest is given by

(2)

defines the gain where is the number of resolvable paths, for path , is the first derivative of , and is zero-mean additive Gaussian noise. The large number of distinguishable multipath components, which are available at the receiver due to the large bandwidth of the transmitted IR-UWB signal [30], will offer a gain in terms of both positioning accuracy and diversity. Due to hardware complexity limitations, the IR-UWB tag design is targeted to have a very simple structure, thus leaving all of the positioning computational capability to the fixed network. A. Transmitter Architecture The transmitter module contains a 533-MHz clock generator, a UWB Gaussian pulse generator, and a UWB dipole antenna [3]. The UWB pulse generator produces the Gaussian monocycle of 750 ps, which is the typical width. The pulse generators offer the possibility of selecting the pulse repetition frequency to be the the on-chip generated 533-MHz frequency or any off-chip frequency. The transmitter’s -parameter and transmitted pulse waveform are presented in Figs. 8 and 9, respectively. B. Front-End Receiver Design The front-end receiver on chip consists of an LNA, VGA, and Gilbert mixer [31]. A general guideline for the design of each block is to have a total NF of the receiver chain below 10 dB, low power consumption, and the ability to deal with the high-frequency signal with some gain. The impedance match to 50 is included in the LNA design. The input of the LNA is differential, as is the rest of the front-end receiver until the integrator. The differential signal is obtained by feeding the signal through an RF-balun after filtering. The RF-balun is also an OFF-chip component. 1) LNA: The LNA design used in the receiver has a fully differential structure which was converted from its single-ended equivalent by the mirror principle. The schematic is depicted in Fig. 4. The values of the LNA components presented in Fig. 4 are as follows: nH, nH, nH, and . The values of the input and output capacitors are 2 pF. This kind of a structure is also presented in [32] and [33]. The input impedance is matched to 50 so that each input is matched to 100 . The impedance match is realized by a wideband LC-ladder bandpass filter consisting of inductors and in conjunction with the capacitors of the input transistors and a parasitic capacitors of the input pad and ON-chip inductor . The input transistors are dimensioned to

STOICA et al.: LOW-COMPLEXITY NONCOHERENT IR-UWB TRANSCEIVER ARCHITECTURE WITH TOA ESTIMATION

1641

Fig. 5. Schematic diagram of the UWB VGA.

Fig. 4. Schematic diagram of the UWB LNA.

be large in order to minimize the base resistance , which is a considerable source of noise. The NF of the LNA for a given resonance frequency is determined by [32]

NF

(4)

is the base resistance of the where NF is the noise figure, input transistor , is the 50- source resistance, is the transconductance of , and is the unity gain frequency of . The cascode transistors and improve the output–input isolation and decrease the Miller effect. The bias of the amplifier is set by the bias voltages and . The load consists of resistor and inductor . 2) VGA: The VGA design presented in Fig. 5 is based on the Gilbert cell. This approach is presented in numerous papers, to mention a few [34]–[36]. The VGA gain is adjusted by altering the control voltage . The larger the voltage is, the more current is directed through transistors and and hence the current drawn by the gain transistors and is decreased, which reduces the gain of the amplifier. The NF has a minimum when the maximum gain is used. This is due to the fact that the transistors and are switched off and contribute no noise when all of the current is directed through transistors and . The maximum noise occurs with a 6-dB gain reduction when all of the quad transistors draw equal currents [36]. The input gain stage of the VGA consists of transistors and . The bias of the VGA is set by the bias voltages and

through resistor , which isolates the bases from each other. The degeneration resistor is used to improve linearity and improves the match between the two current sinks used as the bias current of the circuit. 3) Gilbert Multiplier: The squaring circuit of the receiver is a Gilbert multiplier. The output of the VGA is buffered by two different output stages to exclusively drive both input ports of the Gilbert cell. Since the input impedance of the two input ports are slightly different, the multiplier suffers from a small phase error. However, this is of no big importance in our noncoherent system. As the squaring operation corresponds to a down-conversion mixing, the 1-GHz-wide signal is converted to 0 1 GHz. Therefore, the output frequency response of the Gilbert cell needs only be flat up to 1 GHz while the input needs to be able to solve the signal from 3.1 to 4.1 GHz. The design of the multiplier is somewhat identical to that of the VGA. Provided that both circuits are handling a signal of the same frequency properties, only the VGA is explained in more detail. The operation of the Gilbert multiplier is well presented in [37]. The common-mode (CM) voltage at the output of the Gilbert multiplier is 2.3 V, which is enough to drive all of the integrators. The receiver utilizes a noncoherent energy-collection approach [4], [5], [38]. A detailed analysis of the synchronization process of our receiver is presented in [3]. 4) Link Budget Analysis: In the link budget calculation, we take into account the loss due to the channel. The transmitted power satisfies the FCC mask requirements. A signal level of around 50 mV is desired at the input of the integrators which defines the required receiver chain gain. The desired maximum NF is defined so that the SNR must be more than 10 dB in order to achieve a bit error rate (BER) of 10 [5]. Three possible link budget scenarios for different transmission

1642

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

TABLE I BUDGET SPECIFICATIONS FOR THREE ALTERNATIVE TRANSMISSION SCHEMES

schemes are presented in Table I, where the gain is the one required in the receiver chain and NF is the maximum allowed noise figure of the receiver chain. The first scheme consists of transmitting 64 pulses per bit and changing the pulse phases at 180 every 16 pulses. The second schemes also utilizes 64 pulses per bit, but the phase of the pulses is changed after each pulse to 180 , in order to further smooth the spectrum due to the overlay scrambling sequence. The third scheme utilizes eight pulses per bit, and the phase is changed for each pulse. We noticed that the first transmission scheme gave us a negative value of the maximum NF at 10 m. This means that we have either to increase the gain in the receiver gain or increase the transmitted power. Since the third scheme has the smoothest spectrum, it provides the most energy when the strongest spectral line is fixed to the maximum allowed transmitted power level.

Fig. 6. UWB testbed.

C. Integrator Architecture and Timing Circuits The applications intended for the UWB application-specific integrated circuit (ASIC) impose low power-consumption requirements so a target of less than 200-mW power consumption for the whole transceiver is intended. We target a maximum power consumption of 8 mW per integrator. The requirement on the output signal swing of the integrators is given by the least significant bit (LSB) of the following analog-to-digital converter (ADC). The higher the LSB is, the bigger the integrator swing must be, and the larger the amplification that is required in the receiver chain. Thus, a lower LSB leads to a smaller power consumption of the transceiver. The power consumption of the integrator bank has the biggest impact on the overall UWB ASIC power consumption. Perhaps the most efficient way to reduce the power consumption of the transceiver is to reduce the power consumption of the transconductor below 3 mW. By reducing the current consumption in the integrator, the output swing and linearity of the integrator will suffer, so a tradeoff must be made between power consumption, output swing, and linearity. The role of the timing circuits as well as the integrator internal structure and receiver analysis are presented in [3]. V. SYSTEM AND CIRCUIT RESULTS The testbed presented in Fig. 6 shows the IR-UWB ASIC mounted on a printed circuit board (PCB) together with the current sources, subminiature A (SMA) connectors, and the RF-balun at the transmitter output and receiver inputs. The measured spectrum of the PJM pulse generator, which is used as the input signal when measuring the implemented receiver spectrum response is presented in Fig. 7. The pulse repetition frequency is 200 MHz. The maximum peak of the spectral

Fig. 7. Measured spectrum at the output of the UWB transmitter (without scrambling sequence overlay).

lines is approximately at 35 dBm. The 200-MHz separation between spectral lines is clearly visible. The measured pulsewidth is 750 ps while the amplitude scale is 2 mV/div. The center frequency of the pulse is 3.2 GHz, and the 10-dB pulse bandwidth is 4.7 GHz. The measured -parameter of the output buffer of the transmitter is presented in Fig. 8. The value is 17.300 dB at 4.27 GHz. The simulated NF of the LNA is presented in Fig. 10. The NF of the LNA has a maximum of 2.7 dB and a minimum of 2.3 dB at the signal bandwidth. The gain of 12 dB is attained with a consumed current level of 10 mA. The - and -parameters of the LNA are presented in Fig. 11. The -parameter of the LNA in the signal band is at least 16 dB, which confirms good impedance matching. The -parameter was measured by using the -parameter analysis of the Spectre simulator. The differential input impedance of the LNA is depicted in Fig. 12. As can be seen, it is very close to 50 through whole of the signal band. The 1-dB compression point of the LNA is 3 dBm, with the maximum input signal of approximately 250 mV. This is actually enough to ensure the linearity and dynamic range of the amplifier as long as the waveform

STOICA et al.: LOW-COMPLEXITY NONCOHERENT IR-UWB TRANSCEIVER ARCHITECTURE WITH TOA ESTIMATION

1643

Fig. 10. Simulated NF of the LNA.

Fig. 8. Measured S -parameter at the output of the UWB transmitter.

Fig. 11. Simulated S - and S -parameters of the LNA.

Fig. 9. Measured pulse waveform at the output of the UWB transmitter.

of the signal does not get distorted. According to the transient analysis, the waveform is kept undistorted up to approximately 250 mV. The 1-dB compression point of the VGA is lower than that of the LNA with a maximum input signal of approximately 120 mV. However, this is acceptable, since the maximum input signal level of the LNA is very low. With the LNA gain of 12 dB, the maximum input signal level at the input of the VGA will not exceed the value of a few tens of millivolts. The receiver circuits are implemented on the IR-UWB transceiver chip in two different setups. The first setup includes the VGA, the Gilbert square cell, and the output buffer. The second receiver chain contains the VGA, the Gilbert square cell, a bank of integrators, and the FLASH ADC. During the receiver measurements, the test chain was examined using the HP oscilloscope for waveform visualization and the UWB pulse generator as a transmitter. In Fig. 13, we present the measured spectrum at the output of the first receiver setup which matches the simulated spectrum. The peak-to-peak voltage amplitude of the squared pulses is 83.3 mV, which is enough for the integrator’s

Fig. 12. Simulated differential input impedance of the LNA.

input signal. The performances of the TC and MAX algorithms are compared in terms of TOA estimation. Simulations are performed utilizing the Saleh Valenzuela channel model 3 (CM3) and channel model 4 (CM4), as defined in the IEEE 802.15.4a standard for an indoor office environment [39]. CM3 includes a line-of-sight (LOS) path (corresponding to the shortest TOA) in all channel realizations, but this first path is not always the strongest in the whole impulse response. CM4 is a non-LOS (NLOS) channel model. Perfect synchronization between the

1644

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 13. Spectrum of the tested receiver front-end.

Fig. 15. MAX algorithm T

= 15 ns and E =N = 20 dB. VI. CONCLUSION

Fig. 14. TC algorithm T

= 15 ns, P = 1%, and E =N = 20 dB.

transmitter and receiver clocks is assumed. The simulation parameters are as follows: ns, ns, which implies ns, and is a random number to the interval. The number of integrators is considered to be 5, 10, and 20, respectively, defining the integration windows of 4, 2, and 1 ns. For the TC algorithm, the threshold is set using several integration values obtained in the integration window when only noise is present. Both algorithms benefit from an augmented number of integrators, thus reducing the probability of underestimating the delay. On the other hand, the increase in the number of integrators produces a slight increase of the probability of overestimating the delay. From Figs. 14 and 15, it can be noticed that passing from five to ten integrators brings a substantial improvement to the TOA error distribution profile. This improvement is more evident for the TC algorithm than for the MAX algorithm. A further increase in the number of integrators from 10 to 20 brings only a negligible change. Note that, for the TC algorithm, an augmented number of integrators corresponds to a slight increase of the false alarm occurrence.

For UWB systems to become truly ubiquitous in positionestimation-enabled sensor networks, cost, power consumption, and positioning accuracy are critical performance parameters to be tackled. The energy-collection architecture shows great promise for low-cost and low-complexity communications and readily supports TOA estimation. This paper has presented and examined the architecture of an IR-UWB tag transceiver based on a noncoherent energy-collection structure. The receiver chain consists of a UWB LNA with a wideband impedance match to 50 , a Gilbert-cell-based VGA, and a Gilbert cell multiplier used as a squaring circuit. The receiver chain that was implemented has a maximum overall gain of 38 dB, with an overall power consumption of 48 mW and a voltage supply of 3.3 V. An overall NF of 7.3 dB is obtained for the fully differential receiver chain. Measured results of the transmitted spectrum and downconverted received signal are presented. Within the noncoherent architecture, two different TOA algorithms, TC and MAX, have been considered and compared in CM3 and CM4 channel models. With accurate threshold setting and high SNR, the TC algorithm outperforms the MAX algorithm. However, the TC algorithm has relatively high levels of missed detection for low SNR values. The MAX algorithm appears to be more robust to SNR value changes. The MAX algorithm, and its implementation, has been explored in detail. The algorithm has been shown to perform well within the constraints of the low-complexity energy-collection receiver structure. The MAX algorithm implemented can achieve a 5-ns positioning accuracy for 95% of cases. To improve TOA estimation reliability, a current-controlled constant transconductance tuning circuit which preserves the integrator accuracy to within 1% is presented. ACKNOWLEDGMENT The authors would like to thank Prof. T. Rahkonen, Electronics Laboratory, University of Oulu, Oulu, Finland, for his comments, suggestions, and useful discussions, and Stuttgart Design Centre, Thales Electronic Solutions, Stuttgart, Germany, for the help provided during measurements.

STOICA et al.: LOW-COMPLEXITY NONCOHERENT IR-UWB TRANSCEIVER ARCHITECTURE WITH TOA ESTIMATION

REFERENCES [1] “First report and order,” FCC, Washington, DC, FCC 02-48, Apr. 2002. [2] I. Oppermann, L. Stoica, A. Rabachin, Z. Shelby, and J. Haapola, “UWB wireless sensor networks: Uwen—A practical example,” IEEE Commun. Mag., vol. 42, no. 12, pp. S27–S32, Dec. 2004. [3] L. Stoica, A. Rabbachin, H. Repo, S. Tiuraniemi, and I. Oppermann, “An ultra wideband system architecture for tag based wireless sensor networks,” IEEE Trans. Veh. Technol., vol. 54, no. 5, pp. 1632–1645, Sep. 2005. [4] A. Rabbachin, R. Tesi, and I. Oppermann, “Bit error rate analysis for UWB systems with a low complexity, noncoherent energy collection receiver,” in Proc. Int. Telecommun. Symp., Lyon, France, Jun. 27–30, 2004, pp. 223–227. [5] A. Rabbachin and I. Oppermann, “Synchronization analysis for UWB systems with a low-complexity energy collection receiver,” in Proc. Joint Int. Ultra Wideband Syst. Workshop/Ultra Wideband Syst. Technol. Conf., Kyoto, Japan, May 18–21, 2004, pp. 288–292. [6] K. Yu and I. Oppermann, “UWB positioning for wireless embedded networks,” in Proc. IEEE Radio Wireless Conf., Atlanta, GA, Sep. 19–22, 2004, pp. 459–462. [7] ——, “Performance of UWB position estimation based on TOA measurements,” in Proc. Joint Int. Ultra Wideband Syst. Workshop/Ultra Wideband Syst. Technol. Conf., Kyoto, Japan, May 18–21, 2004, pp. 400–404. [8] P. Cheong, A. Rabbachin, M. Jean-Philippe, K. Yu, and I. Oppermann, “Synchronization, TOA and position estimation for low-complexity LDR UWB devices,” in IEEE Int. Ultra-Wideband Conf., Zurich, Switzerland, 5–8, 2005, pp. 480–484. [9] D. Johns and K. Martin, Analogue Integrated Circuit Design. New York: Wiley, 1997. [10] E. A. Homier and R. A. Scholtz, “Rapid acquisition of ultra-wideband signals in the dense multipath channel,” in Proc. IEEE Ultra Wideband Syst. Technol. Conf., Baltimore, MD, May 21–23, 2002, pp. 245–249. [11] R. Fleming, C. Kushner, G. Roberts, and U. Nandiwada, “Rapid acquisition for ultra-wideband localizers,” in Proc. IEEE Ultra Wideband Syst. Technol. Conf., Baltimore, MD, May 21–23, 2002, pp. 105–109. [12] I. Maravic, M. Vetterli, and K. Ramchandran, “Channel estimation and synchronization with sub-Nyquist sampling and application to ultrawideband systems,” in Proc. IEEE Int. Circuits Syst. Symp., Vancouver, BC, Canada, May 23–26, 2004, pp. 381–384. [13] J. Iinatti and M. Latva-Aho, “A modified CLPDI for code acquisition in multipath channel,” in Proc. IEEE 8th Personal Indoor Mobile Radio Commun. Symp., San Diego, CA, Sept. 30–Oct. 3 2001, vol. 2, pp. F6–F10. [14] S. Soderi and J. Iinatti, “CLPDI algorithm in UWB synchronization,” in Proc. IEEE 1st Int. UWB Syst. Workshop, Oulu, Finland, 2–5, 2003. [15] R. Fontana, E. Richley, and J. Barney, “Commercialization of an ultra wideband precision asset location system,” in Proc. IEEE Ultra Wideband Syst. Technol. Conf., Reston, VA, Nov. 16–19, 2003, pp. 369–373. [16] R. J. Fontana, “Recent system applications of short-pulse ultra-wideband (UWB) technology,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2087–2104, Sep. 2004. [17] C.-H. Yang, K.-H. Chen, and T.-D. Chiueh, “A 1.2 v 6.7 mW impulseradio UWB baseband transceiver,” in Proc. IEEE Int. Symp. Circuits, San Francisco, CA, Feb. 6–10, 2004, pp. 442–443. [18] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits. Cambridge, U.K.: Cambridge Univ. Press, 2004. [19] Y. Wang, J. S. Duster, and K. T. Kornegay, “Design of an ultra-wideband low noise amplifier in 0.13 m CMOS,” in Proc. IEEE Circuits Syst. Conf., Kobe, Japan, May 23–26, 2005, pp. 5067–5070. [20] H.-J. Lee, D. S. Ha, and S. S. Choi, “A systematic approach to CMOS low noise amplifier design for ultrawideband applications,” in Proc. IEEE Circuits Syst. Conf., Kobe, Japan, May 23–26, 2005, pp. 3962–3965. [21] H. C. Schau and A. Z. Robinson, “Passive source location employing intersecting spherical surfaces from tme-of-arrival differences,” IEEE Trans. Acoust., Speech, Signal Process., vol. ASSP-35, no. 8, pp. 1223–1225, Aug. 1987. [22] K. W. Cheung, H. C. So, W. K. Ma, and Y. T. Chan, “Least squares algorithms for time-of-arrival-based mobile location,” IEEE Trans. Signal Process., vol. 52, no. 4, pp. 1121–1128, Apr. 2004. [23] Y. T. Chan and K. C. Ho, “A simple and efficient estimator for hyperbolic location,” IEEE Trans. Signal Process., vol. 42, no. 7, pp. 1905–1915, Jul. 1994.

1645

[24] Y. Huang, J. Benesty, G. W. Elko, and R. M. Mersereau, “Real-time passive source localization: A practical linear-correction least-squares approach,” IEEE Trans. Speech Audio Process., vol. 9, no. 8, pp. 943–956, Nov. 2001. [25] J. Y. Lee and R. A. Scholtz, “Ranging in a dense multipath environment using an UWB radio link,” IEEE J. Sel. Areas Commun. (Special Issue), vol. 20, no. 12, pp. 1677–1683, Dec. 2002. [26] A. Rabbachin, L. Stoica, S. Tiuraniemi, and I. Oppermann, “A low cost, low power UWB based sensor network,” in Proc. Int. Wireless Ad Hoc Networks Workshop, Oulu, Finland, May 31–Jun. 3 2004, pp. 84–88. [27] R. Hoctor and H. Tomlinson, “Delay-hopped transmitted-reference RF communications,” in Proc. IEEE Ultra Wideband Syst. Technol. Conf., Baltimore, MD, May 21–23, 2002, pp. 265–269. [28] J. D. Choi and W. E. Stark, “Performance of ultra-wideband communications with suboptimal receivers in multipath channels,” IEEE J. Sel. Areas Commun. (Special Issue), vol. 20, no. 12, pp. 1754–1766, Dec. 2002. [29] T. Zasowski, F. Althaus, and A. Wittneben, “An energy efficient transmitted-reference scheme for ultra wideband communications,” in Proc. Joint Int. Ultra Wideband Syst. Workshop/Ultra Wideband Syst. Technol. Conf., Kyoto, Japan, May 18–21, 2004, pp. 146–150. [30] J. Foerster and Q. Li, “UWB channel modeling contribution from Intel/” IEEE P.802.15-02/279-SG3a, 2002. [31] S. Tiuraniemi, L. V. Stoica, A. Rabbachin, and I. Oppermann, “Front-end receiver for low power, low complexity noncoherent UWB communications systems,” in Proc. IEEE Int. Ultra-Wideband Conf., Zurich, Switzerland, 5–8, 2005, pp. 339–343. [32] A. Ismail and A. A. Abidi, “An 3–10-GHz low-noise amplifier with wideband LC-ladder matching network,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2269–2277, Dec. 2004. [33] S. W. Chung, S. Y. Lee, and K. H. Park, “Wideband impedance matching of integrated antennas and CMOS low noise amplifiers for a multiband UWB receiver,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Atlanta, GA, 19–22, 2004, pp. 131–134. [34] V. Vintola, M. Matilainen, S. Kalajo, and E. Jarvinen, “Variable-gain power amplifier for mobile WCDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2464–2471, Dec. 2001. [35] K. W. Kobayashi, K. T. Ip, A. K. Oki, D. K. Umemoto, S. Claxton, M. Pope, and J. Wiltz, “Gaas HBT 0.75-5-GHz multifunctional microwave-analog variable gain amplifier,” IEEE J. Solid-State Circuits, vol. 29, no. 10, pp. 1257–1261, Oct. 1994. [36] R. G. Meyer and W. D. Mack, “A dc to 1-GHz differential monolithic variable-gain amplifier,” IEEE J. Solid-State Circuits, vol. 26, no. 11, pp. 1673–1680, Nov. 1991. [37] B. Gilbert, “A precise four quadrant multiplier with sub nanosecond response,” IEEE J. Solid-State Circuits, vol. 3, pp. 365–373, Dec. 1968. [38] L. Stoica, H. Repo, S. Tiuraniemi, and I. Oppermann, “An ultra wideband low complexity circuit transceiver architecture for sensor networks,” in Proc. IEEE 2005 Circuits Syst. Conf., Kobe, Japan, May 23–26, 2005, vol. 1, pp. 364–367. [39] “IEEE-802.15.4a channel model—Final report,” IEEE-802.15.4a, 2004 [Online]. Available: http://www.ieee802.org/15/pub/TG4a.html

Lucian Stoica (S’01) was born in Roman, Romania, on December 8, 1975. He received the M.S. degree in electrical engineering from the Technical University of Iasi, Iasi, Romania, in 2000, and is currently working toward the Ph.D. degree in electrical engineering at the University of Oulu, Oulu, Finland. From 2000 to 2003, he was a Teaching Assistant with the Telecommunications Department, Technical University of Iasi, where he was involved in the development of digital design and FPGA prototyping. In 2003, he joined the Centre for Wireless Communications, University of Oulu. During 2005, he was a Visiting Researcher with Thales Electronic Solutions, Stuttgart, Germany. His current research focuses on low-complexity SiGe BiCMOS circuit transceivers design for wireless communications, particularly on UWB impulse-radio systems.

1646

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Alberto Rabbachin (S’04) received the M.S. degree from the University of Bologna, Bologna, Italy, in 2001, and is currently working toward the Ph.D. degree at the Universityof Oulu, Oulu, Finland. In 2001, during his undergraduate studies, he visited the Centre for Wireless Communications, University of Oulu, Oulu, Finland. In 2002, he joined Agilent Technologies for an internship and, since 2003, he has been with the Centre for Wireless Communications, University of Oulu. During 2005, he was a Visiting Researcher with CEA-LETI, Grenoble, France. His research interests include UWB systems with emphasis on receiver structures, synchronization, and ranging techniques.

Ian Oppermann M’91–SM’02) was born in Maryborough, Australia, in 1969. He received the B.Sc., B.E., and Ph.D. degrees from the University of Sydney, Sydney, Australia, in 1990, 1992, and 1997, respectively. His doctoral research concerned physical-layer aspects of novel spread-spectrum/CDMA systems. In 1996, he founded SP Communications, a company that developed network planning tools for thirdgeneration mobile systems and IP cores for wireless local area network (WLAN) chipsets. In 2001, he became a Docent (Adjunct Professor) with the University of Oulu, Oulu, Finland. In 2002, he joined the Centre for Wireless Communications (CWC), initially as an Assistant Director, and then becoming Director in 2003. Since the beginning of 2005, he has been the Acting Director for Short Range Communications Research, CWC. His main research interests are spread-spectrum systems and UWB. He coedited several books. He has authored or coauthored over 80 publications in international journals and conferences. He holds several patents for wireless communications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

1647

Gaussian Pulse Generators for Subbanded Ultra-Wideband Transmitters David D. Wentzloff, Member, IEEE, and Anantha P. Chandrakasan, Fellow, IEEE

Abstract—This paper presents calculations for approximating the measured spectrum of pulsed signals in the high and low pulserepetition-frequency (PRF) region. Experimentally verified peak and average power calculations are presented for pulse trains with no modulation and when modulated by random data using binary phase-shift keying (BPSK). A pulse generator is presented that is built using commercially available discrete components. BPSK pulses are generated at a PRF of 50 MHz. The output spectrum has a center frequency of 5.355 GHz and a 10-dB bandwidth of 550 MHz. A technique for pulse shaping is presented that approximates a Gaussian pulse by exploiting the exponential behavior of a bipolar junction transistor. This technique is demonstrated by a pulse generator fabricated in a 0.18- m SiGe BiCMOS process. BPSK pulses are generated by inverting a local oscillator signal as opposed to the reference pulse, improving matching. Pulses are transmitted at a PRF of 100 MHz and centered in 528-MHz-wide channels equally spaced within the 3.1–10.6-GHz ultra-wideband band. Measurement results for both transmitters match well with calculated values. Index Terms—Gaussian, pulse analysis, pulse generation, transmitter, ultra-wideband (UWB).

I. INTRODUCTION LTRA-WIDEBAND (UWB) communication is being revisited by the integrated circuits community as a medium for a high data-rate last-meter wireless link. In 2002, the Federal Communications Commission (FCC) allowed UWB communication in the 3.1–10.6-GHz band [1]–[3]. This has triggered a large amount of interest in this area due to the promise of unprecedented wireless data rates and precise positioning in a low-cost consumer radio.

U

A. FCC Regulations The FCC restrictions for the UWB band that affect most high data-rate communication systems are the average emissions mask with a maximum of 41.3-dBm/MHz between 3.1–10.6 GHz and the minimum signal bandwidth requirement of 500 MHz within this band. The bandwidth of a UWB signal is defined as the difference between frequencies where the signal power is 10 dB below its maximum level. More of a factor for low pulse-repetition-frequency (PRF) transmitters, the peak power limit set by the FCC is RBW dBm, where RBW is the spectrum analyzer resolution bandwidth in megahertz.

Manuscript received August 30, 2005; revised January 14, 2006. This work was supported by the National Science Foundation under Grant ANI-0335256 and by the Hewlett-Packard–Massachusetts Institute of Technology Alliance. The authors are with the Massachusetts Institute of Technology, Cambridge, MA 02139 USA (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.872053

B. Pulse Modulation Schemes Several pulse-based modulation schemes are found in literature such as pulse amplitude modulation (PAM), on–off keying (OOK), pulse-position (PPM) or bit-position modulation (BPM), binary phase-shift keying (BPSK), and transmitted reference [4]. BPSK has an advantage over pulse amplitude and position modulation due to an inherent 3-dB increase in separation between constellation points. In a study of UWB single-input single-output (SISO) and multiple-input multiple-output (MIMO) systems employing direct-sequence (DS) BPSK, time-hopping (TH) BPSK, and TH-PPM, the two BPSK systems always outperformed the TH-PPM system. In the single-user case, TH-BPSK and DS-BPSK showed similar performance, while in the multiuser case DS-BPSK outperformed both time-hopping schemes [5]. C. Prior Work Pulse-based transmitter architectures can be broadly grouped into two categories defining how the pulse energy is generated in the 3.1–10.6-GHz UWB band. 1) The first category includes transmitters that generate a pulse at baseband and up-convert it to a center frequency in the UWB band by mixing with a local oscillator (LO) [6], [7]. The transmitter may not have an explicit mixer that performs the up-conversion mixing. This architecture is easiest identified by having an LO at the center frequency of the pulse. The transmitters characterized in this paper fall into this category. 2) The second category includes transmitters that generate a pulse that directly falls in the UWB band without requiring frequency translation. The pulsewidth for these types of transmitters is usually defined by delay elements that may be tunable or fixed, as opposed to oscillators. A baseband impulse may excite a filter that shapes the pulse [8], [9], or the pulse may be directly synthesized at RF with no additional filtering required [10], [11]. The up-conversion architecture generally offers more diversity and control over the frequency spectrum, but at the cost of higher power since an LO must operate at the pulse center frequency. This architecture is usually found in high data-rate DS communication systems, where the pulse shape and center frequency must be well defined [6], [7]. For most transmitters, the radiated frequency spectrum is defined by the shape of the pulse. Approximations to a Gaussian pulse shape and its derivatives are common due to their favorable time and frequency response. The analog approach to a Gaussian pulse generator is to use translinear and exponential

0018-9480/$20.00 © 2006 IEEE

1648

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

circuits to perform mathematical operations in the analog domain that result in a Gaussian shape [12], [13]. Derivatives of a Gaussian pulse may be generated with a second-order bandpass filter acting as a differentiator. Other approaches approximate a Gaussian pulse by shaping with a bank of gm-C filters [8] or by switching a reactive load and using the transient response for shaping [9]–[11]. A -shift BPSK transmitter has been reported that synthesizes baseband pulses by summing weighted currents at a sample rate of 4 GS/s. The and pulse trains are then up-converted to a 4-GHz center frequency [6]. A sinusoidal monocycle pulse generator has been reported that generates pulses by gating an LO on for one complete period of the LO frequency. Thus, the spectrum of the transmitted pulse is centered on this frequency. This transmitter supports both BPSK and PPM modulation schemes at a PRF of 1.625 GHz [7].

Fig. 1. Definitions for pulse and equivalent rectangular pulse of width 

.

where is an arbitrary pulse shape and is the peak voltage of the pulse as shown in Fig. 1 [14]. The measured peak power of a pulse train without modulation is related to by

PDCF

(2)

The PDCF is defined for the low- and high-PRF regions in Section II-C, and is the characteristic impedance.

II. PULSED-UWB MEASUREMENTS The measurement procedure for pulsed-UWB signals varies from that for narrowband signals. There are two distinct regions in which the measurement results obtained with a spectrum analyzer (SA) follow different trends for peak and average measurements of modulated and repetitive (unmodulated) pulse trains. These two regions are defined by the ratio of the SA resolution bandwidth (RBW) to the PRF. One must be conscious of this ratio in order to correctly interpret measured results. This section presents a tutorial on calculations for approximating spectral measurements of pulsed signals with an SA [14], [15]. The expressions given are for peak and average power levels measured at the center frequency of the pulse, assuming that this is the maximum value. These calculations are used to analyze measured results from the pulse generators in Sections IV and V. The SA used for all measurements is an Agilent 8564EC.

B. Modulated Power Calculation The average power of a pulse train modulated by a random sequence of data can also be calculated from the actual peak power of a pulse. The derivation can be readily found in communication textbooks, therefore only the result is repeated here. The power spectral density for a 1- load in W/Hz is given by PRF

(3)

where is the Fourier transform of the pulse voltage waveform. For the rectangular pulse with effective pulsewidth , the average power spectral density of a modulated pulse train is calculated by

PRF RBW

A. Pulse Desensitization Correction Factor For narrow pulses defined by a pulsewidth PW RBW, the IF filter of the SA cannot completely respond to the pulse. In this case, the SA measures the pulsed response of its IF filter, rather than the pulse itself. Thus, a pulse desensitization correction factor (PDCF) is defined to relate the peak power measured by an SA to the actual peak power of the pulse [14]. There are several restrictions described in [14] on the setup of the SA to ensure accurate results when applying the PDCF. The PDCF depends on the shape of the pulse. In order to accommodate arbitrary pulse shapes, the envelope of the RF pulse can be approximated by a rectangular pulse with the same peak voltage and area as the arbitrary pulse shape. The effective width of the rectangular pulse is calculated by

PRF (1)

(4) In a study conducted on several UWB transmitters [15], it was reported that a measurement using an SA with an rms average detector provides the most accurate average power reading. The rms detector is more robust to the UWB signaling schemes than the logarithmic average typically used for narrowband average power measurements. In one case of pulsed measurements, the logarithmic average under-reported the rms average power by 10–15 dB. C. Measurement Trends in Low-/High-PRF Regions The measurement of peak and average power for modulated and repetitive pulse trains can be divided into two regions. These are defined by the ratio of RBW to PRF being greater or less than 1. This section summarizes the measurements in these two regions. At the transition region around where PRF RBW, the measured spectrum is highly dependent on the modulation and SA filter response and is therefore more difficult to predict [15].

WENTZLOFF AND CHANDRAKASAN: GAUSSIAN PULSE GENERATORS FOR SUBBANDED UWB TRANSMITTERS

1649

1) Low-PRF Region RBW PRF : In this region, pulses are spaced far enough apart in time to allow the output of the IF filter in the SA to return to zero between each pulse. Because of this, the peak and average measurements are independent of whether modulation by random data is applied or not, therefore and . Average power is calculated from (4). The peak power in the low-PRF region (modulated or unmodulated) measured by the SA can be approximated by (2), where

PDCF

RBW

(5)

relates the RBW frequency to an effective IF bandand width for pulsed signals [14]. The value of depends on the SA used and varies from 1.5 to 1.617. The peak power meadependence surement is independent of PRF and has a on RBW and . 2) High-PRF Region RBW/PRF : In this region, the RBW is sufficiently narrow such that a “line spectrum” of impulses spaced at the PRF is visible on the SA for a repetitive pulse train of identical pulses. Peak and average power measurements are effected by modulation in this region. The average power of a repetitive pulse train is equal to the peak power, . The unmodulated peak (and average) power measured by the SA can be approximated by (2), where

PDCF

PRF

(6)

has a dependence on PRF and , and is independent of RBW. For modulated signals that are similar to Gaussian noise, the measured average power is given by (4). The measured peak power will be 7–11 dB above the average power level, statistically depending on the amount of time the peak measurement is taken over [15]. Both peak and average power of modulated signals follow a dependence on RBW and PRF in the high-PRF region. D. Experimental Verification To illustrate the measurement trends for each region, various measurements were performed on a rectangular pulse train. BPSK modulated pulses were generated with an arbitrary waveform generator and up-converted to a 5-GHz center frequency. An instantaneous peak pulse amplitude of 50.8 mV was measured with an oscilloscope. The pulsewidth was 50 ns, which is equal to since the pulse is rectangular. The RBW was varied from 10 kHz to 2 MHz, and the PRF varied from 100 kHz to 2 MHz. Plots of the measurements spanning the low- and high-PRF regions are shown in Fig. 2. Peak power was measured with the SA positive peak detector, a video bandwidth (VBW) of 3 MHz, and a sweep time of 1 s. The measurements for modulated and unmodulated peak power matched very well with the predicted values and followed the predicted trends for varying PRF and RBW in their respective regions.

Fig. 2. Measurements of a rectangular pulse up-converted to 5 GHz with  = 50 ns and PRF = 100 kHz and 2 MHz. For each measurement, RBW is swept from 10 kHz to 2 MHz.

Average power was measured with the SA detector in sample mode, a VBW of 3 MHz, and the sweep time set to auto. An rms detector was not available for these measurements. The noise marker featured on the SA was used to measure the average power of the modulated signal, which is similar to Gaussian noise. This marker displays the logarithmic average of trace points around a selected frequency, and corrects for errors due to averaging and a wider effective RBW [16]. The results matched with calculations except for the RBW/PRF region, where the power was under-reported by up to 12 dB. These results are similar to those found in [15], where the logarithmic average was found to under-report the average power by 10–15 dB for low duty-cycle impulsive signals. An rms detector was reported not to suffer from this problem. Average power follows the expected PRF RBW trend in both regions. III. SYSTEM ARCHITECTURE The following sections presents two pulse generators designed for a custom UWB transceiver. This architecture uses pulse-based BPSK modulation, where information is encoded as a pulse with either positive or negative polarity [17]. The maximum PRF supported is 100 MHz. Data are represented with 31 pulses per bit during the packet preamble and one pulse per bit during the packet payload. The maximum data rate is therefore 100 Mb/s. The baseband pulse train is up-converted to one of 14 evenly spaced, 528-MHz-wide channels in the 3.1–10.6-GHz UWB band. This frequency plan has been adopted from an 802.15.3a proposal, with the exception that fast frequency hopping is not implemented [18]. A block diagram of the architecture is shown in Fig. 3. The receiver performs I/Q direct conversion to baseband, where the received signal is sampled by dual 5-b 500-MS/s analog-to-digital converters (ADCs). Coarse acquisition, channel estimation, fine tracking, and demodulation are all performed in the digital baseband. A mostly digital architecture was chosen for greater flexibility, however, performing correlations in the analog domain can result in lower power [19]. Amplitude and timing matching between transmitted positive and negative BPSK pulses are critical to the quality of service. Mismatch between pulses affects the coarse acquisition and demodulation algorithms. The linearity requirement in the

1650

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 5. Schematic of the modulation and up-conversion circuit for the discrete pulse generator.

Fig. 3. 100-Mb/s 14-channel UWB system architecture.

Fig. 4. ECL pulse generator and level shifter for the discrete pulse generator. On every falling edge of the input clock, a negative pulse is generated with an effective width of  = 2:4 ns.

transmitter is relaxed since the receiver records the pulse shape before demodulation. IV. DISCRETE PULSE GENERATOR A transmitter has been built using commercial off-the-shelf discrete components as part of a complete prototype transceiver [20]. It is designed for BPSK modulation of pulses at a variable PRF of up to 50 MHz. Pulses are up-converted to a center frequency of 5.355 GHz. The maximum data rate supported is 50 Mb/s. Individual packets are downloaded from a PC to the transmitter in real time over a USB interface. A. Hardware Description The architecture of the transmitter is similar to the custom chipset architecture shown in Fig. 3. Baseband pulses are generated by the circuit shown in Fig. 4. A transistor–transistor logic (TTL)-level clock operating at the PRF is converted to 3.3-V emitter-coupled logic (ECL) levels. The inverted clock and a delayed clock are inputs to an AND gate, the output of which is a pulse on every falling edge of the input clock. The pulsewidth is set by an RC filter between two ECL buffers. The output of the ECL AND gate is at 1.6–2.4-V levels; therefore, a high-speed inverting level shifter is used to bring the pulse down to 0 V. The baseband pulses are then modulated and up-converted to a center frequency of 5.355 GHz using the circuit shown in Fig. 5. Depending on the data bit, the pulse is switched to either the positive or negative input of a differentiating amplifier using high-frequency analog switches. When each switch is in the OFF position, it terminates the input of the amplifier to ground. Both switches are turned off to put the transmitter in an idle state outputting no pulses. This state can also be used to vary the PRF. The output of the amplifier is a BPSK modulated pulse train

Fig. 6. Plot of the input clock and switch signals for the discrete pulse generator at a PRF of 50 MHz. The pulses are sampled at the input to the up-conversion mixer.

which is attenuated and up-converted to 5.355 GHz by a passive mixer. An offset adjustment is provided at the positive input of the amplifier to correct for offsets at the input of the mixer. This adjustment is necessary to minimize LO feedthrough to the antenna. B. Experimental Results A screen capture of the discrete pulse generator in operation is shown in Fig. 6. The top trace is the input clock of 50 MHz, and the two traces beneath the clock are the switch input signals and . The bottom trace is the pulse train measured at the input to the mixer and after the attenuator. This pulse train is subsequently up-converted to a 5.355-GHz center frequency. The spectrum of the pulses has a 10-dB bandwidth of 550 MHz. The effective rectangular pulsewidth is 2.4 ns, which is calculated from the frequency of the first null in the measured spectrum by . The peak voltage of the up-converted pulse is 104 mV. These measured values were used in the equations from Section II to calculate peak and average power levels, which are compared to the spectrum measurements in Table I. The peak and average modulated measurements were taken with the transmitter outputting 250 kb of pseudorandom data. Measurements were made at 50-MHz and 50-kHz PRF to demonstrate the accuracy of the calculations in the low- and high-PRF regions. V. INTEGRATED TANH PULSE GENERATOR A transmitter has been fabricated in a 0.18- m SiGe BiCMOS process as part of the custom chipset for a 100-Mb/s pulse-based UWB transceiver discussed in Section III [21],

WENTZLOFF AND CHANDRAKASAN: GAUSSIAN PULSE GENERATORS FOR SUBBANDED UWB TRANSMITTERS

1651

TABLE I DISCRETE TRANSMITTER MEASUREMENT RESULTS

Fig. 8. Mean squared error contours and minimum error point for V = 1:0. Fig. 7. BJT differential pair and input voltage waveform for generating a tanh pulse.

[22]. The transceiver architecture is shown in Fig. 3. The goal of this work was to design a low-power UWB transmitter that emits Gaussian-shaped pulses due to their desirable time and frequency response. By exploiting the exponential behavior of a BJT, the Gaussian pulse can be accurately approximated with an elegant analog circuit that simultaneously performs up-conversion mixing to the 3.1–10.6-GHz band. Pulses are up-converted to one of 14 channel center frequencies. The center frequencies are set by MHz where

(7)

.

A. Tanh Pulse Shaping

 = 1:0,

The pulse is simultaneously up-converted to the UWB band by with an LO. Furtheradditionally modulating the tail current more, BPSK pulses are generated by inverting the LO signal in the tail current. This architecture has several benefits, which are: 1) the input signal begins and ends at the same level; thus, there is no “reset” phase required as in differentiating pulse generators, eliminating transients; 2) positive and negative pulses can be generated with the same triangle input signal and inverted LO to improve matching, which is difficult to achieve with complementary circuits; 3) up-conversion is performed by adding an LO signal to the tail current and, thus, no additional mixer is required; and 4) the triangle signal can be generated with well-known techniques, and the accuracy of the Gaussian approximation is not sensitive to small deviations in the values of and PW. B. Optimization

The transmitter uses a differential pair of BJTs with a triangle signal input to generate and shape a pulse of one polarity, which is shown conceptually in Fig. 7. For the proper choice of , PW, and , the current will have a shape that approximates that of a Gaussian. For a fixed bias current , the collector currents in the differential pair are approximately related by (8) By substituting terms into the exponential equations for collector current of a BJT, it can be shown using hyperbolic identities that the collector current is described by

(9) is the thermal voltage, equal to . where The output current of the differential pair will be a pulse with tanh-shaped rising and falling edges for the triangle input signal shown in Fig. 7. Note that the axis is normalized to , which is the argument of the tanh function in (9). Current is not used and can be terminated to the power supply.

were found by The optimal values for , PW, and sweeping each variable and searching for the minimum mean-squared error (MSE) between the resulting tanh-shaped pulse and the Gaussian pulse given by

(10) The energies of the two pulses are equalized before calculating the MSE. A contour plot of the MSE is shown in Fig. 8 for and . The diamond indicates the values of and PW, resulting in the minimum MSE. A plot of the corresponding tanh-shaped pulse for the minimum MSE point is compared with a Gaussian reference pulse in Fig. 9. The timeand frequency-domain responses are shown. The side lobes of the tanh-shaped pulse are 45 dBr. The results of the parameter optimization are as follows. 1) Normalized Amplitude : The amplitude normalized to does not vary with and varies linearly with for . The equation for setting is

(11)

1652

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 9. Time and frequency response of the optimized tanh pulse for and V = 1:0.

 =1

Fig. 11. Schematic of the tanh pulse-shaping UWB mixer.

Fig. 12. Schematic 3.1–10.6-GHz filter and PA. Fig. 10. BPSK UWB transmitter block diagram.

mode. The up-converted pulse is filtered and amplified on-chip before being dc-coupled to the off-chip UWB antenna. 2) Pulsewidth varies linearly with PW is

: The pulsewidth is proportional to and for . The equation for setting

PW

(12)

: The offset normalized to 3) Normalized Offset does not vary with and should be greater than 1.0 for best results. Making it arbitrarily large does not improve the response, and it should remain within the biasing constraints of the circuit

(13) As shown in Fig. 8, the minimum MSE between the tanh and Gaussian pulses is broad. This relaxes the requirements on the circuitry used to generate the triangle signal. It also relaxes the dependency of the pulse shape on temperature through . Varying temperature over a range of 0 C–85 C (28% of Kelvin) results in an 11% variation in bandwidth and 0.2 dB in peak power for the pulse shown in Fig. 9. C. Transmitter Architecture A block diagram of the transmitter is shown in Fig. 10. The triangle signal is implemented off-chip but is suitable for integration. To generate BPSK pulses, the triangle signal is switched to either the positive or negative input of the mixer. The inactive mixer input is simultaneously switched to a constant voltage. The same triangle signal is used to generate both polarity pulses to improve matching between pulses. The triangle signal switch also has an off state to implement variable PRF or a standby

D. Circuit Description A schematic of the tanh pulse-shaping mixer is shown in Fig. 11. At the core are two tanh-shaping pulse generators made by transistors and . The tail currents of the pulse generators are modulated by LO signals. This enables simultaneous pulse shaping and up-conversion mixing. The LO signals to the two pulse generators are 180 out of phase, giving the inversion for BPSK pulse generation. The LO signal is generated on-chip or can be switched to an external source and can be tuned from 3.1 to 10.6 GHz. The LO signal path is balanced to ensure equal amplitudes and 180 phase difference between the differential signals. The differential LO signals are converted to a current and mirrored, along with a bias current, into the tails of the two differential pairs. Up-converted positive or negative pulses are generated by applying the triangle input signal to or , respectively. The triangle signal voltage is relative to , which is at a fixed potential. Applying the triangle signal to with the bases of fixed reduces unwanted signals from coupling to the output. The output currents of the differential pairs are summed at node . This provides first-order cancellation of LO feedthrough, similar to a double-balanced Gilbert cell mixer. A schematic of the UWB band select filter and power amplifier (PA) is shown in Fig. 12. The mixer output is fed into the filter made by , , and , providing a second-order roll-off below 3 GHz to reduce out-of-band emissions. The simulated frequency response of the mixer and filter is plotted in Fig. 13. The signal is then buffered and ac-coupled to the PA. The PA is class A, with an RF choke at the output, and can be dc-coupled to the antenna.

WENTZLOFF AND CHANDRAKASAN: GAUSSIAN PULSE GENERATORS FOR SUBBANDED UWB TRANSMITTERS

1653

Fig. 13. Simulated ac response of the LO path through the mixer and filter. Fig. 15. Plot of the pulse power levels in the 14 UWB channels. Peak power reported is with no modulation. Modulated peak power is expected to be 11 dB above modulated average power.

Fig. 14. Unmodulated peak power matching between positive and negative pulses. Fig. 16. Measured pulse modulated by a 4.0-GHz external LO.

E. Experimental Results The transmitter was fabricated in a 0.18- m SiGe BiCMOS process, and packaged in a 48-lead MLF/QFN package which is a wire-bonded package. Due to a resonance with the bondwires at the input of the mixer, the pulses generated in channels 6–10 were distorted and did not have a Gaussian shape. An external LO was used as the center frequency of the pulses for all measurement results. The matching between positive and negative pulses was evaluated at each of the center frequencies by measuring the peak power and bandwidth of a train of all positive or all negative pulses. An external LO was used to set the center frequency of the pulses, with an on-chip, single-to-differential converter to generate the differential LO used for inverting pulses. This converter has inherent mismatch in its differential outputs that varies with frequency, which can directly lead to mismatch between positive and negative pulse amplitudes. The spectral measurements fall into the high-PRF region for a PRF of 100 MHz and RBW of 1 MHz; therefore, a line spectrum is observed for a repetitive pulse train and peak power levels are independent of RBW. The measurements of peak pulse power in each channel are shown in Fig. 14. The undistorted pulse responses demonstrated matching better than 2.5 dB. The peak voltage of the RF pulse envelope was measured at each center frequency with a high-speed sampling oscilloscope. The effective pulsewidth was approximated from the spectrum measurement by . This was used in (2) and (6) to predict the measured peak power level. The calculated and measured results can be compared in Fig. 15.

TABLE II TRANSMITTER SPECIFICATIONS SUMMARY

Each peak power data point is the rms average of the negative and positive pulse powers at that center frequency. There is very good agreement between the calculated and measured peak powers. This peak power is of an unmodulated pulse train. The average power of a pulse train modulated with random data is calculated using (4) with measured data and plotted in Fig. 15. This data is based on an rms average of the positive and negative pulse peak power levels. The 41.3-dBm/MHz FCC limit is exceeded in some channels using nominal biasing; however, this can be corrected by reducing the gain adjustment in the power amplifier. The modulated peak power is expected to be 11 dB above the average power and follows a RBW trend in the high-PRF region [5]. For a 2-MHz RBW, the calculated peak power does not exceed the FCC limit of 28 dBm when the 41.3-dBm/MHz average power limit is not exceeded.

1654

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 4, APRIL 2006

Fig. 17. Die photograph of the transmitter with a tanh-shaping pulse generator. The dimensions are 1.7 mm 1.4 mm.

2

A measured pulse with a center frequency of 4 GHz is shown in Fig. 16 as an example of an up-converted tanh-shaped pulse. Table II provides a summary of the pulse generator specifications. A die photograph of the transmitter is shown in Fig. 17. VI. CONCLUSION The measurement results from a spectrum analyzer for peak and average power of modulated and unmodulated pulse trains depends on the ratio of RBW to PRF. For typical high data-rate transmitters, RBW/PRF , and therefore peak and average power of a modulated pulse train have a dependence on PRF and RBW. Calculations of peak and average power can be made from the peak voltage and effective pulsewidth. Spectral measurements for a discrete pulse generator and custom integrated pulse generator match well with these calculations. A transmitter built from discrete components has been presented for use in a UWB prototyping platform. The transmitter communicates with BPSK pulses and a variable PRF up to 50 MHz. Pulses are up-converted to a 550-MHz band centered on 5.355 GHz. A technique for generating pulses that accurately approximates a Gaussian shape has been presented. By exploiting the exponential properties of a BJT, a near-Gaussian pulse is shaped from a triangle input signal. Pulse shaping is integrated into the mixer, performing up-conversion and shaping in one simple circuit. The tanh pulse generator has been fabricated in a 0.18- m SiGe BiCMOS process. Pulse shaping is limited in channels 6–10 due to parasitics on the mixer input. Matched BPSK pulses and near-Gaussian pulse generation has been demonstrated at a PRF of 100 MHz in all other channels. REFERENCES [1] FCC, “First report and order,” FCC 02-48, Feb. 2002. [2] FCC, “Order,” FCC 05-58, Mar. 2005. [3] FCC, “Second report and order and second memorandum opinion and order,” FCC 04-285, Dec. 2004. [4] T. Q. S. Quek and M. Z. Win, “Ultrawide bandwidth transmitted-reference signaling,” in Proc. IEEE Int. Commun. Conf. , Jun. 2004, vol. 6, pp. 3409–3413. [5] M. Welborn, “System considerations for ultra-wideband wireless networks,” in Proc. IEEE Radio Wireless Conf., Aug. 2001, pp. 5–8. [6] S. Iida et al., “A 3.1 to 5 GHz CMOS DSSS UWB transceiver for WPANs,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 2005, vol. 48, pp. 214–215. [7] A. Azakkour, M. Regis, F. Pourchet, and G. Alquie, “A new integrated monocycle generator and transmitter for Ultra-wideband (U Wl3) communications,” in Proc. IEEE Radio Frequency IC Symp., Jun. 2005, pp. 79–82.

[8] S. Bagga, W. A. Serdijn, and J. R. Long, “A PPM Gaussian monocycle transmitter for ultra-wideband communication,” in Proc. Int. Ultra Wideband Syst. Technol. Conf., May 2004, pp. 130–134. [9] Y. Jeong, S. Jung, and J. Liu, “A CMOS impulse generator for UWB wireless communication systems,” in Proc. Int. Symp. Circuits Syst., May 2004, vol. 4, pp. 129–132. [10] K. Marsden et al., “Low power CMOS re-programmable pulse generator for UWB systems,” in Proc. Int. Ultra Wideband Syst. Technol. Conf., Nov. 2003, pp. 443–447. [11] H. Kim, D. Park, and Y. Joo, “All-digital low-power CMOS pulse generator for UWB system,” Electron. Lett., vol. 40, no. 24, pp. 1534–1535, Nov. 2004. [12] M. Rodriguez and J. Silva-Martinez, “A fully-programmable temperature-compensated analogue circuit for gaussian functions,” in Proc. IEEE Int. Symp. Circuits Syst., May 2000, pp. 481–484. [13] H. Kim, D. Park, and Y. Joo, “Design of CMOS Scholtz’s monocycle pulse generator,” in Proc. IEEE Ultra Wideband Syst. Technol. Conf., Nov. 2003, pp. 81–85. [14] “Spectrum analysis. . . pulsed RF,” Agilent Technol., Palo Alto, CA, Applicat. Note 150-2, May 2005. [15] W. A. Kissick, “The temporal and spectral characteristics of ultrawideband signals,” NTIA, U.S. Dept. Commerce, Boulder, CO, NTIA Rep. 01-383, Jan. 2001. [16] “Spectrum analyzer measurements and noise,” Agilent Technol., Palo Alto, CA, Applicat. Note 1303, Feb. 2003. [17] R. Blazquez et al., “A baseband processor for pulsed ultra-wideband signals,” in Proc. IEEE Custom Integr. Circuits Conf., Oct. 2004, pp. 587–590. [18] “Multiband OFDM physical layer proposal for IEEE 802.15.3a/,” MultiBand OFDM Alliance, IEEE P802.15 Working Group for Wireless Personal Area Networks (WPAN’s), Sep. 2004. [19] M. Verhelst et al., “Architectures for low power ultra-wideband radio receivers in the 3.1–5 GHz band for data rates 10 Mbps,” in Proc. Int. Low Power Electron. Des. Symp, Aug. 2004, pp. 280–285. [20] D. D. Wentzloff et al., “System design considerations for ultra-wideband communication,” IEEE Commun. Mag., vol. 43, no. 8, pp. 114–121, Aug. 2005. [21] R. Blazquez et al., “Direct conversion pulsed UWB transceiver architecture,” Proc. Design, Autom. Test. Eur., pp. 94–95, Mar. 2005. [22] D. D. Wentzloff and A. P. Chandrakasan, “A 3.1–10.6 GHz ultra-wideband pulse-shaping mixer,” in Proc. IEEE Radio Freq. IC Symp., Jun. 2005, pp. 83–86.